diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..bdc48ea --- /dev/null +++ b/.gitignore @@ -0,0 +1,138 @@ +# Mac +.DS_Store + +# Profile results +*.lprof +examples/iPEPS/test_*.py +mprofile*.dat + +# Byte-compiled / optimized / DLL files +__pycache__/ +*.py[cod] +*$py.class + +# C extensions +*.so +*.dylib + +# Distribution / packaging +.Python +build/ +develop-eggs/ +dist/ +downloads/ +eggs/ +.eggs/ +lib64/ +parts/ +sdist/ +var/ +wheels/ +pip-wheel-metadata/ +share/python-wheels/ +*.egg-info/ +.installed.cfg +*.egg +MANIFEST + +# PyInstaller +# Usually these files are written by a python script from a template +# before PyInstaller builds the exe, so as to inject date/other infos into it. +*.manifest +*.spec + +# Installer logs +pip-log.txt +pip-delete-this-directory.txt + +# Unit test / coverage reports +htmlcov/ +.tox/ +.nox/ +.coverage +.coverage.* +.cache +nosetests.xml +coverage.xml +*.cover +*.py,cover +.hypothesis/ +.pytest_cache/ + +# Translations +*.mo +*.pot + +# Django stuff: +*.log +local_settings.py +db.sqlite3 +db.sqlite3-journal + +# Flask stuff: +instance/ +.webassets-cache + +# Scrapy stuff: +.scrapy + +# Sphinx documentation +docs/_build/ + +# PyBuilder +target/ + +# Jupyter Notebook +.ipynb_checkpoints + +# IPython +profile_default/ +ipython_config.py + +# pyenv +.python-version + +# pipenv +# According to pypa/pipenv#598, it is recommended to include Pipfile.lock in version control. +# However, in case of collaboration, if having platform-specific dependencies or dependencies +# having no cross-platform support, pipenv may install dependencies that don't work, or not +# install all needed dependencies. +#Pipfile.lock + +# PEP 582; used by e.g. github.com/David-OConnor/pyflow +__pypackages__/ + +# Celery stuff +celerybeat-schedule +celerybeat.pid + +# SageMath parsed files +*.sage.py + +# Environments +adpepsenv/ +.env +.venv +env/ +venv/ +ENV/ +env.bak/ +venv.bak/ + +# Spyder project settings +.spyderproject +.spyproject + +# Rope project settings +.ropeproject + +# mkdocs documentation +/site + +# mypy +.mypy_cache/ +.dmypy.json +dmypy.json + +# Pyre type checker +.pyre/ diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..da94fa9 --- /dev/null +++ b/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2021 b1592 + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/README.md b/README.md new file mode 100644 index 0000000..184438e --- /dev/null +++ b/README.md @@ -0,0 +1,83 @@ +# TODO + +- General code cleanup +- Observables (`adpeps/ipeps/observables.py` not used) +- Rewrite `run_ipeps_gs.py` Objective class +- Fix the numerous evaluation methods in `ipeps.py` +- Documentation + + +# AD-PEPS + +Basic implementation of iPEPS ground-state and excited-state optimization using automatic differentiation. + +The package contains three main parts: + +- The core iPEPS and CTM code, contained in `adpeps/ipeps` +- Executable scripts that run the simulations in `adpeps/simulation` +- Helper classes and functions, with custom contraction and other operations in `adpeps/tensor` and general utilities in `adpeps/utils` + +## Installation + +Download this repository + +``` +git clone https://github.com/b1592/ad-peps.git +``` + +Install the package using `pip` + +``` +cd ad-peps +pip install -e . +``` + +## Usage + +As a general starting point for simulations the package can be executed as + +``` +python -m adpeps +``` + +### Ground states + +For ground states, the package can be used with the `gs` option: + +``` +python -m adpeps gs +``` + +For each simulation, a configuration file in `yaml` format should be supplied that contains all relevant settings. +An example can be found in `examples/heis_D2.yaml`, with a description of each setting. + +### Excited states + +For excitations, the option to be used is `exci`: + +``` +python -m adpeps exci +``` + +In order to prepare for a simulation, first a ground-state simulation should be performed. +After this, a base file for the excited-state simulation can be created with the `-i` argument: + +``` +python -m adpeps exci -i +``` + +This will converge the ground-state boundary tensors, normalize the ground state tensors, shift the Hamiltonian by the ground-state energy and finally compute a basis of vectors that are orthogonal to the ground state. + +Once this is completed, the full simulation can be performed, which computes the full effective energy and norm matrices, by supplying the 'momentum index'. +This index corresponds to a certain path through momentum space, controlled by the `momentum_path` option in the configuration file. +For example, the first point in the `Bril1`, which is at `(pi,0)`, can be computed by running + +``` +python -m adpeps exci -p 1 +``` + +After the simulations are done, the results can be evaluated with the `-e` argument: + +``` +python -m adpeps exci -e +``` diff --git a/adpeps/__init__.py b/adpeps/__init__.py new file mode 100644 index 0000000..38ab50a --- /dev/null +++ b/adpeps/__init__.py @@ -0,0 +1,9 @@ +import os +from pathlib import Path + +from ._version import get_versions +__version__ = get_versions()['version'] +del get_versions + +ROOT_DIR = os.path.dirname(os.path.abspath(__file__)) +PROJECT_ROOT_DIR = Path(ROOT_DIR).parent diff --git a/adpeps/__main__.py b/adpeps/__main__.py new file mode 100644 index 0000000..74ccd63 --- /dev/null +++ b/adpeps/__main__.py @@ -0,0 +1,87 @@ +""" +Main runner +""" + +import argparse +from .simulation import run_ipeps_gs, run_ipeps_exci + +from jax.config import config +config.update("jax_enable_x64", True) + +import adpeps +from adpeps.utils import io + +""" + Main executable module for iPEPS simulations + + Select one of two modes: + > python3 -m adpeps gs + > python3 -m adpeps exci -p + + Where corresponds to a .yaml configuration file + + The following environment variables can be set for the locations + of configuration and output files: + - CONFIGDIR: base folder where the simulations look for configuration + files + - DATADIR: base folder where output data is saved + + The naming conventions for both modes are defined in utils.io as follows: + - Ground states: /__D_X.npz + - Excited states: /__D_X/__.npz +""" + +def get_parser(): + # create the top-level parser + parser = argparse.ArgumentParser() + parser.add_argument('-v', '--version', dest='version', + action='store_true', + help='Show version') + + subparsers = parser.add_subparsers(help='Simulation mode', dest='sim_mode') + + # Ground-state parser + parser_gs = subparsers.add_parser('gs', help='Ground-state simulation') + parser_gs.add_argument('config_file', type=str, + help='Configuration (.yml) file for the simulation options') + + # Excited-state parser + parser_exci = subparsers.add_parser('exci', help='Excited-state simulation') + parser_exci.add_argument('config_file', type=str, + help='config file of excited-state simulation') + parser_exci.add_argument('-p', '--p_ix', dest='momentum_ix', + default=0, type=int, + help='momentum index') + parser_exci.add_argument('-e', '--eval', dest='evaluate', + action='store_true', + help='Prepare excitation base') + parser_exci.add_argument('-i', '--init', dest='init', + action='store_true', + help='Prepare excitation base') + return parser + +if __name__ == '__main__': + parser = get_parser() + args = parser.parse_args() + print(args) + + if args.version: + print('Adpeps version:') + print(adpeps.__version__) + + elif args.sim_mode == 'gs': + print('Running ground-state sim') + args.config_file = io.localize_config_file(args.config_file) + run_ipeps_gs.run(args.config_file) + + elif args.sim_mode == 'exci': + print('Running excited-state sim') + print(args.config_file) + args.config_file = io.localize_config_file(args.config_file) + print(args.config_file) + if args.evaluate: + run_ipeps_exci.evaluate(args.config_file, args.momentum_ix-1) + elif args.init: + run_ipeps_exci.prepare(args.config_file) + else: + run_ipeps_exci.run(args.config_file, args.momentum_ix-1) diff --git a/adpeps/_version.py b/adpeps/_version.py new file mode 100644 index 0000000..ba88565 --- /dev/null +++ b/adpeps/_version.py @@ -0,0 +1,520 @@ + +# This file helps to compute a version number in source trees obtained from +# git-archive tarball (such as those provided by githubs download-from-tag +# feature). Distribution tarballs (built by setup.py sdist) and build +# directories (produced by setup.py build) will contain a much shorter file +# that just contains the computed version number. + +# This file is released into the public domain. Generated by +# versioneer-0.18 (https://github.com/warner/python-versioneer) + +"""Git implementation of _version.py.""" + +import errno +import os +import re +import subprocess +import sys + + +def get_keywords(): + """Get the keywords needed to look up the version information.""" + # these strings will be replaced by git during git-archive. + # setup.py/versioneer.py will grep for the variable names, so they must + # each be defined on a line of their own. _version.py will just call + # get_keywords(). + git_refnames = "$Format:%d$" + git_full = "$Format:%H$" + git_date = "$Format:%ci$" + keywords = {"refnames": git_refnames, "full": git_full, "date": git_date} + return keywords + + +class VersioneerConfig: + """Container for Versioneer configuration parameters.""" + + +def get_config(): + """Create, populate and return the VersioneerConfig() object.""" + # these strings are filled in when 'setup.py versioneer' creates + # _version.py + cfg = VersioneerConfig() + cfg.VCS = "git" + cfg.style = "pep440" + cfg.tag_prefix = "" + cfg.parentdir_prefix = "None" + cfg.versionfile_source = "sym_tensor/_version.py" + cfg.verbose = False + return cfg + + +class NotThisMethod(Exception): + """Exception raised if a method is not valid for the current scenario.""" + + +LONG_VERSION_PY = {} +HANDLERS = {} + + +def register_vcs_handler(vcs, method): # decorator + """Decorator to mark a method as the handler for a particular VCS.""" + def decorate(f): + """Store f in HANDLERS[vcs][method].""" + if vcs not in HANDLERS: + HANDLERS[vcs] = {} + HANDLERS[vcs][method] = f + return f + return decorate + + +def run_command(commands, args, cwd=None, verbose=False, hide_stderr=False, + env=None): + """Call the given command(s).""" + assert isinstance(commands, list) + p = None + for c in commands: + try: + dispcmd = str([c] + args) + # remember shell=False, so use git.cmd on windows, not just git + p = subprocess.Popen([c] + args, cwd=cwd, env=env, + stdout=subprocess.PIPE, + stderr=(subprocess.PIPE if hide_stderr + else None)) + break + except EnvironmentError: + e = sys.exc_info()[1] + if e.errno == errno.ENOENT: + continue + if verbose: + print("unable to run %s" % dispcmd) + print(e) + return None, None + else: + if verbose: + print("unable to find command, tried %s" % (commands,)) + return None, None + stdout = p.communicate()[0].strip() + if sys.version_info[0] >= 3: + stdout = stdout.decode() + if p.returncode != 0: + if verbose: + print("unable to run %s (error)" % dispcmd) + print("stdout was %s" % stdout) + return None, p.returncode + return stdout, p.returncode + + +def versions_from_parentdir(parentdir_prefix, root, verbose): + """Try to determine the version from the parent directory name. + + Source tarballs conventionally unpack into a directory that includes both + the project name and a version string. We will also support searching up + two directory levels for an appropriately named parent directory + """ + rootdirs = [] + + for i in range(3): + dirname = os.path.basename(root) + if dirname.startswith(parentdir_prefix): + return {"version": dirname[len(parentdir_prefix):], + "full-revisionid": None, + "dirty": False, "error": None, "date": None} + else: + rootdirs.append(root) + root = os.path.dirname(root) # up a level + + if verbose: + print("Tried directories %s but none started with prefix %s" % + (str(rootdirs), parentdir_prefix)) + raise NotThisMethod("rootdir doesn't start with parentdir_prefix") + + +@register_vcs_handler("git", "get_keywords") +def git_get_keywords(versionfile_abs): + """Extract version information from the given file.""" + # the code embedded in _version.py can just fetch the value of these + # keywords. When used from setup.py, we don't want to import _version.py, + # so we do it with a regexp instead. This function is not used from + # _version.py. + keywords = {} + try: + f = open(versionfile_abs, "r") + for line in f.readlines(): + if line.strip().startswith("git_refnames ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["refnames"] = mo.group(1) + if line.strip().startswith("git_full ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["full"] = mo.group(1) + if line.strip().startswith("git_date ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["date"] = mo.group(1) + f.close() + except EnvironmentError: + pass + return keywords + + +@register_vcs_handler("git", "keywords") +def git_versions_from_keywords(keywords, tag_prefix, verbose): + """Get version information from git keywords.""" + if not keywords: + raise NotThisMethod("no keywords at all, weird") + date = keywords.get("date") + if date is not None: + # git-2.2.0 added "%cI", which expands to an ISO-8601 -compliant + # datestamp. However we prefer "%ci" (which expands to an "ISO-8601 + # -like" string, which we must then edit to make compliant), because + # it's been around since git-1.5.3, and it's too difficult to + # discover which version we're using, or to work around using an + # older one. + date = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + refnames = keywords["refnames"].strip() + if refnames.startswith("$Format"): + if verbose: + print("keywords are unexpanded, not using") + raise NotThisMethod("unexpanded keywords, not a git-archive tarball") + refs = set([r.strip() for r in refnames.strip("()").split(",")]) + # starting in git-1.8.3, tags are listed as "tag: foo-1.0" instead of + # just "foo-1.0". If we see a "tag: " prefix, prefer those. + TAG = "tag: " + tags = set([r[len(TAG):] for r in refs if r.startswith(TAG)]) + if not tags: + # Either we're using git < 1.8.3, or there really are no tags. We use + # a heuristic: assume all version tags have a digit. The old git %d + # expansion behaves like git log --decorate=short and strips out the + # refs/heads/ and refs/tags/ prefixes that would let us distinguish + # between branches and tags. By ignoring refnames without digits, we + # filter out many common branch names like "release" and + # "stabilization", as well as "HEAD" and "master". + tags = set([r for r in refs if re.search(r'\d', r)]) + if verbose: + print("discarding '%s', no digits" % ",".join(refs - tags)) + if verbose: + print("likely tags: %s" % ",".join(sorted(tags))) + for ref in sorted(tags): + # sorting will prefer e.g. "2.0" over "2.0rc1" + if ref.startswith(tag_prefix): + r = ref[len(tag_prefix):] + if verbose: + print("picking %s" % r) + return {"version": r, + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": None, + "date": date} + # no suitable tags, so version is "0+unknown", but full hex is still there + if verbose: + print("no suitable tags, using unknown + full revision id") + return {"version": "0+unknown", + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": "no suitable tags", "date": None} + + +@register_vcs_handler("git", "pieces_from_vcs") +def git_pieces_from_vcs(tag_prefix, root, verbose, run_command=run_command): + """Get version from 'git describe' in the root of the source tree. + + This only gets called if the git-archive 'subst' keywords were *not* + expanded, and _version.py hasn't already been rewritten with a short + version string, meaning we're inside a checked out source tree. + """ + GITS = ["git"] + if sys.platform == "win32": + GITS = ["git.cmd", "git.exe"] + + out, rc = run_command(GITS, ["rev-parse", "--git-dir"], cwd=root, + hide_stderr=True) + if rc != 0: + if verbose: + print("Directory %s not under git control" % root) + raise NotThisMethod("'git rev-parse --git-dir' returned error") + + # if there is a tag matching tag_prefix, this yields TAG-NUM-gHEX[-dirty] + # if there isn't one, this yields HEX[-dirty] (no NUM) + describe_out, rc = run_command(GITS, ["describe", "--tags", "--dirty", + "--always", "--long", + "--match", "%s*" % tag_prefix], + cwd=root) + # --long was added in git-1.5.5 + if describe_out is None: + raise NotThisMethod("'git describe' failed") + describe_out = describe_out.strip() + full_out, rc = run_command(GITS, ["rev-parse", "HEAD"], cwd=root) + if full_out is None: + raise NotThisMethod("'git rev-parse' failed") + full_out = full_out.strip() + + pieces = {} + pieces["long"] = full_out + pieces["short"] = full_out[:7] # maybe improved later + pieces["error"] = None + + # parse describe_out. It will be like TAG-NUM-gHEX[-dirty] or HEX[-dirty] + # TAG might have hyphens. + git_describe = describe_out + + # look for -dirty suffix + dirty = git_describe.endswith("-dirty") + pieces["dirty"] = dirty + if dirty: + git_describe = git_describe[:git_describe.rindex("-dirty")] + + # now we have TAG-NUM-gHEX or HEX + + if "-" in git_describe: + # TAG-NUM-gHEX + mo = re.search(r'^(.+)-(\d+)-g([0-9a-f]+)$', git_describe) + if not mo: + # unparseable. Maybe git-describe is misbehaving? + pieces["error"] = ("unable to parse git-describe output: '%s'" + % describe_out) + return pieces + + # tag + full_tag = mo.group(1) + if not full_tag.startswith(tag_prefix): + if verbose: + fmt = "tag '%s' doesn't start with prefix '%s'" + print(fmt % (full_tag, tag_prefix)) + pieces["error"] = ("tag '%s' doesn't start with prefix '%s'" + % (full_tag, tag_prefix)) + return pieces + pieces["closest-tag"] = full_tag[len(tag_prefix):] + + # distance: number of commits since tag + pieces["distance"] = int(mo.group(2)) + + # commit: short hex revision ID + pieces["short"] = mo.group(3) + + else: + # HEX: no tags + pieces["closest-tag"] = None + count_out, rc = run_command(GITS, ["rev-list", "HEAD", "--count"], + cwd=root) + pieces["distance"] = int(count_out) # total number of commits + + # commit date: see ISO-8601 comment in git_versions_from_keywords() + date = run_command(GITS, ["show", "-s", "--format=%ci", "HEAD"], + cwd=root)[0].strip() + pieces["date"] = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + + return pieces + + +def plus_or_dot(pieces): + """Return a + if we don't already have one, else return a .""" + if "+" in pieces.get("closest-tag", ""): + return "." + return "+" + + +def render_pep440(pieces): + """Build up version string, with post-release "local version identifier". + + Our goal: TAG[+DISTANCE.gHEX[.dirty]] . Note that if you + get a tagged build and then dirty it, you'll get TAG+0.gHEX.dirty + + Exceptions: + 1: no tags. git_describe was just HEX. 0+untagged.DISTANCE.gHEX[.dirty] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += plus_or_dot(pieces) + rendered += "%d.g%s" % (pieces["distance"], pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + else: + # exception #1 + rendered = "0+untagged.%d.g%s" % (pieces["distance"], + pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + return rendered + + +def render_pep440_pre(pieces): + """TAG[.post.devDISTANCE] -- No -dirty. + + Exceptions: + 1: no tags. 0.post.devDISTANCE + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += ".post.dev%d" % pieces["distance"] + else: + # exception #1 + rendered = "0.post.dev%d" % pieces["distance"] + return rendered + + +def render_pep440_post(pieces): + """TAG[.postDISTANCE[.dev0]+gHEX] . + + The ".dev0" means dirty. Note that .dev0 sorts backwards + (a dirty tree will appear "older" than the corresponding clean one), + but you shouldn't be releasing software with -dirty anyways. + + Exceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += plus_or_dot(pieces) + rendered += "g%s" % pieces["short"] + else: + # exception #1 + rendered = "0.post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += "+g%s" % pieces["short"] + return rendered + + +def render_pep440_old(pieces): + """TAG[.postDISTANCE[.dev0]] . + + The ".dev0" means dirty. + + Eexceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + else: + # exception #1 + rendered = "0.post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + return rendered + + +def render_git_describe(pieces): + """TAG[-DISTANCE-gHEX][-dirty]. + + Like 'git describe --tags --dirty --always'. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += "-%d-g%s" % (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render_git_describe_long(pieces): + """TAG-DISTANCE-gHEX[-dirty]. + + Like 'git describe --tags --dirty --always -long'. + The distance/hash is unconditional. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + rendered += "-%d-g%s" % (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render(pieces, style): + """Render the given version pieces into the requested style.""" + if pieces["error"]: + return {"version": "unknown", + "full-revisionid": pieces.get("long"), + "dirty": None, + "error": pieces["error"], + "date": None} + + if not style or style == "default": + style = "pep440" # the default + + if style == "pep440": + rendered = render_pep440(pieces) + elif style == "pep440-pre": + rendered = render_pep440_pre(pieces) + elif style == "pep440-post": + rendered = render_pep440_post(pieces) + elif style == "pep440-old": + rendered = render_pep440_old(pieces) + elif style == "git-describe": + rendered = render_git_describe(pieces) + elif style == "git-describe-long": + rendered = render_git_describe_long(pieces) + else: + raise ValueError("unknown style '%s'" % style) + + return {"version": rendered, "full-revisionid": pieces["long"], + "dirty": pieces["dirty"], "error": None, + "date": pieces.get("date")} + + +def get_versions(): + """Get version information or return default if unable to do so.""" + # I am in _version.py, which lives at ROOT/VERSIONFILE_SOURCE. If we have + # __file__, we can work backwards from there to the root. Some + # py2exe/bbfreeze/non-CPython implementations don't do __file__, in which + # case we can only use expanded keywords. + + cfg = get_config() + verbose = cfg.verbose + + try: + return git_versions_from_keywords(get_keywords(), cfg.tag_prefix, + verbose) + except NotThisMethod: + pass + + try: + root = os.path.realpath(__file__) + # versionfile_source is the relative path from the top of the source + # tree (where the .git directory might live) to this file. Invert + # this to find the root from __file__. + for i in cfg.versionfile_source.split('/'): + root = os.path.dirname(root) + except NameError: + return {"version": "0+unknown", "full-revisionid": None, + "dirty": None, + "error": "unable to find root of source tree", + "date": None} + + try: + pieces = git_pieces_from_vcs(cfg.tag_prefix, root, verbose) + return render(pieces, cfg.style) + except NotThisMethod: + pass + + try: + if cfg.parentdir_prefix: + return versions_from_parentdir(cfg.parentdir_prefix, root, verbose) + except NotThisMethod: + pass + + return {"version": "0+unknown", "full-revisionid": None, + "dirty": None, + "error": "unable to compute version", "date": None} diff --git a/adpeps/ipeps/__init__.py b/adpeps/ipeps/__init__.py new file mode 100644 index 0000000..8e777b6 --- /dev/null +++ b/adpeps/ipeps/__init__.py @@ -0,0 +1,2 @@ +r""" Main iPEPS package +""" diff --git a/adpeps/ipeps/config.py b/adpeps/ipeps/config.py new file mode 100644 index 0000000..e1b7454 --- /dev/null +++ b/adpeps/ipeps/config.py @@ -0,0 +1,125 @@ +""" Configuration module for iPEPS simulations + + These settings will be loaded from a configuration file :code:`.yml` file + via the :meth:`from_dict` function +""" + +from typing import Union, Iterable +import numpy as np +import math +import os + +D: int = None +""" iPEPS bond dimension """ + +chi: int = None +""" CTM boundary bond dimension """ + +model: str = None +""" Model """ + +model_params: dict = None +""" Model parameters """ + +method: str = None +""" Optimization method """ + +seed: int = 1 +""" Random seed for initial state """ + +resume: bool = False +""" Resume earlier simulation if found """ + +base_sim: Union[str, None] = None +""" Base simulation """ + +load_sim: bool = None +""" Load previous (Python) simulation """ + +max_iterations: int = 100 +""" Maximum number of optimizer iterations """ + +disp_level: int = 1 +""" Display level (`0`: no output) """ + +pattern: Union[Iterable, None] = None +""" Unit cell configuration + Defined as a 2-D array of integers that label the unique sites in the unit + cell. + + Example: + A 2x2 unit cell with a [AB, BA]-type pattern is defined by + + .. code-block:: python + + pattern = [ + [0, 1], + [1, 0] + ] +""" + +ctm_conv_tol: float = 1e-10 +""" CTM convergence criterium (singular values norm difference) """ + +ctm_min_iter: int = 5 +""" Minimal number of CTM steps """ + +ctm_max_iter: int = 20 +""" Maximal number of CTM steps """ + +flush_output: bool = False +""" Passes the :code:`flush = True` argument to the builtin :code:`print` function + when calling the :func:`adpeps.utils.printing.print` function + + Useful when deploying the code to computing clusters and capturing the output + into text files +""" + +out_prefix: str = '' +""" Optional prefix for the output file of the simulation + + Example: + :code:`.../{model}_D{D}_X{chi}.npz` + + becomes + + :code:`.../{out_prefix}_{model}_D{D}_X{chi}.npz` +""" + +# Excitation settings + +px: float = 0 * math.pi + +py: float = 0 * math.pi + +momentum_path: str = 'Bril1' +""" Momentum path through the BZ """ + +filter_null: bool = False + +def from_dict(cfg): + """ Import config from configuration (`.yml`) file """ + + cfg_vars = globals() + for name, value in cfg.items(): + if name in cfg_vars.keys(): + cfg_vars[name] = value + else: + raise ValueError(f"Option {name} = {value} not defined in iPEPS config") + try: + debug_override = os.environ.get("PY_SIM_DEBUG2") + if debug_override is not None and int(debug_override) == 1: + print("** Debug mode on (PY_SIM_DEBUG = 1) **") + cfg_vars['disp_level'] = 2 + cfg_vars['use_parallel'] = False + except: + pass + +def get_model_params(): + if model_params is not None: + try: + return '_'.join([str(p) for p in model_params.values()]) + '_' + except AttributeError: + return '_'.join([str(p) for p in model_params]) + '_' + else: + return '' diff --git a/adpeps/ipeps/contractions.yaml b/adpeps/ipeps/contractions.yaml new file mode 100644 index 0000000..d6b1674 --- /dev/null +++ b/adpeps/ipeps/contractions.yaml @@ -0,0 +1,290 @@ +# All contractions in the form of inputs for ncon +# +# Define a contraction by its name and the indices +# to be contracted over +# +# For example: +# (contractions.yaml) +# example_contraction: +# [[-1,1,2,3], [-2,1,2,3]] +# +# (example.py) +# from contractions import ncon +# new_T = ncon([T1, T2], 'example_contraction') +# +# For fermionic simulations, some contractions involve swap +# gates, which can be added by using an expanded syntax: +# +# example_contraction: +# ix: [[-1,1,2,3], [-2,1,2,3]] +# swaps: [[1,2], [-1,-2]] +# +# In contractions.py, the definitions from this file +# are parsed into a dict (so no duplicate keys) and +# a wrapper for ncon that takes a contraction key +# as input is exposed + + +# --------------------- +# CTM projectors +# --------------------- + +# get_projectors_left +proj_left_Cs1: + [[1, -2], [-1,1,-3,-4]] + +proj_left_Q1: + [[-1,1,3,6], [1,-4,2,4], [5,-2,3,2,-5], [5,-3,6,4,-6]] + +proj_left_Cs4: + [[1,-2], [-1,1,-3,-4]] + +proj_left_Q4: + [[-1,1,3,5], [-4,1,2,4], [6,-2,-5,2,3], [6,-3,-6,4,5]] + + +# get_projectors_right +proj_right_Cs2: + [[1,-2], [1,-1,-3,-4]] + +proj_right_Q2: + [[-1,1,3,6], [1,-4,2,4], [5,2,3,-2,-5], [5,4,6,-3,-6]] + +proj_right_Cs3: + [[-2,1] ,[1,-1,-3,-4]] + +proj_right_Q3: + [[-1,1,6,3], [-4,1,4,2], [5,4,-5,-2,6], [5,2,-6,-3,3]] + + +# get_projectors_top +proj_top_Cs1: + [[-2,1], [1,-1,-3,-4]] + +proj_top_Q1: + [[-1,1,3,6], [-4,1,2,4], [5,-5,2,3,-2], [5,-6,4,6,-3]] + +proj_top_Cs2: + [[-2,1], [1,-1,-3,-4]] + +proj_top_Q2: + [[-1,1,3,6], [1,-4,2,4], [5,3,2,-5,-2], [5,6,4,-6,-3]] + + +# get_projectors_bottom +proj_bottom_Cs4: + [[-2,1], [-1,1,-3,-4]] + +proj_bottom_Q4: + [[-1,1,3,6], [-4,1,2,4], [5,-5,-2,3,2], [5,-6,-3,6,4]] + +proj_bottom_Cs3: + [[1,-2], [-1,1,-3,-4]] + +proj_bottom_Q3: + [[-1,1,6,3], [1,-4,4,2], [5,6,-2,-5,4], [5,3,-3,-6,2]] + + +# get_projectors +proj_P1: + [[-1,-2,-3,1,2,3], [1,2,3,4], [4,-4]] + +proj_P2: + [[1,2,3,-1,-2,-3], [1,2,3,4], [-4,4]] + +# --------------------- +# CTM steps +# --------------------- + +# doleft +doleft_T4: + [[-1,1,3,4], [1,8,2,5], [7,-3,3,2,9], [7,-4,4,5,10], [-2,8,9,10]] + +doleft_T4_B: + [[-1,1,3,4], [1,8,2,5], [7,-3,3,2,9], [7,-4,4,5,10], [-2,8,9,10]] + +doleft_T4_Bd: + [[-1,1,3,4], [1,8,2,5], [7,-3,3,2,9], [7,-4,4,5,10], [-2,8,9,10]] + +doleft_Cs1: + [[1,-2], [-1,1,-3,-4]] + +doleft_C1: + [[-1,1,2,3], [-2,1,2,3]] + +doleft_Cs4: + [[1,-2], [-1,1,-3,-4]] + +doleft_C4: + [[-1,1,2,3], [-2,1,2,3]] + +doleft_C1_c4v: + [[1,2,3,4,5,6], [-1,1,2,3], [-2,4,5,6]] + + +# doright +doright_T2: + [[-1,1,3,6], [1,7,2,4], [5,2,3,-3,8], [5,4,6,-4,9], [-2,7,8,9]] + +doright_T2_B: + [[-1,1,3,6], [1,7,2,4], [5,2,3,-3,8], [5,4,6,-4,9], [-2,7,8,9]] + +doright_T2_Bd: + [[-1,1,3,6], [1,7,2,4], [5,2,3,-3,8], [5,4,6,-4,9], [-2,7,8,9]] + +doright_Cs2: + [[1,-2], [1,-1,-3,-4]] + +doright_C2: + [[-1,1,2,3], [-2,1,2,3]] + +doright_Cs3: + [[-2,1], [1,-1,-3,-4]] + +doright_C3: + [[-2,1,2,3], [-1,1,2,3]] + + +# dotop +dotop_T1: + [[-2,1,3,6], [7,1,2,4], [5,8,2,3,-3], [5,9,4,6,-4], [-1,7,8,9]] + +dotop_T1_B: + [[-2,1,3,6], [7,1,2,4], [5,8,2,3,-3], [5,9,4,6,-4], [-1,7,8,9]] + +dotop_T1_Bd: + [[-2,1,3,6], [7,1,2,4], [5,8,2,3,-3], [5,9,4,6,-4], [-1,7,8,9]] + +dotop_Cs1: + [[-2,1], [1,-1,-3,-4]] + +dotop_C1: + [[-2,1,2,3], [-1,1,2,3]] + +dotop_Cs2: + [[-2,1], [1,-1,-3,-4]] + +dotop_C2: + [[-2,1,2,3], [-1,1,2,3]] + + +# dobottom +dobottom_T3: + [[-2,1,3,6], [7,1,2,4], [5,8,-3,3,2], [5,9,-4,6,4], [-1,7,8,9]] + +dobottom_T3_B: + [[-2,1,3,6], [7,1,2,4], [5,8,-3,3,2], [5,9,-4,6,4], [-1,7,8,9]] + +dobottom_T3_Bd: + [[-2,1,3,6], [7,1,2,4], [5,8,-3,3,2], [5,9,-4,6,4], [-1,7,8,9]] + +dobottom_Cs3: + [[1,-2], [-1,1,-3,-4]] + +dobottom_C3: + [[-1,1,2,3], [-2,1,2,3]] + +dobottom_Cs4: + [[-2,1], [-1,1,-3,-4]] + +dobottom_C4: + [[-2,1,2,3], [-1,1,2,3]] + + +# --------------------- +# Reduced density matrices - regular +# --------------------- + +# get_dms + +# Upper half +dm_up_Cc1: + [[1,2],[-5,1,3,-4],[2,-1,4,-7],[-3,-6,3,4,-2]] + +dm_up_Cc2: + [[-2,1],[1,-7,-3,2],[-5,2,-1,-4,-6]] + +dm_up: + [[-1,-2,-3,1,2,3,4],[1,2,3,4,-4,-5,-6]] + +# Lower half +dm_low_Cc1: + [[1,2],[-4,1,4,-5],[-1,2,3,-6],[-3,-7,-2,3,4]] + +dm_low_Cc3: + [[1,-1],[-7,1,-4,2],[-5,2,-6,-3,-2]] + +dm_low: + [[-1,-2,-3,1,2,3,4],[1,2,3,4,-4,-5,-6]] + +dm_rov: + [[1,2,-1,-3,5,6], [1,2,-2,-4,5,6]] + +# Left half +dm_left_Cc2: + [[1,-1],[-4,1,-2,2],[-3,-7,2,-6,-5]] + +dm_left: + [[1,2,-3,-6,5,6,-2],[1,2,-4,-1,5,6,-5]] + +# Right half +dm_right_Cc1: + [[1,-5],[1,-1,2,-6],[-3,-7,2,-2,-8]] + +dm_right_Cc3: + [[1,2],[-1,1,-3,3],[2,-7,-4,4],[-5,3,-2,-6,4]] + +dm_right: + [[-1,-2,-3,4,5,6,7],[4,5,6,7,-4,-5,-6]] + +dm_roh: + [[1,2,-1,-3,5,6], [1,2,-2,-4,5,6]] + + +# --------------------- +# Reduced density matrices - odd-fermionic excitation variant +# --------------------- +# Variant with site tensors contracted into the row/column transfer matrices + +# Preparations: contraction of site tensors into boundaries +rov_prep_T4_A: + [[-1,-2,1,-4], [-7,-3,-5,1,-6]] + +rov_prep_T4: + [[-1,-2,1,-4], [-7,-3,-5,1,-6]] + +rov_prep_T2_A: + [[-1,-2,-3,1], [-7,1,-5,-4,-6]] + +rov_prep_T2: + [[-1,-2,-3,1], [-7,1,-5,-4,-6]] + +roh_prep_T1_A: + [[-1,-2,1,-4], [-7,-5,1,-6,-3]] + +roh_prep_T1: + [[-1,-2,1,-4], [-7,-5,1,-6,-3]] + +roh_prep_T3_A: + [[-1,-2,-3,1], [-7,-5,-4,-6,1]] + +roh_prep_T3: + [[-1,-2,-3,1], [-7,-5,-4,-6,1]] + +# Contraction of the reduced density matrices +rov_full_upper: + [[1,3], [2,8], [2,1,4,5], [8,-4,7,6,5,-3,-6], [3,-1,7,6,4,-2,-5]] + +rov_full_lower: + [[3,1], [2,8], [-4,3,6,7,-3,4,-6], [1,2,5,4], [-1,8,6,7,-2,5,-5]] + +roh_full_left: + [[3,1], [8,2], [-1,3,7,6,-2,4,-5], [-4,8,7,6,-3,5,-6], [1,2,4,5]] + +roh_full_right: + [[8,2], [1,3], [8,-1,6,7,5,-2,-5], [2,1,5,4], [3,-4,6,7,4,-3,-6]] + + +# single site dm +dm_single_site: + [[1,8],[1,2,-2,-6],[2,3],[3,7,-3,-7],[4,7],[5,4,-4,-8],[6,5],[8,6,-1,-5]] diff --git a/adpeps/ipeps/ctm.py b/adpeps/ipeps/ctm.py new file mode 100644 index 0000000..3ec7f38 --- /dev/null +++ b/adpeps/ipeps/ctm.py @@ -0,0 +1,510 @@ +""" + Main CTM code + + The individual site and boundary tensors come in a + special list-type object (TList), which has extra + indexing features, such as periodic boundary + conditions and shift contexts + + All ncon contractions are defined in contractions.yaml +""" + +import jax +import jax.numpy as np +import time +from typing import Tuple + +import adpeps.ipeps.config as sim_config +from adpeps.utils.ctmtensors import CTMTensors +from adpeps.utils.nested import Nested +from adpeps.utils.tlist import TList, cur_loc, set_pattern +from adpeps.tensor.contractions import ncon +from adpeps.tensor.ops import svd, diag_inv + +def run_ctm(tensors, chi, conv_fun=None): + ctm = CTM(tensors, chi, conv_fun) + return ctm() + +class CTM: + """ CTM class """ + + def __init__(self, tensors: CTMTensors, chi: int, conv_fun=None): + """ + Args: + tensors: input ctmtensors + chi: boundary bond dimension + """ + + self.tensors = tensors + self.chi = chi + self.conv_fun = conv_fun + self.tol = sim_config.ctm_conv_tol # Convergence tolerance (singular values) + self.min_iter = sim_config.ctm_min_iter + self.max_iter = sim_config.ctm_max_iter + + self.singular_values = None + self.last_convergence = None + self.diffs = [None] + self.n_steps = 0 + self.last_ctm_time = None + self.convergence = np.nan + self.condition_number = None + + def __call__(self): + while not self.converged: + self.show_progress() + self.update() + + return self.tensors, self.convergence + + def update(self): + """ Perform an update of all boundary tensors """ + start = time.time() + self.tensors, s = renormalize(self.tensors, self.chi) + end = time.time() + + try: + s = jax.lax.stop_gradient(s) + s_nz = s[s != 0] / np.max(s) + cond_s = np.min(s_nz) + except: + cond_s = np.nan + + self.n_steps += 1 + self.singular_values = s + self.last_ctm_time = round(end-start, 2) + self.condition_number = cond_s + + def show_progress(self): + """ Print out the current progress """ + if self.n_steps > 0 and sim_config.disp_level > 0: + if self.conv_fun is not None: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time} obj: {self.convergence:.6f}") + else: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time}") + + @property + def converged(self): + """ Check convergence with supplied convergence function """ + if self.conv_fun is not None: + s = jax.lax.stop_gradient(self.conv_fun(self.tensors)) + else: + s = self.singular_values + + self.last_convergence = self.convergence + self.convergence = s + try: + diff = np.linalg.norm(self.convergence-self.last_convergence) + self.diffs.append(diff) + except: + diff = np.nan + + if self.n_steps >= self.min_iter and self.diffs[-1] < self.tol: + return True + elif self.n_steps >= self.max_iter: + return True + else: + return False + +def renormalize(tensors: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Performs a CTM iteration + Updates all sites in the unit cell + + Args: + tensors: input ctmtensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **S** (*np.ndarray*): singular values of C1 (for convergence) + """ + + with set_pattern(tensors.A.pattern): + tensors, sl = do_left(tensors, chi) + tensors = do_right(tensors, chi) + tensors = do_top(tensors, chi) + tensors = do_bottom(tensors, chi) + + # Singular values of C1[0,0] - to check for convergence + S = sl[0] + return (tensors, S) + + +""" + --------------------- + Individual left, right, top and bottom moves + --------------------- + + Each move consists of a loop through the sites of the unit cell + in which first the projectors are computed and then the boundary + tensors are updated. + + The loops are optimized for readability with a few tricks that are + implemented in the TList or CTMTensors classes: + + - cur_loc(x,y): with this context enabled, all TList objects have a + shift applied in their coordinates. + For example: + + A[0,0] = 1 + A[1,0] = 2 + with cur_loc(1,0): + print(A[0,0]) # => 2 (retrieves element A([0,0]+[1,0]) = A[1,0]) + + Using this context, the operations in the inner loops can be written + without reference to the (i,j) loop indices, as if it's just written + for one site in the unit cell. + + - CTMTensors.hold(tensor1, ...): with this context enabled, any values + stored in tensor1 (and other designated tensors) are only put in a + temporary location, so that reading the tensor still yields the + original values. After the context exits, the values will be + overwritten by the temporary values. + Example: + + # ts is a CTMTensors object containing site/boundary tensors + ts.C1[0,0] = [1] + ts.C2[0,0] = [2] + with ts.hold('C1'): + ts.C1[0,0] = [10] + ts.C2[0,0] = [20] + print(ts.C1[0,0]) # => [1] since the value [10] is not yet stored + print(ts.C2[0,0]) # => [20] + + print(ts.C1[0,0]) # => [10] since the context has exited + + With this context, there is no need to store the updated boundary + tensors in the inner loops in temporary objects (since in CTM each + update step should be performed separately). + + - TList.is_changed(x,y): tracks whether any of the tensors in the TList + have been updated since the last call to TList.reset_changed(). + This is useful for unit cells with pattern restrictions, so that + boundary tensors that correspond to equivalent sites are only + computed once. + +""" + +def do_left(ts: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Perform left CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **sl** (*np.ndarray*): singular values of C1 (for convergence) + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C4.reset_changed() + ts.T4.reset_changed() + Pl = TList(shape=unit_cell) # Upper projectors + Plb = TList(shape=unit_cell) # Lower projectors + sl = TList(shape=unit_cell) # Singular values (for convergence check) + # Loop over x direction of the unit cell + for i in range(A.size[0]): + # Loop over y direction + for j in range(A.size[1]): + # Change the relative shift of the lists + with cur_loc(i,j): + if not Pl.is_changed(0,1): + Pl[0,1], Plb[0,1], sl[0,1] = get_projectors_left(ts, chi) + # Only update the lists after the loop over j is completed + with ts.hold('all_C1','all_C4','all_T4'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C1.is_changed(0,0): + ts.update(('C1', 'C4', 'T4'), ([0,0],[0,0],[0,0]), renorm_left(ts, Pl, Plb)) + return ts, sl + +def do_right(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform right CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C2.reset_changed() + ts.C3.reset_changed() + ts.T2.reset_changed() + Pr = TList(shape=unit_cell) + Prb = TList(shape=unit_cell) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not Pr.is_changed(0,1): + Pr[0,1], Prb[0,1], _ = get_projectors_right(ts, chi) + with ts.hold('all_C2','all_C3','all_T2'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C2.is_changed(1,0): + ts.update(('C2', 'C3', 'T2'), ([1,0],[1,0],[1,0]), renorm_right(ts, Pr, Prb)) + return ts + +def do_top(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform top CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C2.reset_changed() + ts.T1.reset_changed() + Pt = TList(shape=unit_cell) + Ptb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pt.is_changed(0,0): + Pt[0,0], Ptb[0,0], _ = get_projectors_top(ts, chi) + with ts.hold('all_C1','all_C2','all_T1'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C1.is_changed(-1,0): + ts.update(('C1', 'C2', 'T1'), ([-1,0],[2,0],[0,0]), renorm_top(ts, Pt, Ptb)) + return ts + +def do_bottom(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform bottom CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C3.reset_changed() + ts.C4.reset_changed() + ts.T3.reset_changed() + Pb = TList(shape=unit_cell) + Pbb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pb.is_changed(0,0): + Pb[0,0], Pbb[0,0], _ = get_projectors_bottom(ts, chi) + with ts.hold('all_C3','all_C4','all_T3'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C3.is_changed(2,1): + ts.update(('C3', 'C4', 'T3'), ([2,1],[-1,1],[0,1]), renorm_bottom(ts, Pb, Pbb)) + return ts + + +""" + --------------------- + Individual left, right, top and bottom projectors + --------------------- + + The projectors are computed by contracting a corner of the + system (C-tensor + 2 T-tensors + A and Adagger tensors) + in the top (/left) half with a corner in the bottom (/right) + half and performing an svd +""" + +def get_projectors_left(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the left projectors + """ + tensors = (ts.C1[-1,-1],ts.C4[-1,2],ts.T1[0,-1],ts.T3[0,2],ts.T4[-1,0],ts.T4[-1,1], + ts.A[0,0], ts.Ad[0,0], ts.A[0,1], ts.Ad[0,1]) + return _get_projectors_left_impl(*tensors, chi) + +def _get_projectors_left_impl(C1,C4,T1,T3,T4u,T4d,Au,Adu,Ad,Add, chi): + Cs1 = ncon([C1, T1], 'proj_left_Cs1') + Q1 = ncon([Cs1, T4u, Au, Adu], 'proj_left_Q1') + + Cs4 = ncon([C4, T3], 'proj_left_Cs4') + Q4 = ncon([Cs4, T4d, Ad, Add], 'proj_left_Q4') + + Q4 = Q4.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q4, chi) + +def get_projectors_right(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the right projectors + """ + tensors = (ts.C2[2,-1],ts.C3[2,2],ts.T1[1,-1],ts.T2[2,0],ts.T2[2,1],ts.T3[1,2], + ts.A[1,0], ts.Ad[1,0], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_right_impl(*tensors, chi) + +def _get_projectors_right_impl(C2,C3,T1,T2u,T2d,T3,Au,Adu,Ad,Add, chi): + Cs2 = ncon([C2, T1], 'proj_right_Cs2') + Q2 = ncon([Cs2, T2u, Au, Adu], 'proj_right_Q2') + + Cs3 = ncon([C3, T3], 'proj_right_Cs3') + Q3 = ncon([Cs3, T2d, Ad, Add], 'proj_right_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q2, Q3, chi) + +def get_projectors_top(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the top projectors + """ + tensors = (ts.C1[-1,-1],ts.C2[2,-1],ts.T1[0,-1],ts.T1[1,-1],ts.T2[2,0],ts.T4[-1,0], + ts.A[0,0], ts.Ad[0,0], ts.A[1,0], ts.Ad[1,0]) + return _get_projectors_top_impl(*tensors, chi) + +def _get_projectors_top_impl(C1,C2,T1l,T1r,T2,T4,Al,Adl,Ar,Adr, chi): + Cs1 = ncon([C1, T4], 'proj_top_Cs1') + Q1 = ncon([Cs1, T1l, Al, Adl], 'proj_top_Q1') + + Cs2 = ncon([C2, T2], 'proj_top_Cs2') + Q2 = ncon([Cs2, T1r, Ar, Adr], 'proj_top_Q2') + + Q2 = Q2.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q2, chi) + +def get_projectors_bottom(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the bottom projectors + """ + tensors = (ts.C3[2,2],ts.C4[-1,2],ts.T2[2,1],ts.T3[0,2],ts.T3[1,2],ts.T4[-1,1], + ts.A[0,1], ts.Ad[0,1], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_bottom_impl(*tensors, chi) + +def _get_projectors_bottom_impl(C3,C4,T2,T3l,T3r,T4,Al,Adl,Ar,Adr, chi): + Cs4 = ncon([C4, T4], 'proj_bottom_Cs4') + Q4 = ncon([Cs4, T3l, Al, Adl], 'proj_bottom_Q4') + + Cs3 = ncon([C3, T2], 'proj_bottom_Cs3') + Q3 = ncon([Cs3, T3r, Ar, Adr], 'proj_bottom_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q4, Q3, chi) + +def get_projectors(T1: int, T2, chi): + """ Contracts the corners together and computes the + projectors by performing an svd + """ + full_chi = T1.shape[3]*T1.shape[4]*T1.shape[5] + new_chi = min(full_chi, chi) + + Rho = ncon([T1, T2], ([-1,-2,-3,1,2,3], [1,2,3,-4,-5,-6])) + Rho_shape = Rho.shape + Rho = np.reshape(Rho, [Rho_shape[0]*Rho_shape[1]*Rho_shape[2], -1]) + u,s,v = svd(Rho, new_chi, 'n') + u = np.reshape(u, [Rho_shape[0], Rho_shape[1], Rho_shape[2], -1]) + v = np.reshape(v.T, [Rho_shape[3], Rho_shape[4], Rho_shape[5], -1]) + inv_s = diag_inv(np.sqrt(s)) + + P1 = ncon([T2, v, inv_s], 'proj_P1') + P2 = ncon([T1, u, inv_s], 'proj_P2') + + P1 = P1.transpose([3,0,1,2]) + P2 = P2.transpose([3,0,1,2]) + return P1, P2, s + + +""" + --------------------- + Individual left, right, top and bottom boundary tensor updates + --------------------- + + The boundary tensors are updated for one site at a time from the + tensors of the previous iteration with the site tensors and truncated + by using the projectors + + In these functions, the boundary tensors can be wrapped as Nested tensors, + containing both ground-state and excited-state tensors. + When using these Nested tensors, all different combinations are computed + automatically. + For example: + + Nested({C1,B_C1,Bd_C1,BB_C1}) * Nested({T1,B_T1,Bd_T1,BB_T1}) -> + Nested({ + (C1 * T1), + (B_C1 * T1 + C1 * B_T1), + (Bd_C1 * T1 + C1 * Bd_T1), + (BB_C1 * T1 + B_C1 * Bd_T1 + Bd_C1 * B_T1 + C1 * BB_T1) + }) + + The phase shifts are only applied to the B and Bd parts of the Nested tensors +""" + +def renorm_left(ts: CTMTensors, Pl: np.ndarray, Plb: np.ndarray + ) -> Tuple[Nested, Nested, Nested]: + """ + + """ + new_T4 = ncon([Plb[0,0], ts.all_T4[-1,0], ts.all_A[0,0], ts.all_Ad[0,0], Pl[0,1]], 'doleft_T4', + normalize=True).shift(-sim_config.px) + + Cs1 = ncon([ts.all_C1[-1,0], ts.all_T1[0,0]], 'doleft_Cs1') + new_C1 = ncon([Cs1, Pl[0,1]], 'doleft_C1', normalize=True).shift(-sim_config.px) + + Cs4 = ncon([ts.all_C4[-1,0], ts.all_T3[0,0]], 'doleft_Cs4') + new_C4 = ncon([Cs4, Plb[0,0]], 'doleft_C4', normalize=True).shift(-sim_config.px) + + return new_C1, new_C4, new_T4 + +def renorm_right(ts, Pr, Prb): + new_T2 = ncon([Prb[0,0], ts.all_T2[2,0], ts.all_A[1,0], ts.all_Ad[1,0], Pr[0,1]], 'doright_T2', + normalize=True).shift(sim_config.px) + + Cs2 = ncon([ts.all_C2[2,0], ts.all_T1[1,0]], 'doright_Cs2') + new_C2 = ncon([Cs2, Pr[0,1]], 'doright_C2', normalize=True).shift(sim_config.px) + + Cs3 = ncon([ts.all_C3[2,0], ts.all_T3[1,0]], 'doright_Cs3') + new_C3 = ncon([Cs3, Prb[0,0]], 'doright_C3', normalize=True).shift(sim_config.px) + + return new_C2, new_C3, new_T2 + +def renorm_top(ts, Pt, Ptb): + new_T1 = ncon([Ptb[-1,0], ts.all_T1[0,-1], ts.all_A[0,0], ts.all_Ad[0,0], Pt[0,0]], 'dotop_T1', + normalize=True).shift(-sim_config.py) + + Cs1 = ncon([ts.all_C1[-1,-1], ts.all_T4[-1,0]], 'dotop_Cs1') + new_C1 = ncon([Cs1, Pt[-1,0]], 'dotop_C1', normalize=True).shift(-sim_config.py) + + Cs2 = ncon([ts.all_C2[2,-1], ts.all_T2[2,0]], 'dotop_Cs2') + new_C2 = ncon([Cs2, Ptb[1,0]], 'dotop_C2', normalize=True).shift(-sim_config.py) + + return new_C1, new_C2, new_T1 + +def renorm_bottom(ts, Pb, Pbb): + new_T3 = ncon([Pbb[-1,0], ts.all_T3[0,2], ts.all_A[0,1], ts.all_Ad[0,1], Pb[0,0]], 'dobottom_T3', + normalize=True).shift(sim_config.py) + + Cs3 = ncon([ts.all_C3[2,2], ts.all_T2[2,1]], 'dobottom_Cs3') + new_C3 = ncon([Cs3, Pbb[1,0]], 'dobottom_C3', normalize=True).shift(sim_config.py) + + Cs4 = ncon([ts.all_C4[-1,2], ts.all_T4[-1,1]], 'dobottom_Cs4') + new_C4 = ncon([Cs4, Pb[-1,0]], 'dobottom_C4', normalize=True).shift(sim_config.py) + + return new_C3, new_C4, new_T3 diff --git a/adpeps/ipeps/evaluation.py b/adpeps/ipeps/evaluation.py new file mode 100644 index 0000000..7ea4231 --- /dev/null +++ b/adpeps/ipeps/evaluation.py @@ -0,0 +1,384 @@ +from jax import random +import jax.numpy as np +import numpy as onp +import scipy.linalg as linalg +import cmath + +from adpeps.tensor.contractions import ncon +from adpeps.utils.empty_tensor import EmptyT +from adpeps.utils.nested import Nested +from adpeps.utils.printing import print +from adpeps.utils.tlist import set_pattern, cur_loc, TList +import adpeps.ipeps.config as sim_config + +""" + Evaluation module for iPEPS simulations + + This module contains the contractions of the reduced density matrices + and the computation of the expectation values for iPEPS ground- and + excited states +""" + +def get_gs_energy(H, tensors): + """ Returns ground-state energy and norm of the iPEPS """ + E, nrm, *_ = get_obs(H, tensors, measure_obs=False) + return E[0], nrm + +def get_all_energy(H, tensors): + """ Returns only energy and norm of the iPEPS """ + E, nrm, _ = get_obs(H, tensors, measure_obs=False) + return E + +def get_obs(H, tensors, measure_obs=True, only_gs=False): + """ Returns the energy and norm of the state + + The energy will be returned as a `Nested` tensor + + More observables can be added here + """ + A = tensors.A + Ad = tensors.Ad + Ehs = TList(shape=A.size, pattern=A.pattern) # Horizontal terms + Evs = TList(shape=A.size, pattern=A.pattern) # Vertical terms + Ehs_exci = TList(shape=A.size, pattern=A.pattern) # Horizontal terms + Evs_exci = TList(shape=A.size, pattern=A.pattern) # Vertical terms + nrmhs = TList(shape=A.size, pattern=A.pattern) # Horizontal terms + nrmvs = TList(shape=A.size, pattern=A.pattern) # Vertical terms + obs_evs = [TList(shape=A.size, pattern=A.pattern) for _ in tensors.observables] + + for i in A.x_major(): + with cur_loc(i): + if not Evs.is_changed(0,0): + roh, rov = get_dms(tensors) + + nrmh = np.trace(np.reshape(roh[0], (4,4))).real + nrmv = np.trace(np.reshape(rov[0], (4,4))).real + nrmhs[0,1] = nrmh + nrmvs[0,0] = nrmv + roh = roh / nrmh + rov = rov / nrmv + + Ehs[0,1] = ncon([roh, H], ([1,2,3,4],[1,2,3,4])).real + Evs[0,0] = ncon([rov, H], ([1,2,3,4],[1,2,3,4])).real + + # if measure_obs: + # ro_one = get_one_site_dm(tensors.Cs,tensors.Ts,A,Ad) + # for obs_i,obs in enumerate(tensors.observables): + # if obs.size == 1: + # try: + # obs_ev = ncon([ro_one, obs.operator], ([1,2],[1,2])) + # print(f"Obs {(obs_i,i)} {obs.__repr__()}: {obs_ev.item()}", level=2) + # obs_evs[obs_i][0,0] = obs_ev.item() + # except: + # obs_evs[obs_i][0,0] = np.nan + # elif obs.size == 2: + # try: + # obs_ev_h = ncon([roh, obs.operator], ([1,2,3,4],[1,2,3,4])) + # obs_ev_v = ncon([rov, obs.operator], ([1,2,3,4],[1,2,3,4])) + # print(f"Obs {(obs_i,i)} {obs.__repr__()}: {obs_ev_h.item()}, {obs_ev_v.item()}", level=2) + # obs_evs[obs_i][0,0] = (obs_ev_h.item(), obs_ev_v.item()) + # except: + # obs_evs[obs_i][0,0] = (np.nan, np.nan) + + # try: + # print(Ehs.mean(), Evs.mean(), level=2) + # except: + # print(Ehs.mean(), Evs.mean(), level=2) + E = (Ehs.mean() + Evs.mean()) + nrm = 0.5 * (nrmhs.mean() + nrmvs.mean()) + return E, nrm, obs_evs + +def compute_exci_norm(tensors): + """ Returns the norm of the excited state based on a one-site + environment + + Averaged over sites in the unit cell + """ + A = tensors.A + nrms = TList(shape=A.size, pattern=A.pattern) + nrms_gs = TList(shape=A.size, pattern=A.pattern) + envBs = TList(shape=A.size, pattern=A.pattern) + + for i in A.x_major(): + with cur_loc(i): + if not nrms.is_changed(0,0): + nrm, nrm_gs, envB = _compute_one_site_exci_norm(tensors) + # Exci norm + nrms[0,0] = nrm + # Ground state norm + nrms_gs[0,0] = nrm_gs + # Environment (exci norm without center Bd) + envBs[0,0] = envB + return nrms.mean(), nrms_gs.mean(), envBs, nrms_gs + +def _compute_one_site_exci_norm(ts): + """ Returns the norm of the excited state for one site in the + unit cell + """ + def get_single_site_dm(C1,T1,C2,T2,C3,T3,C4,T4): + return ncon((C2,T1,C1,T4,C4,T3,C3,T2), 'dm_single_site') + + n_tensors = [ + ts.Cs[0][-1,-1], ts.Ts[0][0,-1], ts.Cs[1][1,-1], ts.Ts[1][1,0], + ts.Cs[2][1,1], ts.Ts[2][0,1], ts.Cs[3][-1,1], ts.Ts[3][-1,0] + ] + B_tensors = [ + ts.B_Cs[0][-1,-1], ts.B_Ts[0][0,-1], ts.B_Cs[1][1,-1], ts.B_Ts[1][1,0], + ts.B_Cs[2][1,1], ts.B_Ts[2][0,1], ts.B_Cs[3][-1,1], ts.B_Ts[3][-1,0] + ] + Bd_tensors = [ + ts.Bd_Cs[0][-1,-1], ts.Bd_Ts[0][0,-1], ts.Bd_Cs[1][1,-1], ts.Bd_Ts[1][1,0], + ts.Bd_Cs[2][1,1], ts.Bd_Ts[2][0,1], ts.Bd_Cs[3][-1,1], ts.Bd_Ts[3][-1,0] + ] + + # Compute the ground state one-site reduced density matrix + n_dm = get_single_site_dm(*n_tensors) + nrm0 = ncon((ts.A[0,0], ts.Ad[0,0], n_dm), ([1,2,3,4,5],[1,6,7,8,9],[2,3,4,5,6,7,8,9])) + + B_dm = EmptyT() + for i in range(8): + # Start with all regular (ground state) boundary tensors + cur_tensors = n_tensors.copy() + cur_tensors[i] = B_tensors[i] + # Compute the one-site reduced density matrix and add it to the + # total + new_dm = get_single_site_dm(*cur_tensors) + B_dm = B_dm + new_dm + + # The full norm can be split into two parts: + # - One B and Bd on the same center site, with regular boundary tensors + # - One Bd in the center and a B in the boundaries (many terms) + nrm_exci = (ncon((ts.B[0,0], ts.Bd[0,0], n_dm), ([1,2,3,4,5],[1,6,7,8,9],[2,3,4,5,6,7,8,9])) + + ncon((ts.A[0,0], ts.Bd[0,0], B_dm), ([1,2,3,4,5],[1,6,7,8,9],[2,3,4,5,6,7,8,9]))) / nrm0 + + # The row of the norm overlap matrix (i.e. the gradient of the norm) is the + # reduced density matrix contracted with only the ket-layer of the center site + nrmB_open = (ncon((ts.B[0,0], n_dm), ([-1,2,3,4,5],[2,3,4,5,-2,-3,-4,-5])) + + ncon((ts.A[0,0], B_dm), ([-1,2,3,4,5],[2,3,4,5,-2,-3,-4,-5]))) / nrm0 + + try: + print('B norm', nrm_exci.item(), ' | Gs norm', nrm0.item(), level=1) + except: + pass + return nrm_exci.real, nrm0, nrmB_open + + +def get_orth_basis(tensors): + """ Returns a basis of vectors orthogonal to the ground state + + Each of these vectors can be used as an input for the iPEPS + excitation object + """ + def get_single_site_dm(C1,T1,C2,T2,C3,T3,C4,T4): + return ncon((C2,T1,C1,T4,C4,T3,C3,T2), 'dm_single_site') + + basis = None + A = tensors.A + Ad = tensors.Ad + nrms = TList(shape=A.size, pattern=A.pattern) + for i in A.x_major(): + with cur_loc(i): + if not nrms.is_changed(0,0): + n_tensors = [ + tensors.Cs[0][-1,-1], tensors.Ts[0][0,-1], tensors.Cs[1][1,-1], tensors.Ts[1][1,0], + tensors.Cs[2][1,1], tensors.Ts[2][0,1], tensors.Cs[3][-1,1], tensors.Ts[3][-1,0] + ] + # Compute the ground state one-site reduced density matrix + n_dm = get_single_site_dm(*n_tensors) + nrm0 = ncon((tensors.A[0,0], tensors.Ad[0,0], n_dm), ([1,2,3,4,5],[1,6,7,8,9],[2,3,4,5,6,7,8,9])) + nrms[0,0] = nrm0 + env_0 = ncon((tensors.Ad[0,0], n_dm), ([-1,6,7,8,9],[-2,-3,-4,-5,6,7,8,9])) + env_0 = np.reshape(env_0, (1,-1)) + local_basis = linalg.null_space(onp.array(env_0)) + if basis is None: + basis = local_basis + else: + basis = linalg.block_diag(basis, local_basis) + # basis = _filter_null_modes(tensors, basis) + return basis + +def filter_null_modes(tensors, basis): + def _apply_ops_h(A, B, ops): + for i in A.x_major(): + with cur_loc(i): + op_r = ops[0,0] + op_l = ops[-1,0] + phi = cmath.exp(1j * sim_config.px) + B[0,0] = phi * ncon((A[0,0], op_r), ([-1,1,-3,-4,-5],[1,-2])) + B[0,0] = B[0,0] - ncon((A[0,0], op_l), ([-1,-2,-3,1,-5],[-4,1])) + return B + + def _apply_ops_v(A, B, ops): + for i in A.x_major(): + with cur_loc(i): + op_d = ops[0,0] + op_u = ops[0,-1] + phi = cmath.exp(-1j * sim_config.py) + B[0,0] = phi * ncon((A[0,0], op_u), ([-1,-2,1,-4,-5],[-3,1])) + B[0,0] = B[0,0] - ncon((A[0,0], op_d), ([-1,-2,-3,-4,1],[1,-5])) + return B + + ops_h = TList(pattern=tensors.A.pattern) + ops_v = TList(pattern=tensors.A.pattern) + D = sim_config.D + for i in tensors.A.x_major(): + with cur_loc(i): + ops_h[0,0] = np.zeros((D, D)) + ops_v[0,0] = np.zeros((D, D)) + + key = random.PRNGKey(0) + nulls = None + for i in range(sim_config.D**2 * len(tensors.A)): + key, subkey = random.split(key) + v = random.normal(key, (ops_h.tot_numel(),)) + ops_h = ops_h.fill(v) + new_vec = _apply_ops_h(tensors.A, tensors.B, ops_h).pack_data() + new_vec = np.expand_dims(new_vec, 1) + if i == 0: + nulls = new_vec + else: + nulls = np.hstack((nulls, new_vec)) + nulls = linalg.orth(nulls) + v = random.normal(key, (ops_v.tot_numel(),)) + ops_v = ops_v.fill(v) + new_vec = _apply_ops_v(tensors.A, tensors.B, ops_v).pack_data() + new_vec = np.expand_dims(new_vec, 1) + if i == 0: + nulls = new_vec + else: + nulls = np.hstack((nulls, new_vec)) + + nulls = basis.T.conjugate() @ nulls + basis = basis @ linalg.null_space(nulls.conjugate().T) + return basis + +def get_dms(ts, only_gs=False): + """ Returns the two-site reduced density matrices + + This function relies on the Nested class, which contains + tuples of different variants of site/boundary tensors. + These variants contain either no B/Bd tensors, only a B + tensor, only a Bd tensor or both a B and a Bd tensor. + + When the Nested tensors are contracted, all possible combinations + that result again in one of these variants are computed and + summed when there are multiple results in the same variant class. + + As a result, the different terms are summed on the fly during the + contraction, which greatly reduces the computational cost. + + For example, the reduced density matrices contain 12*12=144 terms + each (all possible locations of B and Bd tensors in the various + boundaries), so that would make the energy evaluation 144 times + as expensive as the ground state energy evaluation. + Using this resummation, the total cost reduces to the maximal number + of combinations in each contraction of pairs of tensors, 9, leading + to a total computational cost of less than 9 times the ground state + energy evaluation cost (the site tensors contain only two variants, + so not every contraction contains 9 combinations). + + See the notes in nested.py for more details + + roh,rov are Nested tensors, with the following content: + ro*[0]: ground state (no B/Bd tensors) + ro*[1]: all terms with a single B tensor + ro*[2]: all terms with a single Bd tensor + ro*[3]: all terms with both a single B and Bd tensor + + The horizontal and vertical dms are located with respect + to site (0,0) as follows: + + A_up (0,0) + | + A_mid (0,1) -- A_right (1,1) + """ + + if only_gs: + A = ts.A + Ad = ts.Ad + C1 = ts.Cs(0) + C2 = ts.Cs(1) + C3 = ts.Cs(2) + C4 = ts.Cs(3) + T1 = ts.Ts(0) + T2 = ts.Ts(1) + T3 = ts.Ts(2) + T4 = ts.Ts(3) + else: + # The 'all_*' functions return Nested tensors, so for example + # ts.all_Cs(0) contains (C1, B_C1, Bd_C1, BB_C1) + A = ts.all_A + Ad = ts.all_Ad + C1 = ts.all_Cs(0) + C2 = ts.all_Cs(1) + C3 = ts.all_Cs(2) + C4 = ts.all_Cs(3) + T1 = ts.all_Ts(0) + T2 = ts.all_Ts(1) + T3 = ts.all_Ts(2) + T4 = ts.all_Ts(3) + + # Tensors that are part of the vertical reduced density matrix + v_tensors = [ + C1[-1,-1], C2[1,-1], C3[1,2], C4[-1,2], + T1[0,-1], T2[1,0], T2[1,1], T3[0,2], T4[-1,0], T4[-1,1], + A[0,0], A[0,1], Ad[0,0], Ad[0,1] + ] + + # Tensors that are part of the horizontal reduced density matrix + h_tensors = [ + C1[-1,0], C2[2,0], C3[2,2], C4[-1,2], + T1[0,0], T1[1,0], T2[2,1], T3[0,2], T3[1,2], T4[-1,1], + A[0,1], A[1,1], Ad[0,1], Ad[1,1] + ] + + # Regular variant + roh = _get_dm_h(*h_tensors) + rov = _get_dm_v(*v_tensors) + + return roh, rov + +def _get_dm_v(C1, C2, C3, C4, T1, T2u, T2d, T3, T4u, T4d, Au, Ad, Adu, Add): + """ Regular variant + + A_up (0,0) + | + A_mid (0,1) + """ + py = sim_config.py + + # Upper half + Cc1 = ncon([C1,T1,T4u,Au], 'dm_up_Cc1') + Cc2 = ncon([C2,T2u,Adu], 'dm_up_Cc2') + Cc2 = ncon([Cc1, Cc2], 'dm_up') + + # Lower half + Cc1 = ncon([C4.shift(py),T3.shift(py),T4d.shift(py),Ad.shift(py)], 'dm_low_Cc1') + Cc3 = ncon([C3.shift(py),T2d.shift(py),Add.shift(py)], 'dm_low_Cc3') + Cc3 = ncon([Cc1, Cc3], 'dm_low') + + # Contract + rov = ncon([Cc3,Cc2], 'dm_rov') + return rov + +def _get_dm_h(C1, C2, C3, C4, T1l, T1r, T2, T3l, T3r, T4, Al, Ar, Adl, Adr): + """ Regular variant + + A_mid (0,1) -- A_right (1,1) + """ + px = sim_config.px + + # Left half + Cc1 = ncon([C4, T3l, T4, Al], 'dm_low_Cc1') + Cc2 = ncon([C1, T1l, Adl], 'dm_left_Cc2') + Cc2 = ncon([Cc1, Cc2], 'dm_left') + + # Right half + Cc1 = ncon([C2.shift(px), T1r.shift(px), Ar.shift(px)], 'dm_right_Cc1') + Cc3 = ncon([C3.shift(px), T2.shift(px), T3r.shift(px), Adr.shift(px)], 'dm_right_Cc3') + Cc3 = ncon([Cc1, Cc3], 'dm_right') + + # Contract + roh = ncon([Cc2, Cc3], 'dm_roh') + return roh + diff --git a/adpeps/ipeps/ipeps.py b/adpeps/ipeps/ipeps.py new file mode 100644 index 0000000..419fe89 --- /dev/null +++ b/adpeps/ipeps/ipeps.py @@ -0,0 +1,286 @@ +""" + iPEPS module for optimization with CTM + + For an example of how to run a simulation see :mod:`adpeps.simulation.run_ipeps_gs` + + The module is initialized from one of the specific + model files, which return the initial boundary and + site tensors + + The list of parameters is set to the elements of the + individual site tensors + + Conventions for indices: + + - Site tensors:: + + A: [phys, right, top, left, bottom] + + - Boundary tensors:: + + C1: [right, bottom] + C2: [left, bottom] + C3: [top, left] + C4: [right, top] + T1: [right, left, ket, bra] + T2: [top, bottom, ket, bra] + T3: [right, left, ket, bra] + T4: [top, bottom, ket, bra] + + + Order of boundary tensors:: + + C1 - T1 - C2 + | | | + T4 - A - T2 + | | | + C4 - T3 - C3 +""" + +from functools import partial +import copy + +from jax import random +import jax +import jax.numpy as np + +from .ctm import run_ctm +from adpeps.ipeps import evaluation +from adpeps.ipeps import models +from adpeps.tensor.contractions import ncon +from adpeps.utils.ctmtensors import CTMTensors +from adpeps.utils.printing import print +from adpeps.utils.tlist import set_pattern, cur_loc, TList +import adpeps.ipeps.config as sim_config + +class iPEPS: + """ Initialize the iPEPS based on the settings in + :mod:`adpeps.ipeps.config` + """ + reinit_env = False + + def __init__(self): + # Load model Hamiltonian, observables + model = getattr(models, sim_config.model) + self.H, self.observables = model.setup() + + # Initialize tensors + self.d = self.H.shape[0] + A = init_A_tensor(self.d, sim_config.D, sim_config.pattern) + A = A.normalize() + Ad = A.conj() + Cs, Ts = init_ctm_tensors(A, A) + self.tensors = CTMTensors(A, Ad, Cs, Ts) + self.base_Cs, self.base_Ts = None, None + + # Initialize conv object + self.convergence = {} + + self.reinit_env = True + + def run(self, params: np.ndarray) -> np.ndarray: + """ + Run the simulation + + Args: + params: variational parameters + + Returns: + energy of iPEPS + """ + + if params is not None: + self.fill(params) + + if self.reinit_env: + # Construct new boundary tensors and perform ctm iterations + # until convergence + # Note: gradient tracking is disabled for this function, so + # only the ctm steps in the code after this line will be tracked + print('Performing CTM pre-steps without tracking') + self.converge_boundaries() + + # Perform the ctm routine to obtain updated boundary tensors + print('Performing CTM') + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=self.compute_energy) + + # Evaluate energy + res = self.evaluate() + + # Stop downstream gradient tracking for iPEPS tensors, + # so they become regular arrays that can be saved + self.tensors.stop_gradient(only_boundaries=False) + + return res + + def compute_energy(self, tensors): + E, _ = evaluation.get_gs_energy(self.H, tensors) + return E + + def converge_boundaries(self): + """ Performs CTM on the boundary tensors until convergence, + without gradient tracking + """ + # Make a non-tracking version of the iPEPS tensors + orig_A = copy.deepcopy(self.tensors.A) + self.tensors.A = self.tensors.A.stop_gradient() + self.tensors.Ad = self.tensors.Ad.stop_gradient() + + # Initialize new boundary tensors + Cs, Ts = init_ctm_tensors(self.tensors.A, self.tensors.Ad) + self.tensors = CTMTensors(self.tensors.A, self.tensors.Ad, Cs, Ts) + + # Perform CTM update steps on the boundary tensors + conv_fun = self.compute_energy + self.tensors.stop_gradient() + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=conv_fun) + self.tensors.stop_gradient() + + self.save_boundary_tensors() + + # Restore the original (tracking) site tensors + self.tensors.A = orig_A + self.tensors.Ad = orig_A.conj() + + def save_boundary_tensors(self): + self.base_Cs = copy.deepcopy(self.tensors.Cs) + self.base_Ts = copy.deepcopy(self.tensors.Ts) + + def evaluate(self): + E = self.compute_energy(self.tensors) + print('Energy:', jax.lax.stop_gradient(E).item()) + return E + + + """ Input/output methods """ + + def numel(self): + """ Number of variational parameters """ + return self.tensors.A.tot_numel() + + def parse_elements(self, elements): + """ Returns site tensors filled with the input elements """ + assert elements.size == self.numel(), f"Size of input vector ({elements.size}) does not \ + match the number of parameters of the iPEPS ({self.numel()})" + return self.tensors.A.fill(elements, self.d, sim_config.D) + + def fill(self, A): + """ Fill the site tensors with the elements + The elements can be specified either as a list of (d,D,D,D,D)-dimensional + arrays or one 1-dimensional array of all elements concatenated + """ + if isinstance(A, np.ndarray) and A.ndim == 1: + # Input is vector of elements + A = self.parse_elements(A) + self.tensors.A = A + self.tensors.Ad = A.conj() + else: + # Input is a list of arrays + assert len(A) == len(self.tensors.A), "Number of input tensors does not match \ + the number of site tensors of the iPEPS" + for i in range(len(self.tensors.A)): + self.tensors.A._data[i] = A[i] + self.tensors.Ad._data[i] = A[i].conj() + + +class iPEPS_exci(iPEPS): + """ Excited-state variant of the iPEPS class + """ + + reinit_env = False + + def __init__(self): + super().__init__() + self.substract_gs_energy() + + def normalize_gs(self): + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrms0[0]}", level=1) + self.tensors.A._data = [a/np.sqrt(np.abs(nrms0[i])) for i, a in enumerate(self.tensors.A)] + self.tensors.Ad = self.tensors.A.conj() + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrm0}", level=1) + + def substract_gs_energy(self): + E, _ = evaluation.get_gs_energy(self.H, self.tensors) + E = E/2 + print(f"Substracting {E} from Hamiltonian", level=1) + self.H = self.H - E * np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + # self.H = np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + + def evaluate(self): + E = evaluation.get_all_energy(self.H, self.tensors) + nrm, _, envBs, _ = evaluation.compute_exci_norm(self.tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=0) + print('Norm:', jax.lax.stop_gradient(nrm), level=0) + return E[3], envBs + + def run_gc(self, *args): + res, _ = self.run(*args) + return res + + def compute_energy(self, tensors): + E = evaluation.get_all_energy(self.H, tensors) + nrm, *_ = evaluation.compute_exci_norm(tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=2) + print('Norm:', jax.lax.stop_gradient(nrm), level=2) + print('Normalized E:', jax.lax.stop_gradient(E[3])/jax.lax.stop_gradient(nrm), level=2) + return E[3] / nrm + + def compute_orth_basis(self): + return evaluation.get_orth_basis(self.tensors) + + + """ Input/output methods """ + + def fill(self, B): + if isinstance(B, np.ndarray) and B.ndim == 1: + # Input is vector of elements + B = self.parse_elements(B) + self.tensors.B = B + self.tensors.Bd = B.conj() + else: + for i in range(len(self.tensors.A._data)): + self.tensors.B._data[i] = B[i] + self.tensors.Bd._data[i] = B[i].conj() + + +def init_A_tensor(d, D, pattern): + """ + The elements will be randomized based on sim_config.seed + """ + with set_pattern(pattern): + A = TList() + + key = random.PRNGKey(sim_config.seed) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not A.is_changed(0,0): + key, subkey = random.split(key) + A[0,0] = random.normal(key, (d,D,D,D,D)) + return A + +def init_ctm_tensors(A, Ad): + """ + Returns initital boundary T-tensors based on the unit cell tensors and + empty trivial boundary C-tensors + """ + unit_cell = A.size + D = A[0].shape[1] + + Cs = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + Ts = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + Cs[0][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[1][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[2][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[3][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Ts[0][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,4,-1], [1,2,3,4,-2])), axis=(0,1)) + Ts[1][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,-1,4], [1,2,3,-2,4])), axis=(0,1)) + Ts[2][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,-1,3,4], [1,2,-2,3,4])), axis=(0,1)) + Ts[3][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,-1,2,3,4], [1,-2,2,3,4])), axis=(0,1)) + return Cs, Ts diff --git a/adpeps/ipeps/make_momentum_path.py b/adpeps/ipeps/make_momentum_path.py new file mode 100644 index 0000000..264eaa4 --- /dev/null +++ b/adpeps/ipeps/make_momentum_path.py @@ -0,0 +1,60 @@ +from math import pi +import numpy as np + +def make_momentum_path(name, with_plot_info=False): + n_per_piece = 5; + plot_info = {} + + if name == 'Bril1': + kxs = np.concatenate([lin_ex(pi, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, pi, 2*n_per_piece), np.linspace(pi, pi/2, n_per_piece)]) + kys = np.concatenate([lin_ex(0, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, 0, 2*n_per_piece), np.linspace(0, pi/2, n_per_piece)]) + if with_plot_info: + plot_info['xticks'] = { + 'ticks': [0,9,13,17,26,30], + 'labels': ['$M(\pi,0)$', '$X(\pi,\pi)$', '$S(\pi/2,\pi/2)$', '$\Gamma(0,0)$', '$M(\pi,0)$', '$S(\pi/2,\pi/2)$'] + } + return kxs, kys, plot_info + return kxs, kys + if name == 'Bril1-negy': + kxs = np.concatenate([lin_ex(pi, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, pi, 2*n_per_piece), np.linspace(pi, pi/2, n_per_piece)]) + kys = -np.concatenate([lin_ex(0, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, 0, 2*n_per_piece), np.linspace(0, pi/2, n_per_piece)]) + if with_plot_info: + plot_info['xticks'] = { + 'ticks': [0,9,13,17,26,30], + 'labels': ['$M(\pi,0)$', '$X(\pi,-\pi)$', '$S(\pi/2,-\pi/2)$', '$\Gamma(0,0)$', '$M(\pi,0)$', '$S(\pi/2,-\pi/2)$'] + } + return kxs, kys, plot_info + return kxs, kys + elif name == '0-2pi': + kxs = np.linspace(0, 2*pi, 33) + kys = np.linspace(0, 2*pi, 33) + return kxs, kys + elif name == '0-2pi-negy': + kxs = np.linspace(0, 2*pi, 33) + kys = -np.linspace(0, 2*pi, 33) + return kxs, kys + elif name == '0-2pi-x': + kxs = np.linspace(0, 2*pi, 33) + kys = np.linspace(0, 0, 33) + if with_plot_info: + plot_info['xticks'] = { + 'ticks': [0,16,32], + 'labels': ['$\Gamma(0,0)$', '$M(\pi,0)$', '$(2\pi,0)$'] + } + return kxs, kys, plot_info + return kxs, kys + if name == 'Bril1xy': + kys = np.concatenate([lin_ex(pi, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, pi, 2*n_per_piece), np.linspace(pi, pi/2, n_per_piece)]) + kxs = np.concatenate([lin_ex(0, pi, 2*n_per_piece), lin_ex(pi, pi/2, n_per_piece), lin_ex(pi/2, 0, n_per_piece), lin_ex(0, 0, 2*n_per_piece), np.linspace(0, pi/2, n_per_piece)]) + if with_plot_info: + plot_info['xticks'] = { + 'ticks': [0,9,13,17,26,30], + 'labels': ['$M2(0,\pi)$', '$X(\pi,\pi)$', '$S(\pi/2,\pi/2)$', '$\Gamma(0,0)$', '$M(0,\pi)$', '$S(\pi/2,\pi/2)$'] + } + return kxs, kys, plot_info + return kxs, kys + else: + raise ValueError("Momentum path name not known") + +def lin_ex(s, e, n): + return np.linspace(s,e,n)[:-1] diff --git a/adpeps/ipeps/models/__init__.py b/adpeps/ipeps/models/__init__.py new file mode 100644 index 0000000..2905bbd --- /dev/null +++ b/adpeps/ipeps/models/__init__.py @@ -0,0 +1,7 @@ +""" Contains all models defined by Hamiltonians as part of this module +""" + +# Spin models +from . import heisenberg + +from . import common diff --git a/adpeps/ipeps/models/common.py b/adpeps/ipeps/models/common.py new file mode 100644 index 0000000..5e96d27 --- /dev/null +++ b/adpeps/ipeps/models/common.py @@ -0,0 +1,28 @@ +import jax.numpy as np + +sigmap = np.array([[0,1],[0,0]]) +sigmam = np.array([[0,0],[1,0]]) +sigmaz = np.array([[1,0],[0,-1]]) + +id2 = np.array([[1,0],[0,1]]) +id4 = np.eye(4) +nsite = np.array([[0,0],[0,1]]) + +nup = np.array([ + [0,0,0,0], + [0,1,0,0], + [0,0,0,0], + [0,0,0,1] + ]) +ndown = np.array([ + [0,0,0,0], + [0,0,0,0], + [0,0,1,0], + [0,0,0,1] + ]) +nupdown = np.array([ + [0,0,0,0], + [0,0,0,0], + [0,0,0,0], + [0,0,0,1] + ]) diff --git a/adpeps/ipeps/models/heisenberg.py b/adpeps/ipeps/models/heisenberg.py new file mode 100644 index 0000000..c99a493 --- /dev/null +++ b/adpeps/ipeps/models/heisenberg.py @@ -0,0 +1,27 @@ +""" 2D Heisenberg model """ + +import jax.numpy as np + +from .common import sigmaz, sigmap, sigmam +from adpeps.utils.tlist import set_pattern +import adpeps.ipeps.config as sim_config + +name = "Heisenberg spin-1/2 model" + +def setup(): + """ Returns the Hamiltonian """ + H = make_hamiltonian(**sim_config.model_params) + + obs = None + return H, obs + +def make_hamiltonian(J=1): + """ Heisenberg model """ + H = tprod(sigmaz, sigmaz) / 4 + \ + tprod(sigmap, sigmam) / 2 + \ + tprod(sigmam, sigmap) / 2 + H = J * H + return H + +def tprod(a,b): + return np.outer(a,b).reshape([2,2,2,2], order='F').transpose([0,2,1,3]) diff --git a/adpeps/simulation/__init__.py b/adpeps/simulation/__init__.py new file mode 100644 index 0000000..d6b8317 --- /dev/null +++ b/adpeps/simulation/__init__.py @@ -0,0 +1,7 @@ +""" Module with executable scripts for running the simulations + + Note: + The simulations are intended to be used by calling the package + directly via :code:`python -m adpeps ...`, as described in + :ref:`notes/start` +""" diff --git a/adpeps/simulation/run_ipeps_exci.py b/adpeps/simulation/run_ipeps_exci.py new file mode 100644 index 0000000..ee858b6 --- /dev/null +++ b/adpeps/simulation/run_ipeps_exci.py @@ -0,0 +1,241 @@ +""" Main excited-state executable script + + Note: + The simulations are intended to be used by calling the package + directly via :code:`python -m adpeps ...`, as described in + :ref:`notes/start` +""" + +from jax import grad, jit, vmap, value_and_grad +from jax import random +from jax.scipy.optimize import minimize +from jax.test_util import check_grads +from scipy import optimize +from scipy.linalg import eigh, eig +from yaml import safe_load, dump +import jax +import jax.numpy as np +import numpy as onp + +from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci +from adpeps.ipeps.make_momentum_path import make_momentum_path +from adpeps.utils import io +from adpeps.utils.printing import print +from adpeps.ipeps.evaluation import filter_null_modes +import adpeps.ipeps.config as sim_config + +def run(config_file: str, momentum_ix: int): + """ Start the simulation + + Args: + config_file: filename of the configuration file + momentum_ix: index of the point in momentum space + """ + + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + if not base_file.exists(): + print(f"Base file {base_file} not found. Prepare the simulation first by \ + running with option '-i'") + return + + sim = iPEPSExciSimulation(config_file, momentum_ix) + output_folder = io.get_exci_folder() + output_folder.mkdir(parents=True, exist_ok=True) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + output_file = io.get_exci_file(momentum_ix) + print(f"Output: {output_file}", level=2) + basis_size = sim.basis_size + res_dtype = np.complex128 + H = onp.zeros((basis_size,basis_size), dtype=res_dtype) + N = onp.zeros((basis_size,basis_size), dtype=res_dtype) + + for m in range(basis_size): + grad_H, grad_N = sim(m) + H[:,m] = grad_H + N[:,m] = grad_N + onp.savez(output_file, H=H, N=N) + + print(H) + print(N) + onp.savez(output_file, H=H, N=N) + print('Done') + print(f"Saved to {output_file}") + +def prepare(config_file): + with open(config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + print(base_file) + peps = iPEPS() + + gs_file = io.get_gs_file() + loaded_sim = np.load(gs_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + + sim_config.ctm_max_iter = 30 + sim_config.ctm_conv_tol = 1e-12 + + # Converge GS boundary tensors + peps.converge_boundaries() + + # Convert to excitations iPEPS + peps.__class__ = iPEPS_exci + + # Normalize the ground-state tensors such that the state has norm 1 + peps.normalize_gs() + + # Shift the Hamiltonian by the ground-state energy + # The excited state energy is then relative to the ground state + peps.substract_gs_energy() + + # Prepare an orthonormal basis with respect to the ground state + print('Preparing orthonormal basis') + basis = peps.compute_orth_basis() + + print(f"Saving base to {base_file}") + np.savez(base_file, peps=peps, basis=basis) + +def evaluate_single(config_file, momentum_ix): + def _compute_ev_red_basis(H, N, P, n): + P = P[:,:n] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, _ = eig(H2, N2) + return sorted(ev.real) + + + with open(config_file) as f: + cfg = safe_load(f) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + output_file = io.get_exci_file(momentum_ix) + print(output_file) + dat = np.load(output_file) + H, N = dat['H'], dat['N'] + basis = base_sim['basis'] + peps = base_sim['peps'].item() + + # basis = basis.T @ filter_null_modes(peps.tensors, basis) + # print(basis.shape) + # print(N.shape) + # N = basis.T @ N @ basis + # H = basis.T @ H @ basis + # H = H.conjugate() + + H = 0.5 * (H + H.T.conjugate()) + N = 0.5 * (N + N.T.conjugate()) + ev_N, P = np.linalg.eig(N) + idx = ev_N.real.argsort()[::-1] + ev_N = ev_N[idx] + selected = (ev_N/ev_N.max()) > 1e-3 + P = P[:,idx] + P = P[:,selected] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, vectors = eig(H2, N2) + ixs = np.argsort(ev) + ev = ev[ixs] + vectors = vectors[:,ixs] + + return sorted(ev.real) + +def evaluate(config_file, momentum_ix): + if momentum_ix != -2: + return evaluate_single(config_file, momentum_ix) + + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + + import matplotlib.pyplot as plt + evs = [] + for ix in range(len(kxs)): + try: + ev = evaluate_single(config_file, ix) + except: + ev = [np.nan] + evs.append(ev[0]) + plt.plot(evs, '--+') + plt.show() + + + +class iPEPSExciSimulation: + """ Simulation class for the excited-state simulation + + Call an instance of this class directly to start the simulation + """ + def __init__(self, config_file, momentum_ix): + self.config_file = config_file + self.momentum_ix = momentum_ix + + @property + def basis_size(self): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = base_sim['basis'] + return basis.shape[1] + + def __call__(self, ix, v=None): + print(f"Starting simulation of basis vector {ix+1}/{self.basis_size}") + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + if v is None: + v = basis[:,ix] + res, grad_H = value_and_grad(peps.run, has_aux=True)(v) + grad_H = grad_H.conj() + print('Res', res, level=2) + grad_N = res[1].pack_data() + print('Grad H', grad_H, level=2) + print('Grad N', grad_N, level=2) + print(f"========== \nFinished basis vector {ix+1}/{self.basis_size} \n") + return basis.T @ jax.lax.stop_gradient(grad_H), basis.T @ jax.lax.stop_gradient(grad_N) + + def check_grads(self, A=None): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + print('Checking gradient') + # peps.fill(A) + check_grads(peps.run_gc, (A,), order=1, modes='rev') + print('Done check') + diff --git a/adpeps/simulation/run_ipeps_gs.py b/adpeps/simulation/run_ipeps_gs.py new file mode 100644 index 0000000..b43773a --- /dev/null +++ b/adpeps/simulation/run_ipeps_gs.py @@ -0,0 +1,183 @@ +""" Main ground-state executable script + + Note: + The simulations are intended to be used by calling the package + directly via :code:`python -m adpeps ...`, as described in + :ref:`notes/start` +""" + +from jax import grad, jit, vmap, value_and_grad +from jax import random +from jax.scipy.optimize import minimize +from jax.test_util import check_grads +from scipy import optimize +from yaml import safe_load, dump +import jax +import jax.numpy as np +import numpy as onp + +from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci +from adpeps.utils import io +from adpeps.utils.printing import print +import adpeps.ipeps.config as sim_config + +def run(config_file: str): + """ Start the simulation + + Args: + config_file: filename of the configuration file + """ + + energies = [] + gradnorms = [] + def verbose(xk, step_size=None): + """ Output printing function """ + try: + energies.append(obj.cached_out) + gradnorms.append(obj.gradnrm) + except: + pass + print(' ') + print(' # ======================== #') + print(' # Step completed #') + print(' # ======================== #') + print(' ') + [print(' Step %3d E: %.12f |grad|: %2.8g' % (i,E,gradnorms[i])) for i,E in enumerate(energies)] + print('\n') + # np.savez(output_file, peps=peps, v=xk, gradnorms=gradnorms, energies=energies) + + print('Running') + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + # Load the configuration file into the sim_config object + sim_config.from_dict(cfg) + + # Initialize the iPEPS + peps = iPEPS() + + output_file = io.get_gs_file() + print(f"Output file {output_file}") + + if sim_config.resume and output_file.exists(): + loaded_sim = np.load(output_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + v = loaded_sim['v'] + gradnorms = list(loaded_sim['gradnorms']) + energies = list(loaded_sim['energies']) + print("Resuming existing simulation") + verbose(v) + else: + print("Starting new simulation") + key = random.PRNGKey(sim_config.seed) + v = random.normal(key, (peps.numel(),)) + v = v / np.max(np.abs(v)) + + obj = Objective(peps) + + # Call SciPy's optimization function + obj.return_gn = False + xL = optimize.minimize(obj.out, v, method=sim_config.method, jac=obj.jac, + callback=verbose, options={'gtol': 1e-6, 'disp': True, + 'maxiter':sim_config.max_iterations}) + +class Objective: + """ Class containing the objective function for the optimizer + """ + + def __init__(self, peps: iPEPS): + """ + Args: + peps: iPEPS object to be optimized + """ + self.cached_v = None + self.cached_out = None + self.cached_jac = None + + self.peps = peps + """ iPEPS object """ + + self.fun = peps.run + """ Objective function - CTM iterations until convergence + followed by the evaluation of the energy + """ + self.return_gn = True + + @property + def gradnrm(self): + """ Norm of the gradient """ + return np.linalg.norm(self.cached_jac) + + def jac(self, v: np.ndarray) -> np.ndarray: + """ Computes the vector-Jacobian product (gradient) of the + iPEPS at the current point :attr:`v` in parameter space + + This function is designed to work with optimizers that make separate + calls to the objective function and the gradient, by caching both. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + gradient of the iPEPS with respect to the input parameters :attr:`v` + """ + + # Cast the regular numpy array into a Jax numpy array for gradient tracking + v = np.array(v) + v = v / np.max(np.abs(v)) + + if (self.cached_jac is not None and self.cached_v is not None + and np.linalg.norm(v - self.cached_v) < 1e-14): + return self.cached_jac + + # Call the forward + backward pass iPEPS code + o, g = value_and_grad(self.fun)(v) + + # Convert to regular Numpy arrays so they can be passed to the optimizer + # and stored without any tracking information by Jax + o = onp.array(o) + v = onp.array(v) + g = onp.array(g) + + # Cache the results + self.cached_v = v + self.cached_out = o + self.cached_jac = g + + if self.return_gn: + return g, self.gradnrm + else: + return g + + def out(self, v): + """ Computes the objective function (energy) of the iPEPS + + Since many optimizers make separate calls to the objective function + and the gradient, but usually require both results for any given + parameter vector v, this function calls the gradient as well. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + energy of the iPEPS at the point :attr:`v` in parameter space + """ + if self.cached_v is not None and np.linalg.norm(v - self.cached_v) < 1e-14: + return self.cached_out + else: + self.jac(v) + return self.cached_out + + def check_grads(self, A=None): + print('Checking gradient') + self.peps.fill(A) + self.peps.converge_boundaries() + check_grads(self.peps.run, (A,), order=1, modes='rev') + print('Done check') + diff --git a/adpeps/tensor/__init__.py b/adpeps/tensor/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/adpeps/tensor/config.py b/adpeps/tensor/config.py new file mode 100644 index 0000000..3162dae --- /dev/null +++ b/adpeps/tensor/config.py @@ -0,0 +1,55 @@ +# Set True to apply the apply_permute decorator, +# which applies perform_permute to all SymTensor +# outputs (necessary for gradcheck) +always_permute = True + +# Set True to check at every mult call whether +# the contracted legs have conjugate charges +check_mult_inds = False + +# In diag_inv, all elements larger than this +# value will be inverted. Normally this will +# be strictly zero, for example when inverting +# the singular values after an svd, but it needs +# to be finite for numerical gradient checking +diag_inv_zero_tol = 0 + +# Perform consistency check on each new tensor +# This has a large overhead to make sure to +# disable this in actual simulations +do_consistency_checks = False + +# Do backward svd pass based on the full tensors +# (before any truncation) +# For this it's required to save the u,s,v tensors +# so it is more expensive +do_precise_svd_backward = True + +# The inverse used in the backward svd may be unstable +# in the presence of (nearly) degenerate singular values +# Set this option to a value larger than 0 (usually 1e-12 +# should be ok) to use the 'safe inverse' instead of a +# regular inverse +safe_inv_epsilon = 0 + +# Enables an extra sign-fixing step in the svd, to ensure +# a consistent convention for the sign choices of the singular +# vectors +svd_fix_sign = False + +# Clears the stored objects in the ctx during the backward +# step - disable this when you run the backward step with +# retain_graph = True (e.g. for higher-order derivatives) +backward_clear_ctx = True + + +# Debugging feature to place breaking points in the code +break_now = False + +def from_dict(cfg): + cfg_vars = globals() + for name, value in cfg.items(): + if name in cfg_vars.keys(): + cfg_vars[name] = value + else: + raise ValueError(f"Option {name} = {value} not defined in SymTensor config") diff --git a/adpeps/tensor/contractions.py b/adpeps/tensor/contractions.py new file mode 100644 index 0000000..a1768e8 --- /dev/null +++ b/adpeps/tensor/contractions.py @@ -0,0 +1,41 @@ +from pathlib import Path + +from typing import Tuple, Callable, Optional, Iterable, Union +from yaml import safe_load +import jax.numpy as np + +from .ncon import ncon as st_ncon +from adpeps import ROOT_DIR +from adpeps.utils.empty_tensor import EmptyT +from adpeps.utils.nested import Nested + +f = open(Path(ROOT_DIR, 'ipeps', 'contractions.yaml')) +ctr = safe_load(f) + +TensorType = Union[np.ndarray, Nested, EmptyT] + +def ncon(tensors: Iterable[TensorType], indices_key: Union[str, Iterable[Iterable[int]]], + **kwargs) -> TensorType: + try: + try: + ixs = ctr[indices_key]['ix'] + order = ctr[indices_key].get('order') + except: + ixs = ctr[indices_key] + order = None + return st_ncon(tensors, ixs, empty_class=EmptyT, order=order, mult_method=mult, **kwargs) + except TypeError as e: + if isinstance(indices_key, str): + raise e + return st_ncon(tensors, indices_key, empty_class=EmptyT, mult_method=mult, **kwargs) + +def mult(x: TensorType, y: TensorType, inds: Iterable[int]) -> TensorType: + if isinstance(x, EmptyT) or isinstance(y, EmptyT): + return EmptyT() + elif hasattr(x, 'mult'): + return x.mult(y, inds) + elif hasattr(y, 'mult'): + x = Nested([x,EmptyT(),EmptyT(),EmptyT()]) + return x.mult(y, inds) + else: + return np.tensordot(x, y, inds) diff --git a/adpeps/tensor/ncon.py b/adpeps/tensor/ncon.py new file mode 100644 index 0000000..3ab9e72 --- /dev/null +++ b/adpeps/tensor/ncon.py @@ -0,0 +1,380 @@ +"""A module for the function ncon, which does contractions of several tensors. +""" +import jax.numpy as np +from collections.abc import Iterable +from typing import Union, Optional, Any, Callable + +from adpeps.utils.empty_tensor import EmptyT +from adpeps.utils.nested import Nested + +TensorType = Union[np.ndarray, Nested, EmptyT] + +# def ncon(L: Union[Iterable[TensorType], TensorType], v: Iterable[Iterable[int]], +# order: Optional[Iterable[int]]=None, forder: Optional[Iterable[int]]=None, +# check_indices: bool=True, empty_class: Any=list, normalize: bool=False, +# mult_method: Callable[[Any,Any,Iterable[int]], Any]=None +# ) -> TensorType: +def ncon(L, v, + order=None, forder=None, + check_indices=True, empty_class=list, normalize=False, + mult_method=None + ) -> TensorType: + """L = [A1, A2, ..., Ap] list of tensors. + + v = (v1, v2, ..., vp) tuple of lists of indices e.g. v1 = [3, 4, -1] labels + the three indices of tensor A1, with -1 indicating an uncontracted index + (open leg) and 3 and 4 being the contracted indices. + + order, if present, contains a list of all positive indices - if not + [1, 2, 3, 4, ...] by default. This is the order in which they are + contracted. + + forder, if present, contains the final ordering of the uncontracted indices + - if not, [-1, -2, ..i] by default. + + There is some leeway in the way the inputs are given. For example, + instead of giving a list of tensors as the first argument one can + give some different iterable of tensors, such as a tuple, or a + single tensor by itself (anything that has the attribute "shape" + will be considered a tensor). + """ + + # We want to handle the tensors as a list, regardless of what kind + # of iterable we are given. In addition, if only a single element is + # given, we make list out of it. Inputs are assumed to be non-empty. + if hasattr(L, "shape"): + L = [L] + else: + L = list(L) + if np.any(np.array([len(A) == 0 for A in L])): + if normalize: + return empty_class(), 1. + return empty_class() + v = list(v) + if not isinstance(v[0], Iterable): + # v is not a list of lists, so make it such. + v = [v] + else: + v = list(map(list, v)) + + if order is None: + order = create_order(v) + if forder is None: + forder = create_forder(v) + + if check_indices: + # Raise a RuntimeError if the indices are wrong. + do_check_indices(L, v, order, forder) + + # If the graph is dinconnected, connect it with trivial indices that + # will be contracted at the very end. + connect_graph(L, v, order) + + while len(order) > 0: + tcon = get_tcon(v, order[0]) # tcon = tensors to be contracted + # Find the indices icon that are to be contracted. + if len(tcon) == 1: + tracing = True + icon = [order[0]] + else: + tracing = False + icon = get_icon(v, tcon) + # Position in tcon[0] and tcon[1] of indices to be contracted. + # In the case of trace, pos2 = [] + pos1, pos2 = get_pos(v, tcon, icon) + if tracing: + # Trace on a tensor + new_A = trace(L[tcon[0]], axis1=pos1[0], axis2=pos1[1]) + else: + # Contraction of 2 tensors + new_A = con(L[tcon[0]], L[tcon[1]], (pos1, pos2), mult_method=mult_method) + L.append(new_A) + v.append(find_newv(v, tcon, icon)) # Add the v for the new tensor + for i in sorted(tcon, reverse=True): + # Delete the contracted tensors and indices from the lists. + # tcon is reverse sorted so that tensors are removed starting from + # the end of L, otherwise the order would get messed. + del L[i] + del v[i] + order = renew_order(order, icon) # Update order + + vlast = v[0] + A = L[0] + A = permute_final(A, vlast, forder) + if normalize: + if hasattr(A, 'normalize'): + A, factor = A.normalize() + else: + factor = np.abs(A).max() + A = A / factor + return A + + +# # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # + + +def create_order(v): + """Identify all unique, positive indices and return them sorted.""" + flat_v = sum(v, []) + x = [i for i in flat_v if i > 0] + # Converting to a set and back removes duplicates + x = list(set(x)) + return sorted(x) + + +def create_forder(v): + """Identify all unique, negative indices and return them reverse sorted + (-1 first). + """ + flat_v = sum(v, []) + x = [i for i in flat_v if i < 0] + # Converting to a set and back removes duplicates + x = list(set(x)) + return sorted(x, reverse=True) + + +def connect_graph(L, v, order): + """Connect the graph of tensors to be contracted by trivial + indices, if necessary. Add these trivial indices to the end of the + contraction order. + + L, v and order are modified in place. + """ + # Build ccomponents, a list of the connected components of the graph, + # where each component is represented by a a set of indices. + unvisited = set(range(len(L))) + visited = set() + ccomponents = [] + while unvisited: + component = set() + next_visit = unvisited.pop() + to_visit = {next_visit} + while to_visit: + i = to_visit.pop() + unvisited.discard(i) + component.add(i) + visited.add(i) + # Get the indices of tensors neighbouring L[i]. + i_inds = set(v[i]) + neighs = ( + j for j, j_inds in enumerate(v) if i_inds.intersection(j_inds) + ) + for neigh in neighs: + if neigh not in visited: + to_visit.add(neigh) + ccomponents.append(component) + # If there is more than one connected component, take one of them, a + # take an arbitrary tensor (called c) out of it, and connect that + # tensor with an arbitrary tensor (called d) from all the other + # components using a trivial index. + c = ccomponents.pop().pop() + while ccomponents: + d = ccomponents.pop().pop() + A_c = L[c] + A_d = L[d] + c_axis = len(v[c]) + d_axis = len(v[d]) + try: + L[c] = A_c.expand_dims(c_axis, direction=1) + except AttributeError: + L[c] = np.expand_dims(A_c, c_axis) + try: + L[d] = A_d.expand_dims(d_axis, direction=-1) + except AttributeError: + L[d] = np.expand_dims(A_d, d_axis) + try: + dim_num = max(order) + 1 + except ValueError: + dim_num = 1 + v[c].append(dim_num) + v[d].append(dim_num) + order.append(dim_num) + return None + + +def get_tcon(v, index): + """Gets the list indices in L of the tensors that have index as their + leg. + """ + tcon = [] + for i, inds in enumerate(v): + if index in inds: + tcon.append(i) + l = len(tcon) + # If check_indices is called and it does its work properly then these + # checks should in fact be unnecessary. + if l > 2: + raise ValueError( + "In ncon.get_tcon, more than two tensors share a contraction " + "index." + ) + elif l < 1: + raise ValueError( + "In ncon.get_tcon, less than one tensor share a contraction index." + ) + elif l == 1: + # The contraction is a trace. + how_many = v[tcon[0]].count(index) + if how_many != 2: + # Only one tensor has this index but it is not a trace because it + # does not occur twice for that tensor. + raise ValueError( + "In ncon.get_tcon, a trace index is listed != 2 times for the " + "same tensor." + ) + return tcon + + +def get_icon(v, tcon): + """Returns a list of indices that are to be contracted when contractions + between the two tensors numbered in tcon are contracted. + """ + inds1 = v[tcon[0]] + inds2 = v[tcon[1]] + icon = set(inds1).intersection(inds2) + icon = list(icon) + return icon + + +def get_pos(v, tcon, icon): + """Get the positions of the indices icon in the list of legs the tensors + tcon to be contracted. + """ + pos1 = [[i for i, x in enumerate(v[tcon[0]]) if x == e] for e in icon] + pos1 = sum(pos1, []) + if len(tcon) < 2: + pos2 = [] + else: + pos2 = [[i for i, x in enumerate(v[tcon[1]]) if x == e] for e in icon] + pos2 = sum(pos2, []) + return pos1, pos2 + + +def find_newv(v, tcon, icon): + """Find the list of indices for the new tensor after contraction of + indices icon of the tensors tcon. + """ + if len(tcon) == 2: + newv = v[tcon[0]] + v[tcon[1]] + else: + newv = v[tcon[0]] + newv = [i for i in newv if i not in icon] + return newv + + +def renew_order(order, icon): + """Returns the new order with the contracted indices removed from it.""" + return [i for i in order if i not in icon] + + +def permute_final(A, v, forder): + """Returns the final tensor A with its legs permuted to the order given + in forder. + """ + perm = [v.index(i) for i in forder] + try: + permuted = A.transpose(tuple(perm)) + except (AttributeError, TypeError): + permuted = np.transpose(A, tuple(perm)) + return permuted + + +def do_check_indices(L, v, order, forder): + """Check that + 1) the number of tensors in L matches the number of index lists in v. + 2) every tensor is given the right number of indices. + 3) every contracted index is featured exactly twice and every free index + exactly once. + 4) the dimensions of the two ends of each contracted index match. + """ + + # 1) + if len(L) != len(v): + raise ValueError( + ( + "In ncon.do_check_indices, the number of tensors %i" + " does not match the number of index lists %i" + ) + % (len(L), len(v)) + ) + + # 2) + # Create a list of lists with the shapes of each A in L. + shapes = list(map(lambda A: list(A.shape), L)) + for i, inds in enumerate(v): + if len(inds) != len(shapes[i]): + raise ValueError( + ( + "In ncon.do_check_indices, len(v[%i])=%i does not match " + "the numbers of indices of L[%i] = %i" + ) + % (i, len(inds), i, len(shapes[i])) + ) + + # 3) and 4) + # v_pairs = [[(0,0), (0,1), (0,2), ...], [(1,0), (1,1), (1,2), ...], ...] + v_pairs = [[(i, j) for j in range(len(s))] for i, s in enumerate(v)] + v_pairs = sum(v_pairs, []) + v_sum = sum(v, []) + # For t, o in zip(v_pairs, v_sum) t is the tuple of the number of + # the tensor and the index and o is the contraction order of that + # index. We group these tuples by the contraction order. + order_groups = [ + [t for t, o in zip(v_pairs, v_sum) if o == e] for e in order + ] + forder_groups = [[1 for fo in v_sum if fo == e] for e in forder] + for i, o in enumerate(order_groups): + if len(o) != 2: + raise ValueError( + ( + "In ncon.do_check_indices, the contracted index %i is not " + "featured exactly twice in v." + ) + % order[i] + ) + else: + A0, ind0 = o[0] + A1, ind1 = o[1] + try: + compatible = L[A0].compatible_indices(L[A1], ind0, ind1) + except AttributeError: + compatible = L[A0].shape[ind0] == L[A1].shape[ind1] + if not compatible: + raise ValueError( + "In ncon.do_check_indices, for the contraction index %i, " + "the leg %i of tensor number %i and the leg %i of tensor " + "number %i are not compatible." + % (order[i], ind0, A0, ind1, A1) + ) + for i, fo in enumerate(forder_groups): + if len(fo) != 1: + raise ValueError( + ( + "In ncon.do_check_indices, the free index %i is not " + "featured exactly once in v." + ) + % forder[i] + ) + + # All is well if we made it here. + return True + + +#################################################################### +# The following are simple wrappers around numpy/Tensor functions, # +# but may be replaced with fancier stuff later. # +#################################################################### + + +def con(A, B, inds, mult_method=None): + if mult_method is None: + if isinstance(A, np.ndarray) and isinstance(A, np.ndarray): + return np.tensordot(A, B, inds) + else: + return A.dot(B, inds) + else: + return mult_method(A, B, inds) + + +def trace(A, axis1=0, axis2=1): + return A.trace(axis1=axis1, axis2=axis2) diff --git a/adpeps/tensor/ops.py b/adpeps/tensor/ops.py new file mode 100644 index 0000000..3b003a5 --- /dev/null +++ b/adpeps/tensor/ops.py @@ -0,0 +1,146 @@ +import jax.numpy as np +from jax import custom_vjp +import jax + +def reshape(m, left_ixs, right_ixs): + m = np.transpose(m, [*left_ixs, *right_ixs]) + left_size = np.prod(np.array([m.shape[i] for i in range(len(left_ixs))])) + return np.reshape(m, (left_size, -1)) + +def svd(m, n, mode, cutoff=1e-12, use_iter=False): + """ Performs an svd with a cutoff + + Parameters: + m (2-D array): matrix to be svd'ed + n (int): maximum number of singular values + mode (str): absorb the singular values either in + u ('l'), in v ('r') or not (otherwise) + + Returns: + torch.Tensor: u + torch.Tensor: s + torch.Tensor: v + such that u*s*v approximates m + + """ + if m.size == 0: + u = np.zeros([0,0]) + s = np.tensor([]) + v = np.zeros([0,0]) + return u,s,v + + # m = reshape(m, left_ixs, right_ixs) + + if use_iter and n < min(m.size()) and min(m.size()) > 400: + r + u, s, v = np.svd(m) + else: + # u, s, v = np.linalg.svd(m, full_matrices=False) + u, s, v = _svd_impl(m) + ix = np.argsort(s)[::-1] + s = s[ix] + u = u[:,ix] + v = v[ix,:] + + # n_above_cutoff = len(np.where(s/s.max() > cutoff)[0]) + # n = min(n, n_above_cutoff) + # if s.shape[0] > n: + # u = u[:,:n] + # s = s[:n] + # v = v[:n,:] + + u,s,v = _cutoff_matrices(u,s,v,cutoff,n) + + s = np.diag(s) + + # try: + # if config.svd_fix_sign: + # u, v = _svd_flip(u, v) + # except: + # pass + + # if mode == 'l': + # u = u @ s + # if mode == 'r': + # v = s @ v + + return u,s,v + +def _cutoff_matrices(u,s,v,cutoff,n): + # n_above_cutoff = len(np.where(s/s.max() > cutoff)[0]) + n_above_cutoff = np.count_nonzero(s/np.max(s) > cutoff) + # n_above_cutoff = 8 + n = np.min(np.array([n, n_above_cutoff])) + if n < np.inf and s.shape[0] > n: + n = int(n) + u = u[:,:n] + s = s[:n] + v = v[:n,:] + return u,s,v + +@custom_vjp +def _svd_impl(m): + u, s, v = np.linalg.svd(m, full_matrices=False) + return u, s, v + +def _svd_impl_fwd(m): + u, s, v = _svd_impl(m) + # if s.shape[0] < min(m.shape[0],m.shape[1]): + # print('SVD def') + return (u, s, v), (u,s,v) + +def _svd_impl_bwd(res, g): + U, S, V = res + dU, dS, dV = g + Vt = V + V = V.T + dV = dV.T + Ut = U.T + M = U.shape[0] + N = V.shape[0] + NS = len(S) + + # F = (S - S[:, None]) + # F = __safe_inverse(F) + # F.diagonal().fill_(0) + + F = (S - S[:, None]) + F = __safe_inverse(F) + F = jax.ops.index_update(F, np.diag_indices(F.shape[0]), 0) + + # G = (S + S[:, None]) + # G.diagonal().fill_(np.inf) + # G = 1/G + + G = (S + S[:, None]) + G = 1/G + G = jax.ops.index_update(G, np.diag_indices(G.shape[0]), 0) + + UdU = Ut @ dU + VdV = Vt @ dV + + Su = (F+G)*(UdU-UdU.T)/2 + Sv = (F-G)*(VdV-VdV.T)/2 + + dA = U @ (Su + Sv + np.diag(dS)) @ Vt + if (M>NS): + # dA = dA + (torch.eye(M, dtype=dU.dtype, device=dU.device) - U@Ut) @ (dU/S) @ Vt + dA = dA + (np.eye(M, dtype=dU.dtype) - U@Ut) @ (dU/S) @ Vt + if (N>NS): + # dA = dA + (U/S) @ dV.t() @ (torch.eye(N, dtype=dU.dtype, device=dU.device) - V@Vt) + dA = dA + (U/S) @ dV.T @ (np.eye(N, dtype=dU.dtype) - V@Vt) + return (dA,) + +_svd_impl.defvjp(_svd_impl_fwd, _svd_impl_bwd) + +def __safe_inverse(x, epsilon=1e-12): + if epsilon is None: + epsilon = config.safe_inv_epsilon + if epsilon == 0: + return 1/x + else: + return x/(x**2 + epsilon) + + +def diag_inv(m): + return np.diag(1 / np.diag(m)) diff --git a/adpeps/types.py b/adpeps/types.py new file mode 100644 index 0000000..9f9b19c --- /dev/null +++ b/adpeps/types.py @@ -0,0 +1,5 @@ +from typing import Union, Optional, Any, Callable + +import jax.numpy as np + +TensorType = Union['np.ndarray', 'Nested', 'EmptyT'] diff --git a/adpeps/utils/__init__.py b/adpeps/utils/__init__.py new file mode 100644 index 0000000..e5183f4 --- /dev/null +++ b/adpeps/utils/__init__.py @@ -0,0 +1,4 @@ +""" Utilities module with several convenience functions and classes + used during the simulations +""" + diff --git a/adpeps/utils/ctmtensors.py b/adpeps/utils/ctmtensors.py new file mode 100644 index 0000000..196ca35 --- /dev/null +++ b/adpeps/utils/ctmtensors.py @@ -0,0 +1,174 @@ +""" Contains utility class that contains all iPEPS tensors """ + +from dataclasses import dataclass, field, fields, replace, asdict +from functools import partial +from typing import List + +from .empty_tensor import EmptyT +from .nested import Nested +from .tlist import TList, hold_write + +@dataclass +class CTMTensors: + """ + This is a utility class that contains all tensors related to an iPEPS. + In effect, this forms a representation of the full state, including + the site tensors with variational parameters, the boundary tensors + generated by CTM and the projectors. + + There are several fields of this dataclass that are generated + automatically, which provide convenient wrappers for the tensors. + + For example, the :attr:`CTMTensors.A` field returns the site tensors + (contained in a :class:`adpeps.utils.tlist.TList`) + """ + A: TList + Ad: TList + Cs: List[TList] = field(default_factory=list) + Ts: List[TList] = field(default_factory=list) + + B: TList = field(default=None, metadata={'init_tlist': True}) + Bd: TList = field(default=None, metadata={'init_tlist': True}) + B_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + B_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + + Pl: TList = None + Pr: TList = None + Pt: TList = None + Pb: TList = None + Plb: TList = None + Prb: TList = None + Ptb: TList = None + Pbb: TList = None + + observables: List = field(default_factory=list) + + def _get_field_item(self, fieldname=None, ix=None): + return getattr(self, fieldname).__getitem__(ix) + + def _get_field_nested_item(self, fieldname=None, ix=None): + return getattr(self, fieldname)(ix) + + def _set_field_nested_item(self, fieldname=None, ix=None, value=None): + return getattr(self, f"{fieldname}_set")(ix, value) + + def hold(self, *fields): + def _convert_all(f): + if f.startswith('all_'): + f = f[4:] + return [f, f"B_{f}", f"Bd_{f}", f"BB_{f}"] + else: + return (f,) + fields = [field for fs in [_convert_all(f) for f in fields] for field in fs] + tensors = tuple([getattr(self, field) for field in fields]) + return hold_write(*tensors) + + def __post_init__(self): + base_tlist = self.A + for f in fields(self): + try: + if getattr(self, f.name) is None and f.metadata['init_tlist']: + setattr(self, f.name, TList.empty_like(base_tlist, empty_obj=EmptyT())) + except KeyError: + pass + try: + if getattr(self, f.name) is None and f.metadata['init_tlists']: + setattr(self, f.name, [TList.empty_like(base_tlist, empty_obj=EmptyT()) for _ in range(4)]) + except KeyError: + pass + + def all_Cs(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Cs[ix][i], self.B_Cs[ix][i], + self.Bd_Cs[ix][i], self.BB_Cs[ix][i]]) + return res + + def all_Ts(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ts[ix][i], self.B_Ts[ix][i], + self.Bd_Ts[ix][i], self.BB_Ts[ix][i]]) + return res + + def update(self, fieldnames, ixs, values): + if isinstance(fieldnames, str): + fieldnames = (fieldnames,) + values = (values,) + ixs = (ixs,) + for i,f in enumerate(fieldnames): + value = values[i] + ix = ixs[i] + assert isinstance(value, Nested), "Use the all_Ci setter only with Nested tensors" + getattr(self, f)[ix] = value[0] + getattr(self, f"B_{f}")[ix] = value[1] + getattr(self, f"Bd_{f}")[ix] = value[2] + getattr(self, f"BB_{f}")[ix] = value[3] + + @property + def all_A(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.A._data[i], self.B._data[i], + EmptyT(), EmptyT()]) + return res + + @property + def all_Ad(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ad._data[i], EmptyT(), + self.Bd._data[i], EmptyT()]) + return res + + def stop_gradient(self, only_boundaries=True): + for i in range(4): + self.Cs[i] = self.Cs[i].stop_gradient() + self.Ts[i] = self.Ts[i].stop_gradient() + self.B_Cs[i] = self.B_Cs[i].stop_gradient() + self.B_Ts[i] = self.B_Ts[i].stop_gradient() + self.Bd_Cs[i] = self.Bd_Cs[i].stop_gradient() + self.Bd_Ts[i] = self.Bd_Ts[i].stop_gradient() + self.BB_Cs[i] = self.BB_Cs[i].stop_gradient() + self.BB_Ts[i] = self.BB_Ts[i].stop_gradient() + if not only_boundaries: + self.A = self.A.stop_gradient() + self.Ad = self.Ad.stop_gradient() + self.B = self.B.stop_gradient() + self.Bd = self.Bd.stop_gradient() + +def _wrap_f(self, fieldname=None, ix=None): + return self._get_field_item(fieldname, ix) + +def _wrap_nested_f(self, fieldname=None, ix=None): + return self._get_field_nested_item(fieldname, ix) + +def _wrap_nested_f_set(self, value, fieldname=None, ix=None): + return self._set_field_nested_item(fieldname, ix, value) + +attrs = ['Cs', 'Ts', 'B_Cs', 'B_Ts', 'Bd_Cs', 'Bd_Ts', 'BB_Cs', 'BB_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_f, fieldname=attr, ix=i)) + # new_attr.__doc__ = f"Boundary tensors `{attr}` computed by CTM" + new_attr.__doc__ = ":meta private:" + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) +attrs = ['all_Cs', 'all_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_nested_f, fieldname=attr, ix=i), + partial(_wrap_nested_f_set, fieldname=attr, ix=i)) + new_attr.__doc__ = ":meta private:" + # new_attr.__doc__ = ":class:`adpeps.utils.tlist.TList` containing all versions \ + # (only ground-state, ground state + one `B` tensor, ground state \ + # + one `Bdagger` tensor, ground state + one `B` tensor + one `Bdagger`\ + # tensor) of the boundary tensors." + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) diff --git a/adpeps/utils/empty_tensor.py b/adpeps/utils/empty_tensor.py new file mode 100644 index 0000000..6b87444 --- /dev/null +++ b/adpeps/utils/empty_tensor.py @@ -0,0 +1,88 @@ +""" Contains utility class that represents a 'zero' (empty) tensor object """ + +import jax.numpy as np + +class EmptyT: + """ Empty tensor utility class, which can be used to represent a + 'zero' tensor. + + The operations involving this type of tensor will return the expected + results, such as (Tensor * EmptyT -> EmptyT), removing the need for + checking if a tensor is empty in the part of the code where the + operation is called. + """ + tag = None + + def __repr__(self): + return "" + + def __add__(self, other): + return other + + def __radd__(self, other): + return other + + def __sub__(self, other): + return other + + def __rsub__(self, other): + return other + + def __mul__(self, other): + return self + + def __neg__(self): + return self + + def __rmul__(self, other): + if isinstance(other, int): + return [self for _ in range(other)] + else: + return self + + def __truediv__(self, other): + return self + + def copy(self): + return self + + def to_real(self): + return self + + @property + def real(self): + return self + + @property + def data(self): + return [] + + def transpose(self, *args): + return self + + def __rtruediv__(self, other): + return self + + def __len__(self): + return 0 + + def __array__(self): + return np.array([]) + + def item(self): + return self + + def __getitem__(self, ix): + return self + + def mult(self, other, *args): + return self + + def to_complex(self): + return self + + def complex(self): + return False + + def is_finite(self): + return True diff --git a/adpeps/utils/io.py b/adpeps/utils/io.py new file mode 100644 index 0000000..92f7300 --- /dev/null +++ b/adpeps/utils/io.py @@ -0,0 +1,64 @@ +""" IO module with convenience function for forming the localized filenames + and foldernames of the relevant configuration files and data files. + + Note: + The input configuration file location can be set via the + :envvar:`CONFIGDIR` variable. If it is not set, the default + input folder will be the `examples` subfolder of the package + root directory + + Note: + The output data location can be set via the :envvar:`DATADIR` + variable. If it is not set, the default output folder will be + in the `simulations` subfolder of the package root directory. +""" + +from pathlib import Path +import math +import numpy as np +import os + +import adpeps +import adpeps.ipeps.config as sim_config + + +def localize_data_file(filename): + ROOT_DIR = adpeps.PROJECT_ROOT_DIR + try: + base_out_folder = os.environ["DATADIR2"] + except KeyError: + base_out_folder = Path(ROOT_DIR, 'simulations') + return Path(base_out_folder, filename) + +def localize_config_file(filename): + ROOT_DIR = adpeps.PROJECT_ROOT_DIR + try: + base_out_folder = os.environ["CONFIGDIR"] + except KeyError: + base_out_folder = Path(ROOT_DIR, 'examples') + return Path(base_out_folder, filename).with_suffix('.yaml') + +def get_gs_file(): + if sim_config.out_prefix is not None: + filename = f"{sim_config.out_prefix}_{sim_config.model}_D{sim_config.D}_X{sim_config.chi}" + else: + filename = f"{sim_config.model}_D{sim_config.D}_X{sim_config.chi}" + filename = Path('gs', filename) + return localize_data_file(filename).with_suffix('.npz') + +def get_exci_folder(): + if sim_config.out_prefix is not None: + folder = f"{sim_config.out_prefix}_{sim_config.model}_D{sim_config.D}_X{sim_config.chi}" + else: + folder = f"{sim_config.model}_D{sim_config.D}_X{sim_config.chi}" + folder = Path('exci', folder) + return localize_data_file(folder) + +def get_exci_file(momentum_ix): + foldername = get_exci_folder() + filename = f"{momentum_ix+1}_{sim_config.px/math.pi:.5}_{sim_config.py/math.pi:.5}.npz" + return Path(foldername, filename) + +def get_exci_base_file(): + return get_exci_folder().with_suffix('.base.npz') + diff --git a/adpeps/utils/nested.py b/adpeps/utils/nested.py new file mode 100644 index 0000000..861486d --- /dev/null +++ b/adpeps/utils/nested.py @@ -0,0 +1,161 @@ +""" Contains utility class that represents a collection of tensors of + different types, with operations that can be applied to all + contained tensors at once +""" + +import cmath +import jax.numpy as np + +from adpeps.types import TensorType + + +class Nested: + """ This is a helper class for the efficient contraction of variants of tensors, + used in the energy evaluation of excited states + + A Nested tensor contains the following variants (some may be empty): + + - :attr:`tensors[0]`: regular tensor (no B or Bd) + - :attr:`tensors[1]`: (terms with) a single B tensor + - :attr:`tensors[2]`: (terms with) a single Bd tensor + - :attr:`tensors[3]`: (terms with) both a B and a Bd tensor + + When two Nested tensors x,y are contracted, all combinations are taken into account + and the result is again a Nested tensor, filled with the following variants: + + - :attr:`tensors[0]: x[0] * y[0]` + - :attr:`tensors[1]: x[1] * y[0] + x[0] * y[1]` + - :attr:`tensors[2]: x[2] * y[0] + x[0] * y[2]` + - :attr:`tensors[3]: x[3] * y[0] + x[2] * y[1] + x[1] * y[2] + x[0] * y[3]` + + By using Nested tensors in a (large) contraction, the many different terms are + resummed on the fly, leading to a potentially reduced computational cost + + Note: + Most implented functions act as wrappers for the corresponding `numpy` functions + on the individual tensors + """ + + def __init__(self, tensors): + self.tensors = tensors + + def normalize(self): + """ Normalize the contained tensors by the largest value + of the first element of :attr:`self.tensors` + """ + factor = np.abs(self[0]).max() + return self * (1 / factor), factor + + def mult(self, other: TensorType, *args) -> 'Nested': + """ + Args: + other: other tensor-like object to contract with + *args: arguments to be passed to the contraction method + (:code:`np.tensordot`) + + Returns: + res: result of the contraction + """ + def _mult_function(A, B, *args): + if hasattr(A, 'mult'): + return A.mult(B, *args) + elif len(B) == 0: + return B.mult(A, *args) + return np.tensordot(A, B, *args) + + if isinstance(other, np.ndarray): + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other, *args) + new_data[1] = _mult_function(self.tensors[1], other, *args) + new_data[2] = _mult_function(self.tensors[2], other, *args) + new_data[3] = _mult_function(self.tensors[3], other, *args) + return Nested(new_data) + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other.tensors[0], *args) + new_data[1] = _mult_function(self.tensors[1], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[1], *args) + new_data[2] = _mult_function(self.tensors[2], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[2], *args) + + new_data[3] = _mult_function(self.tensors[3], other.tensors[0], *args) +\ + _mult_function(self.tensors[2], other.tensors[1], *args) +\ + _mult_function(self.tensors[1], other.tensors[2], *args) +\ + _mult_function(self.tensors[0], other.tensors[3], *args) + res = Nested(new_data) + return res + + def transpose(self, *args) -> 'Nested': + """ Applies :code:`transpose` to each contained tensor """ + new_data = [self.tensors[i].transpose(*args) for i in range(4)] + return Nested(new_data) + + def __mul__(self, other): + new_data = [self.tensors[i] * other for i in range(4)] + return Nested(new_data) + + def __rmul__(self, other): + new_data = [other * self.tensors[i] for i in range(4)] + return Nested(new_data) + + def __truediv__(self, other): + new_data = [self.tensors[i] / other for i in range(4)] + return Nested(new_data) + + def __add__(self, other): + if isinstance(other, Nested): + new_data = [self.tensors[i] + other.tensors[i] for i in range(4)] + else: + new_data = [self.tensors[i] + other for i in range(4)] + return Nested(new_data) + + def __radd__(self, other): + return self + other + + def __getitem__(self, ix): + return self.tensors[ix] + + def __setitem__(self, ix, value): + self.tensors[ix] = value + + def __repr__(self): + return "(Nested) " + self.tensors.__repr__() + + def __neg__(self): + return Nested([-self.tensors[i] for i in range(4)]) + + def shift(self, phi): + new_data = [self.tensors[0], self.tensors[1] * exp(phi), + self.tensors[2] * exp(-phi), self.tensors[3]] + return Nested(new_data) + + def __len__(self): + try: + return len(self.tensors[0]) + except Exception: + return self.tensors[0].size + + @property + def real(self): + res = Nested([self.tensors[i].real for i in range(4)]) + return res + + @property + def shape(self): + return self.tensors[0].shape + + @property + def dims(self): + return self.tensors[0].dims + + def check_contr_inds(self, other, *args, **kwargs): + return self[0].check_contr_inds(other[0], *args, **kwargs) + + def numel(self): + return self[0].numel() + + @classmethod + def only_gs(cls, tensor, empty_obj=[]): + return cls([tensor, empty_obj, empty_obj, empty_obj]) + +def exp(phi): + return cmath.exp(1j * phi) diff --git a/adpeps/utils/printing.py b/adpeps/utils/printing.py new file mode 100644 index 0000000..9d7f00c --- /dev/null +++ b/adpeps/utils/printing.py @@ -0,0 +1,37 @@ +""" Utility module for printing output depending on the verbosity setting + :attr:`adpeps.ipeps.config.disp_level` in the configuration file. +""" + +import builtins +import time + +import adpeps.ipeps.config as sim_config + +prefix = None +show_time = False + +def print(*args, level: int=None, **kwargs): + """ Print output using builtin :code:`print` if :code:`level` + <= :attr:`adpeps.ipeps.config.disp_level` + + Args: + *args: arbitraty arguments to be passed to builtin :code:`print` + level: verbosity level, determining at which verbosity setting this + should be printed + **kwargs: arbitraty keyword arguments for builtin :code:`print` + """ + if level is None or level <= sim_config.disp_level: + if sim_config.flush_output: + kwargs['flush'] = True + if prefix is not None: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(prefix, curtime, *args, **kwargs) + else: + builtins.print(prefix, *args, **kwargs) + else: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(curtime, *args, **kwargs) + else: + builtins.print(*args, **kwargs) diff --git a/adpeps/utils/tlist.py b/adpeps/utils/tlist.py new file mode 100644 index 0000000..3ce38b8 --- /dev/null +++ b/adpeps/utils/tlist.py @@ -0,0 +1,302 @@ +""" + List object with additional features, used for storing + the iPEPS tensors + + Items in the list can be accessed by either a linear index + or a (i,j) double index, where i and j will be automatically + taken modulo the unit cell size (i.e. i = i % n_x) + + Additionally, convenience functions that work on tensors can + be defined for the whole list, e.g. conj() +""" + +import contextlib +import jax +import jax.numpy as np +import numpy as onp + +from .empty_tensor import EmptyT + + +@contextlib.contextmanager +def cur_loc(*loc: int): + """ Shift the locations of the tensors relative to a + new zero (loc) while in this context + + Args: + loc: shifts (x,y) + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> l[0,0] + 1 + >>> with cur_loc(1,0): + >>> l[0,0] + 2 + >>> l[0,1] + 4 + >>> l[0,0] + 1 + + Note that this applies to ALL TList objects while + inside the context + """ + pre_patched_value = getattr(TList, '_loc') + setattr(TList, '_loc', loc) + yield TList + setattr(TList, '_loc', pre_patched_value) + +@contextlib.contextmanager +def hold_write(*lists: 'TList'): + """ Hold off on writing to the list while + inside the context + + Args: + lists: one or more TList objects that should have the writing + action delayed until the context is disabled + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> with hold_write(l): + >>> l[0,0] = 100 + >>> l[0,0] + 1 + >>> l[0,0] + 100 + """ + for l in lists: + l._hold_write = True + yield + for l in lists: + l._purge_tmp() + +@contextlib.contextmanager +def set_pattern(pattern): + """ Set pattern for all new TLists that are created while + the context is active + + Args: + pattern: + """ + + pre_patched_value = getattr(TList, '_default_pattern') + setattr(TList, '_default_pattern', pattern) + yield TList + setattr(TList, '_default_pattern', pre_patched_value) + +class TList: + _loc = (0,0) + _default_pattern = None + _changed = None + + def __init__(self, data=None, shape=None, pattern=None, empty_obj=[[]]): + self._tmpdata = None + self.pattern = pattern + self._hold_write = False + self.empty_obj = empty_obj + if pattern is None and self._default_pattern is not None: + self.pattern = self._default_pattern + if self.pattern is None: + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + self._data = data.reshape([-1], order='C').tolist() + if data.ndim == 1: + self.size = (data.shape[0], 1) + else: + self.size = (data.shape[1], data.shape[0]) + except: + self._data = [data] + self.size = (1,1) + elif shape is not None: + self._data = (shape[0]*shape[1]) * empty_obj + self.size = shape + else: + self._data = None + self.size = () + else: + self.pattern = np.array(self.pattern) + self.size = (self.pattern.shape[1], self.pattern.shape[0]) + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + if data.size == np.unique(self.pattern).size: + self._data = data.reshape([-1], order='C').tolist() + else: + self._data = np.unique(self.pattern).size * empty_obj + for j in range(self.pattern.shape[1]): + for i in range(self.pattern.shape[0]): + self._data[self.pattern[i,j]] = data[i,j] + except: + self._data = [data] + self.size = (1,1) + else: + self._data = np.unique(self.pattern).size * empty_obj + assert len(self._data) == np.unique(self.pattern).size, \ + "Data must contain one element for each unique identifier in pattern" + self.reset_changed() + + def x_major(self): + return (self._conv_ix((x,y)) for y in range(self.size[1]) for x in range(self.size[0])) + + def y_major(self): + return (self._conv_ix((x,y)) for x in range(self.size[0]) for y in range(self.size[1])) + + def __len__(self): + return len(self._data) + + def mean(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) / len(finite_elems) + except Exception as e: + return sum(self._data) / len(self) + + def sum(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) + except Exception as e: + return sum(self._data) + + def normalize(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a / np.max(np.abs(a)) for a in self._data] + return new_list + + def conj(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a.conj() for a in self._data] + return new_list + def items(self): + return [a.item() for a in self._data] + + def pack_data(self): + data = [] + for a in self._data: + data.append(np.reshape(a, (-1,))) + return np.concatenate(data) + + def reset_changed(self): + if self._data is not None: + self._changed = len(self._data) * [False] + return self + + def mark_changed(self, linear_ix): + if self._changed is not None: + self._changed[linear_ix] = True + + def is_changed(self, *ix): + if self._changed is None: + return False + linear_ix = self._conv_ix(ix) + return self._changed[linear_ix] + + def fill(self, data, d=None, D=None): + new_list = TList(shape=self.size, pattern=self.pattern) + offset = 0 + new_data = [] + for i,a in enumerate(self): + siz = a.size + # new_data.append(np.reshape(data[offset:offset+siz], (d, D, D, D, D))) + new_data.append(np.reshape(data[offset:offset+siz], a.shape)) + offset = offset + siz + new_list._data = new_data + return new_list + + def tot_numel(self): + return sum([a.size for a in self._data]) + + def stop_gradient(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [jax.lax.stop_gradient(a) if len(a)>0 else a for a in self._data] + return new_list + + def _conv_ix(self, ix): + if isinstance(ix, (tuple,list)): + if len(self._loc) == 1: + # shift_i, shift_j = onp.unravel_index(self._loc[0], self.size, order='F') + shift_j, shift_i = np.unravel_index(self._loc[0], self.size) + else: + shift_i, shift_j = self._loc + i = (ix[0] + shift_i) % self.size[0] + j = (ix[1] + shift_j) % self.size[1] + # linear_ix = np.ravel_multi_index((i,j), self.size, order='F') + linear_ix = self._linear_ix(i,j) + else: + linear_ix = ix + return linear_ix + + def _linear_ix(self, i, j): + if self.pattern is not None: + return self.pattern[j][i] + else: + return np.ravel_multi_index((i,j), self.size, order='F') + + def _purge_tmp(self): + self._tmpdata = None + self._hold_write = False + + def __eq__(self, other): + if self._data != other._data: + return False + if self.pattern is not None: + if other.pattern is None: + return False + if not (self.pattern == other.pattern).all(): + return False + return True + + def __getitem__(self, ix): + linear_ix = self._conv_ix(ix) + if self._tmpdata is not None and self._tmpdata[linear_ix] is not None: + return self._tmpdata[linear_ix] + return self._data[linear_ix] + + def __setitem__(self, ix, value): + linear_ix = self._conv_ix(ix) + if self._hold_write: + if self._tmpdata is None: + self._tmpdata = [None] * len(self) + self._tmpdata[linear_ix] = self._data[linear_ix] + self._data[linear_ix] = value + self.mark_changed(linear_ix) + + def __repr__(self): + if self._data is None: + return "TList{}[]" + repr_str = "TList{" + if self._loc is not None: + repr_str += "Loc=" + self._loc.__repr__() + if self.pattern is not None: + repr_str += ",Pat=" + self.pattern.__repr__() + repr_str += ",Size=" + self.size.__repr__() + repr_str += "}[" + for j in range(self.size[1]): + repr_str += "[" + for i in range(self.size[0]): + try: + repr_str += f"{self[i,j].shape}" + except: + repr_str += self[i,j].__repr__() + if i < self.size[0]-1: + repr_str += ", " + if j < self.size[1]-1: + repr_str += "], " + else: + repr_str += "]]" + return repr_str + + @staticmethod + def empty_like(T, empty_obj=None): + if empty_obj is None: + empty_obj = T.empty_obj + return TList(shape=T.size, pattern=T.pattern, empty_obj=empty_obj) + +def isfinite(x): + try: + return len(x) > 0 + except Exception as e: + return np.isfinite(np.array(x)) diff --git a/docs/.buildinfo b/docs/.buildinfo new file mode 100644 index 0000000..1526412 --- /dev/null +++ b/docs/.buildinfo @@ -0,0 +1,4 @@ +# Sphinx build info version 1 +# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. +config: b36c6381b860d3fa6d2a0c9c335cb328 +tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/docs/.nojekyll b/docs/.nojekyll new file mode 100644 index 0000000..e69de29 diff --git a/docs/_modules/adpeps/ipeps/config.html b/docs/_modules/adpeps/ipeps/config.html new file mode 100644 index 0000000..f468630 --- /dev/null +++ b/docs/_modules/adpeps/ipeps/config.html @@ -0,0 +1,474 @@ + + + + + + + + adpeps.ipeps.config — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.config

+""" Configuration module for iPEPS simulations 
+
+    These settings will be loaded from a configuration file :code:`.yml` file 
+    via the :meth:`from_dict` function
+"""
+
+from typing import Union, Iterable
+import numpy as np
+import math
+import os
+
+D: int                         = None
+""" iPEPS bond dimension """
+
+chi: int                       = None
+""" CTM boundary bond dimension """
+
+model: str                     = None
+""" Model """
+
+model_params: dict             = None
+""" Model parameters """
+
+method: str                    = None
+""" Optimization method """
+
+seed: int                      = 1
+""" Random seed for initial state """
+
+resume: bool                   = False
+""" Resume earlier simulation if found """
+
+base_sim: Union[str, None]     = None
+""" Base simulation """
+
+load_sim: bool                 = None
+""" Load previous (Python) simulation """
+
+max_iterations: int            = 100
+""" Maximum number of optimizer iterations """
+
+disp_level: int                = 1
+""" Display level (`0`: no output) """
+
+pattern: Union[Iterable, None] = None
+""" Unit cell configuration
+    Defined as a 2-D array of integers that label the unique sites in the unit 
+    cell.
+    
+    Example:
+        A 2x2 unit cell with a [AB, BA]-type pattern is defined by
+
+        .. code-block:: python
+
+            pattern            = [
+                [0, 1],
+                [1, 0]
+            ]
+"""
+
+ctm_conv_tol: float            = 1e-10
+""" CTM convergence criterium (singular values norm difference) """
+
+ctm_min_iter: int              = 5
+""" Minimal number of CTM steps """
+
+ctm_max_iter: int              = 20
+""" Maximal number of CTM steps """
+
+flush_output: bool             = False
+""" Passes the :code:`flush    = True` argument to the builtin :code:`print` function
+    when calling the :func:`adpeps.utils.printing.print` function
+
+    Useful when deploying the code to computing clusters and capturing the output 
+    into text files
+"""
+
+out_prefix: str                = ''
+""" Optional prefix for the output file of the simulation
+    
+    Example:
+        :code:`.../{model}_D{D}_X{chi}.npz`
+
+        becomes
+
+        :code:`.../{out_prefix}_{model}_D{D}_X{chi}.npz`
+"""
+
+# Excitation settings
+
+px: float                      = 0 * math.pi
+
+py: float                      = 0 * math.pi
+
+momentum_path: str             = 'Bril1'
+""" Momentum path through the BZ """
+
+filter_null: bool              = False
+
+
[docs]def from_dict(cfg): + """ Import config from configuration (`.yml`) file """ + + cfg_vars = globals() + for name, value in cfg.items(): + if name in cfg_vars.keys(): + cfg_vars[name] = value + else: + raise ValueError(f"Option {name} = {value} not defined in iPEPS config") + try: + debug_override = os.environ.get("PY_SIM_DEBUG2") + if debug_override is not None and int(debug_override) == 1: + print("** Debug mode on (PY_SIM_DEBUG = 1) **") + cfg_vars['disp_level'] = 2 + cfg_vars['use_parallel'] = False + except: + pass
+ +def get_model_params(): + if model_params is not None: + try: + return '_'.join([str(p) for p in model_params.values()]) + '_' + except AttributeError: + return '_'.join([str(p) for p in model_params]) + '_' + else: + return '' +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/ipeps/ctm.html b/docs/_modules/adpeps/ipeps/ctm.html new file mode 100644 index 0000000..489967a --- /dev/null +++ b/docs/_modules/adpeps/ipeps/ctm.html @@ -0,0 +1,859 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.ctm

+"""
+    Main CTM code
+
+    The individual site and boundary tensors come in a 
+    special list-type object (TList), which has extra 
+    indexing features, such as periodic boundary 
+    conditions and shift contexts
+
+    All ncon contractions are defined in contractions.yaml
+"""
+
+import jax
+import jax.numpy as np
+import time
+from typing import Tuple
+
+import adpeps.ipeps.config as sim_config
+from adpeps.utils.ctmtensors import CTMTensors
+from adpeps.utils.nested import Nested
+from adpeps.utils.tlist import TList, cur_loc, set_pattern
+from adpeps.tensor.contractions import ncon
+from adpeps.tensor.ops import svd, diag_inv
+
+def run_ctm(tensors, chi, conv_fun=None):
+    ctm = CTM(tensors, chi, conv_fun)
+    return ctm()
+
+
[docs]class CTM: + """ CTM class """ + + def __init__(self, tensors: CTMTensors, chi: int, conv_fun=None): + """ + Args: + tensors: input ctmtensors + chi: boundary bond dimension + """ + + self.tensors = tensors + self.chi = chi + self.conv_fun = conv_fun + self.tol = sim_config.ctm_conv_tol # Convergence tolerance (singular values) + self.min_iter = sim_config.ctm_min_iter + self.max_iter = sim_config.ctm_max_iter + + self.singular_values = None + self.last_convergence = None + self.diffs = [None] + self.n_steps = 0 + self.last_ctm_time = None + self.convergence = np.nan + self.condition_number = None + + def __call__(self): + while not self.converged: + self.show_progress() + self.update() + + return self.tensors, self.convergence + +
[docs] def update(self): + """ Perform an update of all boundary tensors """ + start = time.time() + self.tensors, s = renormalize(self.tensors, self.chi) + end = time.time() + + try: + s = jax.lax.stop_gradient(s) + s_nz = s[s != 0] / np.max(s) + cond_s = np.min(s_nz) + except: + cond_s = np.nan + + self.n_steps += 1 + self.singular_values = s + self.last_ctm_time = round(end-start, 2) + self.condition_number = cond_s
+ +
[docs] def show_progress(self): + """ Print out the current progress """ + if self.n_steps > 0 and sim_config.disp_level > 0: + if self.conv_fun is not None: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time} obj: {self.convergence:.6f}") + else: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time}")
+ + @property + def converged(self): + """ Check convergence with supplied convergence function """ + if self.conv_fun is not None: + s = jax.lax.stop_gradient(self.conv_fun(self.tensors)) + else: + s = self.singular_values + + self.last_convergence = self.convergence + self.convergence = s + try: + diff = np.linalg.norm(self.convergence-self.last_convergence) + self.diffs.append(diff) + except: + diff = np.nan + + if self.n_steps >= self.min_iter and self.diffs[-1] < self.tol: + return True + elif self.n_steps >= self.max_iter: + return True + else: + return False
+ +
[docs]def renormalize(tensors: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Performs a CTM iteration + Updates all sites in the unit cell + + Args: + tensors: input ctmtensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **S** (*np.ndarray*): singular values of C1 (for convergence) + """ + + with set_pattern(tensors.A.pattern): + tensors, sl = do_left(tensors, chi) + tensors = do_right(tensors, chi) + tensors = do_top(tensors, chi) + tensors = do_bottom(tensors, chi) + + # Singular values of C1[0,0] - to check for convergence + S = sl[0] + return (tensors, S)
+ + +""" + --------------------- + Individual left, right, top and bottom moves + --------------------- + + Each move consists of a loop through the sites of the unit cell + in which first the projectors are computed and then the boundary + tensors are updated. + + The loops are optimized for readability with a few tricks that are + implemented in the TList or CTMTensors classes: + + - cur_loc(x,y): with this context enabled, all TList objects have a + shift applied in their coordinates. + For example: + + A[0,0] = 1 + A[1,0] = 2 + with cur_loc(1,0): + print(A[0,0]) # => 2 (retrieves element A([0,0]+[1,0]) = A[1,0]) + + Using this context, the operations in the inner loops can be written + without reference to the (i,j) loop indices, as if it's just written + for one site in the unit cell. + + - CTMTensors.hold(tensor1, ...): with this context enabled, any values + stored in tensor1 (and other designated tensors) are only put in a + temporary location, so that reading the tensor still yields the + original values. After the context exits, the values will be + overwritten by the temporary values. + Example: + + # ts is a CTMTensors object containing site/boundary tensors + ts.C1[0,0] = [1] + ts.C2[0,0] = [2] + with ts.hold('C1'): + ts.C1[0,0] = [10] + ts.C2[0,0] = [20] + print(ts.C1[0,0]) # => [1] since the value [10] is not yet stored + print(ts.C2[0,0]) # => [20] + + print(ts.C1[0,0]) # => [10] since the context has exited + + With this context, there is no need to store the updated boundary + tensors in the inner loops in temporary objects (since in CTM each + update step should be performed separately). + + - TList.is_changed(x,y): tracks whether any of the tensors in the TList + have been updated since the last call to TList.reset_changed(). + This is useful for unit cells with pattern restrictions, so that + boundary tensors that correspond to equivalent sites are only + computed once. + +""" + +
[docs]def do_left(ts: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Perform left CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **sl** (*np.ndarray*): singular values of C1 (for convergence) + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C4.reset_changed() + ts.T4.reset_changed() + Pl = TList(shape=unit_cell) # Upper projectors + Plb = TList(shape=unit_cell) # Lower projectors + sl = TList(shape=unit_cell) # Singular values (for convergence check) + # Loop over x direction of the unit cell + for i in range(A.size[0]): + # Loop over y direction + for j in range(A.size[1]): + # Change the relative shift of the lists + with cur_loc(i,j): + if not Pl.is_changed(0,1): + Pl[0,1], Plb[0,1], sl[0,1] = get_projectors_left(ts, chi) + # Only update the lists after the loop over j is completed + with ts.hold('all_C1','all_C4','all_T4'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C1.is_changed(0,0): + ts.update(('C1', 'C4', 'T4'), ([0,0],[0,0],[0,0]), renorm_left(ts, Pl, Plb)) + return ts, sl
+ +
[docs]def do_right(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform right CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C2.reset_changed() + ts.C3.reset_changed() + ts.T2.reset_changed() + Pr = TList(shape=unit_cell) + Prb = TList(shape=unit_cell) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not Pr.is_changed(0,1): + Pr[0,1], Prb[0,1], _ = get_projectors_right(ts, chi) + with ts.hold('all_C2','all_C3','all_T2'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C2.is_changed(1,0): + ts.update(('C2', 'C3', 'T2'), ([1,0],[1,0],[1,0]), renorm_right(ts, Pr, Prb)) + return ts
+ +
[docs]def do_top(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform top CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C2.reset_changed() + ts.T1.reset_changed() + Pt = TList(shape=unit_cell) + Ptb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pt.is_changed(0,0): + Pt[0,0], Ptb[0,0], _ = get_projectors_top(ts, chi) + with ts.hold('all_C1','all_C2','all_T1'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C1.is_changed(-1,0): + ts.update(('C1', 'C2', 'T1'), ([-1,0],[2,0],[0,0]), renorm_top(ts, Pt, Ptb)) + return ts
+ +
[docs]def do_bottom(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform bottom CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C3.reset_changed() + ts.C4.reset_changed() + ts.T3.reset_changed() + Pb = TList(shape=unit_cell) + Pbb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pb.is_changed(0,0): + Pb[0,0], Pbb[0,0], _ = get_projectors_bottom(ts, chi) + with ts.hold('all_C3','all_C4','all_T3'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C3.is_changed(2,1): + ts.update(('C3', 'C4', 'T3'), ([2,1],[-1,1],[0,1]), renorm_bottom(ts, Pb, Pbb)) + return ts
+ + +""" + --------------------- + Individual left, right, top and bottom projectors + --------------------- + + The projectors are computed by contracting a corner of the + system (C-tensor + 2 T-tensors + A and Adagger tensors) + in the top (/left) half with a corner in the bottom (/right) + half and performing an svd +""" + +
[docs]def get_projectors_left(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the left projectors + """ + tensors = (ts.C1[-1,-1],ts.C4[-1,2],ts.T1[0,-1],ts.T3[0,2],ts.T4[-1,0],ts.T4[-1,1], + ts.A[0,0], ts.Ad[0,0], ts.A[0,1], ts.Ad[0,1]) + return _get_projectors_left_impl(*tensors, chi)
+ +def _get_projectors_left_impl(C1,C4,T1,T3,T4u,T4d,Au,Adu,Ad,Add, chi): + Cs1 = ncon([C1, T1], 'proj_left_Cs1') + Q1 = ncon([Cs1, T4u, Au, Adu], 'proj_left_Q1') + + Cs4 = ncon([C4, T3], 'proj_left_Cs4') + Q4 = ncon([Cs4, T4d, Ad, Add], 'proj_left_Q4') + + Q4 = Q4.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q4, chi) + +
[docs]def get_projectors_right(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the right projectors + """ + tensors = (ts.C2[2,-1],ts.C3[2,2],ts.T1[1,-1],ts.T2[2,0],ts.T2[2,1],ts.T3[1,2], + ts.A[1,0], ts.Ad[1,0], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_right_impl(*tensors, chi)
+ +def _get_projectors_right_impl(C2,C3,T1,T2u,T2d,T3,Au,Adu,Ad,Add, chi): + Cs2 = ncon([C2, T1], 'proj_right_Cs2') + Q2 = ncon([Cs2, T2u, Au, Adu], 'proj_right_Q2') + + Cs3 = ncon([C3, T3], 'proj_right_Cs3') + Q3 = ncon([Cs3, T2d, Ad, Add], 'proj_right_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q2, Q3, chi) + +
[docs]def get_projectors_top(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the top projectors + """ + tensors = (ts.C1[-1,-1],ts.C2[2,-1],ts.T1[0,-1],ts.T1[1,-1],ts.T2[2,0],ts.T4[-1,0], + ts.A[0,0], ts.Ad[0,0], ts.A[1,0], ts.Ad[1,0]) + return _get_projectors_top_impl(*tensors, chi)
+ +def _get_projectors_top_impl(C1,C2,T1l,T1r,T2,T4,Al,Adl,Ar,Adr, chi): + Cs1 = ncon([C1, T4], 'proj_top_Cs1') + Q1 = ncon([Cs1, T1l, Al, Adl], 'proj_top_Q1') + + Cs2 = ncon([C2, T2], 'proj_top_Cs2') + Q2 = ncon([Cs2, T1r, Ar, Adr], 'proj_top_Q2') + + Q2 = Q2.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q2, chi) + +
[docs]def get_projectors_bottom(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the bottom projectors + """ + tensors = (ts.C3[2,2],ts.C4[-1,2],ts.T2[2,1],ts.T3[0,2],ts.T3[1,2],ts.T4[-1,1], + ts.A[0,1], ts.Ad[0,1], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_bottom_impl(*tensors, chi)
+ +def _get_projectors_bottom_impl(C3,C4,T2,T3l,T3r,T4,Al,Adl,Ar,Adr, chi): + Cs4 = ncon([C4, T4], 'proj_bottom_Cs4') + Q4 = ncon([Cs4, T3l, Al, Adl], 'proj_bottom_Q4') + + Cs3 = ncon([C3, T2], 'proj_bottom_Cs3') + Q3 = ncon([Cs3, T3r, Ar, Adr], 'proj_bottom_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q4, Q3, chi) + +
[docs]def get_projectors(T1: int, T2, chi): + """ Contracts the corners together and computes the + projectors by performing an svd + """ + full_chi = T1.shape[3]*T1.shape[4]*T1.shape[5] + new_chi = min(full_chi, chi) + + Rho = ncon([T1, T2], ([-1,-2,-3,1,2,3], [1,2,3,-4,-5,-6])) + Rho_shape = Rho.shape + Rho = np.reshape(Rho, [Rho_shape[0]*Rho_shape[1]*Rho_shape[2], -1]) + u,s,v = svd(Rho, new_chi, 'n') + u = np.reshape(u, [Rho_shape[0], Rho_shape[1], Rho_shape[2], -1]) + v = np.reshape(v.T, [Rho_shape[3], Rho_shape[4], Rho_shape[5], -1]) + inv_s = diag_inv(np.sqrt(s)) + + P1 = ncon([T2, v, inv_s], 'proj_P1') + P2 = ncon([T1, u, inv_s], 'proj_P2') + + P1 = P1.transpose([3,0,1,2]) + P2 = P2.transpose([3,0,1,2]) + return P1, P2, s
+ + +""" + --------------------- + Individual left, right, top and bottom boundary tensor updates + --------------------- + + The boundary tensors are updated for one site at a time from the + tensors of the previous iteration with the site tensors and truncated + by using the projectors + + In these functions, the boundary tensors can be wrapped as Nested tensors, + containing both ground-state and excited-state tensors. + When using these Nested tensors, all different combinations are computed + automatically. + For example: + + Nested({C1,B_C1,Bd_C1,BB_C1}) * Nested({T1,B_T1,Bd_T1,BB_T1}) -> + Nested({ + (C1 * T1), + (B_C1 * T1 + C1 * B_T1), + (Bd_C1 * T1 + C1 * Bd_T1), + (BB_C1 * T1 + B_C1 * Bd_T1 + Bd_C1 * B_T1 + C1 * BB_T1) + }) + + The phase shifts are only applied to the B and Bd parts of the Nested tensors +""" + +
[docs]def renorm_left(ts: CTMTensors, Pl: np.ndarray, Plb: np.ndarray + ) -> Tuple[Nested, Nested, Nested]: + """ + + """ + new_T4 = ncon([Plb[0,0], ts.all_T4[-1,0], ts.all_A[0,0], ts.all_Ad[0,0], Pl[0,1]], 'doleft_T4', + normalize=True).shift(-sim_config.px) + + Cs1 = ncon([ts.all_C1[-1,0], ts.all_T1[0,0]], 'doleft_Cs1') + new_C1 = ncon([Cs1, Pl[0,1]], 'doleft_C1', normalize=True).shift(-sim_config.px) + + Cs4 = ncon([ts.all_C4[-1,0], ts.all_T3[0,0]], 'doleft_Cs4') + new_C4 = ncon([Cs4, Plb[0,0]], 'doleft_C4', normalize=True).shift(-sim_config.px) + + return new_C1, new_C4, new_T4
+ +def renorm_right(ts, Pr, Prb): + new_T2 = ncon([Prb[0,0], ts.all_T2[2,0], ts.all_A[1,0], ts.all_Ad[1,0], Pr[0,1]], 'doright_T2', + normalize=True).shift(sim_config.px) + + Cs2 = ncon([ts.all_C2[2,0], ts.all_T1[1,0]], 'doright_Cs2') + new_C2 = ncon([Cs2, Pr[0,1]], 'doright_C2', normalize=True).shift(sim_config.px) + + Cs3 = ncon([ts.all_C3[2,0], ts.all_T3[1,0]], 'doright_Cs3') + new_C3 = ncon([Cs3, Prb[0,0]], 'doright_C3', normalize=True).shift(sim_config.px) + + return new_C2, new_C3, new_T2 + +def renorm_top(ts, Pt, Ptb): + new_T1 = ncon([Ptb[-1,0], ts.all_T1[0,-1], ts.all_A[0,0], ts.all_Ad[0,0], Pt[0,0]], 'dotop_T1', + normalize=True).shift(-sim_config.py) + + Cs1 = ncon([ts.all_C1[-1,-1], ts.all_T4[-1,0]], 'dotop_Cs1') + new_C1 = ncon([Cs1, Pt[-1,0]], 'dotop_C1', normalize=True).shift(-sim_config.py) + + Cs2 = ncon([ts.all_C2[2,-1], ts.all_T2[2,0]], 'dotop_Cs2') + new_C2 = ncon([Cs2, Ptb[1,0]], 'dotop_C2', normalize=True).shift(-sim_config.py) + + return new_C1, new_C2, new_T1 + +def renorm_bottom(ts, Pb, Pbb): + new_T3 = ncon([Pbb[-1,0], ts.all_T3[0,2], ts.all_A[0,1], ts.all_Ad[0,1], Pb[0,0]], 'dobottom_T3', + normalize=True).shift(sim_config.py) + + Cs3 = ncon([ts.all_C3[2,2], ts.all_T2[2,1]], 'dobottom_Cs3') + new_C3 = ncon([Cs3, Pbb[1,0]], 'dobottom_C3', normalize=True).shift(sim_config.py) + + Cs4 = ncon([ts.all_C4[-1,2], ts.all_T4[-1,1]], 'dobottom_Cs4') + new_C4 = ncon([Cs4, Pb[-1,0]], 'dobottom_C4', normalize=True).shift(sim_config.py) + + return new_C3, new_C4, new_T3 +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/ipeps/ipeps.html b/docs/_modules/adpeps/ipeps/ipeps.html new file mode 100644 index 0000000..f0ad27e --- /dev/null +++ b/docs/_modules/adpeps/ipeps/ipeps.html @@ -0,0 +1,635 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.ipeps

+"""
+    iPEPS module for optimization with CTM
+
+    For an example of how to run a simulation see :mod:`adpeps.simulation.run_ipeps_gs`
+
+    The module is initialized from one of the specific 
+    model files, which return the initial boundary and 
+    site tensors
+
+    The list of parameters is set to the elements of the 
+    individual site tensors
+
+    Conventions for indices:
+
+        - Site tensors::
+
+            A: [phys, right, top, left, bottom]
+
+        - Boundary tensors::
+
+            C1: [right, bottom]
+            C2: [left,  bottom]
+            C3: [top,   left]
+            C4: [right, top]
+            T1: [right, left, ket, bra]
+            T2: [top,   bottom, ket, bra]
+            T3: [right, left, ket, bra]
+            T4: [top,   bottom, ket, bra]
+
+
+    Order of boundary tensors::
+
+        C1 - T1 - C2
+        |    |    |
+        T4 - A  - T2
+        |    |    |
+        C4 - T3 - C3
+"""
+
+from functools import partial
+import copy
+
+from jax import random
+import jax
+import jax.numpy as np
+
+from .ctm import run_ctm
+from adpeps.ipeps import evaluation
+from adpeps.ipeps import models
+from adpeps.tensor.contractions import ncon
+from adpeps.utils.ctmtensors import CTMTensors
+from adpeps.utils.printing import print
+from adpeps.utils.tlist import set_pattern, cur_loc, TList
+import adpeps.ipeps.config as sim_config
+
+
[docs]class iPEPS: + """ Initialize the iPEPS based on the settings in + :mod:`adpeps.ipeps.config` + """ + reinit_env = False + + def __init__(self): + # Load model Hamiltonian, observables + model = getattr(models, sim_config.model) + self.H, self.observables = model.setup() + + # Initialize tensors + self.d = self.H.shape[0] + A = init_A_tensor(self.d, sim_config.D, sim_config.pattern) + A = A.normalize() + Ad = A.conj() + Cs, Ts = init_ctm_tensors(A, A) + self.tensors = CTMTensors(A, Ad, Cs, Ts) + self.base_Cs, self.base_Ts = None, None + + # Initialize conv object + self.convergence = {} + + self.reinit_env = True + +
[docs] def run(self, params: np.ndarray) -> np.ndarray: + """ + Run the simulation + + Args: + params: variational parameters + + Returns: + energy of iPEPS + """ + + if params is not None: + self.fill(params) + + if self.reinit_env: + # Construct new boundary tensors and perform ctm iterations + # until convergence + # Note: gradient tracking is disabled for this function, so + # only the ctm steps in the code after this line will be tracked + print('Performing CTM pre-steps without tracking') + self.converge_boundaries() + + # Perform the ctm routine to obtain updated boundary tensors + print('Performing CTM') + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=self.compute_energy) + + # Evaluate energy + res = self.evaluate() + + # Stop downstream gradient tracking for iPEPS tensors, + # so they become regular arrays that can be saved + self.tensors.stop_gradient(only_boundaries=False) + + return res
+ + def compute_energy(self, tensors): + E, _ = evaluation.get_gs_energy(self.H, tensors) + return E + +
[docs] def converge_boundaries(self): + """ Performs CTM on the boundary tensors until convergence, + without gradient tracking + """ + # Make a non-tracking version of the iPEPS tensors + orig_A = copy.deepcopy(self.tensors.A) + self.tensors.A = self.tensors.A.stop_gradient() + self.tensors.Ad = self.tensors.Ad.stop_gradient() + + # Initialize new boundary tensors + Cs, Ts = init_ctm_tensors(self.tensors.A, self.tensors.Ad) + self.tensors = CTMTensors(self.tensors.A, self.tensors.Ad, Cs, Ts) + + # Perform CTM update steps on the boundary tensors + conv_fun = self.compute_energy + self.tensors.stop_gradient() + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=conv_fun) + self.tensors.stop_gradient() + + self.save_boundary_tensors() + + # Restore the original (tracking) site tensors + self.tensors.A = orig_A + self.tensors.Ad = orig_A.conj()
+ + def save_boundary_tensors(self): + self.base_Cs = copy.deepcopy(self.tensors.Cs) + self.base_Ts = copy.deepcopy(self.tensors.Ts) + + def evaluate(self): + E = self.compute_energy(self.tensors) + print('Energy:', jax.lax.stop_gradient(E).item()) + return E + + + """ Input/output methods """ + +
[docs] def numel(self): + """ Number of variational parameters """ + return self.tensors.A.tot_numel()
+ +
[docs] def parse_elements(self, elements): + """ Returns site tensors filled with the input elements """ + assert elements.size == self.numel(), f"Size of input vector ({elements.size}) does not \ + match the number of parameters of the iPEPS ({self.numel()})" + return self.tensors.A.fill(elements, self.d, sim_config.D)
+ +
[docs] def fill(self, A): + """ Fill the site tensors with the elements + The elements can be specified either as a list of (d,D,D,D,D)-dimensional + arrays or one 1-dimensional array of all elements concatenated + """ + if isinstance(A, np.ndarray) and A.ndim == 1: + # Input is vector of elements + A = self.parse_elements(A) + self.tensors.A = A + self.tensors.Ad = A.conj() + else: + # Input is a list of arrays + assert len(A) == len(self.tensors.A), "Number of input tensors does not match \ + the number of site tensors of the iPEPS" + for i in range(len(self.tensors.A)): + self.tensors.A._data[i] = A[i] + self.tensors.Ad._data[i] = A[i].conj()
+ + +
[docs]class iPEPS_exci(iPEPS): + """ Excited-state variant of the iPEPS class + """ + + reinit_env = False + + def __init__(self): + super().__init__() + self.substract_gs_energy() + + def normalize_gs(self): + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrms0[0]}", level=1) + self.tensors.A._data = [a/np.sqrt(np.abs(nrms0[i])) for i, a in enumerate(self.tensors.A)] + self.tensors.Ad = self.tensors.A.conj() + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrm0}", level=1) + + def substract_gs_energy(self): + E, _ = evaluation.get_gs_energy(self.H, self.tensors) + E = E/2 + print(f"Substracting {E} from Hamiltonian", level=1) + self.H = self.H - E * np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + # self.H = np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + + def evaluate(self): + E = evaluation.get_all_energy(self.H, self.tensors) + nrm, _, envBs, _ = evaluation.compute_exci_norm(self.tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=0) + print('Norm:', jax.lax.stop_gradient(nrm), level=0) + return E[3], envBs + + def run_gc(self, *args): + res, _ = self.run(*args) + return res + + def compute_energy(self, tensors): + E = evaluation.get_all_energy(self.H, tensors) + nrm, *_ = evaluation.compute_exci_norm(tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=2) + print('Norm:', jax.lax.stop_gradient(nrm), level=2) + print('Normalized E:', jax.lax.stop_gradient(E[3])/jax.lax.stop_gradient(nrm), level=2) + return E[3] / nrm + + def compute_orth_basis(self): + return evaluation.get_orth_basis(self.tensors) + + + """ Input/output methods """ + +
[docs] def fill(self, B): + if isinstance(B, np.ndarray) and B.ndim == 1: + # Input is vector of elements + B = self.parse_elements(B) + self.tensors.B = B + self.tensors.Bd = B.conj() + else: + for i in range(len(self.tensors.A._data)): + self.tensors.B._data[i] = B[i] + self.tensors.Bd._data[i] = B[i].conj()
+ + +
[docs]def init_A_tensor(d, D, pattern): + """ + The elements will be randomized based on sim_config.seed + """ + with set_pattern(pattern): + A = TList() + + key = random.PRNGKey(sim_config.seed) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not A.is_changed(0,0): + key, subkey = random.split(key) + A[0,0] = random.normal(key, (d,D,D,D,D)) + return A
+ +
[docs]def init_ctm_tensors(A, Ad): + """ + Returns initital boundary T-tensors based on the unit cell tensors and + empty trivial boundary C-tensors + """ + unit_cell = A.size + D = A[0].shape[1] + + Cs = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + Ts = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + Cs[0][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[1][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[2][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[3][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Ts[0][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,4,-1], [1,2,3,4,-2])), axis=(0,1)) + Ts[1][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,-1,4], [1,2,3,-2,4])), axis=(0,1)) + Ts[2][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,-1,3,4], [1,2,-2,3,4])), axis=(0,1)) + Ts[3][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,-1,2,3,4], [1,-2,2,3,4])), axis=(0,1)) + return Cs, Ts
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/ipeps/models/heisenberg.html b/docs/_modules/adpeps/ipeps/models/heisenberg.html new file mode 100644 index 0000000..36f3dc2 --- /dev/null +++ b/docs/_modules/adpeps/ipeps/models/heisenberg.html @@ -0,0 +1,376 @@ + + + + + + + + adpeps.ipeps.models.heisenberg — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.models.heisenberg

+""" 2D Heisenberg model """
+
+import jax.numpy as np
+
+from .common import sigmaz, sigmap, sigmam
+from adpeps.utils.tlist import set_pattern
+import adpeps.ipeps.config as sim_config
+
+name = "Heisenberg spin-1/2 model"
+
+
[docs]def setup(): + """ Returns the Hamiltonian """ + H = make_hamiltonian(**sim_config.model_params) + + obs = None + return H, obs
+ +
[docs]def make_hamiltonian(J=1): + """ Heisenberg model """ + H = tprod(sigmaz, sigmaz) / 4 + \ + tprod(sigmap, sigmam) / 2 + \ + tprod(sigmam, sigmap) / 2 + H = J * H + return H
+ +def tprod(a,b): + return np.outer(a,b).reshape([2,2,2,2], order='F').transpose([0,2,1,3]) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/simulation/run_ipeps_exci.html b/docs/_modules/adpeps/simulation/run_ipeps_exci.html new file mode 100644 index 0000000..30ec9c0 --- /dev/null +++ b/docs/_modules/adpeps/simulation/run_ipeps_exci.html @@ -0,0 +1,590 @@ + + + + + + + + adpeps.simulation.run_ipeps_exci — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.simulation.run_ipeps_exci

+""" Main excited-state executable script
+
+    Note:
+        The simulations are intended to be used by calling the package 
+        directly via :code:`python -m adpeps ...`, as described in 
+        :ref:`notes/start`
+"""
+
+from jax import grad, jit, vmap, value_and_grad
+from jax import random
+from jax.scipy.optimize import minimize
+from jax.test_util import check_grads
+from scipy import optimize
+from scipy.linalg import eigh, eig
+from yaml import safe_load, dump
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci
+from adpeps.ipeps.make_momentum_path import make_momentum_path
+from adpeps.utils import io
+from adpeps.utils.printing import print
+from adpeps.ipeps.evaluation import filter_null_modes
+import adpeps.ipeps.config as sim_config
+
+
[docs]def run(config_file: str, momentum_ix: int): + """ Start the simulation + + Args: + config_file: filename of the configuration file + momentum_ix: index of the point in momentum space + """ + + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + if not base_file.exists(): + print(f"Base file {base_file} not found. Prepare the simulation first by \ + running with option '-i'") + return + + sim = iPEPSExciSimulation(config_file, momentum_ix) + output_folder = io.get_exci_folder() + output_folder.mkdir(parents=True, exist_ok=True) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + output_file = io.get_exci_file(momentum_ix) + print(f"Output: {output_file}", level=2) + basis_size = sim.basis_size + res_dtype = np.complex128 + H = onp.zeros((basis_size,basis_size), dtype=res_dtype) + N = onp.zeros((basis_size,basis_size), dtype=res_dtype) + + for m in range(basis_size): + grad_H, grad_N = sim(m) + H[:,m] = grad_H + N[:,m] = grad_N + onp.savez(output_file, H=H, N=N) + + print(H) + print(N) + onp.savez(output_file, H=H, N=N) + print('Done') + print(f"Saved to {output_file}")
+ +def prepare(config_file): + with open(config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + print(base_file) + peps = iPEPS() + + gs_file = io.get_gs_file() + loaded_sim = np.load(gs_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + + sim_config.ctm_max_iter = 30 + sim_config.ctm_conv_tol = 1e-12 + + # Converge GS boundary tensors + peps.converge_boundaries() + + # Convert to excitations iPEPS + peps.__class__ = iPEPS_exci + + # Normalize the ground-state tensors such that the state has norm 1 + peps.normalize_gs() + + # Shift the Hamiltonian by the ground-state energy + # The excited state energy is then relative to the ground state + peps.substract_gs_energy() + + # Prepare an orthonormal basis with respect to the ground state + print('Preparing orthonormal basis') + basis = peps.compute_orth_basis() + + print(f"Saving base to {base_file}") + np.savez(base_file, peps=peps, basis=basis) + +def evaluate_single(config_file, momentum_ix): + def _compute_ev_red_basis(H, N, P, n): + P = P[:,:n] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, _ = eig(H2, N2) + return sorted(ev.real) + + + with open(config_file) as f: + cfg = safe_load(f) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + output_file = io.get_exci_file(momentum_ix) + print(output_file) + dat = np.load(output_file) + H, N = dat['H'], dat['N'] + basis = base_sim['basis'] + peps = base_sim['peps'].item() + + # basis = basis.T @ filter_null_modes(peps.tensors, basis) + # print(basis.shape) + # print(N.shape) + # N = basis.T @ N @ basis + # H = basis.T @ H @ basis + # H = H.conjugate() + + H = 0.5 * (H + H.T.conjugate()) + N = 0.5 * (N + N.T.conjugate()) + ev_N, P = np.linalg.eig(N) + idx = ev_N.real.argsort()[::-1] + ev_N = ev_N[idx] + selected = (ev_N/ev_N.max()) > 1e-3 + P = P[:,idx] + P = P[:,selected] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, vectors = eig(H2, N2) + ixs = np.argsort(ev) + ev = ev[ixs] + vectors = vectors[:,ixs] + + return sorted(ev.real) + +def evaluate(config_file, momentum_ix): + if momentum_ix != -2: + return evaluate_single(config_file, momentum_ix) + + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + + import matplotlib.pyplot as plt + evs = [] + for ix in range(len(kxs)): + try: + ev = evaluate_single(config_file, ix) + except: + ev = [np.nan] + evs.append(ev[0]) + plt.plot(evs, '--+') + plt.show() + + + +
[docs]class iPEPSExciSimulation: + """ Simulation class for the excited-state simulation + + Call an instance of this class directly to start the simulation + """ + def __init__(self, config_file, momentum_ix): + self.config_file = config_file + self.momentum_ix = momentum_ix + + @property + def basis_size(self): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = base_sim['basis'] + return basis.shape[1] + + def __call__(self, ix, v=None): + print(f"Starting simulation of basis vector {ix+1}/{self.basis_size}") + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + if v is None: + v = basis[:,ix] + res, grad_H = value_and_grad(peps.run, has_aux=True)(v) + grad_H = grad_H.conj() + print('Res', res, level=2) + grad_N = res[1].pack_data() + print('Grad H', grad_H, level=2) + print('Grad N', grad_N, level=2) + print(f"========== \nFinished basis vector {ix+1}/{self.basis_size} \n") + return basis.T @ jax.lax.stop_gradient(grad_H), basis.T @ jax.lax.stop_gradient(grad_N) + + def check_grads(self, A=None): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + print('Checking gradient') + # peps.fill(A) + check_grads(peps.run_gc, (A,), order=1, modes='rev') + print('Done check')
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/simulation/run_ipeps_gs.html b/docs/_modules/adpeps/simulation/run_ipeps_gs.html new file mode 100644 index 0000000..baa257e --- /dev/null +++ b/docs/_modules/adpeps/simulation/run_ipeps_gs.html @@ -0,0 +1,521 @@ + + + + + + + + adpeps.simulation.run_ipeps_gs — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.simulation.run_ipeps_gs

+""" Main ground-state executable script
+
+    Note:
+        The simulations are intended to be used by calling the package 
+        directly via :code:`python -m adpeps ...`, as described in 
+        :ref:`notes/start`
+"""
+
+from jax import grad, jit, vmap, value_and_grad
+from jax import random
+from jax.scipy.optimize import minimize
+from jax.test_util import check_grads
+from scipy import optimize
+from yaml import safe_load, dump
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci
+from adpeps.utils import io
+from adpeps.utils.printing import print
+import adpeps.ipeps.config as sim_config
+
+
[docs]def run(config_file: str): + """ Start the simulation + + Args: + config_file: filename of the configuration file + """ + + energies = [] + gradnorms = [] + def verbose(xk, step_size=None): + """ Output printing function """ + try: + energies.append(obj.cached_out) + gradnorms.append(obj.gradnrm) + except: + pass + print(' ') + print(' # ======================== #') + print(' # Step completed #') + print(' # ======================== #') + print(' ') + [print(' Step %3d E: %.12f |grad|: %2.8g' % (i,E,gradnorms[i])) for i,E in enumerate(energies)] + print('\n') + # np.savez(output_file, peps=peps, v=xk, gradnorms=gradnorms, energies=energies) + + print('Running') + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + # Load the configuration file into the sim_config object + sim_config.from_dict(cfg) + + # Initialize the iPEPS + peps = iPEPS() + + output_file = io.get_gs_file() + print(f"Output file {output_file}") + + if sim_config.resume and output_file.exists(): + loaded_sim = np.load(output_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + v = loaded_sim['v'] + gradnorms = list(loaded_sim['gradnorms']) + energies = list(loaded_sim['energies']) + print("Resuming existing simulation") + verbose(v) + else: + print("Starting new simulation") + key = random.PRNGKey(sim_config.seed) + v = random.normal(key, (peps.numel(),)) + v = v / np.max(np.abs(v)) + + obj = Objective(peps) + + # Call SciPy's optimization function + obj.return_gn = False + xL = optimize.minimize(obj.out, v, method=sim_config.method, jac=obj.jac, + callback=verbose, options={'gtol': 1e-6, 'disp': True, + 'maxiter':sim_config.max_iterations})
+ +
[docs]class Objective: + """ Class containing the objective function for the optimizer + """ + + def __init__(self, peps: iPEPS): + """ + Args: + peps: iPEPS object to be optimized + """ + self.cached_v = None + self.cached_out = None + self.cached_jac = None + + self.peps = peps + """ iPEPS object """ + + self.fun = peps.run + """ Objective function - CTM iterations until convergence + followed by the evaluation of the energy + """ + self.return_gn = True + + @property + def gradnrm(self): + """ Norm of the gradient """ + return np.linalg.norm(self.cached_jac) + +
[docs] def jac(self, v: np.ndarray) -> np.ndarray: + """ Computes the vector-Jacobian product (gradient) of the + iPEPS at the current point :attr:`v` in parameter space + + This function is designed to work with optimizers that make separate + calls to the objective function and the gradient, by caching both. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + gradient of the iPEPS with respect to the input parameters :attr:`v` + """ + + # Cast the regular numpy array into a Jax numpy array for gradient tracking + v = np.array(v) + v = v / np.max(np.abs(v)) + + if (self.cached_jac is not None and self.cached_v is not None + and np.linalg.norm(v - self.cached_v) < 1e-14): + return self.cached_jac + + # Call the forward + backward pass iPEPS code + o, g = value_and_grad(self.fun)(v) + + # Convert to regular Numpy arrays so they can be passed to the optimizer + # and stored without any tracking information by Jax + o = onp.array(o) + v = onp.array(v) + g = onp.array(g) + + # Cache the results + self.cached_v = v + self.cached_out = o + self.cached_jac = g + + if self.return_gn: + return g, self.gradnrm + else: + return g
+ +
[docs] def out(self, v): + """ Computes the objective function (energy) of the iPEPS + + Since many optimizers make separate calls to the objective function + and the gradient, but usually require both results for any given + parameter vector v, this function calls the gradient as well. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + energy of the iPEPS at the point :attr:`v` in parameter space + """ + if self.cached_v is not None and np.linalg.norm(v - self.cached_v) < 1e-14: + return self.cached_out + else: + self.jac(v) + return self.cached_out
+ + def check_grads(self, A=None): + print('Checking gradient') + self.peps.fill(A) + self.peps.converge_boundaries() + check_grads(self.peps.run, (A,), order=1, modes='rev') + print('Done check')
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/utils/ctmtensors.html b/docs/_modules/adpeps/utils/ctmtensors.html new file mode 100644 index 0000000..c30f7a6 --- /dev/null +++ b/docs/_modules/adpeps/utils/ctmtensors.html @@ -0,0 +1,512 @@ + + + + + + + + adpeps.utils.ctmtensors — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.ctmtensors

+""" Contains utility class that contains all iPEPS tensors """
+
+from dataclasses import dataclass, field, fields, replace, asdict
+from functools import partial
+from typing import List
+
+from .empty_tensor import EmptyT
+from .nested import Nested
+from .tlist import TList, hold_write
+
+
[docs]@dataclass +class CTMTensors: + """ + This is a utility class that contains all tensors related to an iPEPS. + In effect, this forms a representation of the full state, including + the site tensors with variational parameters, the boundary tensors + generated by CTM and the projectors. + + There are several fields of this dataclass that are generated + automatically, which provide convenient wrappers for the tensors. + + For example, the :attr:`CTMTensors.A` field returns the site tensors + (contained in a :class:`adpeps.utils.tlist.TList`) + """ + A: TList + Ad: TList + Cs: List[TList] = field(default_factory=list) + Ts: List[TList] = field(default_factory=list) + + B: TList = field(default=None, metadata={'init_tlist': True}) + Bd: TList = field(default=None, metadata={'init_tlist': True}) + B_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + B_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + + Pl: TList = None + Pr: TList = None + Pt: TList = None + Pb: TList = None + Plb: TList = None + Prb: TList = None + Ptb: TList = None + Pbb: TList = None + + observables: List = field(default_factory=list) + + def _get_field_item(self, fieldname=None, ix=None): + return getattr(self, fieldname).__getitem__(ix) + + def _get_field_nested_item(self, fieldname=None, ix=None): + return getattr(self, fieldname)(ix) + + def _set_field_nested_item(self, fieldname=None, ix=None, value=None): + return getattr(self, f"{fieldname}_set")(ix, value) + + def hold(self, *fields): + def _convert_all(f): + if f.startswith('all_'): + f = f[4:] + return [f, f"B_{f}", f"Bd_{f}", f"BB_{f}"] + else: + return (f,) + fields = [field for fs in [_convert_all(f) for f in fields] for field in fs] + tensors = tuple([getattr(self, field) for field in fields]) + return hold_write(*tensors) + + def __post_init__(self): + base_tlist = self.A + for f in fields(self): + try: + if getattr(self, f.name) is None and f.metadata['init_tlist']: + setattr(self, f.name, TList.empty_like(base_tlist, empty_obj=EmptyT())) + except KeyError: + pass + try: + if getattr(self, f.name) is None and f.metadata['init_tlists']: + setattr(self, f.name, [TList.empty_like(base_tlist, empty_obj=EmptyT()) for _ in range(4)]) + except KeyError: + pass + + def all_Cs(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Cs[ix][i], self.B_Cs[ix][i], + self.Bd_Cs[ix][i], self.BB_Cs[ix][i]]) + return res + + def all_Ts(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ts[ix][i], self.B_Ts[ix][i], + self.Bd_Ts[ix][i], self.BB_Ts[ix][i]]) + return res + + def update(self, fieldnames, ixs, values): + if isinstance(fieldnames, str): + fieldnames = (fieldnames,) + values = (values,) + ixs = (ixs,) + for i,f in enumerate(fieldnames): + value = values[i] + ix = ixs[i] + assert isinstance(value, Nested), "Use the all_Ci setter only with Nested tensors" + getattr(self, f)[ix] = value[0] + getattr(self, f"B_{f}")[ix] = value[1] + getattr(self, f"Bd_{f}")[ix] = value[2] + getattr(self, f"BB_{f}")[ix] = value[3] + + @property + def all_A(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.A._data[i], self.B._data[i], + EmptyT(), EmptyT()]) + return res + + @property + def all_Ad(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ad._data[i], EmptyT(), + self.Bd._data[i], EmptyT()]) + return res + + def stop_gradient(self, only_boundaries=True): + for i in range(4): + self.Cs[i] = self.Cs[i].stop_gradient() + self.Ts[i] = self.Ts[i].stop_gradient() + self.B_Cs[i] = self.B_Cs[i].stop_gradient() + self.B_Ts[i] = self.B_Ts[i].stop_gradient() + self.Bd_Cs[i] = self.Bd_Cs[i].stop_gradient() + self.Bd_Ts[i] = self.Bd_Ts[i].stop_gradient() + self.BB_Cs[i] = self.BB_Cs[i].stop_gradient() + self.BB_Ts[i] = self.BB_Ts[i].stop_gradient() + if not only_boundaries: + self.A = self.A.stop_gradient() + self.Ad = self.Ad.stop_gradient() + self.B = self.B.stop_gradient() + self.Bd = self.Bd.stop_gradient()
+ +def _wrap_f(self, fieldname=None, ix=None): + return self._get_field_item(fieldname, ix) + +def _wrap_nested_f(self, fieldname=None, ix=None): + return self._get_field_nested_item(fieldname, ix) + +def _wrap_nested_f_set(self, value, fieldname=None, ix=None): + return self._set_field_nested_item(fieldname, ix, value) + +attrs = ['Cs', 'Ts', 'B_Cs', 'B_Ts', 'Bd_Cs', 'Bd_Ts', 'BB_Cs', 'BB_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_f, fieldname=attr, ix=i)) + # new_attr.__doc__ = f"Boundary tensors `{attr}` computed by CTM" + new_attr.__doc__ = ":meta private:" + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) +attrs = ['all_Cs', 'all_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_nested_f, fieldname=attr, ix=i), + partial(_wrap_nested_f_set, fieldname=attr, ix=i)) + new_attr.__doc__ = ":meta private:" + # new_attr.__doc__ = ":class:`adpeps.utils.tlist.TList` containing all versions \ + # (only ground-state, ground state + one `B` tensor, ground state \ + # + one `Bdagger` tensor, ground state + one `B` tensor + one `Bdagger`\ + # tensor) of the boundary tensors." + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/utils/empty_tensor.html b/docs/_modules/adpeps/utils/empty_tensor.html new file mode 100644 index 0000000..e21ae04 --- /dev/null +++ b/docs/_modules/adpeps/utils/empty_tensor.html @@ -0,0 +1,426 @@ + + + + + + + + adpeps.utils.empty_tensor — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.empty_tensor

+""" Contains utility class that represents a 'zero' (empty) tensor object """
+
+import jax.numpy as np
+
+
[docs]class EmptyT: + """ Empty tensor utility class, which can be used to represent a + 'zero' tensor. + + The operations involving this type of tensor will return the expected + results, such as (Tensor * EmptyT -> EmptyT), removing the need for + checking if a tensor is empty in the part of the code where the + operation is called. + """ + tag = None + + def __repr__(self): + return "<empty>" + + def __add__(self, other): + return other + + def __radd__(self, other): + return other + + def __sub__(self, other): + return other + + def __rsub__(self, other): + return other + + def __mul__(self, other): + return self + + def __neg__(self): + return self + + def __rmul__(self, other): + if isinstance(other, int): + return [self for _ in range(other)] + else: + return self + + def __truediv__(self, other): + return self + + def copy(self): + return self + + def to_real(self): + return self + + @property + def real(self): + return self + + @property + def data(self): + return [] + + def transpose(self, *args): + return self + + def __rtruediv__(self, other): + return self + + def __len__(self): + return 0 + + def __array__(self): + return np.array([]) + + def item(self): + return self + + def __getitem__(self, ix): + return self + + def mult(self, other, *args): + return self + + def to_complex(self): + return self + + def complex(self): + return False + + def is_finite(self): + return True
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/utils/nested.html b/docs/_modules/adpeps/utils/nested.html new file mode 100644 index 0000000..e137bcd --- /dev/null +++ b/docs/_modules/adpeps/utils/nested.html @@ -0,0 +1,499 @@ + + + + + + + + adpeps.utils.nested — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.nested

+""" Contains utility class that represents a collection of tensors of 
+    different types, with operations that can be applied to all 
+    contained tensors at once
+"""
+
+import cmath
+import jax.numpy as np
+
+from adpeps.types import TensorType
+
+
+
[docs]class Nested: + """ This is a helper class for the efficient contraction of variants of tensors, + used in the energy evaluation of excited states + + A Nested tensor contains the following variants (some may be empty): + + - :attr:`tensors[0]`: regular tensor (no B or Bd) + - :attr:`tensors[1]`: (terms with) a single B tensor + - :attr:`tensors[2]`: (terms with) a single Bd tensor + - :attr:`tensors[3]`: (terms with) both a B and a Bd tensor + + When two Nested tensors x,y are contracted, all combinations are taken into account + and the result is again a Nested tensor, filled with the following variants: + + - :attr:`tensors[0]: x[0] * y[0]` + - :attr:`tensors[1]: x[1] * y[0] + x[0] * y[1]` + - :attr:`tensors[2]: x[2] * y[0] + x[0] * y[2]` + - :attr:`tensors[3]: x[3] * y[0] + x[2] * y[1] + x[1] * y[2] + x[0] * y[3]` + + By using Nested tensors in a (large) contraction, the many different terms are + resummed on the fly, leading to a potentially reduced computational cost + + Note: + Most implented functions act as wrappers for the corresponding `numpy` functions + on the individual tensors + """ + + def __init__(self, tensors): + self.tensors = tensors + +
[docs] def normalize(self): + """ Normalize the contained tensors by the largest value + of the first element of :attr:`self.tensors` + """ + factor = np.abs(self[0]).max() + return self * (1 / factor), factor
+ +
[docs] def mult(self, other: TensorType, *args) -> 'Nested': + """ + Args: + other: other tensor-like object to contract with + *args: arguments to be passed to the contraction method + (:code:`np.tensordot`) + + Returns: + res: result of the contraction + """ + def _mult_function(A, B, *args): + if hasattr(A, 'mult'): + return A.mult(B, *args) + elif len(B) == 0: + return B.mult(A, *args) + return np.tensordot(A, B, *args) + + if isinstance(other, np.ndarray): + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other, *args) + new_data[1] = _mult_function(self.tensors[1], other, *args) + new_data[2] = _mult_function(self.tensors[2], other, *args) + new_data[3] = _mult_function(self.tensors[3], other, *args) + return Nested(new_data) + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other.tensors[0], *args) + new_data[1] = _mult_function(self.tensors[1], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[1], *args) + new_data[2] = _mult_function(self.tensors[2], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[2], *args) + + new_data[3] = _mult_function(self.tensors[3], other.tensors[0], *args) +\ + _mult_function(self.tensors[2], other.tensors[1], *args) +\ + _mult_function(self.tensors[1], other.tensors[2], *args) +\ + _mult_function(self.tensors[0], other.tensors[3], *args) + res = Nested(new_data) + return res
+ +
[docs] def transpose(self, *args) -> 'Nested': + """ Applies :code:`transpose` to each contained tensor """ + new_data = [self.tensors[i].transpose(*args) for i in range(4)] + return Nested(new_data)
+ + def __mul__(self, other): + new_data = [self.tensors[i] * other for i in range(4)] + return Nested(new_data) + + def __rmul__(self, other): + new_data = [other * self.tensors[i] for i in range(4)] + return Nested(new_data) + + def __truediv__(self, other): + new_data = [self.tensors[i] / other for i in range(4)] + return Nested(new_data) + + def __add__(self, other): + if isinstance(other, Nested): + new_data = [self.tensors[i] + other.tensors[i] for i in range(4)] + else: + new_data = [self.tensors[i] + other for i in range(4)] + return Nested(new_data) + + def __radd__(self, other): + return self + other + + def __getitem__(self, ix): + return self.tensors[ix] + + def __setitem__(self, ix, value): + self.tensors[ix] = value + + def __repr__(self): + return "(Nested) " + self.tensors.__repr__() + + def __neg__(self): + return Nested([-self.tensors[i] for i in range(4)]) + + def shift(self, phi): + new_data = [self.tensors[0], self.tensors[1] * exp(phi), + self.tensors[2] * exp(-phi), self.tensors[3]] + return Nested(new_data) + + def __len__(self): + try: + return len(self.tensors[0]) + except Exception: + return self.tensors[0].size + + @property + def real(self): + res = Nested([self.tensors[i].real for i in range(4)]) + return res + + @property + def shape(self): + return self.tensors[0].shape + + @property + def dims(self): + return self.tensors[0].dims + + def check_contr_inds(self, other, *args, **kwargs): + return self[0].check_contr_inds(other[0], *args, **kwargs) + + def numel(self): + return self[0].numel() + + @classmethod + def only_gs(cls, tensor, empty_obj=[]): + return cls([tensor, empty_obj, empty_obj, empty_obj])
+ +def exp(phi): + return cmath.exp(1j * phi) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/utils/printing.html b/docs/_modules/adpeps/utils/printing.html new file mode 100644 index 0000000..9cbcc84 --- /dev/null +++ b/docs/_modules/adpeps/utils/printing.html @@ -0,0 +1,375 @@ + + + + + + + + adpeps.utils.printing — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.printing

+""" Utility module for printing output depending on the verbosity setting 
+    :attr:`adpeps.ipeps.config.disp_level` in the configuration file.
+"""
+
+import builtins
+import time
+
+import adpeps.ipeps.config as sim_config
+
+prefix    = None
+show_time = False
+
+
[docs]def print(*args, level: int=None, **kwargs): + """ Print output using builtin :code:`print` if :code:`level` + <= :attr:`adpeps.ipeps.config.disp_level` + + Args: + *args: arbitraty arguments to be passed to builtin :code:`print` + level: verbosity level, determining at which verbosity setting this + should be printed + **kwargs: arbitraty keyword arguments for builtin :code:`print` + """ + if level is None or level <= sim_config.disp_level: + if sim_config.flush_output: + kwargs['flush'] = True + if prefix is not None: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(prefix, curtime, *args, **kwargs) + else: + builtins.print(prefix, *args, **kwargs) + else: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(curtime, *args, **kwargs) + else: + builtins.print(*args, **kwargs)
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/adpeps/utils/tlist.html b/docs/_modules/adpeps/utils/tlist.html new file mode 100644 index 0000000..d9360ac --- /dev/null +++ b/docs/_modules/adpeps/utils/tlist.html @@ -0,0 +1,651 @@ + + + + + + + + adpeps.utils.tlist — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.tlist

+"""
+    List object with additional features, used for storing 
+    the iPEPS tensors
+
+    Items in the list can be accessed by either a linear index 
+    or a (i,j) double index, where i and j will be automatically 
+    taken modulo the unit cell size (i.e. i = i % n_x)
+
+    Additionally, convenience functions that work on tensors can 
+    be defined for the whole list, e.g. conj()
+"""
+
+import contextlib
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from .empty_tensor import EmptyT
+
+
+
[docs]@contextlib.contextmanager +def cur_loc(*loc: int): + """ Shift the locations of the tensors relative to a + new zero (loc) while in this context + + Args: + loc: shifts (x,y) + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> l[0,0] + 1 + >>> with cur_loc(1,0): + >>> l[0,0] + 2 + >>> l[0,1] + 4 + >>> l[0,0] + 1 + + Note that this applies to ALL TList objects while + inside the context + """ + pre_patched_value = getattr(TList, '_loc') + setattr(TList, '_loc', loc) + yield TList + setattr(TList, '_loc', pre_patched_value)
+ +
[docs]@contextlib.contextmanager +def hold_write(*lists: 'TList'): + """ Hold off on writing to the list while + inside the context + + Args: + lists: one or more TList objects that should have the writing + action delayed until the context is disabled + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> with hold_write(l): + >>> l[0,0] = 100 + >>> l[0,0] + 1 + >>> l[0,0] + 100 + """ + for l in lists: + l._hold_write = True + yield + for l in lists: + l._purge_tmp()
+ +
[docs]@contextlib.contextmanager +def set_pattern(pattern): + """ Set pattern for all new TLists that are created while + the context is active + + Args: + pattern: + """ + + pre_patched_value = getattr(TList, '_default_pattern') + setattr(TList, '_default_pattern', pattern) + yield TList + setattr(TList, '_default_pattern', pre_patched_value)
+ +class TList: + _loc = (0,0) + _default_pattern = None + _changed = None + + def __init__(self, data=None, shape=None, pattern=None, empty_obj=[[]]): + self._tmpdata = None + self.pattern = pattern + self._hold_write = False + self.empty_obj = empty_obj + if pattern is None and self._default_pattern is not None: + self.pattern = self._default_pattern + if self.pattern is None: + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + self._data = data.reshape([-1], order='C').tolist() + if data.ndim == 1: + self.size = (data.shape[0], 1) + else: + self.size = (data.shape[1], data.shape[0]) + except: + self._data = [data] + self.size = (1,1) + elif shape is not None: + self._data = (shape[0]*shape[1]) * empty_obj + self.size = shape + else: + self._data = None + self.size = () + else: + self.pattern = np.array(self.pattern) + self.size = (self.pattern.shape[1], self.pattern.shape[0]) + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + if data.size == np.unique(self.pattern).size: + self._data = data.reshape([-1], order='C').tolist() + else: + self._data = np.unique(self.pattern).size * empty_obj + for j in range(self.pattern.shape[1]): + for i in range(self.pattern.shape[0]): + self._data[self.pattern[i,j]] = data[i,j] + except: + self._data = [data] + self.size = (1,1) + else: + self._data = np.unique(self.pattern).size * empty_obj + assert len(self._data) == np.unique(self.pattern).size, \ + "Data must contain one element for each unique identifier in pattern" + self.reset_changed() + + def x_major(self): + return (self._conv_ix((x,y)) for y in range(self.size[1]) for x in range(self.size[0])) + + def y_major(self): + return (self._conv_ix((x,y)) for x in range(self.size[0]) for y in range(self.size[1])) + + def __len__(self): + return len(self._data) + + def mean(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) / len(finite_elems) + except Exception as e: + return sum(self._data) / len(self) + + def sum(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) + except Exception as e: + return sum(self._data) + + def normalize(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a / np.max(np.abs(a)) for a in self._data] + return new_list + + def conj(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a.conj() for a in self._data] + return new_list + def items(self): + return [a.item() for a in self._data] + + def pack_data(self): + data = [] + for a in self._data: + data.append(np.reshape(a, (-1,))) + return np.concatenate(data) + + def reset_changed(self): + if self._data is not None: + self._changed = len(self._data) * [False] + return self + + def mark_changed(self, linear_ix): + if self._changed is not None: + self._changed[linear_ix] = True + + def is_changed(self, *ix): + if self._changed is None: + return False + linear_ix = self._conv_ix(ix) + return self._changed[linear_ix] + + def fill(self, data, d=None, D=None): + new_list = TList(shape=self.size, pattern=self.pattern) + offset = 0 + new_data = [] + for i,a in enumerate(self): + siz = a.size + # new_data.append(np.reshape(data[offset:offset+siz], (d, D, D, D, D))) + new_data.append(np.reshape(data[offset:offset+siz], a.shape)) + offset = offset + siz + new_list._data = new_data + return new_list + + def tot_numel(self): + return sum([a.size for a in self._data]) + + def stop_gradient(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [jax.lax.stop_gradient(a) if len(a)>0 else a for a in self._data] + return new_list + + def _conv_ix(self, ix): + if isinstance(ix, (tuple,list)): + if len(self._loc) == 1: + # shift_i, shift_j = onp.unravel_index(self._loc[0], self.size, order='F') + shift_j, shift_i = np.unravel_index(self._loc[0], self.size) + else: + shift_i, shift_j = self._loc + i = (ix[0] + shift_i) % self.size[0] + j = (ix[1] + shift_j) % self.size[1] + # linear_ix = np.ravel_multi_index((i,j), self.size, order='F') + linear_ix = self._linear_ix(i,j) + else: + linear_ix = ix + return linear_ix + + def _linear_ix(self, i, j): + if self.pattern is not None: + return self.pattern[j][i] + else: + return np.ravel_multi_index((i,j), self.size, order='F') + + def _purge_tmp(self): + self._tmpdata = None + self._hold_write = False + + def __eq__(self, other): + if self._data != other._data: + return False + if self.pattern is not None: + if other.pattern is None: + return False + if not (self.pattern == other.pattern).all(): + return False + return True + + def __getitem__(self, ix): + linear_ix = self._conv_ix(ix) + if self._tmpdata is not None and self._tmpdata[linear_ix] is not None: + return self._tmpdata[linear_ix] + return self._data[linear_ix] + + def __setitem__(self, ix, value): + linear_ix = self._conv_ix(ix) + if self._hold_write: + if self._tmpdata is None: + self._tmpdata = [None] * len(self) + self._tmpdata[linear_ix] = self._data[linear_ix] + self._data[linear_ix] = value + self.mark_changed(linear_ix) + + def __repr__(self): + if self._data is None: + return "TList{}[]" + repr_str = "TList{" + if self._loc is not None: + repr_str += "Loc=" + self._loc.__repr__() + if self.pattern is not None: + repr_str += ",Pat=" + self.pattern.__repr__() + repr_str += ",Size=" + self.size.__repr__() + repr_str += "}[" + for j in range(self.size[1]): + repr_str += "[" + for i in range(self.size[0]): + try: + repr_str += f"{self[i,j].shape}" + except: + repr_str += self[i,j].__repr__() + if i < self.size[0]-1: + repr_str += ", " + if j < self.size[1]-1: + repr_str += "], " + else: + repr_str += "]]" + return repr_str + + @staticmethod + def empty_like(T, empty_obj=None): + if empty_obj is None: + empty_obj = T.empty_obj + return TList(shape=T.size, pattern=T.pattern, empty_obj=empty_obj) + +def isfinite(x): + try: + return len(x) > 0 + except Exception as e: + return np.isfinite(np.array(x)) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_modules/index.html b/docs/_modules/index.html new file mode 100644 index 0000000..d11c54b --- /dev/null +++ b/docs/_modules/index.html @@ -0,0 +1,360 @@ + + + + + + + + Overview: module code — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/_sources/extra/adpeps.ipeps.ctm.rst.txt b/docs/_sources/extra/adpeps.ipeps.ctm.rst.txt new file mode 100644 index 0000000..c011e15 --- /dev/null +++ b/docs/_sources/extra/adpeps.ipeps.ctm.rst.txt @@ -0,0 +1,10 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ctm + :members: diff --git a/docs/_sources/extra/adpeps.ipeps.ipeps.rst.txt b/docs/_sources/extra/adpeps.ipeps.ipeps.rst.txt new file mode 100644 index 0000000..3323f55 --- /dev/null +++ b/docs/_sources/extra/adpeps.ipeps.ipeps.rst.txt @@ -0,0 +1,35 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ipeps + :members: + + +iPEPS +----------- + +.. autoclass:: iPEPS + :members: + :member-order: bysource + +iPEPS_exci +----------- + +.. autoclass:: iPEPS_exci + :members: + :member-order: bysource + + +.. .. autosummary:: +.. :toctree: generated +.. :caption: Section 1 +.. :nosignatures: + +.. iPEPS +.. iPEPS_exci + diff --git a/docs/_sources/extra/ipeps.ipeps.rst.txt b/docs/_sources/extra/ipeps.ipeps.rst.txt new file mode 100644 index 0000000..193f163 --- /dev/null +++ b/docs/_sources/extra/ipeps.ipeps.rst.txt @@ -0,0 +1,19 @@ +iPEPSS +====== + +.. :noindex: + +.. .. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. .. automodule:: adpeps.ipeps.ipeps + +.. .. autosummary:: + :toctree: generated + :nosignatures: + :caption: Classes + + iPEPS + iPEPS_exci diff --git a/docs/_sources/generated/adpeps.ipeps.config.rst.txt b/docs/_sources/generated/adpeps.ipeps.config.rst.txt new file mode 100644 index 0000000..eafaa88 --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.config.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.config +=================== + +.. currentmodule:: adpeps.ipeps.config + +.. module:: adpeps.ipeps.config + + + +.. automodule:: adpeps.ipeps.config + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.ctm.rst.txt b/docs/_sources/generated/adpeps.ipeps.ctm.rst.txt new file mode 100644 index 0000000..25af8d5 --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.ctm.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. module:: adpeps.ipeps.ctm + + + +.. automodule:: adpeps.ipeps.ctm + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt b/docs/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt new file mode 100644 index 0000000..9134e28 --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps.evaluation +============================= + +.. currentmodule:: adpeps.ipeps.ipeps.evaluation + +.. module:: adpeps.ipeps.ipeps.evaluation + + + +.. automodule:: adpeps.ipeps.ipeps.evaluation + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.ipeps.rst.txt b/docs/_sources/generated/adpeps.ipeps.ipeps.rst.txt new file mode 100644 index 0000000..e4ac247 --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.ipeps.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. module:: adpeps.ipeps.ipeps + + + +.. automodule:: adpeps.ipeps.ipeps + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.models.common.rst.txt b/docs/_sources/generated/adpeps.ipeps.models.common.rst.txt new file mode 100644 index 0000000..617b7fb --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.models.common.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.models.common +========================== + +.. currentmodule:: adpeps.ipeps.models.common + +.. module:: adpeps.ipeps.models.common + + + +.. automodule:: adpeps.ipeps.models.common + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt b/docs/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt new file mode 100644 index 0000000..fb407e7 --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.models.heisenberg +============================== + +.. currentmodule:: adpeps.ipeps.models.heisenberg + +.. module:: adpeps.ipeps.models.heisenberg + + + +.. automodule:: adpeps.ipeps.models.heisenberg + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.ipeps.models.rst.txt b/docs/_sources/generated/adpeps.ipeps.models.rst.txt new file mode 100644 index 0000000..886d6cb --- /dev/null +++ b/docs/_sources/generated/adpeps.ipeps.models.rst.txt @@ -0,0 +1,23 @@ +adpeps.ipeps.models +=================== + +.. currentmodule:: adpeps.ipeps.models + +.. module:: adpeps.ipeps.models + + +Modules +------- + +.. automodule:: adpeps.ipeps.models + +.. autosummary:: + :toctree: + + + adpeps.ipeps.models.common + + adpeps.ipeps.models.heisenberg + + + diff --git a/docs/_sources/generated/adpeps.simulation.rst.txt b/docs/_sources/generated/adpeps.simulation.rst.txt new file mode 100644 index 0000000..d2c6305 --- /dev/null +++ b/docs/_sources/generated/adpeps.simulation.rst.txt @@ -0,0 +1,23 @@ +adpeps.simulation +================= + +.. currentmodule:: adpeps.simulation + +.. module:: adpeps.simulation + + +Modules +------- + +.. automodule:: adpeps.simulation + +.. autosummary:: + :toctree: + + + adpeps.simulation.run_ipeps_exci + + adpeps.simulation.run_ipeps_gs + + + diff --git a/docs/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt b/docs/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt new file mode 100644 index 0000000..70250d6 --- /dev/null +++ b/docs/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_exci +================================== + +.. currentmodule:: adpeps.simulation.run_ipeps_exci + +.. module:: adpeps.simulation.run_ipeps_exci + + + +.. automodule:: adpeps.simulation.run_ipeps_exci + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt b/docs/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt new file mode 100644 index 0000000..276e16a --- /dev/null +++ b/docs/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_gs +================================ + +.. currentmodule:: adpeps.simulation.run_ipeps_gs + +.. module:: adpeps.simulation.run_ipeps_gs + + + +.. automodule:: adpeps.simulation.run_ipeps_gs + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.ctmtensors.rst.txt b/docs/_sources/generated/adpeps.utils.ctmtensors.rst.txt new file mode 100644 index 0000000..fc3a665 --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.ctmtensors.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.ctmtensors +======================= + +.. currentmodule:: adpeps.utils.ctmtensors + +.. module:: adpeps.utils.ctmtensors + + + +.. automodule:: adpeps.utils.ctmtensors + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.empty_tensor.rst.txt b/docs/_sources/generated/adpeps.utils.empty_tensor.rst.txt new file mode 100644 index 0000000..634791b --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.empty_tensor.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.empty\_tensor +========================== + +.. currentmodule:: adpeps.utils.empty_tensor + +.. module:: adpeps.utils.empty_tensor + + + +.. automodule:: adpeps.utils.empty_tensor + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.io.rst.txt b/docs/_sources/generated/adpeps.utils.io.rst.txt new file mode 100644 index 0000000..d73ab43 --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.io.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.io +=============== + +.. currentmodule:: adpeps.utils.io + +.. module:: adpeps.utils.io + + + +.. automodule:: adpeps.utils.io + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.nested.rst.txt b/docs/_sources/generated/adpeps.utils.nested.rst.txt new file mode 100644 index 0000000..4d807d9 --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.nested.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.nested +=================== + +.. currentmodule:: adpeps.utils.nested + +.. module:: adpeps.utils.nested + + + +.. automodule:: adpeps.utils.nested + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.printing.rst.txt b/docs/_sources/generated/adpeps.utils.printing.rst.txt new file mode 100644 index 0000000..a54b81a --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.printing.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.printing +===================== + +.. currentmodule:: adpeps.utils.printing + +.. module:: adpeps.utils.printing + + + +.. automodule:: adpeps.utils.printing + :members: + :member-order: groupwise + + diff --git a/docs/_sources/generated/adpeps.utils.rst.txt b/docs/_sources/generated/adpeps.utils.rst.txt new file mode 100644 index 0000000..dc43a5c --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.rst.txt @@ -0,0 +1,31 @@ +adpeps.utils +============ + +.. currentmodule:: adpeps.utils + +.. module:: adpeps.utils + + +Modules +------- + +.. automodule:: adpeps.utils + +.. autosummary:: + :toctree: + + + adpeps.utils.ctmtensors + + adpeps.utils.empty_tensor + + adpeps.utils.io + + adpeps.utils.nested + + adpeps.utils.printing + + adpeps.utils.tlist + + + diff --git a/docs/_sources/generated/adpeps.utils.tlist.rst.txt b/docs/_sources/generated/adpeps.utils.tlist.rst.txt new file mode 100644 index 0000000..8698206 --- /dev/null +++ b/docs/_sources/generated/adpeps.utils.tlist.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.tlist +================== + +.. currentmodule:: adpeps.utils.tlist + +.. module:: adpeps.utils.tlist + + + +.. automodule:: adpeps.utils.tlist + :members: + :member-order: groupwise + + diff --git a/docs/_sources/index.rst.txt b/docs/_sources/index.rst.txt new file mode 100644 index 0000000..78614ef --- /dev/null +++ b/docs/_sources/index.rst.txt @@ -0,0 +1,48 @@ +.. AD-PEPS documentation master file, created by + sphinx-quickstart on Mon Apr 12 16:13:24 2021. + You can adapt this file completely to your liking, but it should at least + contain the root `toctree` directive. + +AD-PEPS's documentation +=================================== + +The AD-PEPS Python package is intended as a example of an implementation of iPEPS ground-state and excited-state algorithms using Automatic Differentiation, as described in +As such, the code is meant to illustrate the workings of the algorithms described the paper. +For real applications, this code would likely need to be further adapted and optimized, however the package is a complete implementation and can directly be used for simple calculations. + +.. toctree:: + + notes/install + notes/start + notes/example + notes/example2 + + +Reference +-------------- + +.. .. toctree:: +.. :maxdepth: 2 + +.. ipeps + +.. currentmodule:: adpeps + +.. autosummary:: + :toctree: generated + :recursive: + + ipeps.ipeps + ipeps.config + ipeps.ctm + ipeps.models + simulation + utils + + +Indices and tables +================== + +* :ref:`genindex` +* :ref:`modindex` +* :ref:`search` diff --git a/docs/_sources/ipeps.rst.txt b/docs/_sources/ipeps.rst.txt new file mode 100644 index 0000000..e94d9b0 --- /dev/null +++ b/docs/_sources/ipeps.rst.txt @@ -0,0 +1,17 @@ +adpeps.ipeps +============ + +.. currentmodule:: adpeps.ipeps + +.. toctree:: + :maxdepth: 2 + :caption: Contents + + adpeps.ipeps.ipeps + adpeps.ipeps.ctm + +.. autosummary:: + :toctree: generated + :nosignatures: + + adpeps.ipeps.config diff --git a/docs/_sources/notes/example.rst.txt b/docs/_sources/notes/example.rst.txt new file mode 100644 index 0000000..480ca94 --- /dev/null +++ b/docs/_sources/notes/example.rst.txt @@ -0,0 +1,81 @@ +.. _notes/example: + +Example: ground state +=========================================== + +The package includes an example configuration for a ground-state simulation of the 2D Heisenberg model, defined by the Hamiltonian + +.. math:: + + H = J \sum_i S_i \cdot S_{i+1}~. + +The configuration file `examples/heis_D2.yaml` contains the following: + +.. literalinclude:: ../../../examples/heis_D2.yaml + +This configures a simulation with bond dimension :code:`D=2` and boundary bond dimension :code:`chi=40`, using the model defined in :mod:`adpeps.ipeps.models.heisenberg`. + +Now the simulation can be started by calling the :code:`adpeps` module with the name of this configuration file: + + >>> python -m adpeps gs 'heis_D2' + WARNING:absl:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.) + Namespace(config_file='heis_D2', sim_mode='gs', version=False) + Running ground-state sim + ... + +The simulation will continue to run and you should see output similar to this: + +.. code-block:: + + ... + Performing CTM pre-steps without tracking + | CTM step 1 conv: 4.935e-03 time: 3.17 obj: -0.658758 + | CTM step 2 conv: 7.918e-04 time: 3.74 obj: -0.659550 + | CTM step 3 conv: 1.234e-05 time: 6.42 obj: -0.659562 + Performing CTM + | CTM step 1 conv: 3.171e-07 time: 8.8 obj: -0.659563 + | CTM step 2 conv: 2.108e-08 time: 4.07 obj: -0.659563 + | CTM step 3 conv: 8.173e-09 time: 2.93 obj: -0.659563 + Energy: -0.6595625579862193 + ... + +The first cycle of iterations are not taken into account in the gradient computation, but make sure that the CTM iterations with gradient tracking start from some reasonably converged boundary tensors in order to avoid instabilities with initial CTM steps. + +.. note:: + The convergence rate of the CTM depends on the variational parameters of the iPEPS and the settings of the simulation. + Generally the convergence improves as the simulation approaches the optimum. + +Whenever a step in the optimization has completed (this could take more than one cycle of CTM iterations depending on the type of optimizer), the module will output a summary of the steps so far: + +.. code-block:: + + ... + # ======================== # + # Step completed # + # ======================== # + + Step 0 E: -0.376468389894 |grad|: 1.2103482 + Step 1 E: -0.505252956403 |grad|: 0.19064889 + Step 2 E: -0.517432085607 |grad|: 0.10910666 + Step 3 E: -0.578045570568 |grad|: 0.081472534 + Step 4 E: -0.589074339197 |grad|: 0.089438567 + Step 5 E: -0.597590746400 |grad|: 0.15282526 + Step 6 E: -0.612205652457 |grad|: 0.076385807 + Step 7 E: -0.628079118387 |grad|: 0.0684857 + Step 8 E: -0.642200026835 |grad|: 0.097849544 + Step 9 E: -0.649553574703 |grad|: 0.066648727 + Step 10 E: -0.653909263824 |grad|: 0.0264237 + Step 11 E: -0.655389076620 |grad|: 0.016836624 + Step 12 E: -0.656585389308 |grad|: 0.016954703 + Step 13 E: -0.657797020335 |grad|: 0.020011479 + Step 14 E: -0.658174755217 |grad|: 0.033691114 + Step 15 E: -0.659083649568 |grad|: 0.012202327 + Step 16 E: -0.659365377610 |grad|: 0.0064214407 + Step 17 E: -0.659562557986 |grad|: 0.007503111 + ... + +The simulation will continue until :attr:`adpeps.ipeps.config.max_iterations` has been reached. +At any point the simulation can be stopped and continued later by restarting the module. + +.. note:: + In case you would like the simulation to continue from an earlier saved simulation with the same configuration file, make sure to set :attr:`adpeps.ipeps.config.resume` :code:`= True` diff --git a/docs/_sources/notes/example2.rst.txt b/docs/_sources/notes/example2.rst.txt new file mode 100644 index 0000000..d894348 --- /dev/null +++ b/docs/_sources/notes/example2.rst.txt @@ -0,0 +1,96 @@ +.. _notes/example2: + +Example: excitations +========================================= + +.. note:: + This example continues from :ref:`the ground-state example` and requires an optimized ground state to start from. + +Here we demonstrates how to use the :mod:`adpeps` package for computing excited states of the 2D Heisenberg model. + +The configuration file `examples/heis_D2_exci.yaml` contains the following settings: + +.. literalinclude:: ../../../examples/heis_D2_exci.yaml + +Note that many of the options are the same as for the ground-state simulation, with the addition of the :attr:`adpeps.ipeps.config.momentum_path` setting, which controls which path through the Brillouin zone will be taken. + +In the configuration for excited states you do not explicitly set the momentum, but choose a preset path of points in momentum space and pass the index for each simulation. + +If we now start the simulation, we get the following response: + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=1 + ... + Running excited-state sim + ... + Base file .../exci/heisenberg_D2_X40.base.npz not found. + Prepare the simulation first by running with option '-i' + +What happened is that we first need to make some preparations for the simulation. +For excited-state simulations, we require the following: + + 1. Well-converged CTM boundary tensors + 2. A basis for the excited-state tensors, orthogonal to the ground state + +The preparations for the simulation need to be performed only once, resulting in a `base` simulation file that will be used by the simulations for every momentum. + + >>> python -m adpeps exci 'heis_D2_exci' -i + ... + Running excited-state sim + ... + | CTM step 1 conv: 1.036e-01 time: 3.64 obj: -0.665574 + | CTM step 2 conv: 3.137e-03 time: 4.23 obj: -0.662436 + | CTM step 3 conv: 7.887e-05 time: 4.0 obj: -0.662515 + | CTM step 4 conv: 1.388e-06 time: 2.2 obj: -0.662514 + | CTM step 5 conv: 2.455e-07 time: 1.35 obj: -0.662514 + | CTM step 6 conv: 3.044e-08 time: 1.35 obj: -0.662514 + | CTM step 7 conv: 4.673e-09 time: 1.37 obj: -0.662514 + | CTM step 8 conv: 4.467e-10 time: 1.36 obj: -0.662514 + | CTM step 9 conv: 5.029e-11 time: 1.35 obj: -0.662514 + | CTM step 10 conv: 5.612e-11 time: 1.38 obj: -0.662514 + | CTM step 11 conv: 2.801e-11 time: 1.36 obj: -0.662514 + | CTM step 12 conv: 1.204e-11 time: 1.65 obj: -0.662514 + | CTM step 13 conv: 4.936e-12 time: 1.42 obj: -0.662514 + | CTM step 14 conv: 1.989e-12 time: 1.39 obj: -0.662514 + GS norm 3.5890188873039093 + GS norm 1.0 + Substracting -0.33125703308289145 from Hamiltonian + +Several steps have been performed: first a full CTM contraction of the ground-state network, followed by a normalization of the ground-state tensors. +Then the Hamiltonian is shifted by the ground-state energy expectation value, in order for the excitations to have energies relative to the ground state. +Finally, the basis is prepared and we have everything to get started. + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=0 + ... + Running excited-state sim + ... + Starting simulation of basis vector 1/62 + Performing CTM + | CTM step 1 conv: 4.236e+00 time: 3.19 obj: 5.173629 + | CTM step 2 conv: 3.539e-02 time: 1.38 obj: 5.138237 + | CTM step 3 conv: 6.139e-03 time: 1.27 obj: 5.132099 + | CTM step 4 conv: 2.116e-04 time: 1.24 obj: 5.132310 + | CTM step 5 conv: 6.148e-05 time: 1.28 obj: 5.132249 + | CTM step 6 conv: 3.704e-04 time: 1.25 obj: 5.131879 + | CTM step 7 conv: 2.493e-04 time: 1.25 obj: 5.131629 + Energies: 0.04418993415167889 1.5450126399606245e-10 + Norm: 0.008611083119254162 + ========== + Finished basis vector 1/62 + - + Starting simulation of basis vector 2/62 + Performing CTM + | CTM step 1 conv: 2.004e+00 time: 0.99 obj: 3.335621 + | CTM step 2 conv: 6.150e-02 time: 1.26 obj: 3.397117 + | CTM step 3 conv: 2.017e-02 time: 1.28 obj: 3.376950 + | CTM step 4 conv: 3.838e-03 time: 1.26 obj: 3.380788 + | CTM step 5 conv: 3.650e-04 time: 1.3 obj: 3.380423 + | CTM step 6 conv: 1.246e-03 time: 1.29 obj: 3.381669 + | CTM step 7 conv: 1.288e-03 time: 1.31 obj: 3.380380 + Energies: 0.4285335373465171 1.5450126399606245e-10 + Norm: 0.12679967208649232 + ========== + Finished basis vector 2/62 + ... + +In this version of the algorithm, the full energy and norm overlap matrices will be computed. +Each of the basis vectors, as seen in the output above, is used as input in a separate CTM summation and the program will continue to run until all basis vectors have been used. diff --git a/docs/_sources/notes/install.rst.txt b/docs/_sources/notes/install.rst.txt new file mode 100644 index 0000000..b668de6 --- /dev/null +++ b/docs/_sources/notes/install.rst.txt @@ -0,0 +1,36 @@ +Installation +=================================== + +The quickest way of installing the :code:`adpeps` package is to clone the repository + +.. code-block:: bash + + git clone + + +Method 1 (recommended): `conda` +------------------------------------------ + +The repository comes with an included :code:`environment.yml` file, which automatically installs a Python environment with all required packages, which can be used as follows + +.. code-block:: bash + + cd ad-peps + conda env create -f environment.yml + conda activate adpeps + +When the installation finishes, you can check that the package is working + +.. code-block:: bash + + python -m adpeps -v + +Method 2: `pip` +------------------------------------------ + +The package can also be installed via `pip`: + +.. code-block:: bash + + cd ad-peps + pip install -e . diff --git a/docs/_sources/notes/start.rst.txt b/docs/_sources/notes/start.rst.txt new file mode 100644 index 0000000..08534e4 --- /dev/null +++ b/docs/_sources/notes/start.rst.txt @@ -0,0 +1,57 @@ +.. _notes/start: + +Getting Started +=================================== + +General +-------------- + +The main starting point for running simulations with the `adpeps` package is by loading the module directly via :code:`python -m adpeps`. + +For both ground-state and excited-state simulations the configuration can be set via configuration :code:`.yaml` files. +Each option in the configuration file corresponds to an attribute of the :mod:`adpeps.ipeps.config` module. + +The first argument for the module is the simulation mode (ground-state or excited-state): + +.. code-block:: bash + + python -m adpeps {gs,exci} ... + +.. note:: + The input configuration file location can be set via the + :envvar:`CONFIGDIR` variable. If it is not set, the default + input folder will be the `examples` subfolder of the package + root directory + +.. note:: + The output data location can be set via the :envvar:`DATADIR` + variable. If it is not set, the default output folder will be + in the `simulations` subfolder of the package root directory. + + +Ground states +-------------- + +For ground-state simulations, the only required argument is the configuration file. + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :path: gs + + +Excited states +-------------- + +For excited-state simulations, the first argument is again the name of a configuration file (note that the relevant options are different for excited-state simulations), and furthermore the `momentum index` :code:`-p` is required. + +The momentum index refers to a point in momentum space :math:`(k_x, k_y)` defined in a specific path through the Brillouin zone. +The corresponding momentum path can be set via the :attr:`adpeps.ipeps.config.momentum_path` option. +By default, the `'Bril1'` path is taken, which follows the cut along high symmetry points :math:`(\pi,0) - (\pi,\pi) - (\pi/2,\pi/2) - (0,0) - (\pi,0) - (\pi/2,\pi/2)` + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :nodefault: + :path: exci + diff --git a/docs/_static/basic.css b/docs/_static/basic.css new file mode 100644 index 0000000..5d8ae08 --- /dev/null +++ b/docs/_static/basic.css @@ -0,0 +1,861 @@ +/* + * basic.css + * ~~~~~~~~~ + * + * Sphinx stylesheet -- basic theme. + * + * :copyright: Copyright 2007-2021 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/* -- main layout ----------------------------------------------------------- */ + +div.clearer { + clear: both; +} + +div.section::after { + display: block; + content: ''; + clear: left; +} + +/* -- relbar ---------------------------------------------------------------- */ + +div.related { + width: 100%; + font-size: 90%; +} + +div.related h3 { + display: none; +} + +div.related ul { + margin: 0; + padding: 0 0 0 10px; + list-style: none; +} + +div.related li { + display: inline; +} + +div.related li.right { + float: right; + margin-right: 5px; +} + +/* -- sidebar --------------------------------------------------------------- */ + +div.sphinxsidebarwrapper { + padding: 10px 5px 0 10px; +} + +div.sphinxsidebar { + float: left; + width: 270px; + margin-left: -100%; + font-size: 90%; + word-wrap: break-word; + overflow-wrap : break-word; +} + +div.sphinxsidebar ul { + list-style: none; +} + +div.sphinxsidebar ul ul, +div.sphinxsidebar ul.want-points { + margin-left: 20px; + list-style: square; +} + +div.sphinxsidebar ul ul { + margin-top: 0; + margin-bottom: 0; +} + +div.sphinxsidebar form { + margin-top: 10px; +} + +div.sphinxsidebar input { + border: 1px solid #98dbcc; + font-family: sans-serif; + font-size: 1em; +} + +div.sphinxsidebar #searchbox form.search { + overflow: hidden; +} + +div.sphinxsidebar #searchbox input[type="text"] { + float: left; + width: 80%; + padding: 0.25em; + box-sizing: border-box; +} + +div.sphinxsidebar #searchbox input[type="submit"] { + float: left; + width: 20%; + border-left: none; + padding: 0.25em; + box-sizing: border-box; +} + + +img { + border: 0; + max-width: 100%; +} + +/* -- search page ----------------------------------------------------------- */ + +ul.search { + margin: 10px 0 0 20px; + padding: 0; +} + +ul.search li { + padding: 5px 0 5px 20px; + background-image: url(file.png); + background-repeat: no-repeat; + background-position: 0 7px; +} + +ul.search li a { + font-weight: bold; +} + +ul.search li div.context { + color: #888; + margin: 2px 0 0 30px; + text-align: left; +} + +ul.keywordmatches li.goodmatch a { + font-weight: bold; +} + +/* -- index page ------------------------------------------------------------ */ + +table.contentstable { + width: 90%; + margin-left: auto; + margin-right: auto; +} + +table.contentstable p.biglink { + line-height: 150%; +} + +a.biglink { + font-size: 1.3em; +} + +span.linkdescr { + font-style: italic; + padding-top: 5px; + font-size: 90%; +} + +/* -- general index --------------------------------------------------------- */ + +table.indextable { + width: 100%; +} + +table.indextable td { + text-align: left; + vertical-align: top; +} + +table.indextable ul { + margin-top: 0; + margin-bottom: 0; + list-style-type: none; +} + +table.indextable > tbody > tr > td > ul { + padding-left: 0em; +} + +table.indextable tr.pcap { + height: 10px; +} + +table.indextable tr.cap { + margin-top: 10px; + background-color: #f2f2f2; +} + +img.toggler { + margin-right: 3px; + margin-top: 3px; + cursor: pointer; +} + +div.modindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +div.genindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +/* -- domain module index --------------------------------------------------- */ + +table.modindextable td { + padding: 2px; + border-collapse: collapse; +} + +/* -- general body styles --------------------------------------------------- */ + +div.body { + min-width: 450px; + max-width: 800px; +} + +div.body p, div.body dd, div.body li, div.body blockquote { + -moz-hyphens: auto; + -ms-hyphens: auto; + -webkit-hyphens: auto; + hyphens: auto; +} + +a.headerlink { + visibility: hidden; +} + +a.brackets:before, +span.brackets > a:before{ + content: "["; +} + +a.brackets:after, +span.brackets > a:after { + content: "]"; +} + +h1:hover > a.headerlink, +h2:hover > a.headerlink, +h3:hover > a.headerlink, +h4:hover > a.headerlink, +h5:hover > a.headerlink, +h6:hover > a.headerlink, +dt:hover > a.headerlink, +caption:hover > a.headerlink, +p.caption:hover > a.headerlink, +div.code-block-caption:hover > a.headerlink { + visibility: visible; +} + +div.body p.caption { + text-align: inherit; +} + +div.body td { + text-align: left; +} + +.first { + margin-top: 0 !important; +} + +p.rubric { + margin-top: 30px; + font-weight: bold; +} + +img.align-left, figure.align-left, .figure.align-left, object.align-left { + clear: left; + float: left; + margin-right: 1em; +} + +img.align-right, figure.align-right, .figure.align-right, object.align-right { + clear: right; + float: right; + margin-left: 1em; +} + +img.align-center, figure.align-center, .figure.align-center, object.align-center { + display: block; + margin-left: auto; + margin-right: auto; +} + +img.align-default, figure.align-default, .figure.align-default { + display: block; + margin-left: auto; + margin-right: auto; +} + +.align-left { + text-align: left; +} + +.align-center { + text-align: center; +} + +.align-default { + text-align: center; +} + +.align-right { + text-align: right; +} + +/* -- sidebars -------------------------------------------------------------- */ + +div.sidebar, +aside.sidebar { + margin: 0 0 0.5em 1em; + border: 1px solid #ddb; + padding: 7px; + background-color: #ffe; + width: 40%; + float: right; + clear: right; + overflow-x: auto; +} + +p.sidebar-title { + font-weight: bold; +} + +div.admonition, div.topic, blockquote { + clear: left; +} + +/* -- topics ---------------------------------------------------------------- */ + +div.topic { + border: 1px solid #ccc; + padding: 7px; + margin: 10px 0 10px 0; +} + +p.topic-title { + font-size: 1.1em; + font-weight: bold; + margin-top: 10px; +} + +/* -- admonitions ----------------------------------------------------------- */ + +div.admonition { + margin-top: 10px; + margin-bottom: 10px; + padding: 7px; +} + +div.admonition dt { + font-weight: bold; +} + +p.admonition-title { + margin: 0px 10px 5px 0px; + font-weight: bold; +} + +div.body p.centered { + text-align: center; + margin-top: 25px; +} + +/* -- content of sidebars/topics/admonitions -------------------------------- */ + +div.sidebar > :last-child, +aside.sidebar > :last-child, +div.topic > :last-child, +div.admonition > :last-child { + margin-bottom: 0; +} + +div.sidebar::after, +aside.sidebar::after, +div.topic::after, +div.admonition::after, +blockquote::after { + display: block; + content: ''; + clear: both; +} + +/* -- tables ---------------------------------------------------------------- */ + +table.docutils { + margin-top: 10px; + margin-bottom: 10px; + border: 0; + border-collapse: collapse; +} + +table.align-center { + margin-left: auto; + margin-right: auto; +} + +table.align-default { + margin-left: auto; + margin-right: auto; +} + +table caption span.caption-number { + font-style: italic; +} + +table caption span.caption-text { +} + +table.docutils td, table.docutils th { + padding: 1px 8px 1px 5px; + border-top: 0; + border-left: 0; + border-right: 0; + border-bottom: 1px solid #aaa; +} + +table.footnote td, table.footnote th { + border: 0 !important; +} + +th { + text-align: left; + padding-right: 5px; +} + +table.citation { + border-left: solid 1px gray; + margin-left: 1px; +} + +table.citation td { + border-bottom: none; +} + +th > :first-child, +td > :first-child { + margin-top: 0px; +} + +th > :last-child, +td > :last-child { + margin-bottom: 0px; +} + +/* -- figures --------------------------------------------------------------- */ + +div.figure, figure { + margin: 0.5em; + padding: 0.5em; +} + +div.figure p.caption, figcaption { + padding: 0.3em; +} + +div.figure p.caption span.caption-number, +figcaption span.caption-number { + font-style: italic; +} + +div.figure p.caption span.caption-text, +figcaption span.caption-text { +} + +/* -- field list styles ----------------------------------------------------- */ + +table.field-list td, table.field-list th { + border: 0 !important; +} + +.field-list ul { + margin: 0; + padding-left: 1em; +} + +.field-list p { + margin: 0; +} + +.field-name { + -moz-hyphens: manual; + -ms-hyphens: manual; + -webkit-hyphens: manual; + hyphens: manual; +} + +/* -- hlist styles ---------------------------------------------------------- */ + +table.hlist { + margin: 1em 0; +} + +table.hlist td { + vertical-align: top; +} + + +/* -- other body styles ----------------------------------------------------- */ + +ol.arabic { + list-style: decimal; +} + +ol.loweralpha { + list-style: lower-alpha; +} + +ol.upperalpha { + list-style: upper-alpha; +} + +ol.lowerroman { + list-style: lower-roman; +} + +ol.upperroman { + list-style: upper-roman; +} + +:not(li) > ol > li:first-child > :first-child, +:not(li) > ul > li:first-child > :first-child { + margin-top: 0px; +} + +:not(li) > ol > li:last-child > :last-child, +:not(li) > ul > li:last-child > :last-child { + margin-bottom: 0px; +} + +ol.simple ol p, +ol.simple ul p, +ul.simple ol p, +ul.simple ul p { + margin-top: 0; +} + +ol.simple > li:not(:first-child) > p, +ul.simple > li:not(:first-child) > p { + margin-top: 0; +} + +ol.simple p, +ul.simple p { + margin-bottom: 0; +} + +dl.footnote > dt, +dl.citation > dt { + float: left; + margin-right: 0.5em; +} + +dl.footnote > dd, +dl.citation > dd { + margin-bottom: 0em; +} + +dl.footnote > dd:after, +dl.citation > dd:after { + content: ""; + clear: both; +} + +dl.field-list { + display: grid; + grid-template-columns: fit-content(30%) auto; +} + +dl.field-list > dt { + font-weight: bold; + word-break: break-word; + padding-left: 0.5em; + padding-right: 5px; +} + +dl.field-list > dt:after { + content: ":"; +} + +dl.field-list > dd { + padding-left: 0.5em; + margin-top: 0em; + margin-left: 0em; + margin-bottom: 0em; +} + +dl { + margin-bottom: 15px; +} + +dd > :first-child { + margin-top: 0px; +} + +dd ul, dd table { + margin-bottom: 10px; +} + +dd { + margin-top: 3px; + margin-bottom: 10px; + margin-left: 30px; +} + +dl > dd:last-child, +dl > dd:last-child > :last-child { + margin-bottom: 0; +} + +dt:target, span.highlighted { + background-color: #fbe54e; +} + +rect.highlighted { + fill: #fbe54e; +} + +dl.glossary dt { + font-weight: bold; + font-size: 1.1em; +} + +.optional { + font-size: 1.3em; +} + +.sig-paren { + font-size: larger; +} + +.versionmodified { + font-style: italic; +} + +.system-message { + background-color: #fda; + padding: 5px; + border: 3px solid red; +} + +.footnote:target { + background-color: #ffa; +} + +.line-block { + display: block; + margin-top: 1em; + margin-bottom: 1em; +} + +.line-block .line-block { + margin-top: 0; + margin-bottom: 0; + margin-left: 1.5em; +} + +.guilabel, .menuselection { + font-family: sans-serif; +} + +.accelerator { + text-decoration: underline; +} + +.classifier { + font-style: oblique; +} + +.classifier:before { + font-style: normal; + margin: 0.5em; + content: ":"; +} + +abbr, acronym { + border-bottom: dotted 1px; + cursor: help; +} + +/* -- code displays --------------------------------------------------------- */ + +pre { + overflow: auto; + overflow-y: hidden; /* fixes display issues on Chrome browsers */ +} + +pre, div[class*="highlight-"] { + clear: both; +} + +span.pre { + -moz-hyphens: none; + -ms-hyphens: none; + -webkit-hyphens: none; + hyphens: none; +} + +div[class*="highlight-"] { + margin: 1em 0; +} + +td.linenos pre { + border: 0; + background-color: transparent; + color: #aaa; +} + +table.highlighttable { + display: block; +} + +table.highlighttable tbody { + display: block; +} + +table.highlighttable tr { + display: flex; +} + +table.highlighttable td { + margin: 0; + padding: 0; +} + +table.highlighttable td.linenos { + padding-right: 0.5em; +} + +table.highlighttable td.code { + flex: 1; + overflow: hidden; +} + +.highlight .hll { + display: block; +} + +div.highlight pre, +table.highlighttable pre { + margin: 0; +} + +div.code-block-caption + div { + margin-top: 0; +} + +div.code-block-caption { + margin-top: 1em; + padding: 2px 5px; + font-size: small; +} + +div.code-block-caption code { + background-color: transparent; +} + +table.highlighttable td.linenos, +span.linenos, +div.doctest > div.highlight span.gp { /* gp: Generic.Prompt */ + user-select: none; +} + +div.code-block-caption span.caption-number { + padding: 0.1em 0.3em; + font-style: italic; +} + +div.code-block-caption span.caption-text { +} + +div.literal-block-wrapper { + margin: 1em 0; +} + +code.descname { + background-color: transparent; + font-weight: bold; + font-size: 1.2em; +} + +code.descclassname { + background-color: transparent; +} + +code.xref, a code { + background-color: transparent; + font-weight: bold; +} + +h1 code, h2 code, h3 code, h4 code, h5 code, h6 code { + background-color: transparent; +} + +.viewcode-link { + float: right; +} + +.viewcode-back { + float: right; + font-family: sans-serif; +} + +div.viewcode-block:target { + margin: -1px -10px; + padding: 0 10px; +} + +/* -- math display ---------------------------------------------------------- */ + +img.math { + vertical-align: middle; +} + +div.body div.math p { + text-align: center; +} + +span.eqno { + float: right; +} + +span.eqno a.headerlink { + position: absolute; + z-index: 1; +} + +div.math:hover a.headerlink { + visibility: visible; +} + +/* -- printout stylesheet --------------------------------------------------- */ + +@media print { + div.document, + div.documentwrapper, + div.bodywrapper { + margin: 0 !important; + width: 100%; + } + + div.sphinxsidebar, + div.related, + div.footer, + #top-link { + display: none; + } +} \ No newline at end of file diff --git a/docs/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css b/docs/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css new file mode 100644 index 0000000..655656d --- /dev/null +++ b/docs/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css @@ -0,0 +1,6 @@ +/*! + * Bootstrap v4.5.0 (https://getbootstrap.com/) + * Copyright 2011-2020 The Bootstrap Authors + * Copyright 2011-2020 Twitter, Inc. + * Licensed under MIT (https://github.com/twbs/bootstrap/blob/master/LICENSE) + */:root{--blue:#007bff;--indigo:#6610f2;--purple:#6f42c1;--pink:#e83e8c;--red:#dc3545;--orange:#fd7e14;--yellow:#ffc107;--green:#28a745;--teal:#20c997;--cyan:#17a2b8;--white:#fff;--gray:#6c757d;--gray-dark:#343a40;--primary:#007bff;--secondary:#6c757d;--success:#28a745;--info:#17a2b8;--warning:#ffc107;--danger:#dc3545;--light:#f8f9fa;--dark:#343a40;--breakpoint-xs:0;--breakpoint-sm:540px;--breakpoint-md:720px;--breakpoint-lg:960px;--breakpoint-xl:1200px;--font-family-sans-serif:-apple-system,BlinkMacSystemFont,"Segoe UI",Roboto,"Helvetica Neue",Arial,"Noto Sans",sans-serif,"Apple Color Emoji","Segoe UI Emoji","Segoe UI Symbol","Noto Color Emoji";--font-family-monospace:SFMono-Regular,Menlo,Monaco,Consolas,"Liberation Mono","Courier New",monospace}*,:after,:before{box-sizing:border-box}html{font-family:sans-serif;line-height:1.15;-webkit-text-size-adjust:100%;-webkit-tap-highlight-color:rgba(0,0,0,0)}article,aside,figcaption,figure,footer,header,hgroup,main,nav,section{display:block}body{margin:0;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-size:1rem;line-height:1.5;color:#212529;text-align:left}[tabindex="-1"]:focus:not(:focus-visible){outline:0!important}hr{box-sizing:content-box;height:0;overflow:visible}h1,h2,h3,h4,h5,h6{margin-top:0;margin-bottom:.5rem}p{margin-top:0;margin-bottom:1rem}abbr[data-original-title],abbr[title]{text-decoration:underline;text-decoration:underline dotted;cursor:help;border-bottom:0;text-decoration-skip-ink:none}address{font-style:normal;line-height:inherit}address,dl,ol,ul{margin-bottom:1rem}dl,ol,ul{margin-top:0}ol ol,ol ul,ul ol,ul ul{margin-bottom:0}dt{font-weight:700}dd{margin-bottom:.5rem;margin-left:0}blockquote{margin:0 0 1rem}b,strong{font-weight:bolder}small{font-size:80%}sub,sup{position:relative;font-size:75%;line-height:0;vertical-align:baseline}sub{bottom:-.25em}sup{top:-.5em}a{color:#007bff;background-color:transparent}a:hover{color:#0056b3}a:not([href]),a:not([href]):hover{color:inherit;text-decoration:none}code,kbd,pre,samp{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace;font-size:1em}pre{margin-top:0;margin-bottom:1rem;overflow:auto;-ms-overflow-style:scrollbar}figure{margin:0 0 1rem}img{border-style:none}img,svg{vertical-align:middle}svg{overflow:hidden}table{border-collapse:collapse}caption{padding-top:.75rem;padding-bottom:.75rem;color:#6c757d;text-align:left;caption-side:bottom}th{text-align:inherit}label{display:inline-block;margin-bottom:.5rem}button{border-radius:0}button:focus{outline:1px dotted;outline:5px auto -webkit-focus-ring-color}button,input,optgroup,select,textarea{margin:0;font-family:inherit;font-size:inherit;line-height:inherit}button,input{overflow:visible}button,select{text-transform:none}[role=button]{cursor:pointer}select{word-wrap:normal}[type=button],[type=reset],[type=submit],button{-webkit-appearance:button}[type=button]:not(:disabled),[type=reset]:not(:disabled),[type=submit]:not(:disabled),button:not(:disabled){cursor:pointer}[type=button]::-moz-focus-inner,[type=reset]::-moz-focus-inner,[type=submit]::-moz-focus-inner,button::-moz-focus-inner{padding:0;border-style:none}input[type=checkbox],input[type=radio]{box-sizing:border-box;padding:0}textarea{overflow:auto;resize:vertical}fieldset{min-width:0;padding:0;margin:0;border:0}legend{display:block;width:100%;max-width:100%;padding:0;margin-bottom:.5rem;font-size:1.5rem;line-height:inherit;color:inherit;white-space:normal}progress{vertical-align:baseline}[type=number]::-webkit-inner-spin-button,[type=number]::-webkit-outer-spin-button{height:auto}[type=search]{outline-offset:-2px;-webkit-appearance:none}[type=search]::-webkit-search-decoration{-webkit-appearance:none}::-webkit-file-upload-button{font:inherit;-webkit-appearance:button}output{display:inline-block}summary{display:list-item;cursor:pointer}template{display:none}[hidden]{display:none!important}.h1,.h2,.h3,.h4,.h5,.h6,h1,h2,h3,h4,h5,h6{margin-bottom:.5rem;font-weight:500;line-height:1.2}.h1,h1{font-size:2.5rem}.h2,h2{font-size:2rem}.h3,h3{font-size:1.75rem}.h4,h4{font-size:1.5rem}.h5,h5{font-size:1.25rem}.h6,h6{font-size:1rem}.lead{font-size:1.25rem;font-weight:300}.display-1{font-size:6rem}.display-1,.display-2{font-weight:300;line-height:1.2}.display-2{font-size:5.5rem}.display-3{font-size:4.5rem}.display-3,.display-4{font-weight:300;line-height:1.2}.display-4{font-size:3.5rem}hr{margin-top:1rem;margin-bottom:1rem;border-top:1px solid rgba(0,0,0,.1)}.small,small{font-size:80%;font-weight:400}.mark,mark{padding:.2em;background-color:#fcf8e3}.list-inline,.list-unstyled{padding-left:0;list-style:none}.list-inline-item{display:inline-block}.list-inline-item:not(:last-child){margin-right:.5rem}.initialism{font-size:90%;text-transform:uppercase}.blockquote{margin-bottom:1rem;font-size:1.25rem}.blockquote-footer{display:block;font-size:80%;color:#6c757d}.blockquote-footer:before{content:"\2014\00A0"}.img-fluid,.img-thumbnail{max-width:100%;height:auto}.img-thumbnail{padding:.25rem;background-color:#fff;border:1px solid #dee2e6;border-radius:.25rem}.figure{display:inline-block}.figure-img{margin-bottom:.5rem;line-height:1}.figure-caption{font-size:90%;color:#6c757d}code{font-size:87.5%;color:#e83e8c;word-wrap:break-word}a>code{color:inherit}kbd{padding:.2rem .4rem;font-size:87.5%;color:#fff;background-color:#212529;border-radius:.2rem}kbd kbd{padding:0;font-size:100%;font-weight:700}pre{display:block;font-size:87.5%;color:#212529}pre code{font-size:inherit;color:inherit;word-break:normal}.pre-scrollable{max-height:340px;overflow-y:scroll}.container{width:100%;padding-right:15px;padding-left:15px;margin-right:auto;margin-left:auto}@media (min-width:540px){.container{max-width:540px}}@media (min-width:720px){.container{max-width:720px}}@media (min-width:960px){.container{max-width:960px}}@media (min-width:1200px){.container{max-width:1400px}}.container-fluid,.container-lg,.container-md,.container-sm,.container-xl{width:100%;padding-right:15px;padding-left:15px;margin-right:auto;margin-left:auto}@media (min-width:540px){.container,.container-sm{max-width:540px}}@media (min-width:720px){.container,.container-md,.container-sm{max-width:720px}}@media (min-width:960px){.container,.container-lg,.container-md,.container-sm{max-width:960px}}@media (min-width:1200px){.container,.container-lg,.container-md,.container-sm,.container-xl{max-width:1400px}}.row{display:flex;flex-wrap:wrap;margin-right:-15px;margin-left:-15px}.no-gutters{margin-right:0;margin-left:0}.no-gutters>.col,.no-gutters>[class*=col-]{padding-right:0;padding-left:0}.col,.col-1,.col-2,.col-3,.col-4,.col-5,.col-6,.col-7,.col-8,.col-9,.col-10,.col-11,.col-12,.col-auto,.col-lg,.col-lg-1,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-auto,.col-md,.col-md-1,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9,.col-md-10,.col-md-11,.col-md-12,.col-md-auto,.col-sm,.col-sm-1,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-auto,.col-xl,.col-xl-1,.col-xl-2,.col-xl-3,.col-xl-4,.col-xl-5,.col-xl-6,.col-xl-7,.col-xl-8,.col-xl-9,.col-xl-10,.col-xl-11,.col-xl-12,.col-xl-auto{position:relative;width:100%;padding-right:15px;padding-left:15px}.col{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-1>*{flex:0 0 100%;max-width:100%}.row-cols-2>*{flex:0 0 50%;max-width:50%}.row-cols-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-4>*{flex:0 0 25%;max-width:25%}.row-cols-5>*{flex:0 0 20%;max-width:20%}.row-cols-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-auto{flex:0 0 auto;width:auto;max-width:100%}.col-1{flex:0 0 8.33333%;max-width:8.33333%}.col-2{flex:0 0 16.66667%;max-width:16.66667%}.col-3{flex:0 0 25%;max-width:25%}.col-4{flex:0 0 33.33333%;max-width:33.33333%}.col-5{flex:0 0 41.66667%;max-width:41.66667%}.col-6{flex:0 0 50%;max-width:50%}.col-7{flex:0 0 58.33333%;max-width:58.33333%}.col-8{flex:0 0 66.66667%;max-width:66.66667%}.col-9{flex:0 0 75%;max-width:75%}.col-10{flex:0 0 83.33333%;max-width:83.33333%}.col-11{flex:0 0 91.66667%;max-width:91.66667%}.col-12{flex:0 0 100%;max-width:100%}.order-first{order:-1}.order-last{order:13}.order-0{order:0}.order-1{order:1}.order-2{order:2}.order-3{order:3}.order-4{order:4}.order-5{order:5}.order-6{order:6}.order-7{order:7}.order-8{order:8}.order-9{order:9}.order-10{order:10}.order-11{order:11}.order-12{order:12}.offset-1{margin-left:8.33333%}.offset-2{margin-left:16.66667%}.offset-3{margin-left:25%}.offset-4{margin-left:33.33333%}.offset-5{margin-left:41.66667%}.offset-6{margin-left:50%}.offset-7{margin-left:58.33333%}.offset-8{margin-left:66.66667%}.offset-9{margin-left:75%}.offset-10{margin-left:83.33333%}.offset-11{margin-left:91.66667%}@media (min-width:540px){.col-sm{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-sm-1>*{flex:0 0 100%;max-width:100%}.row-cols-sm-2>*{flex:0 0 50%;max-width:50%}.row-cols-sm-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-sm-4>*{flex:0 0 25%;max-width:25%}.row-cols-sm-5>*{flex:0 0 20%;max-width:20%}.row-cols-sm-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-sm-auto{flex:0 0 auto;width:auto;max-width:100%}.col-sm-1{flex:0 0 8.33333%;max-width:8.33333%}.col-sm-2{flex:0 0 16.66667%;max-width:16.66667%}.col-sm-3{flex:0 0 25%;max-width:25%}.col-sm-4{flex:0 0 33.33333%;max-width:33.33333%}.col-sm-5{flex:0 0 41.66667%;max-width:41.66667%}.col-sm-6{flex:0 0 50%;max-width:50%}.col-sm-7{flex:0 0 58.33333%;max-width:58.33333%}.col-sm-8{flex:0 0 66.66667%;max-width:66.66667%}.col-sm-9{flex:0 0 75%;max-width:75%}.col-sm-10{flex:0 0 83.33333%;max-width:83.33333%}.col-sm-11{flex:0 0 91.66667%;max-width:91.66667%}.col-sm-12{flex:0 0 100%;max-width:100%}.order-sm-first{order:-1}.order-sm-last{order:13}.order-sm-0{order:0}.order-sm-1{order:1}.order-sm-2{order:2}.order-sm-3{order:3}.order-sm-4{order:4}.order-sm-5{order:5}.order-sm-6{order:6}.order-sm-7{order:7}.order-sm-8{order:8}.order-sm-9{order:9}.order-sm-10{order:10}.order-sm-11{order:11}.order-sm-12{order:12}.offset-sm-0{margin-left:0}.offset-sm-1{margin-left:8.33333%}.offset-sm-2{margin-left:16.66667%}.offset-sm-3{margin-left:25%}.offset-sm-4{margin-left:33.33333%}.offset-sm-5{margin-left:41.66667%}.offset-sm-6{margin-left:50%}.offset-sm-7{margin-left:58.33333%}.offset-sm-8{margin-left:66.66667%}.offset-sm-9{margin-left:75%}.offset-sm-10{margin-left:83.33333%}.offset-sm-11{margin-left:91.66667%}}@media (min-width:720px){.col-md{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-md-1>*{flex:0 0 100%;max-width:100%}.row-cols-md-2>*{flex:0 0 50%;max-width:50%}.row-cols-md-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-md-4>*{flex:0 0 25%;max-width:25%}.row-cols-md-5>*{flex:0 0 20%;max-width:20%}.row-cols-md-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-md-auto{flex:0 0 auto;width:auto;max-width:100%}.col-md-1{flex:0 0 8.33333%;max-width:8.33333%}.col-md-2{flex:0 0 16.66667%;max-width:16.66667%}.col-md-3{flex:0 0 25%;max-width:25%}.col-md-4{flex:0 0 33.33333%;max-width:33.33333%}.col-md-5{flex:0 0 41.66667%;max-width:41.66667%}.col-md-6{flex:0 0 50%;max-width:50%}.col-md-7{flex:0 0 58.33333%;max-width:58.33333%}.col-md-8{flex:0 0 66.66667%;max-width:66.66667%}.col-md-9{flex:0 0 75%;max-width:75%}.col-md-10{flex:0 0 83.33333%;max-width:83.33333%}.col-md-11{flex:0 0 91.66667%;max-width:91.66667%}.col-md-12{flex:0 0 100%;max-width:100%}.order-md-first{order:-1}.order-md-last{order:13}.order-md-0{order:0}.order-md-1{order:1}.order-md-2{order:2}.order-md-3{order:3}.order-md-4{order:4}.order-md-5{order:5}.order-md-6{order:6}.order-md-7{order:7}.order-md-8{order:8}.order-md-9{order:9}.order-md-10{order:10}.order-md-11{order:11}.order-md-12{order:12}.offset-md-0{margin-left:0}.offset-md-1{margin-left:8.33333%}.offset-md-2{margin-left:16.66667%}.offset-md-3{margin-left:25%}.offset-md-4{margin-left:33.33333%}.offset-md-5{margin-left:41.66667%}.offset-md-6{margin-left:50%}.offset-md-7{margin-left:58.33333%}.offset-md-8{margin-left:66.66667%}.offset-md-9{margin-left:75%}.offset-md-10{margin-left:83.33333%}.offset-md-11{margin-left:91.66667%}}@media (min-width:960px){.col-lg{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-lg-1>*{flex:0 0 100%;max-width:100%}.row-cols-lg-2>*{flex:0 0 50%;max-width:50%}.row-cols-lg-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-lg-4>*{flex:0 0 25%;max-width:25%}.row-cols-lg-5>*{flex:0 0 20%;max-width:20%}.row-cols-lg-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-lg-auto{flex:0 0 auto;width:auto;max-width:100%}.col-lg-1{flex:0 0 8.33333%;max-width:8.33333%}.col-lg-2{flex:0 0 16.66667%;max-width:16.66667%}.col-lg-3{flex:0 0 25%;max-width:25%}.col-lg-4{flex:0 0 33.33333%;max-width:33.33333%}.col-lg-5{flex:0 0 41.66667%;max-width:41.66667%}.col-lg-6{flex:0 0 50%;max-width:50%}.col-lg-7{flex:0 0 58.33333%;max-width:58.33333%}.col-lg-8{flex:0 0 66.66667%;max-width:66.66667%}.col-lg-9{flex:0 0 75%;max-width:75%}.col-lg-10{flex:0 0 83.33333%;max-width:83.33333%}.col-lg-11{flex:0 0 91.66667%;max-width:91.66667%}.col-lg-12{flex:0 0 100%;max-width:100%}.order-lg-first{order:-1}.order-lg-last{order:13}.order-lg-0{order:0}.order-lg-1{order:1}.order-lg-2{order:2}.order-lg-3{order:3}.order-lg-4{order:4}.order-lg-5{order:5}.order-lg-6{order:6}.order-lg-7{order:7}.order-lg-8{order:8}.order-lg-9{order:9}.order-lg-10{order:10}.order-lg-11{order:11}.order-lg-12{order:12}.offset-lg-0{margin-left:0}.offset-lg-1{margin-left:8.33333%}.offset-lg-2{margin-left:16.66667%}.offset-lg-3{margin-left:25%}.offset-lg-4{margin-left:33.33333%}.offset-lg-5{margin-left:41.66667%}.offset-lg-6{margin-left:50%}.offset-lg-7{margin-left:58.33333%}.offset-lg-8{margin-left:66.66667%}.offset-lg-9{margin-left:75%}.offset-lg-10{margin-left:83.33333%}.offset-lg-11{margin-left:91.66667%}}@media (min-width:1200px){.col-xl{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-xl-1>*{flex:0 0 100%;max-width:100%}.row-cols-xl-2>*{flex:0 0 50%;max-width:50%}.row-cols-xl-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-xl-4>*{flex:0 0 25%;max-width:25%}.row-cols-xl-5>*{flex:0 0 20%;max-width:20%}.row-cols-xl-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-xl-auto{flex:0 0 auto;width:auto;max-width:100%}.col-xl-1{flex:0 0 8.33333%;max-width:8.33333%}.col-xl-2{flex:0 0 16.66667%;max-width:16.66667%}.col-xl-3{flex:0 0 25%;max-width:25%}.col-xl-4{flex:0 0 33.33333%;max-width:33.33333%}.col-xl-5{flex:0 0 41.66667%;max-width:41.66667%}.col-xl-6{flex:0 0 50%;max-width:50%}.col-xl-7{flex:0 0 58.33333%;max-width:58.33333%}.col-xl-8{flex:0 0 66.66667%;max-width:66.66667%}.col-xl-9{flex:0 0 75%;max-width:75%}.col-xl-10{flex:0 0 83.33333%;max-width:83.33333%}.col-xl-11{flex:0 0 91.66667%;max-width:91.66667%}.col-xl-12{flex:0 0 100%;max-width:100%}.order-xl-first{order:-1}.order-xl-last{order:13}.order-xl-0{order:0}.order-xl-1{order:1}.order-xl-2{order:2}.order-xl-3{order:3}.order-xl-4{order:4}.order-xl-5{order:5}.order-xl-6{order:6}.order-xl-7{order:7}.order-xl-8{order:8}.order-xl-9{order:9}.order-xl-10{order:10}.order-xl-11{order:11}.order-xl-12{order:12}.offset-xl-0{margin-left:0}.offset-xl-1{margin-left:8.33333%}.offset-xl-2{margin-left:16.66667%}.offset-xl-3{margin-left:25%}.offset-xl-4{margin-left:33.33333%}.offset-xl-5{margin-left:41.66667%}.offset-xl-6{margin-left:50%}.offset-xl-7{margin-left:58.33333%}.offset-xl-8{margin-left:66.66667%}.offset-xl-9{margin-left:75%}.offset-xl-10{margin-left:83.33333%}.offset-xl-11{margin-left:91.66667%}}.table{width:100%;margin-bottom:1rem;color:#212529}.table td,.table th{padding:.75rem;vertical-align:top;border-top:1px solid #dee2e6}.table thead th{vertical-align:bottom;border-bottom:2px solid #dee2e6}.table tbody+tbody{border-top:2px solid #dee2e6}.table-sm td,.table-sm th{padding:.3rem}.table-bordered,.table-bordered td,.table-bordered th{border:1px solid #dee2e6}.table-bordered thead td,.table-bordered thead th{border-bottom-width:2px}.table-borderless tbody+tbody,.table-borderless td,.table-borderless th,.table-borderless thead th{border:0}.table-striped tbody tr:nth-of-type(odd){background-color:rgba(0,0,0,.05)}.table-hover tbody tr:hover{color:#212529;background-color:rgba(0,0,0,.075)}.table-primary,.table-primary>td,.table-primary>th{background-color:#b8daff}.table-primary tbody+tbody,.table-primary td,.table-primary th,.table-primary thead th{border-color:#7abaff}.table-hover .table-primary:hover,.table-hover .table-primary:hover>td,.table-hover .table-primary:hover>th{background-color:#9fcdff}.table-secondary,.table-secondary>td,.table-secondary>th{background-color:#d6d8db}.table-secondary tbody+tbody,.table-secondary td,.table-secondary th,.table-secondary thead th{border-color:#b3b7bb}.table-hover .table-secondary:hover,.table-hover .table-secondary:hover>td,.table-hover .table-secondary:hover>th{background-color:#c8cbcf}.table-success,.table-success>td,.table-success>th{background-color:#c3e6cb}.table-success tbody+tbody,.table-success td,.table-success th,.table-success thead th{border-color:#8fd19e}.table-hover .table-success:hover,.table-hover .table-success:hover>td,.table-hover .table-success:hover>th{background-color:#b1dfbb}.table-info,.table-info>td,.table-info>th{background-color:#bee5eb}.table-info tbody+tbody,.table-info td,.table-info th,.table-info thead th{border-color:#86cfda}.table-hover .table-info:hover,.table-hover .table-info:hover>td,.table-hover .table-info:hover>th{background-color:#abdde5}.table-warning,.table-warning>td,.table-warning>th{background-color:#ffeeba}.table-warning tbody+tbody,.table-warning td,.table-warning th,.table-warning thead th{border-color:#ffdf7e}.table-hover .table-warning:hover,.table-hover .table-warning:hover>td,.table-hover .table-warning:hover>th{background-color:#ffe8a1}.table-danger,.table-danger>td,.table-danger>th{background-color:#f5c6cb}.table-danger tbody+tbody,.table-danger td,.table-danger th,.table-danger thead th{border-color:#ed969e}.table-hover .table-danger:hover,.table-hover .table-danger:hover>td,.table-hover .table-danger:hover>th{background-color:#f1b0b7}.table-light,.table-light>td,.table-light>th{background-color:#fdfdfe}.table-light tbody+tbody,.table-light td,.table-light th,.table-light thead th{border-color:#fbfcfc}.table-hover .table-light:hover,.table-hover .table-light:hover>td,.table-hover .table-light:hover>th{background-color:#ececf6}.table-dark,.table-dark>td,.table-dark>th{background-color:#c6c8ca}.table-dark tbody+tbody,.table-dark td,.table-dark th,.table-dark thead th{border-color:#95999c}.table-hover .table-dark:hover,.table-hover .table-dark:hover>td,.table-hover .table-dark:hover>th{background-color:#b9bbbe}.table-active,.table-active>td,.table-active>th,.table-hover .table-active:hover,.table-hover .table-active:hover>td,.table-hover .table-active:hover>th{background-color:rgba(0,0,0,.075)}.table .thead-dark th{color:#fff;background-color:#343a40;border-color:#454d55}.table .thead-light th{color:#495057;background-color:#e9ecef;border-color:#dee2e6}.table-dark{color:#fff;background-color:#343a40}.table-dark td,.table-dark th,.table-dark thead th{border-color:#454d55}.table-dark.table-bordered{border:0}.table-dark.table-striped tbody tr:nth-of-type(odd){background-color:hsla(0,0%,100%,.05)}.table-dark.table-hover tbody tr:hover{color:#fff;background-color:hsla(0,0%,100%,.075)}@media (max-width:539.98px){.table-responsive-sm{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-sm>.table-bordered{border:0}}@media (max-width:719.98px){.table-responsive-md{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-md>.table-bordered{border:0}}@media (max-width:959.98px){.table-responsive-lg{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-lg>.table-bordered{border:0}}@media (max-width:1199.98px){.table-responsive-xl{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-xl>.table-bordered{border:0}}.table-responsive{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive>.table-bordered{border:0}.form-control{display:block;width:100%;height:calc(1.5em + .75rem + 2px);padding:.375rem .75rem;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;background-color:#fff;background-clip:padding-box;border:1px solid #ced4da;border-radius:.25rem;transition:border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.form-control{transition:none}}.form-control::-ms-expand{background-color:transparent;border:0}.form-control:-moz-focusring{color:transparent;text-shadow:0 0 0 #495057}.form-control:focus{color:#495057;background-color:#fff;border-color:#80bdff;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.form-control::placeholder{color:#6c757d;opacity:1}.form-control:disabled,.form-control[readonly]{background-color:#e9ecef;opacity:1}input[type=date].form-control,input[type=datetime-local].form-control,input[type=month].form-control,input[type=time].form-control{appearance:none}select.form-control:focus::-ms-value{color:#495057;background-color:#fff}.form-control-file,.form-control-range{display:block;width:100%}.col-form-label{padding-top:calc(.375rem + 1px);padding-bottom:calc(.375rem + 1px);margin-bottom:0;font-size:inherit;line-height:1.5}.col-form-label-lg{padding-top:calc(.5rem + 1px);padding-bottom:calc(.5rem + 1px);font-size:1.25rem;line-height:1.5}.col-form-label-sm{padding-top:calc(.25rem + 1px);padding-bottom:calc(.25rem + 1px);font-size:.875rem;line-height:1.5}.form-control-plaintext{display:block;width:100%;padding:.375rem 0;margin-bottom:0;font-size:1rem;line-height:1.5;color:#212529;background-color:transparent;border:solid transparent;border-width:1px 0}.form-control-plaintext.form-control-lg,.form-control-plaintext.form-control-sm{padding-right:0;padding-left:0}.form-control-sm{height:calc(1.5em + .5rem + 2px);padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.form-control-lg{height:calc(1.5em + 1rem + 2px);padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}select.form-control[multiple],select.form-control[size],textarea.form-control{height:auto}.form-group{margin-bottom:1rem}.form-text{display:block;margin-top:.25rem}.form-row{display:flex;flex-wrap:wrap;margin-right:-5px;margin-left:-5px}.form-row>.col,.form-row>[class*=col-]{padding-right:5px;padding-left:5px}.form-check{position:relative;display:block;padding-left:1.25rem}.form-check-input{position:absolute;margin-top:.3rem;margin-left:-1.25rem}.form-check-input:disabled~.form-check-label,.form-check-input[disabled]~.form-check-label{color:#6c757d}.form-check-label{margin-bottom:0}.form-check-inline{display:inline-flex;align-items:center;padding-left:0;margin-right:.75rem}.form-check-inline .form-check-input{position:static;margin-top:0;margin-right:.3125rem;margin-left:0}.valid-feedback{display:none;width:100%;margin-top:.25rem;font-size:80%;color:#28a745}.valid-tooltip{position:absolute;top:100%;z-index:5;display:none;max-width:100%;padding:.25rem .5rem;margin-top:.1rem;font-size:.875rem;line-height:1.5;color:#fff;background-color:rgba(40,167,69,.9);border-radius:.25rem}.is-valid~.valid-feedback,.is-valid~.valid-tooltip,.was-validated :valid~.valid-feedback,.was-validated :valid~.valid-tooltip{display:block}.form-control.is-valid,.was-validated .form-control:valid{border-color:#28a745;padding-right:calc(1.5em + .75rem);background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%2328a745' d='M2.3 6.73L.6 4.53c-.4-1.04.46-1.4 1.1-.8l1.1 1.4 3.4-3.8c.6-.63 1.6-.27 1.2.7l-4 4.6c-.43.5-.8.4-1.1.1z'/%3E%3C/svg%3E");background-repeat:no-repeat;background-position:right calc(.375em + .1875rem) center;background-size:calc(.75em + .375rem) calc(.75em + .375rem)}.form-control.is-valid:focus,.was-validated .form-control:valid:focus{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.was-validated textarea.form-control:valid,textarea.form-control.is-valid{padding-right:calc(1.5em + .75rem);background-position:top calc(.375em + .1875rem) right calc(.375em + .1875rem)}.custom-select.is-valid,.was-validated .custom-select:valid{border-color:#28a745;padding-right:calc(.75em + 2.3125rem);background:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px,url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%2328a745' d='M2.3 6.73L.6 4.53c-.4-1.04.46-1.4 1.1-.8l1.1 1.4 3.4-3.8c.6-.63 1.6-.27 1.2.7l-4 4.6c-.43.5-.8.4-1.1.1z'/%3E%3C/svg%3E") #fff no-repeat center right 1.75rem/calc(.75em + .375rem) calc(.75em + .375rem)}.custom-select.is-valid:focus,.was-validated .custom-select:valid:focus{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.form-check-input.is-valid~.form-check-label,.was-validated .form-check-input:valid~.form-check-label{color:#28a745}.form-check-input.is-valid~.valid-feedback,.form-check-input.is-valid~.valid-tooltip,.was-validated .form-check-input:valid~.valid-feedback,.was-validated .form-check-input:valid~.valid-tooltip{display:block}.custom-control-input.is-valid~.custom-control-label,.was-validated .custom-control-input:valid~.custom-control-label{color:#28a745}.custom-control-input.is-valid~.custom-control-label:before,.was-validated .custom-control-input:valid~.custom-control-label:before{border-color:#28a745}.custom-control-input.is-valid:checked~.custom-control-label:before,.was-validated .custom-control-input:valid:checked~.custom-control-label:before{border-color:#34ce57;background-color:#34ce57}.custom-control-input.is-valid:focus~.custom-control-label:before,.was-validated .custom-control-input:valid:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.custom-control-input.is-valid:focus:not(:checked)~.custom-control-label:before,.custom-file-input.is-valid~.custom-file-label,.was-validated .custom-control-input:valid:focus:not(:checked)~.custom-control-label:before,.was-validated .custom-file-input:valid~.custom-file-label{border-color:#28a745}.custom-file-input.is-valid:focus~.custom-file-label,.was-validated .custom-file-input:valid:focus~.custom-file-label{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.invalid-feedback{display:none;width:100%;margin-top:.25rem;font-size:80%;color:#dc3545}.invalid-tooltip{position:absolute;top:100%;z-index:5;display:none;max-width:100%;padding:.25rem .5rem;margin-top:.1rem;font-size:.875rem;line-height:1.5;color:#fff;background-color:rgba(220,53,69,.9);border-radius:.25rem}.is-invalid~.invalid-feedback,.is-invalid~.invalid-tooltip,.was-validated :invalid~.invalid-feedback,.was-validated :invalid~.invalid-tooltip{display:block}.form-control.is-invalid,.was-validated .form-control:invalid{border-color:#dc3545;padding-right:calc(1.5em + .75rem);background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' fill='none' stroke='%23dc3545'%3E%3Ccircle cx='6' cy='6' r='4.5'/%3E%3Cpath stroke-linejoin='round' d='M5.8 3.6h.4L6 6.5z'/%3E%3Ccircle cx='6' cy='8.2' r='.6' fill='%23dc3545' stroke='none'/%3E%3C/svg%3E");background-repeat:no-repeat;background-position:right calc(.375em + .1875rem) center;background-size:calc(.75em + .375rem) calc(.75em + .375rem)}.form-control.is-invalid:focus,.was-validated .form-control:invalid:focus{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.was-validated textarea.form-control:invalid,textarea.form-control.is-invalid{padding-right:calc(1.5em + .75rem);background-position:top calc(.375em + .1875rem) right calc(.375em + .1875rem)}.custom-select.is-invalid,.was-validated .custom-select:invalid{border-color:#dc3545;padding-right:calc(.75em + 2.3125rem);background:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px,url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' fill='none' stroke='%23dc3545'%3E%3Ccircle cx='6' cy='6' r='4.5'/%3E%3Cpath stroke-linejoin='round' d='M5.8 3.6h.4L6 6.5z'/%3E%3Ccircle cx='6' cy='8.2' r='.6' fill='%23dc3545' stroke='none'/%3E%3C/svg%3E") #fff no-repeat center right 1.75rem/calc(.75em + .375rem) calc(.75em + .375rem)}.custom-select.is-invalid:focus,.was-validated .custom-select:invalid:focus{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.form-check-input.is-invalid~.form-check-label,.was-validated .form-check-input:invalid~.form-check-label{color:#dc3545}.form-check-input.is-invalid~.invalid-feedback,.form-check-input.is-invalid~.invalid-tooltip,.was-validated .form-check-input:invalid~.invalid-feedback,.was-validated .form-check-input:invalid~.invalid-tooltip{display:block}.custom-control-input.is-invalid~.custom-control-label,.was-validated .custom-control-input:invalid~.custom-control-label{color:#dc3545}.custom-control-input.is-invalid~.custom-control-label:before,.was-validated .custom-control-input:invalid~.custom-control-label:before{border-color:#dc3545}.custom-control-input.is-invalid:checked~.custom-control-label:before,.was-validated .custom-control-input:invalid:checked~.custom-control-label:before{border-color:#e4606d;background-color:#e4606d}.custom-control-input.is-invalid:focus~.custom-control-label:before,.was-validated .custom-control-input:invalid:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.custom-control-input.is-invalid:focus:not(:checked)~.custom-control-label:before,.custom-file-input.is-invalid~.custom-file-label,.was-validated .custom-control-input:invalid:focus:not(:checked)~.custom-control-label:before,.was-validated .custom-file-input:invalid~.custom-file-label{border-color:#dc3545}.custom-file-input.is-invalid:focus~.custom-file-label,.was-validated .custom-file-input:invalid:focus~.custom-file-label{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.form-inline{display:flex;flex-flow:row wrap;align-items:center}.form-inline .form-check{width:100%}@media (min-width:540px){.form-inline label{justify-content:center}.form-inline .form-group,.form-inline label{display:flex;align-items:center;margin-bottom:0}.form-inline .form-group{flex:0 0 auto;flex-flow:row wrap}.form-inline .form-control{display:inline-block;width:auto;vertical-align:middle}.form-inline .form-control-plaintext{display:inline-block}.form-inline .custom-select,.form-inline .input-group{width:auto}.form-inline .form-check{display:flex;align-items:center;justify-content:center;width:auto;padding-left:0}.form-inline .form-check-input{position:relative;flex-shrink:0;margin-top:0;margin-right:.25rem;margin-left:0}.form-inline .custom-control{align-items:center;justify-content:center}.form-inline .custom-control-label{margin-bottom:0}}.btn{display:inline-block;font-weight:400;color:#212529;text-align:center;vertical-align:middle;user-select:none;background-color:transparent;border:1px solid transparent;padding:.375rem .75rem;font-size:1rem;line-height:1.5;border-radius:.25rem;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.btn{transition:none}}.btn:hover{color:#212529;text-decoration:none}.btn.focus,.btn:focus{outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.btn.disabled,.btn:disabled{opacity:.65}.btn:not(:disabled):not(.disabled){cursor:pointer}a.btn.disabled,fieldset:disabled a.btn{pointer-events:none}.btn-primary{color:#fff;background-color:#007bff;border-color:#007bff}.btn-primary.focus,.btn-primary:focus,.btn-primary:hover{color:#fff;background-color:#0069d9;border-color:#0062cc}.btn-primary.focus,.btn-primary:focus{box-shadow:0 0 0 .2rem rgba(38,143,255,.5)}.btn-primary.disabled,.btn-primary:disabled{color:#fff;background-color:#007bff;border-color:#007bff}.btn-primary:not(:disabled):not(.disabled).active,.btn-primary:not(:disabled):not(.disabled):active,.show>.btn-primary.dropdown-toggle{color:#fff;background-color:#0062cc;border-color:#005cbf}.btn-primary:not(:disabled):not(.disabled).active:focus,.btn-primary:not(:disabled):not(.disabled):active:focus,.show>.btn-primary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(38,143,255,.5)}.btn-secondary{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-secondary.focus,.btn-secondary:focus,.btn-secondary:hover{color:#fff;background-color:#5a6268;border-color:#545b62}.btn-secondary.focus,.btn-secondary:focus{box-shadow:0 0 0 .2rem rgba(130,138,145,.5)}.btn-secondary.disabled,.btn-secondary:disabled{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-secondary:not(:disabled):not(.disabled).active,.btn-secondary:not(:disabled):not(.disabled):active,.show>.btn-secondary.dropdown-toggle{color:#fff;background-color:#545b62;border-color:#4e555b}.btn-secondary:not(:disabled):not(.disabled).active:focus,.btn-secondary:not(:disabled):not(.disabled):active:focus,.show>.btn-secondary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(130,138,145,.5)}.btn-success{color:#fff;background-color:#28a745;border-color:#28a745}.btn-success.focus,.btn-success:focus,.btn-success:hover{color:#fff;background-color:#218838;border-color:#1e7e34}.btn-success.focus,.btn-success:focus{box-shadow:0 0 0 .2rem rgba(72,180,97,.5)}.btn-success.disabled,.btn-success:disabled{color:#fff;background-color:#28a745;border-color:#28a745}.btn-success:not(:disabled):not(.disabled).active,.btn-success:not(:disabled):not(.disabled):active,.show>.btn-success.dropdown-toggle{color:#fff;background-color:#1e7e34;border-color:#1c7430}.btn-success:not(:disabled):not(.disabled).active:focus,.btn-success:not(:disabled):not(.disabled):active:focus,.show>.btn-success.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(72,180,97,.5)}.btn-info{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-info.focus,.btn-info:focus,.btn-info:hover{color:#fff;background-color:#138496;border-color:#117a8b}.btn-info.focus,.btn-info:focus{box-shadow:0 0 0 .2rem rgba(58,176,195,.5)}.btn-info.disabled,.btn-info:disabled{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-info:not(:disabled):not(.disabled).active,.btn-info:not(:disabled):not(.disabled):active,.show>.btn-info.dropdown-toggle{color:#fff;background-color:#117a8b;border-color:#10707f}.btn-info:not(:disabled):not(.disabled).active:focus,.btn-info:not(:disabled):not(.disabled):active:focus,.show>.btn-info.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(58,176,195,.5)}.btn-warning{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-warning.focus,.btn-warning:focus,.btn-warning:hover{color:#212529;background-color:#e0a800;border-color:#d39e00}.btn-warning.focus,.btn-warning:focus{box-shadow:0 0 0 .2rem rgba(222,170,12,.5)}.btn-warning.disabled,.btn-warning:disabled{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-warning:not(:disabled):not(.disabled).active,.btn-warning:not(:disabled):not(.disabled):active,.show>.btn-warning.dropdown-toggle{color:#212529;background-color:#d39e00;border-color:#c69500}.btn-warning:not(:disabled):not(.disabled).active:focus,.btn-warning:not(:disabled):not(.disabled):active:focus,.show>.btn-warning.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(222,170,12,.5)}.btn-danger{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-danger.focus,.btn-danger:focus,.btn-danger:hover{color:#fff;background-color:#c82333;border-color:#bd2130}.btn-danger.focus,.btn-danger:focus{box-shadow:0 0 0 .2rem rgba(225,83,97,.5)}.btn-danger.disabled,.btn-danger:disabled{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-danger:not(:disabled):not(.disabled).active,.btn-danger:not(:disabled):not(.disabled):active,.show>.btn-danger.dropdown-toggle{color:#fff;background-color:#bd2130;border-color:#b21f2d}.btn-danger:not(:disabled):not(.disabled).active:focus,.btn-danger:not(:disabled):not(.disabled):active:focus,.show>.btn-danger.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(225,83,97,.5)}.btn-light{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-light.focus,.btn-light:focus,.btn-light:hover{color:#212529;background-color:#e2e6ea;border-color:#dae0e5}.btn-light.focus,.btn-light:focus{box-shadow:0 0 0 .2rem rgba(216,217,219,.5)}.btn-light.disabled,.btn-light:disabled{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-light:not(:disabled):not(.disabled).active,.btn-light:not(:disabled):not(.disabled):active,.show>.btn-light.dropdown-toggle{color:#212529;background-color:#dae0e5;border-color:#d3d9df}.btn-light:not(:disabled):not(.disabled).active:focus,.btn-light:not(:disabled):not(.disabled):active:focus,.show>.btn-light.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(216,217,219,.5)}.btn-dark{color:#fff;background-color:#343a40;border-color:#343a40}.btn-dark.focus,.btn-dark:focus,.btn-dark:hover{color:#fff;background-color:#23272b;border-color:#1d2124}.btn-dark.focus,.btn-dark:focus{box-shadow:0 0 0 .2rem rgba(82,88,93,.5)}.btn-dark.disabled,.btn-dark:disabled{color:#fff;background-color:#343a40;border-color:#343a40}.btn-dark:not(:disabled):not(.disabled).active,.btn-dark:not(:disabled):not(.disabled):active,.show>.btn-dark.dropdown-toggle{color:#fff;background-color:#1d2124;border-color:#171a1d}.btn-dark:not(:disabled):not(.disabled).active:focus,.btn-dark:not(:disabled):not(.disabled):active:focus,.show>.btn-dark.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(82,88,93,.5)}.btn-outline-primary{color:#007bff;border-color:#007bff}.btn-outline-primary:hover{color:#fff;background-color:#007bff;border-color:#007bff}.btn-outline-primary.focus,.btn-outline-primary:focus{box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.btn-outline-primary.disabled,.btn-outline-primary:disabled{color:#007bff;background-color:transparent}.btn-outline-primary:not(:disabled):not(.disabled).active,.btn-outline-primary:not(:disabled):not(.disabled):active,.show>.btn-outline-primary.dropdown-toggle{color:#fff;background-color:#007bff;border-color:#007bff}.btn-outline-primary:not(:disabled):not(.disabled).active:focus,.btn-outline-primary:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-primary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.btn-outline-secondary{color:#6c757d;border-color:#6c757d}.btn-outline-secondary:hover{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-outline-secondary.focus,.btn-outline-secondary:focus{box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.btn-outline-secondary.disabled,.btn-outline-secondary:disabled{color:#6c757d;background-color:transparent}.btn-outline-secondary:not(:disabled):not(.disabled).active,.btn-outline-secondary:not(:disabled):not(.disabled):active,.show>.btn-outline-secondary.dropdown-toggle{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-outline-secondary:not(:disabled):not(.disabled).active:focus,.btn-outline-secondary:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-secondary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.btn-outline-success{color:#28a745;border-color:#28a745}.btn-outline-success:hover{color:#fff;background-color:#28a745;border-color:#28a745}.btn-outline-success.focus,.btn-outline-success:focus{box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.btn-outline-success.disabled,.btn-outline-success:disabled{color:#28a745;background-color:transparent}.btn-outline-success:not(:disabled):not(.disabled).active,.btn-outline-success:not(:disabled):not(.disabled):active,.show>.btn-outline-success.dropdown-toggle{color:#fff;background-color:#28a745;border-color:#28a745}.btn-outline-success:not(:disabled):not(.disabled).active:focus,.btn-outline-success:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-success.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.btn-outline-info{color:#17a2b8;border-color:#17a2b8}.btn-outline-info:hover{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-outline-info.focus,.btn-outline-info:focus{box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.btn-outline-info.disabled,.btn-outline-info:disabled{color:#17a2b8;background-color:transparent}.btn-outline-info:not(:disabled):not(.disabled).active,.btn-outline-info:not(:disabled):not(.disabled):active,.show>.btn-outline-info.dropdown-toggle{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-outline-info:not(:disabled):not(.disabled).active:focus,.btn-outline-info:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-info.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.btn-outline-warning{color:#ffc107;border-color:#ffc107}.btn-outline-warning:hover{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-outline-warning.focus,.btn-outline-warning:focus{box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.btn-outline-warning.disabled,.btn-outline-warning:disabled{color:#ffc107;background-color:transparent}.btn-outline-warning:not(:disabled):not(.disabled).active,.btn-outline-warning:not(:disabled):not(.disabled):active,.show>.btn-outline-warning.dropdown-toggle{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-outline-warning:not(:disabled):not(.disabled).active:focus,.btn-outline-warning:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-warning.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.btn-outline-danger{color:#dc3545;border-color:#dc3545}.btn-outline-danger:hover{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-outline-danger.focus,.btn-outline-danger:focus{box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.btn-outline-danger.disabled,.btn-outline-danger:disabled{color:#dc3545;background-color:transparent}.btn-outline-danger:not(:disabled):not(.disabled).active,.btn-outline-danger:not(:disabled):not(.disabled):active,.show>.btn-outline-danger.dropdown-toggle{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-outline-danger:not(:disabled):not(.disabled).active:focus,.btn-outline-danger:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-danger.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.btn-outline-light{color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light:hover{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light.focus,.btn-outline-light:focus{box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.btn-outline-light.disabled,.btn-outline-light:disabled{color:#f8f9fa;background-color:transparent}.btn-outline-light:not(:disabled):not(.disabled).active,.btn-outline-light:not(:disabled):not(.disabled):active,.show>.btn-outline-light.dropdown-toggle{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light:not(:disabled):not(.disabled).active:focus,.btn-outline-light:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-light.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.btn-outline-dark{color:#343a40;border-color:#343a40}.btn-outline-dark:hover{color:#fff;background-color:#343a40;border-color:#343a40}.btn-outline-dark.focus,.btn-outline-dark:focus{box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.btn-outline-dark.disabled,.btn-outline-dark:disabled{color:#343a40;background-color:transparent}.btn-outline-dark:not(:disabled):not(.disabled).active,.btn-outline-dark:not(:disabled):not(.disabled):active,.show>.btn-outline-dark.dropdown-toggle{color:#fff;background-color:#343a40;border-color:#343a40}.btn-outline-dark:not(:disabled):not(.disabled).active:focus,.btn-outline-dark:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-dark.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.btn-link{font-weight:400;color:#007bff;text-decoration:none}.btn-link:hover{color:#0056b3}.btn-link.focus,.btn-link:focus,.btn-link:hover{text-decoration:underline}.btn-link.disabled,.btn-link:disabled{color:#6c757d;pointer-events:none}.btn-group-lg>.btn,.btn-lg{padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}.btn-group-sm>.btn,.btn-sm{padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.btn-block{display:block;width:100%}.btn-block+.btn-block{margin-top:.5rem}input[type=button].btn-block,input[type=reset].btn-block,input[type=submit].btn-block{width:100%}.fade{transition:opacity .15s linear}@media (prefers-reduced-motion:reduce){.fade{transition:none}}.fade:not(.show){opacity:0}.collapse:not(.show){display:none}.collapsing{position:relative;height:0;overflow:hidden;transition:height .35s ease}@media (prefers-reduced-motion:reduce){.collapsing{transition:none}}.dropdown,.dropleft,.dropright,.dropup{position:relative}.dropdown-toggle{white-space:nowrap}.dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:.3em solid;border-right:.3em solid transparent;border-bottom:0;border-left:.3em solid transparent}.dropdown-toggle:empty:after{margin-left:0}.dropdown-menu{position:absolute;top:100%;left:0;z-index:1000;display:none;float:left;min-width:10rem;padding:.5rem 0;margin:.125rem 0 0;font-size:1rem;color:#212529;text-align:left;list-style:none;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.15);border-radius:.25rem}.dropdown-menu-left{right:auto;left:0}.dropdown-menu-right{right:0;left:auto}@media (min-width:540px){.dropdown-menu-sm-left{right:auto;left:0}.dropdown-menu-sm-right{right:0;left:auto}}@media (min-width:720px){.dropdown-menu-md-left{right:auto;left:0}.dropdown-menu-md-right{right:0;left:auto}}@media (min-width:960px){.dropdown-menu-lg-left{right:auto;left:0}.dropdown-menu-lg-right{right:0;left:auto}}@media (min-width:1200px){.dropdown-menu-xl-left{right:auto;left:0}.dropdown-menu-xl-right{right:0;left:auto}}.dropup .dropdown-menu{top:auto;bottom:100%;margin-top:0;margin-bottom:.125rem}.dropup .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:0;border-right:.3em solid transparent;border-bottom:.3em solid;border-left:.3em solid transparent}.dropup .dropdown-toggle:empty:after{margin-left:0}.dropright .dropdown-menu{top:0;right:auto;left:100%;margin-top:0;margin-left:.125rem}.dropright .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:.3em solid transparent;border-right:0;border-bottom:.3em solid transparent;border-left:.3em solid}.dropright .dropdown-toggle:empty:after{margin-left:0}.dropright .dropdown-toggle:after{vertical-align:0}.dropleft .dropdown-menu{top:0;right:100%;left:auto;margin-top:0;margin-right:.125rem}.dropleft .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";display:none}.dropleft .dropdown-toggle:before{display:inline-block;margin-right:.255em;vertical-align:.255em;content:"";border-top:.3em solid transparent;border-right:.3em solid;border-bottom:.3em solid transparent}.dropleft .dropdown-toggle:empty:after{margin-left:0}.dropleft .dropdown-toggle:before{vertical-align:0}.dropdown-menu[x-placement^=bottom],.dropdown-menu[x-placement^=left],.dropdown-menu[x-placement^=right],.dropdown-menu[x-placement^=top]{right:auto;bottom:auto}.dropdown-divider{height:0;margin:.5rem 0;overflow:hidden;border-top:1px solid #e9ecef}.dropdown-item{display:block;width:100%;padding:.25rem 1.5rem;clear:both;font-weight:400;color:#212529;text-align:inherit;white-space:nowrap;background-color:transparent;border:0}.dropdown-item:focus,.dropdown-item:hover{color:#16181b;text-decoration:none;background-color:#f8f9fa}.dropdown-item.active,.dropdown-item:active{color:#fff;text-decoration:none;background-color:#007bff}.dropdown-item.disabled,.dropdown-item:disabled{color:#6c757d;pointer-events:none;background-color:transparent}.dropdown-menu.show{display:block}.dropdown-header{display:block;padding:.5rem 1.5rem;margin-bottom:0;font-size:.875rem;color:#6c757d;white-space:nowrap}.dropdown-item-text{display:block;padding:.25rem 1.5rem;color:#212529}.btn-group,.btn-group-vertical{position:relative;display:inline-flex;vertical-align:middle}.btn-group-vertical>.btn,.btn-group>.btn{position:relative;flex:1 1 auto}.btn-group-vertical>.btn.active,.btn-group-vertical>.btn:active,.btn-group-vertical>.btn:focus,.btn-group-vertical>.btn:hover,.btn-group>.btn.active,.btn-group>.btn:active,.btn-group>.btn:focus,.btn-group>.btn:hover{z-index:1}.btn-toolbar{display:flex;flex-wrap:wrap;justify-content:flex-start}.btn-toolbar .input-group{width:auto}.btn-group>.btn-group:not(:first-child),.btn-group>.btn:not(:first-child){margin-left:-1px}.btn-group>.btn-group:not(:last-child)>.btn,.btn-group>.btn:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.btn-group>.btn-group:not(:first-child)>.btn,.btn-group>.btn:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.dropdown-toggle-split{padding-right:.5625rem;padding-left:.5625rem}.dropdown-toggle-split:after,.dropright .dropdown-toggle-split:after,.dropup .dropdown-toggle-split:after{margin-left:0}.dropleft .dropdown-toggle-split:before{margin-right:0}.btn-group-sm>.btn+.dropdown-toggle-split,.btn-sm+.dropdown-toggle-split{padding-right:.375rem;padding-left:.375rem}.btn-group-lg>.btn+.dropdown-toggle-split,.btn-lg+.dropdown-toggle-split{padding-right:.75rem;padding-left:.75rem}.btn-group-vertical{flex-direction:column;align-items:flex-start;justify-content:center}.btn-group-vertical>.btn,.btn-group-vertical>.btn-group{width:100%}.btn-group-vertical>.btn-group:not(:first-child),.btn-group-vertical>.btn:not(:first-child){margin-top:-1px}.btn-group-vertical>.btn-group:not(:last-child)>.btn,.btn-group-vertical>.btn:not(:last-child):not(.dropdown-toggle){border-bottom-right-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn-group:not(:first-child)>.btn,.btn-group-vertical>.btn:not(:first-child){border-top-left-radius:0;border-top-right-radius:0}.btn-group-toggle>.btn,.btn-group-toggle>.btn-group>.btn{margin-bottom:0}.btn-group-toggle>.btn-group>.btn input[type=checkbox],.btn-group-toggle>.btn-group>.btn input[type=radio],.btn-group-toggle>.btn input[type=checkbox],.btn-group-toggle>.btn input[type=radio]{position:absolute;clip:rect(0,0,0,0);pointer-events:none}.input-group{position:relative;display:flex;flex-wrap:wrap;align-items:stretch;width:100%}.input-group>.custom-file,.input-group>.custom-select,.input-group>.form-control,.input-group>.form-control-plaintext{position:relative;flex:1 1 auto;width:1%;min-width:0;margin-bottom:0}.input-group>.custom-file+.custom-file,.input-group>.custom-file+.custom-select,.input-group>.custom-file+.form-control,.input-group>.custom-select+.custom-file,.input-group>.custom-select+.custom-select,.input-group>.custom-select+.form-control,.input-group>.form-control+.custom-file,.input-group>.form-control+.custom-select,.input-group>.form-control+.form-control,.input-group>.form-control-plaintext+.custom-file,.input-group>.form-control-plaintext+.custom-select,.input-group>.form-control-plaintext+.form-control{margin-left:-1px}.input-group>.custom-file .custom-file-input:focus~.custom-file-label,.input-group>.custom-select:focus,.input-group>.form-control:focus{z-index:3}.input-group>.custom-file .custom-file-input:focus{z-index:4}.input-group>.custom-select:not(:last-child),.input-group>.form-control:not(:last-child){border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.custom-select:not(:first-child),.input-group>.form-control:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.input-group>.custom-file{display:flex;align-items:center}.input-group>.custom-file:not(:last-child) .custom-file-label,.input-group>.custom-file:not(:last-child) .custom-file-label:after{border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.custom-file:not(:first-child) .custom-file-label{border-top-left-radius:0;border-bottom-left-radius:0}.input-group-append,.input-group-prepend{display:flex}.input-group-append .btn,.input-group-prepend .btn{position:relative;z-index:2}.input-group-append .btn:focus,.input-group-prepend .btn:focus{z-index:3}.input-group-append .btn+.btn,.input-group-append .btn+.input-group-text,.input-group-append .input-group-text+.btn,.input-group-append .input-group-text+.input-group-text,.input-group-prepend .btn+.btn,.input-group-prepend .btn+.input-group-text,.input-group-prepend .input-group-text+.btn,.input-group-prepend .input-group-text+.input-group-text{margin-left:-1px}.input-group-prepend{margin-right:-1px}.input-group-append{margin-left:-1px}.input-group-text{display:flex;align-items:center;padding:.375rem .75rem;margin-bottom:0;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;text-align:center;white-space:nowrap;background-color:#e9ecef;border:1px solid #ced4da;border-radius:.25rem}.input-group-text input[type=checkbox],.input-group-text input[type=radio]{margin-top:0}.input-group-lg>.custom-select,.input-group-lg>.form-control:not(textarea){height:calc(1.5em + 1rem + 2px)}.input-group-lg>.custom-select,.input-group-lg>.form-control,.input-group-lg>.input-group-append>.btn,.input-group-lg>.input-group-append>.input-group-text,.input-group-lg>.input-group-prepend>.btn,.input-group-lg>.input-group-prepend>.input-group-text{padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}.input-group-sm>.custom-select,.input-group-sm>.form-control:not(textarea){height:calc(1.5em + .5rem + 2px)}.input-group-sm>.custom-select,.input-group-sm>.form-control,.input-group-sm>.input-group-append>.btn,.input-group-sm>.input-group-append>.input-group-text,.input-group-sm>.input-group-prepend>.btn,.input-group-sm>.input-group-prepend>.input-group-text{padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.input-group-lg>.custom-select,.input-group-sm>.custom-select{padding-right:1.75rem}.input-group>.input-group-append:last-child>.btn:not(:last-child):not(.dropdown-toggle),.input-group>.input-group-append:last-child>.input-group-text:not(:last-child),.input-group>.input-group-append:not(:last-child)>.btn,.input-group>.input-group-append:not(:last-child)>.input-group-text,.input-group>.input-group-prepend>.btn,.input-group>.input-group-prepend>.input-group-text{border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.input-group-append>.btn,.input-group>.input-group-append>.input-group-text,.input-group>.input-group-prepend:first-child>.btn:not(:first-child),.input-group>.input-group-prepend:first-child>.input-group-text:not(:first-child),.input-group>.input-group-prepend:not(:first-child)>.btn,.input-group>.input-group-prepend:not(:first-child)>.input-group-text{border-top-left-radius:0;border-bottom-left-radius:0}.custom-control{position:relative;display:block;min-height:1.5rem;padding-left:1.5rem}.custom-control-inline{display:inline-flex;margin-right:1rem}.custom-control-input{position:absolute;left:0;z-index:-1;width:1rem;height:1.25rem;opacity:0}.custom-control-input:checked~.custom-control-label:before{color:#fff;border-color:#007bff;background-color:#007bff}.custom-control-input:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-control-input:focus:not(:checked)~.custom-control-label:before{border-color:#80bdff}.custom-control-input:not(:disabled):active~.custom-control-label:before{color:#fff;background-color:#b3d7ff;border-color:#b3d7ff}.custom-control-input:disabled~.custom-control-label,.custom-control-input[disabled]~.custom-control-label{color:#6c757d}.custom-control-input:disabled~.custom-control-label:before,.custom-control-input[disabled]~.custom-control-label:before{background-color:#e9ecef}.custom-control-label{position:relative;margin-bottom:0;vertical-align:top}.custom-control-label:before{pointer-events:none;background-color:#fff;border:1px solid #adb5bd}.custom-control-label:after,.custom-control-label:before{position:absolute;top:.25rem;left:-1.5rem;display:block;width:1rem;height:1rem;content:""}.custom-control-label:after{background:no-repeat 50%/50% 50%}.custom-checkbox .custom-control-label:before{border-radius:.25rem}.custom-checkbox .custom-control-input:checked~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%23fff' d='M6.564.75l-3.59 3.612-1.538-1.55L0 4.26l2.974 2.99L8 2.193z'/%3E%3C/svg%3E")}.custom-checkbox .custom-control-input:indeterminate~.custom-control-label:before{border-color:#007bff;background-color:#007bff}.custom-checkbox .custom-control-input:indeterminate~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='4'%3E%3Cpath stroke='%23fff' d='M0 2h4'/%3E%3C/svg%3E")}.custom-checkbox .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-checkbox .custom-control-input:disabled:indeterminate~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-radio .custom-control-label:before{border-radius:50%}.custom-radio .custom-control-input:checked~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' viewBox='-4 -4 8 8'%3E%3Ccircle r='3' fill='%23fff'/%3E%3C/svg%3E")}.custom-radio .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-switch{padding-left:2.25rem}.custom-switch .custom-control-label:before{left:-2.25rem;width:1.75rem;pointer-events:all;border-radius:.5rem}.custom-switch .custom-control-label:after{top:calc(.25rem + 2px);left:calc(-2.25rem + 2px);width:calc(1rem - 4px);height:calc(1rem - 4px);background-color:#adb5bd;border-radius:.5rem;transition:transform .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.custom-switch .custom-control-label:after{transition:none}}.custom-switch .custom-control-input:checked~.custom-control-label:after{background-color:#fff;transform:translateX(.75rem)}.custom-switch .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-select{display:inline-block;width:100%;height:calc(1.5em + .75rem + 2px);padding:.375rem 1.75rem .375rem .75rem;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;vertical-align:middle;background:#fff url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px;border:1px solid #ced4da;border-radius:.25rem;appearance:none}.custom-select:focus{border-color:#80bdff;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-select:focus::-ms-value{color:#495057;background-color:#fff}.custom-select[multiple],.custom-select[size]:not([size="1"]){height:auto;padding-right:.75rem;background-image:none}.custom-select:disabled{color:#6c757d;background-color:#e9ecef}.custom-select::-ms-expand{display:none}.custom-select:-moz-focusring{color:transparent;text-shadow:0 0 0 #495057}.custom-select-sm{height:calc(1.5em + .5rem + 2px);padding-top:.25rem;padding-bottom:.25rem;padding-left:.5rem;font-size:.875rem}.custom-select-lg{height:calc(1.5em + 1rem + 2px);padding-top:.5rem;padding-bottom:.5rem;padding-left:1rem;font-size:1.25rem}.custom-file{display:inline-block;margin-bottom:0}.custom-file,.custom-file-input{position:relative;width:100%;height:calc(1.5em + .75rem + 2px)}.custom-file-input{z-index:2;margin:0;opacity:0}.custom-file-input:focus~.custom-file-label{border-color:#80bdff;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-file-input:disabled~.custom-file-label,.custom-file-input[disabled]~.custom-file-label{background-color:#e9ecef}.custom-file-input:lang(en)~.custom-file-label:after{content:"Browse"}.custom-file-input~.custom-file-label[data-browse]:after{content:attr(data-browse)}.custom-file-label{left:0;z-index:1;height:calc(1.5em + .75rem + 2px);font-weight:400;background-color:#fff;border:1px solid #ced4da;border-radius:.25rem}.custom-file-label,.custom-file-label:after{position:absolute;top:0;right:0;padding:.375rem .75rem;line-height:1.5;color:#495057}.custom-file-label:after{bottom:0;z-index:3;display:block;height:calc(1.5em + .75rem);content:"Browse";background-color:#e9ecef;border-left:inherit;border-radius:0 .25rem .25rem 0}.custom-range{width:100%;height:1.4rem;padding:0;background-color:transparent;appearance:none}.custom-range:focus{outline:none}.custom-range:focus::-webkit-slider-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range:focus::-moz-range-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range:focus::-ms-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range::-moz-focus-outer{border:0}.custom-range::-webkit-slider-thumb{width:1rem;height:1rem;margin-top:-.25rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-webkit-slider-thumb{transition:none}}.custom-range::-webkit-slider-thumb:active{background-color:#b3d7ff}.custom-range::-webkit-slider-runnable-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:#dee2e6;border-color:transparent;border-radius:1rem}.custom-range::-moz-range-thumb{width:1rem;height:1rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-moz-range-thumb{transition:none}}.custom-range::-moz-range-thumb:active{background-color:#b3d7ff}.custom-range::-moz-range-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:#dee2e6;border-color:transparent;border-radius:1rem}.custom-range::-ms-thumb{width:1rem;height:1rem;margin-top:0;margin-right:.2rem;margin-left:.2rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-ms-thumb{transition:none}}.custom-range::-ms-thumb:active{background-color:#b3d7ff}.custom-range::-ms-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:transparent;border-color:transparent;border-width:.5rem}.custom-range::-ms-fill-lower,.custom-range::-ms-fill-upper{background-color:#dee2e6;border-radius:1rem}.custom-range::-ms-fill-upper{margin-right:15px}.custom-range:disabled::-webkit-slider-thumb{background-color:#adb5bd}.custom-range:disabled::-webkit-slider-runnable-track{cursor:default}.custom-range:disabled::-moz-range-thumb{background-color:#adb5bd}.custom-range:disabled::-moz-range-track{cursor:default}.custom-range:disabled::-ms-thumb{background-color:#adb5bd}.custom-control-label:before,.custom-file-label,.custom-select{transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.custom-control-label:before,.custom-file-label,.custom-select{transition:none}}.nav{display:flex;flex-wrap:wrap;padding-left:0;margin-bottom:0;list-style:none}.nav-link{display:block;padding:.5rem 1rem}.nav-link:focus,.nav-link:hover{text-decoration:none}.nav-link.disabled{color:#6c757d;pointer-events:none;cursor:default}.nav-tabs{border-bottom:1px solid #dee2e6}.nav-tabs .nav-item{margin-bottom:-1px}.nav-tabs .nav-link{border:1px solid transparent;border-top-left-radius:.25rem;border-top-right-radius:.25rem}.nav-tabs .nav-link:focus,.nav-tabs .nav-link:hover{border-color:#e9ecef #e9ecef #dee2e6}.nav-tabs .nav-link.disabled{color:#6c757d;background-color:transparent;border-color:transparent}.nav-tabs .nav-item.show .nav-link,.nav-tabs .nav-link.active{color:#495057;background-color:#fff;border-color:#dee2e6 #dee2e6 #fff}.nav-tabs .dropdown-menu{margin-top:-1px;border-top-left-radius:0;border-top-right-radius:0}.nav-pills .nav-link{border-radius:.25rem}.nav-pills .nav-link.active,.nav-pills .show>.nav-link{color:#fff;background-color:#007bff}.nav-fill .nav-item{flex:1 1 auto;text-align:center}.nav-justified .nav-item{flex-basis:0;flex-grow:1;text-align:center}.tab-content>.tab-pane{display:none}.tab-content>.active{display:block}.navbar{position:relative;padding:.5rem 1rem}.navbar,.navbar .container,.navbar .container-fluid,.navbar .container-lg,.navbar .container-md,.navbar .container-sm,.navbar .container-xl{display:flex;flex-wrap:wrap;align-items:center;justify-content:space-between}.navbar-brand{display:inline-block;padding-top:.3125rem;padding-bottom:.3125rem;margin-right:1rem;font-size:1.25rem;line-height:inherit;white-space:nowrap}.navbar-brand:focus,.navbar-brand:hover{text-decoration:none}.navbar-nav{display:flex;flex-direction:column;padding-left:0;margin-bottom:0;list-style:none}.navbar-nav .nav-link{padding-right:0;padding-left:0}.navbar-nav .dropdown-menu{position:static;float:none}.navbar-text{display:inline-block;padding-top:.5rem;padding-bottom:.5rem}.navbar-collapse{flex-basis:100%;flex-grow:1;align-items:center}.navbar-toggler{padding:.25rem .75rem;font-size:1.25rem;line-height:1;background-color:transparent;border:1px solid transparent;border-radius:.25rem}.navbar-toggler:focus,.navbar-toggler:hover{text-decoration:none}.navbar-toggler-icon{display:inline-block;width:1.5em;height:1.5em;vertical-align:middle;content:"";background:no-repeat 50%;background-size:100% 100%}@media (max-width:539.98px){.navbar-expand-sm>.container,.navbar-expand-sm>.container-fluid,.navbar-expand-sm>.container-lg,.navbar-expand-sm>.container-md,.navbar-expand-sm>.container-sm,.navbar-expand-sm>.container-xl{padding-right:0;padding-left:0}}@media (min-width:540px){.navbar-expand-sm{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-sm .navbar-nav{flex-direction:row}.navbar-expand-sm .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-sm .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-sm>.container,.navbar-expand-sm>.container-fluid,.navbar-expand-sm>.container-lg,.navbar-expand-sm>.container-md,.navbar-expand-sm>.container-sm,.navbar-expand-sm>.container-xl{flex-wrap:nowrap}.navbar-expand-sm .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-sm .navbar-toggler{display:none}}@media (max-width:719.98px){.navbar-expand-md>.container,.navbar-expand-md>.container-fluid,.navbar-expand-md>.container-lg,.navbar-expand-md>.container-md,.navbar-expand-md>.container-sm,.navbar-expand-md>.container-xl{padding-right:0;padding-left:0}}@media (min-width:720px){.navbar-expand-md{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-md .navbar-nav{flex-direction:row}.navbar-expand-md .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-md .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-md>.container,.navbar-expand-md>.container-fluid,.navbar-expand-md>.container-lg,.navbar-expand-md>.container-md,.navbar-expand-md>.container-sm,.navbar-expand-md>.container-xl{flex-wrap:nowrap}.navbar-expand-md .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-md .navbar-toggler{display:none}}@media (max-width:959.98px){.navbar-expand-lg>.container,.navbar-expand-lg>.container-fluid,.navbar-expand-lg>.container-lg,.navbar-expand-lg>.container-md,.navbar-expand-lg>.container-sm,.navbar-expand-lg>.container-xl{padding-right:0;padding-left:0}}@media (min-width:960px){.navbar-expand-lg{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-lg .navbar-nav{flex-direction:row}.navbar-expand-lg .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-lg .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-lg>.container,.navbar-expand-lg>.container-fluid,.navbar-expand-lg>.container-lg,.navbar-expand-lg>.container-md,.navbar-expand-lg>.container-sm,.navbar-expand-lg>.container-xl{flex-wrap:nowrap}.navbar-expand-lg .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-lg .navbar-toggler{display:none}}@media (max-width:1199.98px){.navbar-expand-xl>.container,.navbar-expand-xl>.container-fluid,.navbar-expand-xl>.container-lg,.navbar-expand-xl>.container-md,.navbar-expand-xl>.container-sm,.navbar-expand-xl>.container-xl{padding-right:0;padding-left:0}}@media (min-width:1200px){.navbar-expand-xl{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-xl .navbar-nav{flex-direction:row}.navbar-expand-xl .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-xl .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-xl>.container,.navbar-expand-xl>.container-fluid,.navbar-expand-xl>.container-lg,.navbar-expand-xl>.container-md,.navbar-expand-xl>.container-sm,.navbar-expand-xl>.container-xl{flex-wrap:nowrap}.navbar-expand-xl .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-xl .navbar-toggler{display:none}}.navbar-expand{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand>.container,.navbar-expand>.container-fluid,.navbar-expand>.container-lg,.navbar-expand>.container-md,.navbar-expand>.container-sm,.navbar-expand>.container-xl{padding-right:0;padding-left:0}.navbar-expand .navbar-nav{flex-direction:row}.navbar-expand .navbar-nav .dropdown-menu{position:absolute}.navbar-expand .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand>.container,.navbar-expand>.container-fluid,.navbar-expand>.container-lg,.navbar-expand>.container-md,.navbar-expand>.container-sm,.navbar-expand>.container-xl{flex-wrap:nowrap}.navbar-expand .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand .navbar-toggler{display:none}.navbar-light .navbar-brand,.navbar-light .navbar-brand:focus,.navbar-light .navbar-brand:hover{color:rgba(0,0,0,.9)}.navbar-light .navbar-nav .nav-link{color:rgba(0,0,0,.5)}.navbar-light .navbar-nav .nav-link:focus,.navbar-light .navbar-nav .nav-link:hover{color:rgba(0,0,0,.7)}.navbar-light .navbar-nav .nav-link.disabled{color:rgba(0,0,0,.3)}.navbar-light .navbar-nav .active>.nav-link,.navbar-light .navbar-nav .nav-link.active,.navbar-light .navbar-nav .nav-link.show,.navbar-light .navbar-nav .show>.nav-link{color:rgba(0,0,0,.9)}.navbar-light .navbar-toggler{color:rgba(0,0,0,.5);border-color:rgba(0,0,0,.1)}.navbar-light .navbar-toggler-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='30' height='30'%3E%3Cpath stroke='rgba(0,0,0,0.5)' stroke-linecap='round' stroke-miterlimit='10' stroke-width='2' d='M4 7h22M4 15h22M4 23h22'/%3E%3C/svg%3E")}.navbar-light .navbar-text{color:rgba(0,0,0,.5)}.navbar-light .navbar-text a,.navbar-light .navbar-text a:focus,.navbar-light .navbar-text a:hover{color:rgba(0,0,0,.9)}.navbar-dark .navbar-brand,.navbar-dark .navbar-brand:focus,.navbar-dark .navbar-brand:hover{color:#fff}.navbar-dark .navbar-nav .nav-link{color:hsla(0,0%,100%,.5)}.navbar-dark .navbar-nav .nav-link:focus,.navbar-dark .navbar-nav .nav-link:hover{color:hsla(0,0%,100%,.75)}.navbar-dark .navbar-nav .nav-link.disabled{color:hsla(0,0%,100%,.25)}.navbar-dark .navbar-nav .active>.nav-link,.navbar-dark .navbar-nav .nav-link.active,.navbar-dark .navbar-nav .nav-link.show,.navbar-dark .navbar-nav .show>.nav-link{color:#fff}.navbar-dark .navbar-toggler{color:hsla(0,0%,100%,.5);border-color:hsla(0,0%,100%,.1)}.navbar-dark .navbar-toggler-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='30' height='30'%3E%3Cpath stroke='rgba(255,255,255,0.5)' stroke-linecap='round' stroke-miterlimit='10' stroke-width='2' d='M4 7h22M4 15h22M4 23h22'/%3E%3C/svg%3E")}.navbar-dark .navbar-text{color:hsla(0,0%,100%,.5)}.navbar-dark .navbar-text a,.navbar-dark .navbar-text a:focus,.navbar-dark .navbar-text a:hover{color:#fff}.card{position:relative;display:flex;flex-direction:column;min-width:0;word-wrap:break-word;background-color:#fff;background-clip:border-box;border:1px solid rgba(0,0,0,.125);border-radius:.25rem}.card>hr{margin-right:0;margin-left:0}.card>.list-group{border-top:inherit;border-bottom:inherit}.card>.list-group:first-child{border-top-width:0;border-top-left-radius:calc(.25rem - 1px);border-top-right-radius:calc(.25rem - 1px)}.card>.list-group:last-child{border-bottom-width:0;border-bottom-right-radius:calc(.25rem - 1px);border-bottom-left-radius:calc(.25rem - 1px)}.card-body{flex:1 1 auto;min-height:1px;padding:1.25rem}.card-title{margin-bottom:.75rem}.card-subtitle{margin-top:-.375rem}.card-subtitle,.card-text:last-child{margin-bottom:0}.card-link:hover{text-decoration:none}.card-link+.card-link{margin-left:1.25rem}.card-header{padding:.75rem 1.25rem;margin-bottom:0;background-color:rgba(0,0,0,.03);border-bottom:1px solid rgba(0,0,0,.125)}.card-header:first-child{border-radius:calc(.25rem - 1px) calc(.25rem - 1px) 0 0}.card-header+.list-group .list-group-item:first-child{border-top:0}.card-footer{padding:.75rem 1.25rem;background-color:rgba(0,0,0,.03);border-top:1px solid rgba(0,0,0,.125)}.card-footer:last-child{border-radius:0 0 calc(.25rem - 1px) calc(.25rem - 1px)}.card-header-tabs{margin-bottom:-.75rem;border-bottom:0}.card-header-pills,.card-header-tabs{margin-right:-.625rem;margin-left:-.625rem}.card-img-overlay{position:absolute;top:0;right:0;bottom:0;left:0;padding:1.25rem}.card-img,.card-img-bottom,.card-img-top{flex-shrink:0;width:100%}.card-img,.card-img-top{border-top-left-radius:calc(.25rem - 1px);border-top-right-radius:calc(.25rem - 1px)}.card-img,.card-img-bottom{border-bottom-right-radius:calc(.25rem - 1px);border-bottom-left-radius:calc(.25rem - 1px)}.card-deck .card{margin-bottom:15px}@media (min-width:540px){.card-deck{display:flex;flex-flow:row wrap;margin-right:-15px;margin-left:-15px}.card-deck .card{flex:1 0 0%;margin-right:15px;margin-bottom:0;margin-left:15px}}.card-group>.card{margin-bottom:15px}@media (min-width:540px){.card-group{display:flex;flex-flow:row wrap}.card-group>.card{flex:1 0 0%;margin-bottom:0}.card-group>.card+.card{margin-left:0;border-left:0}.card-group>.card:not(:last-child){border-top-right-radius:0;border-bottom-right-radius:0}.card-group>.card:not(:last-child) .card-header,.card-group>.card:not(:last-child) .card-img-top{border-top-right-radius:0}.card-group>.card:not(:last-child) .card-footer,.card-group>.card:not(:last-child) .card-img-bottom{border-bottom-right-radius:0}.card-group>.card:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.card-group>.card:not(:first-child) .card-header,.card-group>.card:not(:first-child) .card-img-top{border-top-left-radius:0}.card-group>.card:not(:first-child) .card-footer,.card-group>.card:not(:first-child) .card-img-bottom{border-bottom-left-radius:0}}.card-columns .card{margin-bottom:.75rem}@media (min-width:540px){.card-columns{column-count:3;column-gap:1.25rem;orphans:1;widows:1}.card-columns .card{display:inline-block;width:100%}}.accordion>.card{overflow:hidden}.accordion>.card:not(:last-of-type){border-bottom:0;border-bottom-right-radius:0;border-bottom-left-radius:0}.accordion>.card:not(:first-of-type){border-top-left-radius:0;border-top-right-radius:0}.accordion>.card>.card-header{border-radius:0;margin-bottom:-1px}.breadcrumb{flex-wrap:wrap;padding:.75rem 1rem;margin-bottom:1rem;list-style:none;background-color:#e9ecef;border-radius:.25rem}.breadcrumb,.breadcrumb-item{display:flex}.breadcrumb-item+.breadcrumb-item{padding-left:.5rem}.breadcrumb-item+.breadcrumb-item:before{display:inline-block;padding-right:.5rem;color:#6c757d;content:"/"}.breadcrumb-item+.breadcrumb-item:hover:before{text-decoration:underline;text-decoration:none}.breadcrumb-item.active{color:#6c757d}.pagination{display:flex;padding-left:0;list-style:none;border-radius:.25rem}.page-link{position:relative;display:block;padding:.5rem .75rem;margin-left:-1px;line-height:1.25;color:#007bff;background-color:#fff;border:1px solid #dee2e6}.page-link:hover{z-index:2;color:#0056b3;text-decoration:none;background-color:#e9ecef;border-color:#dee2e6}.page-link:focus{z-index:3;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.page-item:first-child .page-link{margin-left:0;border-top-left-radius:.25rem;border-bottom-left-radius:.25rem}.page-item:last-child .page-link{border-top-right-radius:.25rem;border-bottom-right-radius:.25rem}.page-item.active .page-link{z-index:3;color:#fff;background-color:#007bff;border-color:#007bff}.page-item.disabled .page-link{color:#6c757d;pointer-events:none;cursor:auto;background-color:#fff;border-color:#dee2e6}.pagination-lg .page-link{padding:.75rem 1.5rem;font-size:1.25rem;line-height:1.5}.pagination-lg .page-item:first-child .page-link{border-top-left-radius:.3rem;border-bottom-left-radius:.3rem}.pagination-lg .page-item:last-child .page-link{border-top-right-radius:.3rem;border-bottom-right-radius:.3rem}.pagination-sm .page-link{padding:.25rem .5rem;font-size:.875rem;line-height:1.5}.pagination-sm .page-item:first-child .page-link{border-top-left-radius:.2rem;border-bottom-left-radius:.2rem}.pagination-sm .page-item:last-child .page-link{border-top-right-radius:.2rem;border-bottom-right-radius:.2rem}.badge{display:inline-block;padding:.25em .4em;font-size:75%;font-weight:700;line-height:1;text-align:center;white-space:nowrap;vertical-align:baseline;border-radius:.25rem;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.badge{transition:none}}a.badge:focus,a.badge:hover{text-decoration:none}.badge:empty{display:none}.btn .badge{position:relative;top:-1px}.badge-pill{padding-right:.6em;padding-left:.6em;border-radius:10rem}.badge-primary{color:#fff;background-color:#007bff}a.badge-primary:focus,a.badge-primary:hover{color:#fff;background-color:#0062cc}a.badge-primary.focus,a.badge-primary:focus{outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.badge-secondary{color:#fff;background-color:#6c757d}a.badge-secondary:focus,a.badge-secondary:hover{color:#fff;background-color:#545b62}a.badge-secondary.focus,a.badge-secondary:focus{outline:0;box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.badge-success{color:#fff;background-color:#28a745}a.badge-success:focus,a.badge-success:hover{color:#fff;background-color:#1e7e34}a.badge-success.focus,a.badge-success:focus{outline:0;box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.badge-info{color:#fff;background-color:#17a2b8}a.badge-info:focus,a.badge-info:hover{color:#fff;background-color:#117a8b}a.badge-info.focus,a.badge-info:focus{outline:0;box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.badge-warning{color:#212529;background-color:#ffc107}a.badge-warning:focus,a.badge-warning:hover{color:#212529;background-color:#d39e00}a.badge-warning.focus,a.badge-warning:focus{outline:0;box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.badge-danger{color:#fff;background-color:#dc3545}a.badge-danger:focus,a.badge-danger:hover{color:#fff;background-color:#bd2130}a.badge-danger.focus,a.badge-danger:focus{outline:0;box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.badge-light{color:#212529;background-color:#f8f9fa}a.badge-light:focus,a.badge-light:hover{color:#212529;background-color:#dae0e5}a.badge-light.focus,a.badge-light:focus{outline:0;box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.badge-dark{color:#fff;background-color:#343a40}a.badge-dark:focus,a.badge-dark:hover{color:#fff;background-color:#1d2124}a.badge-dark.focus,a.badge-dark:focus{outline:0;box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.jumbotron{padding:2rem 1rem;margin-bottom:2rem;background-color:#e9ecef;border-radius:.3rem}@media (min-width:540px){.jumbotron{padding:4rem 2rem}}.jumbotron-fluid{padding-right:0;padding-left:0;border-radius:0}.alert{position:relative;padding:.75rem 1.25rem;margin-bottom:1rem;border:1px solid transparent;border-radius:.25rem}.alert-heading{color:inherit}.alert-link{font-weight:700}.alert-dismissible{padding-right:4rem}.alert-dismissible .close{position:absolute;top:0;right:0;padding:.75rem 1.25rem;color:inherit}.alert-primary{color:#004085;background-color:#cce5ff;border-color:#b8daff}.alert-primary hr{border-top-color:#9fcdff}.alert-primary .alert-link{color:#002752}.alert-secondary{color:#383d41;background-color:#e2e3e5;border-color:#d6d8db}.alert-secondary hr{border-top-color:#c8cbcf}.alert-secondary .alert-link{color:#202326}.alert-success{color:#155724;background-color:#d4edda;border-color:#c3e6cb}.alert-success hr{border-top-color:#b1dfbb}.alert-success .alert-link{color:#0b2e13}.alert-info{color:#0c5460;background-color:#d1ecf1;border-color:#bee5eb}.alert-info hr{border-top-color:#abdde5}.alert-info .alert-link{color:#062c33}.alert-warning{color:#856404;background-color:#fff3cd;border-color:#ffeeba}.alert-warning hr{border-top-color:#ffe8a1}.alert-warning .alert-link{color:#533f03}.alert-danger{color:#721c24;background-color:#f8d7da;border-color:#f5c6cb}.alert-danger hr{border-top-color:#f1b0b7}.alert-danger .alert-link{color:#491217}.alert-light{color:#818182;background-color:#fefefe;border-color:#fdfdfe}.alert-light hr{border-top-color:#ececf6}.alert-light .alert-link{color:#686868}.alert-dark{color:#1b1e21;background-color:#d6d8d9;border-color:#c6c8ca}.alert-dark hr{border-top-color:#b9bbbe}.alert-dark .alert-link{color:#040505}@keyframes progress-bar-stripes{0%{background-position:1rem 0}to{background-position:0 0}}.progress{height:1rem;line-height:0;font-size:.75rem;background-color:#e9ecef;border-radius:.25rem}.progress,.progress-bar{display:flex;overflow:hidden}.progress-bar{flex-direction:column;justify-content:center;color:#fff;text-align:center;white-space:nowrap;background-color:#007bff;transition:width .6s ease}@media (prefers-reduced-motion:reduce){.progress-bar{transition:none}}.progress-bar-striped{background-image:linear-gradient(45deg,hsla(0,0%,100%,.15) 25%,transparent 0,transparent 50%,hsla(0,0%,100%,.15) 0,hsla(0,0%,100%,.15) 75%,transparent 0,transparent);background-size:1rem 1rem}.progress-bar-animated{animation:progress-bar-stripes 1s linear infinite}@media (prefers-reduced-motion:reduce){.progress-bar-animated{animation:none}}.media{display:flex;align-items:flex-start}.media-body{flex:1}.list-group{display:flex;flex-direction:column;padding-left:0;margin-bottom:0;border-radius:.25rem}.list-group-item-action{width:100%;color:#495057;text-align:inherit}.list-group-item-action:focus,.list-group-item-action:hover{z-index:1;color:#495057;text-decoration:none;background-color:#f8f9fa}.list-group-item-action:active{color:#212529;background-color:#e9ecef}.list-group-item{position:relative;display:block;padding:.75rem 1.25rem;background-color:#fff;border:1px solid rgba(0,0,0,.125)}.list-group-item:first-child{border-top-left-radius:inherit;border-top-right-radius:inherit}.list-group-item:last-child{border-bottom-right-radius:inherit;border-bottom-left-radius:inherit}.list-group-item.disabled,.list-group-item:disabled{color:#6c757d;pointer-events:none;background-color:#fff}.list-group-item.active{z-index:2;color:#fff;background-color:#007bff;border-color:#007bff}.list-group-item+.list-group-item{border-top-width:0}.list-group-item+.list-group-item.active{margin-top:-1px;border-top-width:1px}.list-group-horizontal{flex-direction:row}.list-group-horizontal>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal>.list-group-item.active{margin-top:0}.list-group-horizontal>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}@media (min-width:540px){.list-group-horizontal-sm{flex-direction:row}.list-group-horizontal-sm>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-sm>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-sm>.list-group-item.active{margin-top:0}.list-group-horizontal-sm>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-sm>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:720px){.list-group-horizontal-md{flex-direction:row}.list-group-horizontal-md>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-md>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-md>.list-group-item.active{margin-top:0}.list-group-horizontal-md>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-md>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:960px){.list-group-horizontal-lg{flex-direction:row}.list-group-horizontal-lg>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-lg>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-lg>.list-group-item.active{margin-top:0}.list-group-horizontal-lg>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-lg>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:1200px){.list-group-horizontal-xl{flex-direction:row}.list-group-horizontal-xl>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-xl>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-xl>.list-group-item.active{margin-top:0}.list-group-horizontal-xl>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-xl>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}.list-group-flush{border-radius:0}.list-group-flush>.list-group-item{border-width:0 0 1px}.list-group-flush>.list-group-item:last-child{border-bottom-width:0}.list-group-item-primary{color:#004085;background-color:#b8daff}.list-group-item-primary.list-group-item-action:focus,.list-group-item-primary.list-group-item-action:hover{color:#004085;background-color:#9fcdff}.list-group-item-primary.list-group-item-action.active{color:#fff;background-color:#004085;border-color:#004085}.list-group-item-secondary{color:#383d41;background-color:#d6d8db}.list-group-item-secondary.list-group-item-action:focus,.list-group-item-secondary.list-group-item-action:hover{color:#383d41;background-color:#c8cbcf}.list-group-item-secondary.list-group-item-action.active{color:#fff;background-color:#383d41;border-color:#383d41}.list-group-item-success{color:#155724;background-color:#c3e6cb}.list-group-item-success.list-group-item-action:focus,.list-group-item-success.list-group-item-action:hover{color:#155724;background-color:#b1dfbb}.list-group-item-success.list-group-item-action.active{color:#fff;background-color:#155724;border-color:#155724}.list-group-item-info{color:#0c5460;background-color:#bee5eb}.list-group-item-info.list-group-item-action:focus,.list-group-item-info.list-group-item-action:hover{color:#0c5460;background-color:#abdde5}.list-group-item-info.list-group-item-action.active{color:#fff;background-color:#0c5460;border-color:#0c5460}.list-group-item-warning{color:#856404;background-color:#ffeeba}.list-group-item-warning.list-group-item-action:focus,.list-group-item-warning.list-group-item-action:hover{color:#856404;background-color:#ffe8a1}.list-group-item-warning.list-group-item-action.active{color:#fff;background-color:#856404;border-color:#856404}.list-group-item-danger{color:#721c24;background-color:#f5c6cb}.list-group-item-danger.list-group-item-action:focus,.list-group-item-danger.list-group-item-action:hover{color:#721c24;background-color:#f1b0b7}.list-group-item-danger.list-group-item-action.active{color:#fff;background-color:#721c24;border-color:#721c24}.list-group-item-light{color:#818182;background-color:#fdfdfe}.list-group-item-light.list-group-item-action:focus,.list-group-item-light.list-group-item-action:hover{color:#818182;background-color:#ececf6}.list-group-item-light.list-group-item-action.active{color:#fff;background-color:#818182;border-color:#818182}.list-group-item-dark{color:#1b1e21;background-color:#c6c8ca}.list-group-item-dark.list-group-item-action:focus,.list-group-item-dark.list-group-item-action:hover{color:#1b1e21;background-color:#b9bbbe}.list-group-item-dark.list-group-item-action.active{color:#fff;background-color:#1b1e21;border-color:#1b1e21}.close{float:right;font-size:1.5rem;font-weight:700;line-height:1;color:#000;text-shadow:0 1px 0 #fff;opacity:.5}.close:hover{color:#000;text-decoration:none}.close:not(:disabled):not(.disabled):focus,.close:not(:disabled):not(.disabled):hover{opacity:.75}button.close{padding:0;background-color:transparent;border:0}a.close.disabled{pointer-events:none}.toast{max-width:350px;overflow:hidden;font-size:.875rem;background-color:hsla(0,0%,100%,.85);background-clip:padding-box;border:1px solid rgba(0,0,0,.1);box-shadow:0 .25rem .75rem rgba(0,0,0,.1);backdrop-filter:blur(10px);opacity:0;border-radius:.25rem}.toast:not(:last-child){margin-bottom:.75rem}.toast.showing{opacity:1}.toast.show{display:block;opacity:1}.toast.hide{display:none}.toast-header{display:flex;align-items:center;padding:.25rem .75rem;color:#6c757d;background-color:hsla(0,0%,100%,.85);background-clip:padding-box;border-bottom:1px solid rgba(0,0,0,.05)}.toast-body{padding:.75rem}.modal-open{overflow:hidden}.modal-open .modal{overflow-x:hidden;overflow-y:auto}.modal{position:fixed;top:0;left:0;z-index:1050;display:none;width:100%;height:100%;overflow:hidden;outline:0}.modal-dialog{position:relative;width:auto;margin:.5rem;pointer-events:none}.modal.fade .modal-dialog{transition:transform .3s ease-out;transform:translateY(-50px)}@media (prefers-reduced-motion:reduce){.modal.fade .modal-dialog{transition:none}}.modal.show .modal-dialog{transform:none}.modal.modal-static .modal-dialog{transform:scale(1.02)}.modal-dialog-scrollable{display:flex;max-height:calc(100% - 1rem)}.modal-dialog-scrollable .modal-content{max-height:calc(100vh - 1rem);overflow:hidden}.modal-dialog-scrollable .modal-footer,.modal-dialog-scrollable .modal-header{flex-shrink:0}.modal-dialog-scrollable .modal-body{overflow-y:auto}.modal-dialog-centered{display:flex;align-items:center;min-height:calc(100% - 1rem)}.modal-dialog-centered:before{display:block;height:calc(100vh - 1rem);height:min-content;content:""}.modal-dialog-centered.modal-dialog-scrollable{flex-direction:column;justify-content:center;height:100%}.modal-dialog-centered.modal-dialog-scrollable .modal-content{max-height:none}.modal-dialog-centered.modal-dialog-scrollable:before{content:none}.modal-content{position:relative;display:flex;flex-direction:column;width:100%;pointer-events:auto;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.2);border-radius:.3rem;outline:0}.modal-backdrop{position:fixed;top:0;left:0;z-index:1040;width:100vw;height:100vh;background-color:#000}.modal-backdrop.fade{opacity:0}.modal-backdrop.show{opacity:.5}.modal-header{display:flex;align-items:flex-start;justify-content:space-between;padding:1rem;border-bottom:1px solid #dee2e6;border-top-left-radius:calc(.3rem - 1px);border-top-right-radius:calc(.3rem - 1px)}.modal-header .close{padding:1rem;margin:-1rem -1rem -1rem auto}.modal-title{margin-bottom:0;line-height:1.5}.modal-body{position:relative;flex:1 1 auto;padding:1rem}.modal-footer{display:flex;flex-wrap:wrap;align-items:center;justify-content:flex-end;padding:.75rem;border-top:1px solid #dee2e6;border-bottom-right-radius:calc(.3rem - 1px);border-bottom-left-radius:calc(.3rem - 1px)}.modal-footer>*{margin:.25rem}.modal-scrollbar-measure{position:absolute;top:-9999px;width:50px;height:50px;overflow:scroll}@media (min-width:540px){.modal-dialog{max-width:500px;margin:1.75rem auto}.modal-dialog-scrollable{max-height:calc(100% - 3.5rem)}.modal-dialog-scrollable .modal-content{max-height:calc(100vh - 3.5rem)}.modal-dialog-centered{min-height:calc(100% - 3.5rem)}.modal-dialog-centered:before{height:calc(100vh - 3.5rem);height:min-content}.modal-sm{max-width:300px}}@media (min-width:960px){.modal-lg,.modal-xl{max-width:800px}}@media (min-width:1200px){.modal-xl{max-width:1140px}}.tooltip{position:absolute;z-index:1070;display:block;margin:0;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-style:normal;font-weight:400;line-height:1.5;text-align:left;text-align:start;text-decoration:none;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;white-space:normal;line-break:auto;font-size:.875rem;word-wrap:break-word;opacity:0}.tooltip.show{opacity:.9}.tooltip .arrow{position:absolute;display:block;width:.8rem;height:.4rem}.tooltip .arrow:before{position:absolute;content:"";border-color:transparent;border-style:solid}.bs-tooltip-auto[x-placement^=top],.bs-tooltip-top{padding:.4rem 0}.bs-tooltip-auto[x-placement^=top] .arrow,.bs-tooltip-top .arrow{bottom:0}.bs-tooltip-auto[x-placement^=top] .arrow:before,.bs-tooltip-top .arrow:before{top:0;border-width:.4rem .4rem 0;border-top-color:#000}.bs-tooltip-auto[x-placement^=right],.bs-tooltip-right{padding:0 .4rem}.bs-tooltip-auto[x-placement^=right] .arrow,.bs-tooltip-right .arrow{left:0;width:.4rem;height:.8rem}.bs-tooltip-auto[x-placement^=right] .arrow:before,.bs-tooltip-right .arrow:before{right:0;border-width:.4rem .4rem .4rem 0;border-right-color:#000}.bs-tooltip-auto[x-placement^=bottom],.bs-tooltip-bottom{padding:.4rem 0}.bs-tooltip-auto[x-placement^=bottom] .arrow,.bs-tooltip-bottom .arrow{top:0}.bs-tooltip-auto[x-placement^=bottom] .arrow:before,.bs-tooltip-bottom .arrow:before{bottom:0;border-width:0 .4rem .4rem;border-bottom-color:#000}.bs-tooltip-auto[x-placement^=left],.bs-tooltip-left{padding:0 .4rem}.bs-tooltip-auto[x-placement^=left] .arrow,.bs-tooltip-left .arrow{right:0;width:.4rem;height:.8rem}.bs-tooltip-auto[x-placement^=left] .arrow:before,.bs-tooltip-left .arrow:before{left:0;border-width:.4rem 0 .4rem .4rem;border-left-color:#000}.tooltip-inner{max-width:200px;padding:.25rem .5rem;color:#fff;text-align:center;background-color:#000;border-radius:.25rem}.popover{top:0;left:0;z-index:1060;max-width:276px;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-style:normal;font-weight:400;line-height:1.5;text-align:left;text-align:start;text-decoration:none;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;white-space:normal;line-break:auto;font-size:.875rem;word-wrap:break-word;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.2);border-radius:.3rem}.popover,.popover .arrow{position:absolute;display:block}.popover .arrow{width:1rem;height:.5rem;margin:0 .3rem}.popover .arrow:after,.popover .arrow:before{position:absolute;display:block;content:"";border-color:transparent;border-style:solid}.bs-popover-auto[x-placement^=top],.bs-popover-top{margin-bottom:.5rem}.bs-popover-auto[x-placement^=top]>.arrow,.bs-popover-top>.arrow{bottom:calc(-.5rem - 1px)}.bs-popover-auto[x-placement^=top]>.arrow:before,.bs-popover-top>.arrow:before{bottom:0;border-width:.5rem .5rem 0;border-top-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=top]>.arrow:after,.bs-popover-top>.arrow:after{bottom:1px;border-width:.5rem .5rem 0;border-top-color:#fff}.bs-popover-auto[x-placement^=right],.bs-popover-right{margin-left:.5rem}.bs-popover-auto[x-placement^=right]>.arrow,.bs-popover-right>.arrow{left:calc(-.5rem - 1px);width:.5rem;height:1rem;margin:.3rem 0}.bs-popover-auto[x-placement^=right]>.arrow:before,.bs-popover-right>.arrow:before{left:0;border-width:.5rem .5rem .5rem 0;border-right-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=right]>.arrow:after,.bs-popover-right>.arrow:after{left:1px;border-width:.5rem .5rem .5rem 0;border-right-color:#fff}.bs-popover-auto[x-placement^=bottom],.bs-popover-bottom{margin-top:.5rem}.bs-popover-auto[x-placement^=bottom]>.arrow,.bs-popover-bottom>.arrow{top:calc(-.5rem - 1px)}.bs-popover-auto[x-placement^=bottom]>.arrow:before,.bs-popover-bottom>.arrow:before{top:0;border-width:0 .5rem .5rem;border-bottom-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=bottom]>.arrow:after,.bs-popover-bottom>.arrow:after{top:1px;border-width:0 .5rem .5rem;border-bottom-color:#fff}.bs-popover-auto[x-placement^=bottom] .popover-header:before,.bs-popover-bottom .popover-header:before{position:absolute;top:0;left:50%;display:block;width:1rem;margin-left:-.5rem;content:"";border-bottom:1px solid #f7f7f7}.bs-popover-auto[x-placement^=left],.bs-popover-left{margin-right:.5rem}.bs-popover-auto[x-placement^=left]>.arrow,.bs-popover-left>.arrow{right:calc(-.5rem - 1px);width:.5rem;height:1rem;margin:.3rem 0}.bs-popover-auto[x-placement^=left]>.arrow:before,.bs-popover-left>.arrow:before{right:0;border-width:.5rem 0 .5rem .5rem;border-left-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=left]>.arrow:after,.bs-popover-left>.arrow:after{right:1px;border-width:.5rem 0 .5rem .5rem;border-left-color:#fff}.popover-header{padding:.5rem .75rem;margin-bottom:0;font-size:1rem;background-color:#f7f7f7;border-bottom:1px solid #ebebeb;border-top-left-radius:calc(.3rem - 1px);border-top-right-radius:calc(.3rem - 1px)}.popover-header:empty{display:none}.popover-body{padding:.5rem .75rem;color:#212529}.carousel{position:relative}.carousel.pointer-event{touch-action:pan-y}.carousel-inner{position:relative;width:100%;overflow:hidden}.carousel-inner:after{display:block;clear:both;content:""}.carousel-item{position:relative;display:none;float:left;width:100%;margin-right:-100%;backface-visibility:hidden;transition:transform .6s ease-in-out}@media (prefers-reduced-motion:reduce){.carousel-item{transition:none}}.carousel-item-next,.carousel-item-prev,.carousel-item.active{display:block}.active.carousel-item-right,.carousel-item-next:not(.carousel-item-left){transform:translateX(100%)}.active.carousel-item-left,.carousel-item-prev:not(.carousel-item-right){transform:translateX(-100%)}.carousel-fade .carousel-item{opacity:0;transition-property:opacity;transform:none}.carousel-fade .carousel-item-next.carousel-item-left,.carousel-fade .carousel-item-prev.carousel-item-right,.carousel-fade .carousel-item.active{z-index:1;opacity:1}.carousel-fade .active.carousel-item-left,.carousel-fade .active.carousel-item-right{z-index:0;opacity:0;transition:opacity 0s .6s}@media (prefers-reduced-motion:reduce){.carousel-fade .active.carousel-item-left,.carousel-fade .active.carousel-item-right{transition:none}}.carousel-control-next,.carousel-control-prev{position:absolute;top:0;bottom:0;z-index:1;display:flex;align-items:center;justify-content:center;width:15%;color:#fff;text-align:center;opacity:.5;transition:opacity .15s ease}@media (prefers-reduced-motion:reduce){.carousel-control-next,.carousel-control-prev{transition:none}}.carousel-control-next:focus,.carousel-control-next:hover,.carousel-control-prev:focus,.carousel-control-prev:hover{color:#fff;text-decoration:none;outline:0;opacity:.9}.carousel-control-prev{left:0}.carousel-control-next{right:0}.carousel-control-next-icon,.carousel-control-prev-icon{display:inline-block;width:20px;height:20px;background:no-repeat 50%/100% 100%}.carousel-control-prev-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='%23fff' width='8' height='8'%3E%3Cpath d='M5.25 0l-4 4 4 4 1.5-1.5L4.25 4l2.5-2.5L5.25 0z'/%3E%3C/svg%3E")}.carousel-control-next-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='%23fff' width='8' height='8'%3E%3Cpath d='M2.75 0l-1.5 1.5L3.75 4l-2.5 2.5L2.75 8l4-4-4-4z'/%3E%3C/svg%3E")}.carousel-indicators{position:absolute;right:0;bottom:0;left:0;z-index:15;display:flex;justify-content:center;padding-left:0;margin-right:15%;margin-left:15%;list-style:none}.carousel-indicators li{box-sizing:content-box;flex:0 1 auto;width:30px;height:3px;margin-right:3px;margin-left:3px;text-indent:-999px;cursor:pointer;background-color:#fff;background-clip:padding-box;border-top:10px solid transparent;border-bottom:10px solid transparent;opacity:.5;transition:opacity .6s ease}@media (prefers-reduced-motion:reduce){.carousel-indicators li{transition:none}}.carousel-indicators .active{opacity:1}.carousel-caption{position:absolute;right:15%;bottom:20px;left:15%;z-index:10;padding-top:20px;padding-bottom:20px;color:#fff;text-align:center}@keyframes spinner-border{to{transform:rotate(1turn)}}.spinner-border{display:inline-block;width:2rem;height:2rem;vertical-align:text-bottom;border:.25em solid;border-right:.25em solid transparent;border-radius:50%;animation:spinner-border .75s linear infinite}.spinner-border-sm{width:1rem;height:1rem;border-width:.2em}@keyframes spinner-grow{0%{transform:scale(0)}50%{opacity:1;transform:none}}.spinner-grow{display:inline-block;width:2rem;height:2rem;vertical-align:text-bottom;background-color:currentColor;border-radius:50%;opacity:0;animation:spinner-grow .75s linear infinite}.spinner-grow-sm{width:1rem;height:1rem}.align-baseline{vertical-align:baseline!important}.align-top{vertical-align:top!important}.align-middle{vertical-align:middle!important}.align-bottom{vertical-align:bottom!important}.align-text-bottom{vertical-align:text-bottom!important}.align-text-top{vertical-align:text-top!important}.bg-primary{background-color:#007bff!important}a.bg-primary:focus,a.bg-primary:hover,button.bg-primary:focus,button.bg-primary:hover{background-color:#0062cc!important}.bg-secondary{background-color:#6c757d!important}a.bg-secondary:focus,a.bg-secondary:hover,button.bg-secondary:focus,button.bg-secondary:hover{background-color:#545b62!important}.bg-success{background-color:#28a745!important}a.bg-success:focus,a.bg-success:hover,button.bg-success:focus,button.bg-success:hover{background-color:#1e7e34!important}.bg-info{background-color:#17a2b8!important}a.bg-info:focus,a.bg-info:hover,button.bg-info:focus,button.bg-info:hover{background-color:#117a8b!important}.bg-warning{background-color:#ffc107!important}a.bg-warning:focus,a.bg-warning:hover,button.bg-warning:focus,button.bg-warning:hover{background-color:#d39e00!important}.bg-danger{background-color:#dc3545!important}a.bg-danger:focus,a.bg-danger:hover,button.bg-danger:focus,button.bg-danger:hover{background-color:#bd2130!important}.bg-light{background-color:#f8f9fa!important}a.bg-light:focus,a.bg-light:hover,button.bg-light:focus,button.bg-light:hover{background-color:#dae0e5!important}.bg-dark{background-color:#343a40!important}a.bg-dark:focus,a.bg-dark:hover,button.bg-dark:focus,button.bg-dark:hover{background-color:#1d2124!important}.bg-white{background-color:#fff!important}.bg-transparent{background-color:transparent!important}.border{border:1px solid #dee2e6!important}.border-top{border-top:1px solid #dee2e6!important}.border-right{border-right:1px solid #dee2e6!important}.border-bottom{border-bottom:1px solid #dee2e6!important}.border-left{border-left:1px solid #dee2e6!important}.border-0{border:0!important}.border-top-0{border-top:0!important}.border-right-0{border-right:0!important}.border-bottom-0{border-bottom:0!important}.border-left-0{border-left:0!important}.border-primary{border-color:#007bff!important}.border-secondary{border-color:#6c757d!important}.border-success{border-color:#28a745!important}.border-info{border-color:#17a2b8!important}.border-warning{border-color:#ffc107!important}.border-danger{border-color:#dc3545!important}.border-light{border-color:#f8f9fa!important}.border-dark{border-color:#343a40!important}.border-white{border-color:#fff!important}.rounded-sm{border-radius:.2rem!important}.rounded{border-radius:.25rem!important}.rounded-top{border-top-left-radius:.25rem!important}.rounded-right,.rounded-top{border-top-right-radius:.25rem!important}.rounded-bottom,.rounded-right{border-bottom-right-radius:.25rem!important}.rounded-bottom,.rounded-left{border-bottom-left-radius:.25rem!important}.rounded-left{border-top-left-radius:.25rem!important}.rounded-lg{border-radius:.3rem!important}.rounded-circle{border-radius:50%!important}.rounded-pill{border-radius:50rem!important}.rounded-0{border-radius:0!important}.clearfix:after{display:block;clear:both;content:""}.d-none{display:none!important}.d-inline{display:inline!important}.d-inline-block{display:inline-block!important}.d-block{display:block!important}.d-table{display:table!important}.d-table-row{display:table-row!important}.d-table-cell{display:table-cell!important}.d-flex{display:flex!important}.d-inline-flex{display:inline-flex!important}@media (min-width:540px){.d-sm-none{display:none!important}.d-sm-inline{display:inline!important}.d-sm-inline-block{display:inline-block!important}.d-sm-block{display:block!important}.d-sm-table{display:table!important}.d-sm-table-row{display:table-row!important}.d-sm-table-cell{display:table-cell!important}.d-sm-flex{display:flex!important}.d-sm-inline-flex{display:inline-flex!important}}@media (min-width:720px){.d-md-none{display:none!important}.d-md-inline{display:inline!important}.d-md-inline-block{display:inline-block!important}.d-md-block{display:block!important}.d-md-table{display:table!important}.d-md-table-row{display:table-row!important}.d-md-table-cell{display:table-cell!important}.d-md-flex{display:flex!important}.d-md-inline-flex{display:inline-flex!important}}@media (min-width:960px){.d-lg-none{display:none!important}.d-lg-inline{display:inline!important}.d-lg-inline-block{display:inline-block!important}.d-lg-block{display:block!important}.d-lg-table{display:table!important}.d-lg-table-row{display:table-row!important}.d-lg-table-cell{display:table-cell!important}.d-lg-flex{display:flex!important}.d-lg-inline-flex{display:inline-flex!important}}@media (min-width:1200px){.d-xl-none{display:none!important}.d-xl-inline{display:inline!important}.d-xl-inline-block{display:inline-block!important}.d-xl-block{display:block!important}.d-xl-table{display:table!important}.d-xl-table-row{display:table-row!important}.d-xl-table-cell{display:table-cell!important}.d-xl-flex{display:flex!important}.d-xl-inline-flex{display:inline-flex!important}}@media print{.d-print-none{display:none!important}.d-print-inline{display:inline!important}.d-print-inline-block{display:inline-block!important}.d-print-block{display:block!important}.d-print-table{display:table!important}.d-print-table-row{display:table-row!important}.d-print-table-cell{display:table-cell!important}.d-print-flex{display:flex!important}.d-print-inline-flex{display:inline-flex!important}}.embed-responsive{position:relative;display:block;width:100%;padding:0;overflow:hidden}.embed-responsive:before{display:block;content:""}.embed-responsive .embed-responsive-item,.embed-responsive embed,.embed-responsive iframe,.embed-responsive object,.embed-responsive video{position:absolute;top:0;bottom:0;left:0;width:100%;height:100%;border:0}.embed-responsive-21by9:before{padding-top:42.85714%}.embed-responsive-16by9:before{padding-top:56.25%}.embed-responsive-4by3:before{padding-top:75%}.embed-responsive-1by1:before{padding-top:100%}.flex-row{flex-direction:row!important}.flex-column{flex-direction:column!important}.flex-row-reverse{flex-direction:row-reverse!important}.flex-column-reverse{flex-direction:column-reverse!important}.flex-wrap{flex-wrap:wrap!important}.flex-nowrap{flex-wrap:nowrap!important}.flex-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-fill{flex:1 1 auto!important}.flex-grow-0{flex-grow:0!important}.flex-grow-1{flex-grow:1!important}.flex-shrink-0{flex-shrink:0!important}.flex-shrink-1{flex-shrink:1!important}.justify-content-start{justify-content:flex-start!important}.justify-content-end{justify-content:flex-end!important}.justify-content-center{justify-content:center!important}.justify-content-between{justify-content:space-between!important}.justify-content-around{justify-content:space-around!important}.align-items-start{align-items:flex-start!important}.align-items-end{align-items:flex-end!important}.align-items-center{align-items:center!important}.align-items-baseline{align-items:baseline!important}.align-items-stretch{align-items:stretch!important}.align-content-start{align-content:flex-start!important}.align-content-end{align-content:flex-end!important}.align-content-center{align-content:center!important}.align-content-between{align-content:space-between!important}.align-content-around{align-content:space-around!important}.align-content-stretch{align-content:stretch!important}.align-self-auto{align-self:auto!important}.align-self-start{align-self:flex-start!important}.align-self-end{align-self:flex-end!important}.align-self-center{align-self:center!important}.align-self-baseline{align-self:baseline!important}.align-self-stretch{align-self:stretch!important}@media (min-width:540px){.flex-sm-row{flex-direction:row!important}.flex-sm-column{flex-direction:column!important}.flex-sm-row-reverse{flex-direction:row-reverse!important}.flex-sm-column-reverse{flex-direction:column-reverse!important}.flex-sm-wrap{flex-wrap:wrap!important}.flex-sm-nowrap{flex-wrap:nowrap!important}.flex-sm-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-sm-fill{flex:1 1 auto!important}.flex-sm-grow-0{flex-grow:0!important}.flex-sm-grow-1{flex-grow:1!important}.flex-sm-shrink-0{flex-shrink:0!important}.flex-sm-shrink-1{flex-shrink:1!important}.justify-content-sm-start{justify-content:flex-start!important}.justify-content-sm-end{justify-content:flex-end!important}.justify-content-sm-center{justify-content:center!important}.justify-content-sm-between{justify-content:space-between!important}.justify-content-sm-around{justify-content:space-around!important}.align-items-sm-start{align-items:flex-start!important}.align-items-sm-end{align-items:flex-end!important}.align-items-sm-center{align-items:center!important}.align-items-sm-baseline{align-items:baseline!important}.align-items-sm-stretch{align-items:stretch!important}.align-content-sm-start{align-content:flex-start!important}.align-content-sm-end{align-content:flex-end!important}.align-content-sm-center{align-content:center!important}.align-content-sm-between{align-content:space-between!important}.align-content-sm-around{align-content:space-around!important}.align-content-sm-stretch{align-content:stretch!important}.align-self-sm-auto{align-self:auto!important}.align-self-sm-start{align-self:flex-start!important}.align-self-sm-end{align-self:flex-end!important}.align-self-sm-center{align-self:center!important}.align-self-sm-baseline{align-self:baseline!important}.align-self-sm-stretch{align-self:stretch!important}}@media (min-width:720px){.flex-md-row{flex-direction:row!important}.flex-md-column{flex-direction:column!important}.flex-md-row-reverse{flex-direction:row-reverse!important}.flex-md-column-reverse{flex-direction:column-reverse!important}.flex-md-wrap{flex-wrap:wrap!important}.flex-md-nowrap{flex-wrap:nowrap!important}.flex-md-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-md-fill{flex:1 1 auto!important}.flex-md-grow-0{flex-grow:0!important}.flex-md-grow-1{flex-grow:1!important}.flex-md-shrink-0{flex-shrink:0!important}.flex-md-shrink-1{flex-shrink:1!important}.justify-content-md-start{justify-content:flex-start!important}.justify-content-md-end{justify-content:flex-end!important}.justify-content-md-center{justify-content:center!important}.justify-content-md-between{justify-content:space-between!important}.justify-content-md-around{justify-content:space-around!important}.align-items-md-start{align-items:flex-start!important}.align-items-md-end{align-items:flex-end!important}.align-items-md-center{align-items:center!important}.align-items-md-baseline{align-items:baseline!important}.align-items-md-stretch{align-items:stretch!important}.align-content-md-start{align-content:flex-start!important}.align-content-md-end{align-content:flex-end!important}.align-content-md-center{align-content:center!important}.align-content-md-between{align-content:space-between!important}.align-content-md-around{align-content:space-around!important}.align-content-md-stretch{align-content:stretch!important}.align-self-md-auto{align-self:auto!important}.align-self-md-start{align-self:flex-start!important}.align-self-md-end{align-self:flex-end!important}.align-self-md-center{align-self:center!important}.align-self-md-baseline{align-self:baseline!important}.align-self-md-stretch{align-self:stretch!important}}@media (min-width:960px){.flex-lg-row{flex-direction:row!important}.flex-lg-column{flex-direction:column!important}.flex-lg-row-reverse{flex-direction:row-reverse!important}.flex-lg-column-reverse{flex-direction:column-reverse!important}.flex-lg-wrap{flex-wrap:wrap!important}.flex-lg-nowrap{flex-wrap:nowrap!important}.flex-lg-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-lg-fill{flex:1 1 auto!important}.flex-lg-grow-0{flex-grow:0!important}.flex-lg-grow-1{flex-grow:1!important}.flex-lg-shrink-0{flex-shrink:0!important}.flex-lg-shrink-1{flex-shrink:1!important}.justify-content-lg-start{justify-content:flex-start!important}.justify-content-lg-end{justify-content:flex-end!important}.justify-content-lg-center{justify-content:center!important}.justify-content-lg-between{justify-content:space-between!important}.justify-content-lg-around{justify-content:space-around!important}.align-items-lg-start{align-items:flex-start!important}.align-items-lg-end{align-items:flex-end!important}.align-items-lg-center{align-items:center!important}.align-items-lg-baseline{align-items:baseline!important}.align-items-lg-stretch{align-items:stretch!important}.align-content-lg-start{align-content:flex-start!important}.align-content-lg-end{align-content:flex-end!important}.align-content-lg-center{align-content:center!important}.align-content-lg-between{align-content:space-between!important}.align-content-lg-around{align-content:space-around!important}.align-content-lg-stretch{align-content:stretch!important}.align-self-lg-auto{align-self:auto!important}.align-self-lg-start{align-self:flex-start!important}.align-self-lg-end{align-self:flex-end!important}.align-self-lg-center{align-self:center!important}.align-self-lg-baseline{align-self:baseline!important}.align-self-lg-stretch{align-self:stretch!important}}@media (min-width:1200px){.flex-xl-row{flex-direction:row!important}.flex-xl-column{flex-direction:column!important}.flex-xl-row-reverse{flex-direction:row-reverse!important}.flex-xl-column-reverse{flex-direction:column-reverse!important}.flex-xl-wrap{flex-wrap:wrap!important}.flex-xl-nowrap{flex-wrap:nowrap!important}.flex-xl-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-xl-fill{flex:1 1 auto!important}.flex-xl-grow-0{flex-grow:0!important}.flex-xl-grow-1{flex-grow:1!important}.flex-xl-shrink-0{flex-shrink:0!important}.flex-xl-shrink-1{flex-shrink:1!important}.justify-content-xl-start{justify-content:flex-start!important}.justify-content-xl-end{justify-content:flex-end!important}.justify-content-xl-center{justify-content:center!important}.justify-content-xl-between{justify-content:space-between!important}.justify-content-xl-around{justify-content:space-around!important}.align-items-xl-start{align-items:flex-start!important}.align-items-xl-end{align-items:flex-end!important}.align-items-xl-center{align-items:center!important}.align-items-xl-baseline{align-items:baseline!important}.align-items-xl-stretch{align-items:stretch!important}.align-content-xl-start{align-content:flex-start!important}.align-content-xl-end{align-content:flex-end!important}.align-content-xl-center{align-content:center!important}.align-content-xl-between{align-content:space-between!important}.align-content-xl-around{align-content:space-around!important}.align-content-xl-stretch{align-content:stretch!important}.align-self-xl-auto{align-self:auto!important}.align-self-xl-start{align-self:flex-start!important}.align-self-xl-end{align-self:flex-end!important}.align-self-xl-center{align-self:center!important}.align-self-xl-baseline{align-self:baseline!important}.align-self-xl-stretch{align-self:stretch!important}}.float-left{float:left!important}.float-right{float:right!important}.float-none{float:none!important}@media (min-width:540px){.float-sm-left{float:left!important}.float-sm-right{float:right!important}.float-sm-none{float:none!important}}@media (min-width:720px){.float-md-left{float:left!important}.float-md-right{float:right!important}.float-md-none{float:none!important}}@media (min-width:960px){.float-lg-left{float:left!important}.float-lg-right{float:right!important}.float-lg-none{float:none!important}}@media (min-width:1200px){.float-xl-left{float:left!important}.float-xl-right{float:right!important}.float-xl-none{float:none!important}}.user-select-all{user-select:all!important}.user-select-auto{user-select:auto!important}.user-select-none{user-select:none!important}.overflow-auto{overflow:auto!important}.overflow-hidden{overflow:hidden!important}.position-static{position:static!important}.position-relative{position:relative!important}.position-absolute{position:absolute!important}.position-fixed{position:fixed!important}.position-sticky{position:sticky!important}.fixed-top{top:0}.fixed-bottom,.fixed-top{position:fixed;right:0;left:0;z-index:1030}.fixed-bottom{bottom:0}@supports (position:sticky){.sticky-top{position:sticky;top:0;z-index:1020}}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);white-space:nowrap;border:0}.sr-only-focusable:active,.sr-only-focusable:focus{position:static;width:auto;height:auto;overflow:visible;clip:auto;white-space:normal}.shadow-sm{box-shadow:0 .125rem .25rem rgba(0,0,0,.075)!important}.shadow{box-shadow:0 .5rem 1rem rgba(0,0,0,.15)!important}.shadow-lg{box-shadow:0 1rem 3rem rgba(0,0,0,.175)!important}.shadow-none{box-shadow:none!important}.w-25{width:25%!important}.w-50{width:50%!important}.w-75{width:75%!important}.w-100{width:100%!important}.w-auto{width:auto!important}.h-25{height:25%!important}.h-50{height:50%!important}.h-75{height:75%!important}.h-100{height:100%!important}.h-auto{height:auto!important}.mw-100{max-width:100%!important}.mh-100{max-height:100%!important}.min-vw-100{min-width:100vw!important}.min-vh-100{min-height:100vh!important}.vw-100{width:100vw!important}.vh-100{height:100vh!important}.m-0{margin:0!important}.mt-0,.my-0{margin-top:0!important}.mr-0,.mx-0{margin-right:0!important}.mb-0,.my-0{margin-bottom:0!important}.ml-0,.mx-0{margin-left:0!important}.m-1{margin:.25rem!important}.mt-1,.my-1{margin-top:.25rem!important}.mr-1,.mx-1{margin-right:.25rem!important}.mb-1,.my-1{margin-bottom:.25rem!important}.ml-1,.mx-1{margin-left:.25rem!important}.m-2{margin:.5rem!important}.mt-2,.my-2{margin-top:.5rem!important}.mr-2,.mx-2{margin-right:.5rem!important}.mb-2,.my-2{margin-bottom:.5rem!important}.ml-2,.mx-2{margin-left:.5rem!important}.m-3{margin:1rem!important}.mt-3,.my-3{margin-top:1rem!important}.mr-3,.mx-3{margin-right:1rem!important}.mb-3,.my-3{margin-bottom:1rem!important}.ml-3,.mx-3{margin-left:1rem!important}.m-4{margin:1.5rem!important}.mt-4,.my-4{margin-top:1.5rem!important}.mr-4,.mx-4{margin-right:1.5rem!important}.mb-4,.my-4{margin-bottom:1.5rem!important}.ml-4,.mx-4{margin-left:1.5rem!important}.m-5{margin:3rem!important}.mt-5,.my-5{margin-top:3rem!important}.mr-5,.mx-5{margin-right:3rem!important}.mb-5,.my-5{margin-bottom:3rem!important}.ml-5,.mx-5{margin-left:3rem!important}.p-0{padding:0!important}.pt-0,.py-0{padding-top:0!important}.pr-0,.px-0{padding-right:0!important}.pb-0,.py-0{padding-bottom:0!important}.pl-0,.px-0{padding-left:0!important}.p-1{padding:.25rem!important}.pt-1,.py-1{padding-top:.25rem!important}.pr-1,.px-1{padding-right:.25rem!important}.pb-1,.py-1{padding-bottom:.25rem!important}.pl-1,.px-1{padding-left:.25rem!important}.p-2{padding:.5rem!important}.pt-2,.py-2{padding-top:.5rem!important}.pr-2,.px-2{padding-right:.5rem!important}.pb-2,.py-2{padding-bottom:.5rem!important}.pl-2,.px-2{padding-left:.5rem!important}.p-3{padding:1rem!important}.pt-3,.py-3{padding-top:1rem!important}.pr-3,.px-3{padding-right:1rem!important}.pb-3,.py-3{padding-bottom:1rem!important}.pl-3,.px-3{padding-left:1rem!important}.p-4{padding:1.5rem!important}.pt-4,.py-4{padding-top:1.5rem!important}.pr-4,.px-4{padding-right:1.5rem!important}.pb-4,.py-4{padding-bottom:1.5rem!important}.pl-4,.px-4{padding-left:1.5rem!important}.p-5{padding:3rem!important}.pt-5,.py-5{padding-top:3rem!important}.pr-5,.px-5{padding-right:3rem!important}.pb-5,.py-5{padding-bottom:3rem!important}.pl-5,.px-5{padding-left:3rem!important}.m-n1{margin:-.25rem!important}.mt-n1,.my-n1{margin-top:-.25rem!important}.mr-n1,.mx-n1{margin-right:-.25rem!important}.mb-n1,.my-n1{margin-bottom:-.25rem!important}.ml-n1,.mx-n1{margin-left:-.25rem!important}.m-n2{margin:-.5rem!important}.mt-n2,.my-n2{margin-top:-.5rem!important}.mr-n2,.mx-n2{margin-right:-.5rem!important}.mb-n2,.my-n2{margin-bottom:-.5rem!important}.ml-n2,.mx-n2{margin-left:-.5rem!important}.m-n3{margin:-1rem!important}.mt-n3,.my-n3{margin-top:-1rem!important}.mr-n3,.mx-n3{margin-right:-1rem!important}.mb-n3,.my-n3{margin-bottom:-1rem!important}.ml-n3,.mx-n3{margin-left:-1rem!important}.m-n4{margin:-1.5rem!important}.mt-n4,.my-n4{margin-top:-1.5rem!important}.mr-n4,.mx-n4{margin-right:-1.5rem!important}.mb-n4,.my-n4{margin-bottom:-1.5rem!important}.ml-n4,.mx-n4{margin-left:-1.5rem!important}.m-n5{margin:-3rem!important}.mt-n5,.my-n5{margin-top:-3rem!important}.mr-n5,.mx-n5{margin-right:-3rem!important}.mb-n5,.my-n5{margin-bottom:-3rem!important}.ml-n5,.mx-n5{margin-left:-3rem!important}.m-auto{margin:auto!important}.mt-auto,.my-auto{margin-top:auto!important}.mr-auto,.mx-auto{margin-right:auto!important}.mb-auto,.my-auto{margin-bottom:auto!important}.ml-auto,.mx-auto{margin-left:auto!important}@media (min-width:540px){.m-sm-0{margin:0!important}.mt-sm-0,.my-sm-0{margin-top:0!important}.mr-sm-0,.mx-sm-0{margin-right:0!important}.mb-sm-0,.my-sm-0{margin-bottom:0!important}.ml-sm-0,.mx-sm-0{margin-left:0!important}.m-sm-1{margin:.25rem!important}.mt-sm-1,.my-sm-1{margin-top:.25rem!important}.mr-sm-1,.mx-sm-1{margin-right:.25rem!important}.mb-sm-1,.my-sm-1{margin-bottom:.25rem!important}.ml-sm-1,.mx-sm-1{margin-left:.25rem!important}.m-sm-2{margin:.5rem!important}.mt-sm-2,.my-sm-2{margin-top:.5rem!important}.mr-sm-2,.mx-sm-2{margin-right:.5rem!important}.mb-sm-2,.my-sm-2{margin-bottom:.5rem!important}.ml-sm-2,.mx-sm-2{margin-left:.5rem!important}.m-sm-3{margin:1rem!important}.mt-sm-3,.my-sm-3{margin-top:1rem!important}.mr-sm-3,.mx-sm-3{margin-right:1rem!important}.mb-sm-3,.my-sm-3{margin-bottom:1rem!important}.ml-sm-3,.mx-sm-3{margin-left:1rem!important}.m-sm-4{margin:1.5rem!important}.mt-sm-4,.my-sm-4{margin-top:1.5rem!important}.mr-sm-4,.mx-sm-4{margin-right:1.5rem!important}.mb-sm-4,.my-sm-4{margin-bottom:1.5rem!important}.ml-sm-4,.mx-sm-4{margin-left:1.5rem!important}.m-sm-5{margin:3rem!important}.mt-sm-5,.my-sm-5{margin-top:3rem!important}.mr-sm-5,.mx-sm-5{margin-right:3rem!important}.mb-sm-5,.my-sm-5{margin-bottom:3rem!important}.ml-sm-5,.mx-sm-5{margin-left:3rem!important}.p-sm-0{padding:0!important}.pt-sm-0,.py-sm-0{padding-top:0!important}.pr-sm-0,.px-sm-0{padding-right:0!important}.pb-sm-0,.py-sm-0{padding-bottom:0!important}.pl-sm-0,.px-sm-0{padding-left:0!important}.p-sm-1{padding:.25rem!important}.pt-sm-1,.py-sm-1{padding-top:.25rem!important}.pr-sm-1,.px-sm-1{padding-right:.25rem!important}.pb-sm-1,.py-sm-1{padding-bottom:.25rem!important}.pl-sm-1,.px-sm-1{padding-left:.25rem!important}.p-sm-2{padding:.5rem!important}.pt-sm-2,.py-sm-2{padding-top:.5rem!important}.pr-sm-2,.px-sm-2{padding-right:.5rem!important}.pb-sm-2,.py-sm-2{padding-bottom:.5rem!important}.pl-sm-2,.px-sm-2{padding-left:.5rem!important}.p-sm-3{padding:1rem!important}.pt-sm-3,.py-sm-3{padding-top:1rem!important}.pr-sm-3,.px-sm-3{padding-right:1rem!important}.pb-sm-3,.py-sm-3{padding-bottom:1rem!important}.pl-sm-3,.px-sm-3{padding-left:1rem!important}.p-sm-4{padding:1.5rem!important}.pt-sm-4,.py-sm-4{padding-top:1.5rem!important}.pr-sm-4,.px-sm-4{padding-right:1.5rem!important}.pb-sm-4,.py-sm-4{padding-bottom:1.5rem!important}.pl-sm-4,.px-sm-4{padding-left:1.5rem!important}.p-sm-5{padding:3rem!important}.pt-sm-5,.py-sm-5{padding-top:3rem!important}.pr-sm-5,.px-sm-5{padding-right:3rem!important}.pb-sm-5,.py-sm-5{padding-bottom:3rem!important}.pl-sm-5,.px-sm-5{padding-left:3rem!important}.m-sm-n1{margin:-.25rem!important}.mt-sm-n1,.my-sm-n1{margin-top:-.25rem!important}.mr-sm-n1,.mx-sm-n1{margin-right:-.25rem!important}.mb-sm-n1,.my-sm-n1{margin-bottom:-.25rem!important}.ml-sm-n1,.mx-sm-n1{margin-left:-.25rem!important}.m-sm-n2{margin:-.5rem!important}.mt-sm-n2,.my-sm-n2{margin-top:-.5rem!important}.mr-sm-n2,.mx-sm-n2{margin-right:-.5rem!important}.mb-sm-n2,.my-sm-n2{margin-bottom:-.5rem!important}.ml-sm-n2,.mx-sm-n2{margin-left:-.5rem!important}.m-sm-n3{margin:-1rem!important}.mt-sm-n3,.my-sm-n3{margin-top:-1rem!important}.mr-sm-n3,.mx-sm-n3{margin-right:-1rem!important}.mb-sm-n3,.my-sm-n3{margin-bottom:-1rem!important}.ml-sm-n3,.mx-sm-n3{margin-left:-1rem!important}.m-sm-n4{margin:-1.5rem!important}.mt-sm-n4,.my-sm-n4{margin-top:-1.5rem!important}.mr-sm-n4,.mx-sm-n4{margin-right:-1.5rem!important}.mb-sm-n4,.my-sm-n4{margin-bottom:-1.5rem!important}.ml-sm-n4,.mx-sm-n4{margin-left:-1.5rem!important}.m-sm-n5{margin:-3rem!important}.mt-sm-n5,.my-sm-n5{margin-top:-3rem!important}.mr-sm-n5,.mx-sm-n5{margin-right:-3rem!important}.mb-sm-n5,.my-sm-n5{margin-bottom:-3rem!important}.ml-sm-n5,.mx-sm-n5{margin-left:-3rem!important}.m-sm-auto{margin:auto!important}.mt-sm-auto,.my-sm-auto{margin-top:auto!important}.mr-sm-auto,.mx-sm-auto{margin-right:auto!important}.mb-sm-auto,.my-sm-auto{margin-bottom:auto!important}.ml-sm-auto,.mx-sm-auto{margin-left:auto!important}}@media (min-width:720px){.m-md-0{margin:0!important}.mt-md-0,.my-md-0{margin-top:0!important}.mr-md-0,.mx-md-0{margin-right:0!important}.mb-md-0,.my-md-0{margin-bottom:0!important}.ml-md-0,.mx-md-0{margin-left:0!important}.m-md-1{margin:.25rem!important}.mt-md-1,.my-md-1{margin-top:.25rem!important}.mr-md-1,.mx-md-1{margin-right:.25rem!important}.mb-md-1,.my-md-1{margin-bottom:.25rem!important}.ml-md-1,.mx-md-1{margin-left:.25rem!important}.m-md-2{margin:.5rem!important}.mt-md-2,.my-md-2{margin-top:.5rem!important}.mr-md-2,.mx-md-2{margin-right:.5rem!important}.mb-md-2,.my-md-2{margin-bottom:.5rem!important}.ml-md-2,.mx-md-2{margin-left:.5rem!important}.m-md-3{margin:1rem!important}.mt-md-3,.my-md-3{margin-top:1rem!important}.mr-md-3,.mx-md-3{margin-right:1rem!important}.mb-md-3,.my-md-3{margin-bottom:1rem!important}.ml-md-3,.mx-md-3{margin-left:1rem!important}.m-md-4{margin:1.5rem!important}.mt-md-4,.my-md-4{margin-top:1.5rem!important}.mr-md-4,.mx-md-4{margin-right:1.5rem!important}.mb-md-4,.my-md-4{margin-bottom:1.5rem!important}.ml-md-4,.mx-md-4{margin-left:1.5rem!important}.m-md-5{margin:3rem!important}.mt-md-5,.my-md-5{margin-top:3rem!important}.mr-md-5,.mx-md-5{margin-right:3rem!important}.mb-md-5,.my-md-5{margin-bottom:3rem!important}.ml-md-5,.mx-md-5{margin-left:3rem!important}.p-md-0{padding:0!important}.pt-md-0,.py-md-0{padding-top:0!important}.pr-md-0,.px-md-0{padding-right:0!important}.pb-md-0,.py-md-0{padding-bottom:0!important}.pl-md-0,.px-md-0{padding-left:0!important}.p-md-1{padding:.25rem!important}.pt-md-1,.py-md-1{padding-top:.25rem!important}.pr-md-1,.px-md-1{padding-right:.25rem!important}.pb-md-1,.py-md-1{padding-bottom:.25rem!important}.pl-md-1,.px-md-1{padding-left:.25rem!important}.p-md-2{padding:.5rem!important}.pt-md-2,.py-md-2{padding-top:.5rem!important}.pr-md-2,.px-md-2{padding-right:.5rem!important}.pb-md-2,.py-md-2{padding-bottom:.5rem!important}.pl-md-2,.px-md-2{padding-left:.5rem!important}.p-md-3{padding:1rem!important}.pt-md-3,.py-md-3{padding-top:1rem!important}.pr-md-3,.px-md-3{padding-right:1rem!important}.pb-md-3,.py-md-3{padding-bottom:1rem!important}.pl-md-3,.px-md-3{padding-left:1rem!important}.p-md-4{padding:1.5rem!important}.pt-md-4,.py-md-4{padding-top:1.5rem!important}.pr-md-4,.px-md-4{padding-right:1.5rem!important}.pb-md-4,.py-md-4{padding-bottom:1.5rem!important}.pl-md-4,.px-md-4{padding-left:1.5rem!important}.p-md-5{padding:3rem!important}.pt-md-5,.py-md-5{padding-top:3rem!important}.pr-md-5,.px-md-5{padding-right:3rem!important}.pb-md-5,.py-md-5{padding-bottom:3rem!important}.pl-md-5,.px-md-5{padding-left:3rem!important}.m-md-n1{margin:-.25rem!important}.mt-md-n1,.my-md-n1{margin-top:-.25rem!important}.mr-md-n1,.mx-md-n1{margin-right:-.25rem!important}.mb-md-n1,.my-md-n1{margin-bottom:-.25rem!important}.ml-md-n1,.mx-md-n1{margin-left:-.25rem!important}.m-md-n2{margin:-.5rem!important}.mt-md-n2,.my-md-n2{margin-top:-.5rem!important}.mr-md-n2,.mx-md-n2{margin-right:-.5rem!important}.mb-md-n2,.my-md-n2{margin-bottom:-.5rem!important}.ml-md-n2,.mx-md-n2{margin-left:-.5rem!important}.m-md-n3{margin:-1rem!important}.mt-md-n3,.my-md-n3{margin-top:-1rem!important}.mr-md-n3,.mx-md-n3{margin-right:-1rem!important}.mb-md-n3,.my-md-n3{margin-bottom:-1rem!important}.ml-md-n3,.mx-md-n3{margin-left:-1rem!important}.m-md-n4{margin:-1.5rem!important}.mt-md-n4,.my-md-n4{margin-top:-1.5rem!important}.mr-md-n4,.mx-md-n4{margin-right:-1.5rem!important}.mb-md-n4,.my-md-n4{margin-bottom:-1.5rem!important}.ml-md-n4,.mx-md-n4{margin-left:-1.5rem!important}.m-md-n5{margin:-3rem!important}.mt-md-n5,.my-md-n5{margin-top:-3rem!important}.mr-md-n5,.mx-md-n5{margin-right:-3rem!important}.mb-md-n5,.my-md-n5{margin-bottom:-3rem!important}.ml-md-n5,.mx-md-n5{margin-left:-3rem!important}.m-md-auto{margin:auto!important}.mt-md-auto,.my-md-auto{margin-top:auto!important}.mr-md-auto,.mx-md-auto{margin-right:auto!important}.mb-md-auto,.my-md-auto{margin-bottom:auto!important}.ml-md-auto,.mx-md-auto{margin-left:auto!important}}@media (min-width:960px){.m-lg-0{margin:0!important}.mt-lg-0,.my-lg-0{margin-top:0!important}.mr-lg-0,.mx-lg-0{margin-right:0!important}.mb-lg-0,.my-lg-0{margin-bottom:0!important}.ml-lg-0,.mx-lg-0{margin-left:0!important}.m-lg-1{margin:.25rem!important}.mt-lg-1,.my-lg-1{margin-top:.25rem!important}.mr-lg-1,.mx-lg-1{margin-right:.25rem!important}.mb-lg-1,.my-lg-1{margin-bottom:.25rem!important}.ml-lg-1,.mx-lg-1{margin-left:.25rem!important}.m-lg-2{margin:.5rem!important}.mt-lg-2,.my-lg-2{margin-top:.5rem!important}.mr-lg-2,.mx-lg-2{margin-right:.5rem!important}.mb-lg-2,.my-lg-2{margin-bottom:.5rem!important}.ml-lg-2,.mx-lg-2{margin-left:.5rem!important}.m-lg-3{margin:1rem!important}.mt-lg-3,.my-lg-3{margin-top:1rem!important}.mr-lg-3,.mx-lg-3{margin-right:1rem!important}.mb-lg-3,.my-lg-3{margin-bottom:1rem!important}.ml-lg-3,.mx-lg-3{margin-left:1rem!important}.m-lg-4{margin:1.5rem!important}.mt-lg-4,.my-lg-4{margin-top:1.5rem!important}.mr-lg-4,.mx-lg-4{margin-right:1.5rem!important}.mb-lg-4,.my-lg-4{margin-bottom:1.5rem!important}.ml-lg-4,.mx-lg-4{margin-left:1.5rem!important}.m-lg-5{margin:3rem!important}.mt-lg-5,.my-lg-5{margin-top:3rem!important}.mr-lg-5,.mx-lg-5{margin-right:3rem!important}.mb-lg-5,.my-lg-5{margin-bottom:3rem!important}.ml-lg-5,.mx-lg-5{margin-left:3rem!important}.p-lg-0{padding:0!important}.pt-lg-0,.py-lg-0{padding-top:0!important}.pr-lg-0,.px-lg-0{padding-right:0!important}.pb-lg-0,.py-lg-0{padding-bottom:0!important}.pl-lg-0,.px-lg-0{padding-left:0!important}.p-lg-1{padding:.25rem!important}.pt-lg-1,.py-lg-1{padding-top:.25rem!important}.pr-lg-1,.px-lg-1{padding-right:.25rem!important}.pb-lg-1,.py-lg-1{padding-bottom:.25rem!important}.pl-lg-1,.px-lg-1{padding-left:.25rem!important}.p-lg-2{padding:.5rem!important}.pt-lg-2,.py-lg-2{padding-top:.5rem!important}.pr-lg-2,.px-lg-2{padding-right:.5rem!important}.pb-lg-2,.py-lg-2{padding-bottom:.5rem!important}.pl-lg-2,.px-lg-2{padding-left:.5rem!important}.p-lg-3{padding:1rem!important}.pt-lg-3,.py-lg-3{padding-top:1rem!important}.pr-lg-3,.px-lg-3{padding-right:1rem!important}.pb-lg-3,.py-lg-3{padding-bottom:1rem!important}.pl-lg-3,.px-lg-3{padding-left:1rem!important}.p-lg-4{padding:1.5rem!important}.pt-lg-4,.py-lg-4{padding-top:1.5rem!important}.pr-lg-4,.px-lg-4{padding-right:1.5rem!important}.pb-lg-4,.py-lg-4{padding-bottom:1.5rem!important}.pl-lg-4,.px-lg-4{padding-left:1.5rem!important}.p-lg-5{padding:3rem!important}.pt-lg-5,.py-lg-5{padding-top:3rem!important}.pr-lg-5,.px-lg-5{padding-right:3rem!important}.pb-lg-5,.py-lg-5{padding-bottom:3rem!important}.pl-lg-5,.px-lg-5{padding-left:3rem!important}.m-lg-n1{margin:-.25rem!important}.mt-lg-n1,.my-lg-n1{margin-top:-.25rem!important}.mr-lg-n1,.mx-lg-n1{margin-right:-.25rem!important}.mb-lg-n1,.my-lg-n1{margin-bottom:-.25rem!important}.ml-lg-n1,.mx-lg-n1{margin-left:-.25rem!important}.m-lg-n2{margin:-.5rem!important}.mt-lg-n2,.my-lg-n2{margin-top:-.5rem!important}.mr-lg-n2,.mx-lg-n2{margin-right:-.5rem!important}.mb-lg-n2,.my-lg-n2{margin-bottom:-.5rem!important}.ml-lg-n2,.mx-lg-n2{margin-left:-.5rem!important}.m-lg-n3{margin:-1rem!important}.mt-lg-n3,.my-lg-n3{margin-top:-1rem!important}.mr-lg-n3,.mx-lg-n3{margin-right:-1rem!important}.mb-lg-n3,.my-lg-n3{margin-bottom:-1rem!important}.ml-lg-n3,.mx-lg-n3{margin-left:-1rem!important}.m-lg-n4{margin:-1.5rem!important}.mt-lg-n4,.my-lg-n4{margin-top:-1.5rem!important}.mr-lg-n4,.mx-lg-n4{margin-right:-1.5rem!important}.mb-lg-n4,.my-lg-n4{margin-bottom:-1.5rem!important}.ml-lg-n4,.mx-lg-n4{margin-left:-1.5rem!important}.m-lg-n5{margin:-3rem!important}.mt-lg-n5,.my-lg-n5{margin-top:-3rem!important}.mr-lg-n5,.mx-lg-n5{margin-right:-3rem!important}.mb-lg-n5,.my-lg-n5{margin-bottom:-3rem!important}.ml-lg-n5,.mx-lg-n5{margin-left:-3rem!important}.m-lg-auto{margin:auto!important}.mt-lg-auto,.my-lg-auto{margin-top:auto!important}.mr-lg-auto,.mx-lg-auto{margin-right:auto!important}.mb-lg-auto,.my-lg-auto{margin-bottom:auto!important}.ml-lg-auto,.mx-lg-auto{margin-left:auto!important}}@media (min-width:1200px){.m-xl-0{margin:0!important}.mt-xl-0,.my-xl-0{margin-top:0!important}.mr-xl-0,.mx-xl-0{margin-right:0!important}.mb-xl-0,.my-xl-0{margin-bottom:0!important}.ml-xl-0,.mx-xl-0{margin-left:0!important}.m-xl-1{margin:.25rem!important}.mt-xl-1,.my-xl-1{margin-top:.25rem!important}.mr-xl-1,.mx-xl-1{margin-right:.25rem!important}.mb-xl-1,.my-xl-1{margin-bottom:.25rem!important}.ml-xl-1,.mx-xl-1{margin-left:.25rem!important}.m-xl-2{margin:.5rem!important}.mt-xl-2,.my-xl-2{margin-top:.5rem!important}.mr-xl-2,.mx-xl-2{margin-right:.5rem!important}.mb-xl-2,.my-xl-2{margin-bottom:.5rem!important}.ml-xl-2,.mx-xl-2{margin-left:.5rem!important}.m-xl-3{margin:1rem!important}.mt-xl-3,.my-xl-3{margin-top:1rem!important}.mr-xl-3,.mx-xl-3{margin-right:1rem!important}.mb-xl-3,.my-xl-3{margin-bottom:1rem!important}.ml-xl-3,.mx-xl-3{margin-left:1rem!important}.m-xl-4{margin:1.5rem!important}.mt-xl-4,.my-xl-4{margin-top:1.5rem!important}.mr-xl-4,.mx-xl-4{margin-right:1.5rem!important}.mb-xl-4,.my-xl-4{margin-bottom:1.5rem!important}.ml-xl-4,.mx-xl-4{margin-left:1.5rem!important}.m-xl-5{margin:3rem!important}.mt-xl-5,.my-xl-5{margin-top:3rem!important}.mr-xl-5,.mx-xl-5{margin-right:3rem!important}.mb-xl-5,.my-xl-5{margin-bottom:3rem!important}.ml-xl-5,.mx-xl-5{margin-left:3rem!important}.p-xl-0{padding:0!important}.pt-xl-0,.py-xl-0{padding-top:0!important}.pr-xl-0,.px-xl-0{padding-right:0!important}.pb-xl-0,.py-xl-0{padding-bottom:0!important}.pl-xl-0,.px-xl-0{padding-left:0!important}.p-xl-1{padding:.25rem!important}.pt-xl-1,.py-xl-1{padding-top:.25rem!important}.pr-xl-1,.px-xl-1{padding-right:.25rem!important}.pb-xl-1,.py-xl-1{padding-bottom:.25rem!important}.pl-xl-1,.px-xl-1{padding-left:.25rem!important}.p-xl-2{padding:.5rem!important}.pt-xl-2,.py-xl-2{padding-top:.5rem!important}.pr-xl-2,.px-xl-2{padding-right:.5rem!important}.pb-xl-2,.py-xl-2{padding-bottom:.5rem!important}.pl-xl-2,.px-xl-2{padding-left:.5rem!important}.p-xl-3{padding:1rem!important}.pt-xl-3,.py-xl-3{padding-top:1rem!important}.pr-xl-3,.px-xl-3{padding-right:1rem!important}.pb-xl-3,.py-xl-3{padding-bottom:1rem!important}.pl-xl-3,.px-xl-3{padding-left:1rem!important}.p-xl-4{padding:1.5rem!important}.pt-xl-4,.py-xl-4{padding-top:1.5rem!important}.pr-xl-4,.px-xl-4{padding-right:1.5rem!important}.pb-xl-4,.py-xl-4{padding-bottom:1.5rem!important}.pl-xl-4,.px-xl-4{padding-left:1.5rem!important}.p-xl-5{padding:3rem!important}.pt-xl-5,.py-xl-5{padding-top:3rem!important}.pr-xl-5,.px-xl-5{padding-right:3rem!important}.pb-xl-5,.py-xl-5{padding-bottom:3rem!important}.pl-xl-5,.px-xl-5{padding-left:3rem!important}.m-xl-n1{margin:-.25rem!important}.mt-xl-n1,.my-xl-n1{margin-top:-.25rem!important}.mr-xl-n1,.mx-xl-n1{margin-right:-.25rem!important}.mb-xl-n1,.my-xl-n1{margin-bottom:-.25rem!important}.ml-xl-n1,.mx-xl-n1{margin-left:-.25rem!important}.m-xl-n2{margin:-.5rem!important}.mt-xl-n2,.my-xl-n2{margin-top:-.5rem!important}.mr-xl-n2,.mx-xl-n2{margin-right:-.5rem!important}.mb-xl-n2,.my-xl-n2{margin-bottom:-.5rem!important}.ml-xl-n2,.mx-xl-n2{margin-left:-.5rem!important}.m-xl-n3{margin:-1rem!important}.mt-xl-n3,.my-xl-n3{margin-top:-1rem!important}.mr-xl-n3,.mx-xl-n3{margin-right:-1rem!important}.mb-xl-n3,.my-xl-n3{margin-bottom:-1rem!important}.ml-xl-n3,.mx-xl-n3{margin-left:-1rem!important}.m-xl-n4{margin:-1.5rem!important}.mt-xl-n4,.my-xl-n4{margin-top:-1.5rem!important}.mr-xl-n4,.mx-xl-n4{margin-right:-1.5rem!important}.mb-xl-n4,.my-xl-n4{margin-bottom:-1.5rem!important}.ml-xl-n4,.mx-xl-n4{margin-left:-1.5rem!important}.m-xl-n5{margin:-3rem!important}.mt-xl-n5,.my-xl-n5{margin-top:-3rem!important}.mr-xl-n5,.mx-xl-n5{margin-right:-3rem!important}.mb-xl-n5,.my-xl-n5{margin-bottom:-3rem!important}.ml-xl-n5,.mx-xl-n5{margin-left:-3rem!important}.m-xl-auto{margin:auto!important}.mt-xl-auto,.my-xl-auto{margin-top:auto!important}.mr-xl-auto,.mx-xl-auto{margin-right:auto!important}.mb-xl-auto,.my-xl-auto{margin-bottom:auto!important}.ml-xl-auto,.mx-xl-auto{margin-left:auto!important}}.stretched-link:after{position:absolute;top:0;right:0;bottom:0;left:0;z-index:1;pointer-events:auto;content:"";background-color:transparent}.text-monospace{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace!important}.text-justify{text-align:justify!important}.text-wrap{white-space:normal!important}.text-nowrap{white-space:nowrap!important}.text-truncate{overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.text-left{text-align:left!important}.text-right{text-align:right!important}.text-center{text-align:center!important}@media (min-width:540px){.text-sm-left{text-align:left!important}.text-sm-right{text-align:right!important}.text-sm-center{text-align:center!important}}@media (min-width:720px){.text-md-left{text-align:left!important}.text-md-right{text-align:right!important}.text-md-center{text-align:center!important}}@media (min-width:960px){.text-lg-left{text-align:left!important}.text-lg-right{text-align:right!important}.text-lg-center{text-align:center!important}}@media (min-width:1200px){.text-xl-left{text-align:left!important}.text-xl-right{text-align:right!important}.text-xl-center{text-align:center!important}}.text-lowercase{text-transform:lowercase!important}.text-uppercase{text-transform:uppercase!important}.text-capitalize{text-transform:capitalize!important}.font-weight-light{font-weight:300!important}.font-weight-lighter{font-weight:lighter!important}.font-weight-normal{font-weight:400!important}.font-weight-bold{font-weight:700!important}.font-weight-bolder{font-weight:bolder!important}.font-italic{font-style:italic!important}.text-white{color:#fff!important}.text-primary{color:#007bff!important}a.text-primary:focus,a.text-primary:hover{color:#0056b3!important}.text-secondary{color:#6c757d!important}a.text-secondary:focus,a.text-secondary:hover{color:#494f54!important}.text-success{color:#28a745!important}a.text-success:focus,a.text-success:hover{color:#19692c!important}.text-info{color:#17a2b8!important}a.text-info:focus,a.text-info:hover{color:#0f6674!important}.text-warning{color:#ffc107!important}a.text-warning:focus,a.text-warning:hover{color:#ba8b00!important}.text-danger{color:#dc3545!important}a.text-danger:focus,a.text-danger:hover{color:#a71d2a!important}.text-light{color:#f8f9fa!important}a.text-light:focus,a.text-light:hover{color:#cbd3da!important}.text-dark{color:#343a40!important}a.text-dark:focus,a.text-dark:hover{color:#121416!important}.text-body{color:#212529!important}.text-muted{color:#6c757d!important}.text-black-50{color:rgba(0,0,0,.5)!important}.text-white-50{color:hsla(0,0%,100%,.5)!important}.text-hide{font:0/0 a;color:transparent;text-shadow:none;background-color:transparent;border:0}.text-decoration-none{text-decoration:none!important}.text-break{word-wrap:break-word!important}.text-reset{color:inherit!important}.visible{visibility:visible!important}.invisible{visibility:hidden!important}@media print{*,:after,:before{text-shadow:none!important;box-shadow:none!important}a:not(.btn){text-decoration:underline}abbr[title]:after{content:" (" attr(title) ")"}pre{white-space:pre-wrap!important}blockquote,pre{border:1px solid #adb5bd;page-break-inside:avoid}thead{display:table-header-group}img,tr{page-break-inside:avoid}h2,h3,p{orphans:3;widows:3}h2,h3{page-break-after:avoid}@page{size:a3}.container,body{min-width:960px!important}.navbar{display:none}.badge{border:1px solid #000}.table{border-collapse:collapse!important}.table td,.table th{background-color:#fff!important}.table-bordered td,.table-bordered th{border:1px solid #dee2e6!important}.table-dark{color:inherit}.table-dark tbody+tbody,.table-dark td,.table-dark th,.table-dark thead th{border-color:#dee2e6}.table .thead-dark th{color:inherit;border-color:#dee2e6}}html{font-size:var(--pst-font-size-base);scroll-padding-top:calc(var(--pst-header-height) + 12px)}body{padding-top:calc(var(--pst-header-height) + 20px);background-color:#fff;font-family:var(--pst-font-family-base);font-weight:400;line-height:1.65;color:rgba(var(--pst-color-text-base),1)}p{margin-bottom:1.15rem;font-size:1em;color:rgba(var(--pst-color-paragraph),1)}p.rubric{border-bottom:1px solid #c9c9c9}a{color:rgba(var(--pst-color-link),1);text-decoration:none}a:hover{color:rgba(var(--pst-color-link-hover),1);text-decoration:underline}a.headerlink{color:rgba(var(--pst-color-headerlink),1);font-size:.8em;padding:0 4px;text-decoration:none}a.headerlink:hover{background-color:rgba(var(--pst-color-headerlink),1);color:rgba(var(--pst-color-headerlink-hover),1)}.heading-style,h1,h2,h3,h4,h5,h6{margin:2.75rem 0 1.05rem;font-family:var(--pst-font-family-heading);font-weight:400;line-height:1.15}h1{margin-top:0;font-size:var(--pst-font-size-h1);color:rgba(var(--pst-color-h1),1)}h2{font-size:var(--pst-font-size-h2);color:rgba(var(--pst-color-h2),1)}h3{font-size:var(--pst-font-size-h3);color:rgba(var(--pst-color-h3),1)}h4{font-size:var(--pst-font-size-h4);color:rgba(var(--pst-color-h4),1)}h5{font-size:var(--pst-font-size-h5);color:rgba(var(--pst-color-h5),1)}h6{font-size:var(--pst-font-size-h6);color:rgba(var(--pst-color-h6),1)}.text_small,small{font-size:var(--pst-font-size-milli)}hr{border:0;border-top:1px solid #e5e5e5}code,kbd,pre,samp{font-family:var(--pst-font-family-monospace)}code{color:rgba(var(--pst-color-inline-code),1)}pre{margin:1.5em 0;padding:10px;background-color:rgba(var(--pst-color-preformatted-background),1);color:rgba(var(--pst-color-preformatted-text),1);line-height:1.2em;border:1px solid #c9c9c9;box-shadow:1px 1px 1px #d8d8d8}.navbar{position:fixed;min-height:var(--pst-header-height);width:100%;padding:0}.navbar .container-xl{height:100%}@media (min-width:960px){.navbar #navbar-end>.navbar-end-item{display:inline-block}}.navbar-brand{position:relative;height:var(--pst-header-height);width:auto;padding:.5rem 0}.navbar-brand img{max-width:100%;height:100%;width:auto}.navbar-light{background:#fff!important;box-shadow:0 .125rem .25rem 0 rgba(0,0,0,.11)}.navbar-light .navbar-nav li a.nav-link{padding:0 .5rem;color:rgba(var(--pst-color-navbar-link),1)}.navbar-light .navbar-nav li a.nav-link:hover{color:rgba(var(--pst-color-navbar-link-hover),1)}.navbar-light .navbar-nav>.active>.nav-link{font-weight:600;color:rgba(var(--pst-color-navbar-link-active),1)}.navbar-header a{padding:0 15px}.admonition{margin:1.5625em auto;padding:0 .6rem .8rem!important;overflow:hidden;page-break-inside:avoid;border-left:.2rem solid;border-left-color:rgba(var(--pst-color-admonition-default),1);border-bottom-color:rgba(var(--pst-color-admonition-default),1);border-right-color:rgba(var(--pst-color-admonition-default),1);border-top-color:rgba(var(--pst-color-admonition-default),1);border-radius:.1rem;box-shadow:0 .2rem .5rem rgba(0,0,0,.05),0 0 .05rem rgba(0,0,0,.1);transition:color .25s,background-color .25s,border-color .25s}.admonition :last-child{margin-bottom:0}.admonition p.admonition-title~*{padding:0 1.4rem}.admonition>ol,.admonition>ul{margin-left:1em}.admonition .admonition-title{position:relative;margin:0 -.6rem!important;padding:.4rem .6rem .4rem 2rem;font-weight:700;background-color:rgba(var(--pst-color-admonition-default),.1)}.admonition .admonition-title:before{position:absolute;left:.6rem;width:1rem;height:1rem;color:rgba(var(--pst-color-admonition-default),1);font-family:Font Awesome\ 5 Free;font-weight:900;content:var(--pst-icon-admonition-default)}.admonition .admonition-title+*{margin-top:.4em}.admonition.attention{border-color:rgba(var(--pst-color-admonition-attention),1)}.admonition.attention .admonition-title{background-color:rgba(var(--pst-color-admonition-attention),.1)}.admonition.attention .admonition-title:before{color:rgba(var(--pst-color-admonition-attention),1);content:var(--pst-icon-admonition-attention)}.admonition.caution{border-color:rgba(var(--pst-color-admonition-caution),1)}.admonition.caution .admonition-title{background-color:rgba(var(--pst-color-admonition-caution),.1)}.admonition.caution .admonition-title:before{color:rgba(var(--pst-color-admonition-caution),1);content:var(--pst-icon-admonition-caution)}.admonition.warning{border-color:rgba(var(--pst-color-admonition-warning),1)}.admonition.warning .admonition-title{background-color:rgba(var(--pst-color-admonition-warning),.1)}.admonition.warning .admonition-title:before{color:rgba(var(--pst-color-admonition-warning),1);content:var(--pst-icon-admonition-warning)}.admonition.danger{border-color:rgba(var(--pst-color-admonition-danger),1)}.admonition.danger .admonition-title{background-color:rgba(var(--pst-color-admonition-danger),.1)}.admonition.danger .admonition-title:before{color:rgba(var(--pst-color-admonition-danger),1);content:var(--pst-icon-admonition-danger)}.admonition.error{border-color:rgba(var(--pst-color-admonition-error),1)}.admonition.error .admonition-title{background-color:rgba(var(--pst-color-admonition-error),.1)}.admonition.error .admonition-title:before{color:rgba(var(--pst-color-admonition-error),1);content:var(--pst-icon-admonition-error)}.admonition.hint{border-color:rgba(var(--pst-color-admonition-hint),1)}.admonition.hint .admonition-title{background-color:rgba(var(--pst-color-admonition-hint),.1)}.admonition.hint .admonition-title:before{color:rgba(var(--pst-color-admonition-hint),1);content:var(--pst-icon-admonition-hint)}.admonition.tip{border-color:rgba(var(--pst-color-admonition-tip),1)}.admonition.tip .admonition-title{background-color:rgba(var(--pst-color-admonition-tip),.1)}.admonition.tip .admonition-title:before{color:rgba(var(--pst-color-admonition-tip),1);content:var(--pst-icon-admonition-tip)}.admonition.important{border-color:rgba(var(--pst-color-admonition-important),1)}.admonition.important .admonition-title{background-color:rgba(var(--pst-color-admonition-important),.1)}.admonition.important .admonition-title:before{color:rgba(var(--pst-color-admonition-important),1);content:var(--pst-icon-admonition-important)}.admonition.note{border-color:rgba(var(--pst-color-admonition-note),1)}.admonition.note .admonition-title{background-color:rgba(var(--pst-color-admonition-note),.1)}.admonition.note .admonition-title:before{color:rgba(var(--pst-color-admonition-note),1);content:var(--pst-icon-admonition-note)}div.deprecated{margin-bottom:10px;margin-top:10px;padding:7px;background-color:#f3e5e5;border:1px solid #eed3d7;border-radius:.5rem}div.deprecated p{color:#b94a48;display:inline}.topic{background-color:#eee}.seealso dd{margin-top:0;margin-bottom:0}.viewcode-back{font-family:var(--pst-font-family-base)}.viewcode-block:target{background-color:#f4debf;border-top:1px solid #ac9;border-bottom:1px solid #ac9}span.guilabel{border:1px solid #7fbbe3;background:#e7f2fa;font-size:80%;font-weight:700;border-radius:4px;padding:2.4px 6px;margin:auto 2px}table.field-list{border-collapse:separate;border-spacing:10px;margin-left:1px}table.field-list th.field-name{padding:1px 8px 1px 5px;white-space:nowrap;background-color:#eee}table.field-list td.field-body p{font-style:italic}table.field-list td.field-body p>strong{font-style:normal}table.field-list td.field-body blockquote{border-left:none;margin:0 0 .3em;padding-left:30px}.table.autosummary td:first-child{white-space:nowrap}footer{width:100%;border-top:1px solid #ccc;padding:10px}footer .footer-item p{margin-bottom:0}.bd-search{position:relative;padding:1rem 15px;margin-right:-15px;margin-left:-15px}.bd-search .icon{position:absolute;color:#a4a6a7;left:25px;top:25px}.bd-search input{border-radius:0;border:0;border-bottom:1px solid #e5e5e5;padding-left:35px}.bd-toc{-ms-flex-order:2;order:2;height:calc(100vh - 2rem);overflow-y:auto}@supports (position:-webkit-sticky) or (position:sticky){.bd-toc{position:-webkit-sticky;position:sticky;top:calc(var(--pst-header-height) + 20px);height:calc(100vh - 5rem);overflow-y:auto}}.bd-toc .onthispage{color:#a4a6a7}.section-nav{padding-left:0;border-left:1px solid #eee;border-bottom:none}.section-nav ul{padding-left:1rem}.toc-entry,.toc-entry a{display:block}.toc-entry a{padding:.125rem 1.5rem;color:rgba(var(--pst-color-toc-link),1)}@media (min-width:1200px){.toc-entry a{padding-right:0}}.toc-entry a:hover{color:rgba(var(--pst-color-toc-link-hover),1);text-decoration:none}.bd-sidebar{padding-top:1em}@media (min-width:720px){.bd-sidebar{border-right:1px solid rgba(0,0,0,.1)}@supports (position:-webkit-sticky) or (position:sticky){.bd-sidebar{position:-webkit-sticky;position:sticky;top:calc(var(--pst-header-height) + 20px);z-index:1000;height:calc(100vh - var(--pst-header-height) - 20px)}}}.bd-sidebar.no-sidebar{border-right:0}.bd-links{padding-top:1rem;padding-bottom:1rem;margin-right:-15px;margin-left:-15px}@media (min-width:720px){.bd-links{display:block!important}@supports (position:-webkit-sticky) or (position:sticky){.bd-links{max-height:calc(100vh - 11rem);overflow-y:auto}}}.bd-sidenav{display:none}.bd-content{padding-top:20px}.bd-content .section{max-width:100%}.bd-content .section table{display:block;overflow:auto}.bd-toc-link{display:block;padding:.25rem 1.5rem;font-weight:600;color:rgba(0,0,0,.65)}.bd-toc-link:hover{color:rgba(0,0,0,.85);text-decoration:none}.bd-toc-item.active{margin-bottom:1rem}.bd-toc-item.active:not(:first-child){margin-top:1rem}.bd-toc-item.active>.bd-toc-link{color:rgba(0,0,0,.85)}.bd-toc-item.active>.bd-toc-link:hover{background-color:transparent}.bd-toc-item.active>.bd-sidenav{display:block}nav.bd-links p.caption{font-size:var(--pst-sidebar-caption-font-size);text-transform:uppercase;font-weight:700;position:relative;margin-top:1.25em;margin-bottom:.5em;padding:0 1.5rem;color:rgba(var(--pst-color-sidebar-caption),1)}nav.bd-links p.caption:first-child{margin-top:0}.bd-sidebar .nav{font-size:var(--pst-sidebar-font-size)}.bd-sidebar .nav ul{list-style:none;padding:0 0 0 1.5rem}.bd-sidebar .nav li>a{display:block;padding:.25rem 1.5rem;color:rgba(var(--pst-color-sidebar-link),1)}.bd-sidebar .nav li>a:hover{color:rgba(var(--pst-color-sidebar-link-hover),1);text-decoration:none;background-color:transparent}.bd-sidebar .nav li>a.reference.external:after{font-family:Font Awesome\ 5 Free;font-weight:900;content:"\f35d";font-size:.75em;margin-left:.3em}.bd-sidebar .nav .active:hover>a,.bd-sidebar .nav .active>a{font-weight:600;color:rgba(var(--pst-color-sidebar-link-active),1)}.toc-h2{font-size:.85rem}.toc-h3{font-size:.75rem}.toc-h4{font-size:.65rem}.toc-entry>.nav-link.active{font-weight:600;color:#130654;color:rgba(var(--pst-color-toc-link-active),1);background-color:transparent;border-left:2px solid rgba(var(--pst-color-toc-link-active),1)}.nav-link:hover{border-style:none}#navbar-main-elements li.nav-item i{font-size:.7rem;padding-left:2px;vertical-align:middle}.bd-toc .nav .nav{display:none}.bd-toc .nav .nav.visible,.bd-toc .nav>.active>ul{display:block}.prev-next-bottom{margin:20px 0}.prev-next-bottom a.left-prev,.prev-next-bottom a.right-next{padding:10px;border:1px solid rgba(0,0,0,.2);max-width:45%;overflow-x:hidden;color:rgba(0,0,0,.65)}.prev-next-bottom a.left-prev{float:left}.prev-next-bottom a.left-prev:before{content:"<< "}.prev-next-bottom a.right-next{float:right}.prev-next-bottom a.right-next:after{content:" >>"}.alert{padding-bottom:0}.alert-info a{color:#e83e8c}#navbar-icon-links i.fa,#navbar-icon-links i.fab,#navbar-icon-links i.far,#navbar-icon-links i.fas{vertical-align:middle;font-style:normal;font-size:1.5rem;line-height:1.25}#navbar-icon-links i.fa-github-square:before{color:#333}#navbar-icon-links i.fa-twitter-square:before{color:#55acee}#navbar-icon-links i.fa-gitlab:before{color:#548}#navbar-icon-links i.fa-bitbucket:before{color:#0052cc}.tocsection{border-left:1px solid #eee;padding:.3rem 1.5rem}.tocsection i{padding-right:.5rem}.editthispage{padding-top:2rem}.editthispage a{color:#130754}.xr-wrap[hidden]{display:block!important}.toctree-checkbox{position:absolute;display:none}.toctree-checkbox~ul{display:none}.toctree-checkbox~label i{transform:rotate(0deg)}.toctree-checkbox:checked~ul{display:block}.toctree-checkbox:checked~label i{transform:rotate(180deg)}.bd-sidebar li{position:relative}.bd-sidebar label{position:absolute;top:0;right:0;height:30px;width:30px;cursor:pointer;display:flex;justify-content:center;align-items:center}.bd-sidebar label:hover{background:rgba(var(--pst-color-sidebar-expander-background-hover),1)}.bd-sidebar label i{display:inline-block;font-size:.75rem;text-align:center}.bd-sidebar label i:hover{color:rgba(var(--pst-color-sidebar-link-hover),1)}.bd-sidebar li.has-children>.reference{padding-right:30px}div.doctest>div.highlight span.gp,span.linenos,table.highlighttable td.linenos{user-select:none!important;-webkit-user-select:text!important;-webkit-user-select:none!important;-moz-user-select:none!important;-ms-user-select:none!important} \ No newline at end of file diff --git a/docs/_static/css/theme.css b/docs/_static/css/theme.css new file mode 100644 index 0000000..3f6e79d --- /dev/null +++ b/docs/_static/css/theme.css @@ -0,0 +1,117 @@ +:root { + /***************************************************************************** + * Theme config + **/ + --pst-header-height: 60px; + + /***************************************************************************** + * Font size + **/ + --pst-font-size-base: 15px; /* base font size - applied at body / html level */ + + /* heading font sizes */ + --pst-font-size-h1: 36px; + --pst-font-size-h2: 32px; + --pst-font-size-h3: 26px; + --pst-font-size-h4: 21px; + --pst-font-size-h5: 18px; + --pst-font-size-h6: 16px; + + /* smaller then heading font sizes*/ + --pst-font-size-milli: 12px; + + --pst-sidebar-font-size: .9em; + --pst-sidebar-caption-font-size: .9em; + + /***************************************************************************** + * Font family + **/ + /* These are adapted from https://systemfontstack.com/ */ + --pst-font-family-base-system: -apple-system, BlinkMacSystemFont, Segoe UI, "Helvetica Neue", + Arial, sans-serif, Apple Color Emoji, Segoe UI Emoji, Segoe UI Symbol; + --pst-font-family-monospace-system: "SFMono-Regular", Menlo, Consolas, Monaco, + Liberation Mono, Lucida Console, monospace; + + --pst-font-family-base: var(--pst-font-family-base-system); + --pst-font-family-heading: var(--pst-font-family-base); + --pst-font-family-monospace: var(--pst-font-family-monospace-system); + + /***************************************************************************** + * Color + * + * Colors are defined in rgb string way, "red, green, blue" + **/ + --pst-color-primary: 19, 6, 84; + --pst-color-success: 40, 167, 69; + --pst-color-info: 0, 123, 255; /*23, 162, 184;*/ + --pst-color-warning: 255, 193, 7; + --pst-color-danger: 220, 53, 69; + --pst-color-text-base: 51, 51, 51; + + --pst-color-h1: var(--pst-color-primary); + --pst-color-h2: var(--pst-color-primary); + --pst-color-h3: var(--pst-color-text-base); + --pst-color-h4: var(--pst-color-text-base); + --pst-color-h5: var(--pst-color-text-base); + --pst-color-h6: var(--pst-color-text-base); + --pst-color-paragraph: var(--pst-color-text-base); + --pst-color-link: 0, 91, 129; + --pst-color-link-hover: 227, 46, 0; + --pst-color-headerlink: 198, 15, 15; + --pst-color-headerlink-hover: 255, 255, 255; + --pst-color-preformatted-text: 34, 34, 34; + --pst-color-preformatted-background: 250, 250, 250; + --pst-color-inline-code: 232, 62, 140; + + --pst-color-active-navigation: 19, 6, 84; + --pst-color-navbar-link: 77, 77, 77; + --pst-color-navbar-link-hover: var(--pst-color-active-navigation); + --pst-color-navbar-link-active: var(--pst-color-active-navigation); + --pst-color-sidebar-link: 77, 77, 77; + --pst-color-sidebar-link-hover: var(--pst-color-active-navigation); + --pst-color-sidebar-link-active: var(--pst-color-active-navigation); + --pst-color-sidebar-expander-background-hover: 244, 244, 244; + --pst-color-sidebar-caption: 77, 77, 77; + --pst-color-toc-link: 119, 117, 122; + --pst-color-toc-link-hover: var(--pst-color-active-navigation); + --pst-color-toc-link-active: var(--pst-color-active-navigation); + + /***************************************************************************** + * Icon + **/ + + /* font awesome icons*/ + --pst-icon-check-circle: '\f058'; + --pst-icon-info-circle: '\f05a'; + --pst-icon-exclamation-triangle: '\f071'; + --pst-icon-exclamation-circle: '\f06a'; + --pst-icon-times-circle: '\f057'; + --pst-icon-lightbulb: '\f0eb'; + + /***************************************************************************** + * Admonitions + **/ + + --pst-color-admonition-default: var(--pst-color-info); + --pst-color-admonition-note: var(--pst-color-info); + --pst-color-admonition-attention: var(--pst-color-warning); + --pst-color-admonition-caution: var(--pst-color-warning); + --pst-color-admonition-warning: var(--pst-color-warning); + --pst-color-admonition-danger: var(--pst-color-danger); + --pst-color-admonition-error: var(--pst-color-danger); + --pst-color-admonition-hint: var(--pst-color-success); + --pst-color-admonition-tip: var(--pst-color-success); + --pst-color-admonition-important: var(--pst-color-success); + + --pst-icon-admonition-default: var(--pst-icon-info-circle); + --pst-icon-admonition-note: var(--pst-icon-info-circle); + --pst-icon-admonition-attention: var(--pst-icon-exclamation-circle); + --pst-icon-admonition-caution: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-warning: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-danger: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-error: var(--pst-icon-times-circle); + --pst-icon-admonition-hint: var(--pst-icon-lightbulb); + --pst-icon-admonition-tip: var(--pst-icon-lightbulb); + --pst-icon-admonition-important: var(--pst-icon-exclamation-circle); + +} diff --git a/docs/_static/doctools.js b/docs/_static/doctools.js new file mode 100644 index 0000000..61ac9d2 --- /dev/null +++ b/docs/_static/doctools.js @@ -0,0 +1,321 @@ +/* + * doctools.js + * ~~~~~~~~~~~ + * + * Sphinx JavaScript utilities for all documentation. + * + * :copyright: Copyright 2007-2021 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/** + * select a different prefix for underscore + */ +$u = _.noConflict(); + +/** + * make the code below compatible with browsers without + * an installed firebug like debugger +if (!window.console || !console.firebug) { + var names = ["log", "debug", "info", "warn", "error", "assert", "dir", + "dirxml", "group", "groupEnd", "time", "timeEnd", "count", "trace", + "profile", "profileEnd"]; + window.console = {}; + for (var i = 0; i < names.length; ++i) + window.console[names[i]] = function() {}; +} + */ + +/** + * small helper function to urldecode strings + * + * See https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/decodeURIComponent#Decoding_query_parameters_from_a_URL + */ +jQuery.urldecode = function(x) { + if (!x) { + return x + } + return decodeURIComponent(x.replace(/\+/g, ' ')); +}; + +/** + * small helper function to urlencode strings + */ +jQuery.urlencode = encodeURIComponent; + +/** + * This function returns the parsed url parameters of the + * current request. Multiple values per key are supported, + * it will always return arrays of strings for the value parts. + */ +jQuery.getQueryParameters = function(s) { + if (typeof s === 'undefined') + s = document.location.search; + var parts = s.substr(s.indexOf('?') + 1).split('&'); + var result = {}; + for (var i = 0; i < parts.length; i++) { + var tmp = parts[i].split('=', 2); + var key = jQuery.urldecode(tmp[0]); + var value = jQuery.urldecode(tmp[1]); + if (key in result) + result[key].push(value); + else + result[key] = [value]; + } + return result; +}; + +/** + * highlight a given string on a jquery object by wrapping it in + * span elements with the given class name. + */ +jQuery.fn.highlightText = function(text, className) { + function highlight(node, addItems) { + if (node.nodeType === 3) { + var val = node.nodeValue; + var pos = val.toLowerCase().indexOf(text); + if (pos >= 0 && + !jQuery(node.parentNode).hasClass(className) && + !jQuery(node.parentNode).hasClass("nohighlight")) { + var span; + var isInSVG = jQuery(node).closest("body, svg, foreignObject").is("svg"); + if (isInSVG) { + span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); + } else { + span = document.createElement("span"); + span.className = className; + } + span.appendChild(document.createTextNode(val.substr(pos, text.length))); + node.parentNode.insertBefore(span, node.parentNode.insertBefore( + document.createTextNode(val.substr(pos + text.length)), + node.nextSibling)); + node.nodeValue = val.substr(0, pos); + if (isInSVG) { + var rect = document.createElementNS("http://www.w3.org/2000/svg", "rect"); + var bbox = node.parentElement.getBBox(); + rect.x.baseVal.value = bbox.x; + rect.y.baseVal.value = bbox.y; + rect.width.baseVal.value = bbox.width; + rect.height.baseVal.value = bbox.height; + rect.setAttribute('class', className); + addItems.push({ + "parent": node.parentNode, + "target": rect}); + } + } + } + else if (!jQuery(node).is("button, select, textarea")) { + jQuery.each(node.childNodes, function() { + highlight(this, addItems); + }); + } + } + var addItems = []; + var result = this.each(function() { + highlight(this, addItems); + }); + for (var i = 0; i < addItems.length; ++i) { + jQuery(addItems[i].parent).before(addItems[i].target); + } + return result; +}; + +/* + * backward compatibility for jQuery.browser + * This will be supported until firefox bug is fixed. + */ +if (!jQuery.browser) { + jQuery.uaMatch = function(ua) { + ua = ua.toLowerCase(); + + var match = /(chrome)[ \/]([\w.]+)/.exec(ua) || + /(webkit)[ \/]([\w.]+)/.exec(ua) || + /(opera)(?:.*version|)[ \/]([\w.]+)/.exec(ua) || + /(msie) ([\w.]+)/.exec(ua) || + ua.indexOf("compatible") < 0 && /(mozilla)(?:.*? rv:([\w.]+)|)/.exec(ua) || + []; + + return { + browser: match[ 1 ] || "", + version: match[ 2 ] || "0" + }; + }; + jQuery.browser = {}; + jQuery.browser[jQuery.uaMatch(navigator.userAgent).browser] = true; +} + +/** + * Small JavaScript module for the documentation. + */ +var Documentation = { + + init : function() { + this.fixFirefoxAnchorBug(); + this.highlightSearchWords(); + this.initIndexTable(); + if (DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) { + this.initOnKeyListeners(); + } + }, + + /** + * i18n support + */ + TRANSLATIONS : {}, + PLURAL_EXPR : function(n) { return n === 1 ? 0 : 1; }, + LOCALE : 'unknown', + + // gettext and ngettext don't access this so that the functions + // can safely bound to a different name (_ = Documentation.gettext) + gettext : function(string) { + var translated = Documentation.TRANSLATIONS[string]; + if (typeof translated === 'undefined') + return string; + return (typeof translated === 'string') ? translated : translated[0]; + }, + + ngettext : function(singular, plural, n) { + var translated = Documentation.TRANSLATIONS[singular]; + if (typeof translated === 'undefined') + return (n == 1) ? singular : plural; + return translated[Documentation.PLURALEXPR(n)]; + }, + + addTranslations : function(catalog) { + for (var key in catalog.messages) + this.TRANSLATIONS[key] = catalog.messages[key]; + this.PLURAL_EXPR = new Function('n', 'return +(' + catalog.plural_expr + ')'); + this.LOCALE = catalog.locale; + }, + + /** + * add context elements like header anchor links + */ + addContextElements : function() { + $('div[id] > :header:first').each(function() { + $('\u00B6'). + attr('href', '#' + this.id). + attr('title', _('Permalink to this headline')). + appendTo(this); + }); + $('dt[id]').each(function() { + $('\u00B6'). + attr('href', '#' + this.id). + attr('title', _('Permalink to this definition')). + appendTo(this); + }); + }, + + /** + * workaround a firefox stupidity + * see: https://bugzilla.mozilla.org/show_bug.cgi?id=645075 + */ + fixFirefoxAnchorBug : function() { + if (document.location.hash && $.browser.mozilla) + window.setTimeout(function() { + document.location.href += ''; + }, 10); + }, + + /** + * highlight the search words provided in the url in the text + */ + highlightSearchWords : function() { + var params = $.getQueryParameters(); + var terms = (params.highlight) ? params.highlight[0].split(/\s+/) : []; + if (terms.length) { + var body = $('div.body'); + if (!body.length) { + body = $('body'); + } + window.setTimeout(function() { + $.each(terms, function() { + body.highlightText(this.toLowerCase(), 'highlighted'); + }); + }, 10); + $('') + .appendTo($('#searchbox')); + } + }, + + /** + * init the domain index toggle buttons + */ + initIndexTable : function() { + var togglers = $('img.toggler').click(function() { + var src = $(this).attr('src'); + var idnum = $(this).attr('id').substr(7); + $('tr.cg-' + idnum).toggle(); + if (src.substr(-9) === 'minus.png') + $(this).attr('src', src.substr(0, src.length-9) + 'plus.png'); + else + $(this).attr('src', src.substr(0, src.length-8) + 'minus.png'); + }).css('display', ''); + if (DOCUMENTATION_OPTIONS.COLLAPSE_INDEX) { + togglers.click(); + } + }, + + /** + * helper function to hide the search marks again + */ + hideSearchWords : function() { + $('#searchbox .highlight-link').fadeOut(300); + $('span.highlighted').removeClass('highlighted'); + }, + + /** + * make the url absolute + */ + makeURL : function(relativeURL) { + return DOCUMENTATION_OPTIONS.URL_ROOT + '/' + relativeURL; + }, + + /** + * get the current relative url + */ + getCurrentURL : function() { + var path = document.location.pathname; + var parts = path.split(/\//); + $.each(DOCUMENTATION_OPTIONS.URL_ROOT.split(/\//), function() { + if (this === '..') + parts.pop(); + }); + var url = parts.join('/'); + return path.substring(url.lastIndexOf('/') + 1, path.length - 1); + }, + + initOnKeyListeners: function() { + $(document).keydown(function(event) { + var activeElementType = document.activeElement.tagName; + // don't navigate when in search box, textarea, dropdown or button + if (activeElementType !== 'TEXTAREA' && activeElementType !== 'INPUT' && activeElementType !== 'SELECT' + && activeElementType !== 'BUTTON' && !event.altKey && !event.ctrlKey && !event.metaKey + && !event.shiftKey) { + switch (event.keyCode) { + case 37: // left + var prevHref = $('link[rel="prev"]').prop('href'); + if (prevHref) { + window.location.href = prevHref; + return false; + } + case 39: // right + var nextHref = $('link[rel="next"]').prop('href'); + if (nextHref) { + window.location.href = nextHref; + return false; + } + } + } + }); + } +}; + +// quick alias for translations +_ = Documentation.gettext; + +$(document).ready(function() { + Documentation.init(); +}); diff --git a/docs/_static/documentation_options.js b/docs/_static/documentation_options.js new file mode 100644 index 0000000..75b5cf1 --- /dev/null +++ b/docs/_static/documentation_options.js @@ -0,0 +1,12 @@ +var DOCUMENTATION_OPTIONS = { + URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), + VERSION: '', + LANGUAGE: 'None', + COLLAPSE_INDEX: false, + BUILDER: 'html', + FILE_SUFFIX: '.html', + LINK_SUFFIX: '.html', + HAS_SOURCE: true, + SOURCELINK_SUFFIX: '.txt', + NAVIGATION_WITH_KEYS: true +}; \ No newline at end of file diff --git a/docs/_static/file.png b/docs/_static/file.png new file mode 100644 index 0000000..a858a41 Binary files /dev/null and b/docs/_static/file.png differ diff --git a/docs/_static/jquery-3.5.1.js b/docs/_static/jquery-3.5.1.js new file mode 100644 index 0000000..5093733 --- /dev/null +++ b/docs/_static/jquery-3.5.1.js @@ -0,0 +1,10872 @@ +/*! + * jQuery JavaScript Library v3.5.1 + * https://jquery.com/ + * + * Includes Sizzle.js + * https://sizzlejs.com/ + * + * Copyright JS Foundation and other contributors + * Released under the MIT license + * https://jquery.org/license + * + * Date: 2020-05-04T22:49Z + */ +( function( global, factory ) { + + "use strict"; + + if ( typeof module === "object" && typeof module.exports === "object" ) { + + // For CommonJS and CommonJS-like environments where a proper `window` + // is present, execute the factory and get jQuery. + // For environments that do not have a `window` with a `document` + // (such as Node.js), expose a factory as module.exports. + // This accentuates the need for the creation of a real `window`. + // e.g. var jQuery = require("jquery")(window); + // See ticket #14549 for more info. + module.exports = global.document ? + factory( global, true ) : + function( w ) { + if ( !w.document ) { + throw new Error( "jQuery requires a window with a document" ); + } + return factory( w ); + }; + } else { + factory( global ); + } + +// Pass this if window is not defined yet +} )( typeof window !== "undefined" ? window : this, function( window, noGlobal ) { + +// Edge <= 12 - 13+, Firefox <=18 - 45+, IE 10 - 11, Safari 5.1 - 9+, iOS 6 - 9.1 +// throw exceptions when non-strict code (e.g., ASP.NET 4.5) accesses strict mode +// arguments.callee.caller (trac-13335). But as of jQuery 3.0 (2016), strict mode should be common +// enough that all such attempts are guarded in a try block. +"use strict"; + +var arr = []; + +var getProto = Object.getPrototypeOf; + +var slice = arr.slice; + +var flat = arr.flat ? function( array ) { + return arr.flat.call( array ); +} : function( array ) { + return arr.concat.apply( [], array ); +}; + + +var push = arr.push; + +var indexOf = arr.indexOf; + +var class2type = {}; + +var toString = class2type.toString; + +var hasOwn = class2type.hasOwnProperty; + +var fnToString = hasOwn.toString; + +var ObjectFunctionString = fnToString.call( Object ); + +var support = {}; + +var isFunction = function isFunction( obj ) { + + // Support: Chrome <=57, Firefox <=52 + // In some browsers, typeof returns "function" for HTML elements + // (i.e., `typeof document.createElement( "object" ) === "function"`). + // We don't want to classify *any* DOM node as a function. + return typeof obj === "function" && typeof obj.nodeType !== "number"; + }; + + +var isWindow = function isWindow( obj ) { + return obj != null && obj === obj.window; + }; + + +var document = window.document; + + + + var preservedScriptAttributes = { + type: true, + src: true, + nonce: true, + noModule: true + }; + + function DOMEval( code, node, doc ) { + doc = doc || document; + + var i, val, + script = doc.createElement( "script" ); + + script.text = code; + if ( node ) { + for ( i in preservedScriptAttributes ) { + + // Support: Firefox 64+, Edge 18+ + // Some browsers don't support the "nonce" property on scripts. + // On the other hand, just using `getAttribute` is not enough as + // the `nonce` attribute is reset to an empty string whenever it + // becomes browsing-context connected. + // See https://github.com/whatwg/html/issues/2369 + // See https://html.spec.whatwg.org/#nonce-attributes + // The `node.getAttribute` check was added for the sake of + // `jQuery.globalEval` so that it can fake a nonce-containing node + // via an object. + val = node[ i ] || node.getAttribute && node.getAttribute( i ); + if ( val ) { + script.setAttribute( i, val ); + } + } + } + doc.head.appendChild( script ).parentNode.removeChild( script ); + } + + +function toType( obj ) { + if ( obj == null ) { + return obj + ""; + } + + // Support: Android <=2.3 only (functionish RegExp) + return typeof obj === "object" || typeof obj === "function" ? + class2type[ toString.call( obj ) ] || "object" : + typeof obj; +} +/* global Symbol */ +// Defining this global in .eslintrc.json would create a danger of using the global +// unguarded in another place, it seems safer to define global only for this module + + + +var + version = "3.5.1", + + // Define a local copy of jQuery + jQuery = function( selector, context ) { + + // The jQuery object is actually just the init constructor 'enhanced' + // Need init if jQuery is called (just allow error to be thrown if not included) + return new jQuery.fn.init( selector, context ); + }; + +jQuery.fn = jQuery.prototype = { + + // The current version of jQuery being used + jquery: version, + + constructor: jQuery, + + // The default length of a jQuery object is 0 + length: 0, + + toArray: function() { + return slice.call( this ); + }, + + // Get the Nth element in the matched element set OR + // Get the whole matched element set as a clean array + get: function( num ) { + + // Return all the elements in a clean array + if ( num == null ) { + return slice.call( this ); + } + + // Return just the one element from the set + return num < 0 ? this[ num + this.length ] : this[ num ]; + }, + + // Take an array of elements and push it onto the stack + // (returning the new matched element set) + pushStack: function( elems ) { + + // Build a new jQuery matched element set + var ret = jQuery.merge( this.constructor(), elems ); + + // Add the old object onto the stack (as a reference) + ret.prevObject = this; + + // Return the newly-formed element set + return ret; + }, + + // Execute a callback for every element in the matched set. + each: function( callback ) { + return jQuery.each( this, callback ); + }, + + map: function( callback ) { + return this.pushStack( jQuery.map( this, function( elem, i ) { + return callback.call( elem, i, elem ); + } ) ); + }, + + slice: function() { + return this.pushStack( slice.apply( this, arguments ) ); + }, + + first: function() { + return this.eq( 0 ); + }, + + last: function() { + return this.eq( -1 ); + }, + + even: function() { + return this.pushStack( jQuery.grep( this, function( _elem, i ) { + return ( i + 1 ) % 2; + } ) ); + }, + + odd: function() { + return this.pushStack( jQuery.grep( this, function( _elem, i ) { + return i % 2; + } ) ); + }, + + eq: function( i ) { + var len = this.length, + j = +i + ( i < 0 ? len : 0 ); + return this.pushStack( j >= 0 && j < len ? [ this[ j ] ] : [] ); + }, + + end: function() { + return this.prevObject || this.constructor(); + }, + + // For internal use only. + // Behaves like an Array's method, not like a jQuery method. + push: push, + sort: arr.sort, + splice: arr.splice +}; + +jQuery.extend = jQuery.fn.extend = function() { + var options, name, src, copy, copyIsArray, clone, + target = arguments[ 0 ] || {}, + i = 1, + length = arguments.length, + deep = false; + + // Handle a deep copy situation + if ( typeof target === "boolean" ) { + deep = target; + + // Skip the boolean and the target + target = arguments[ i ] || {}; + i++; + } + + // Handle case when target is a string or something (possible in deep copy) + if ( typeof target !== "object" && !isFunction( target ) ) { + target = {}; + } + + // Extend jQuery itself if only one argument is passed + if ( i === length ) { + target = this; + i--; + } + + for ( ; i < length; i++ ) { + + // Only deal with non-null/undefined values + if ( ( options = arguments[ i ] ) != null ) { + + // Extend the base object + for ( name in options ) { + copy = options[ name ]; + + // Prevent Object.prototype pollution + // Prevent never-ending loop + if ( name === "__proto__" || target === copy ) { + continue; + } + + // Recurse if we're merging plain objects or arrays + if ( deep && copy && ( jQuery.isPlainObject( copy ) || + ( copyIsArray = Array.isArray( copy ) ) ) ) { + src = target[ name ]; + + // Ensure proper type for the source value + if ( copyIsArray && !Array.isArray( src ) ) { + clone = []; + } else if ( !copyIsArray && !jQuery.isPlainObject( src ) ) { + clone = {}; + } else { + clone = src; + } + copyIsArray = false; + + // Never move original objects, clone them + target[ name ] = jQuery.extend( deep, clone, copy ); + + // Don't bring in undefined values + } else if ( copy !== undefined ) { + target[ name ] = copy; + } + } + } + } + + // Return the modified object + return target; +}; + +jQuery.extend( { + + // Unique for each copy of jQuery on the page + expando: "jQuery" + ( version + Math.random() ).replace( /\D/g, "" ), + + // Assume jQuery is ready without the ready module + isReady: true, + + error: function( msg ) { + throw new Error( msg ); + }, + + noop: function() {}, + + isPlainObject: function( obj ) { + var proto, Ctor; + + // Detect obvious negatives + // Use toString instead of jQuery.type to catch host objects + if ( !obj || toString.call( obj ) !== "[object Object]" ) { + return false; + } + + proto = getProto( obj ); + + // Objects with no prototype (e.g., `Object.create( null )`) are plain + if ( !proto ) { + return true; + } + + // Objects with prototype are plain iff they were constructed by a global Object function + Ctor = hasOwn.call( proto, "constructor" ) && proto.constructor; + return typeof Ctor === "function" && fnToString.call( Ctor ) === ObjectFunctionString; + }, + + isEmptyObject: function( obj ) { + var name; + + for ( name in obj ) { + return false; + } + return true; + }, + + // Evaluates a script in a provided context; falls back to the global one + // if not specified. + globalEval: function( code, options, doc ) { + DOMEval( code, { nonce: options && options.nonce }, doc ); + }, + + each: function( obj, callback ) { + var length, i = 0; + + if ( isArrayLike( obj ) ) { + length = obj.length; + for ( ; i < length; i++ ) { + if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { + break; + } + } + } else { + for ( i in obj ) { + if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { + break; + } + } + } + + return obj; + }, + + // results is for internal usage only + makeArray: function( arr, results ) { + var ret = results || []; + + if ( arr != null ) { + if ( isArrayLike( Object( arr ) ) ) { + jQuery.merge( ret, + typeof arr === "string" ? + [ arr ] : arr + ); + } else { + push.call( ret, arr ); + } + } + + return ret; + }, + + inArray: function( elem, arr, i ) { + return arr == null ? -1 : indexOf.call( arr, elem, i ); + }, + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + merge: function( first, second ) { + var len = +second.length, + j = 0, + i = first.length; + + for ( ; j < len; j++ ) { + first[ i++ ] = second[ j ]; + } + + first.length = i; + + return first; + }, + + grep: function( elems, callback, invert ) { + var callbackInverse, + matches = [], + i = 0, + length = elems.length, + callbackExpect = !invert; + + // Go through the array, only saving the items + // that pass the validator function + for ( ; i < length; i++ ) { + callbackInverse = !callback( elems[ i ], i ); + if ( callbackInverse !== callbackExpect ) { + matches.push( elems[ i ] ); + } + } + + return matches; + }, + + // arg is for internal usage only + map: function( elems, callback, arg ) { + var length, value, + i = 0, + ret = []; + + // Go through the array, translating each of the items to their new values + if ( isArrayLike( elems ) ) { + length = elems.length; + for ( ; i < length; i++ ) { + value = callback( elems[ i ], i, arg ); + + if ( value != null ) { + ret.push( value ); + } + } + + // Go through every key on the object, + } else { + for ( i in elems ) { + value = callback( elems[ i ], i, arg ); + + if ( value != null ) { + ret.push( value ); + } + } + } + + // Flatten any nested arrays + return flat( ret ); + }, + + // A global GUID counter for objects + guid: 1, + + // jQuery.support is not used in Core but other projects attach their + // properties to it so it needs to exist. + support: support +} ); + +if ( typeof Symbol === "function" ) { + jQuery.fn[ Symbol.iterator ] = arr[ Symbol.iterator ]; +} + +// Populate the class2type map +jQuery.each( "Boolean Number String Function Array Date RegExp Object Error Symbol".split( " " ), +function( _i, name ) { + class2type[ "[object " + name + "]" ] = name.toLowerCase(); +} ); + +function isArrayLike( obj ) { + + // Support: real iOS 8.2 only (not reproducible in simulator) + // `in` check used to prevent JIT error (gh-2145) + // hasOwn isn't used here due to false negatives + // regarding Nodelist length in IE + var length = !!obj && "length" in obj && obj.length, + type = toType( obj ); + + if ( isFunction( obj ) || isWindow( obj ) ) { + return false; + } + + return type === "array" || length === 0 || + typeof length === "number" && length > 0 && ( length - 1 ) in obj; +} +var Sizzle = +/*! + * Sizzle CSS Selector Engine v2.3.5 + * https://sizzlejs.com/ + * + * Copyright JS Foundation and other contributors + * Released under the MIT license + * https://js.foundation/ + * + * Date: 2020-03-14 + */ +( function( window ) { +var i, + support, + Expr, + getText, + isXML, + tokenize, + compile, + select, + outermostContext, + sortInput, + hasDuplicate, + + // Local document vars + setDocument, + document, + docElem, + documentIsHTML, + rbuggyQSA, + rbuggyMatches, + matches, + contains, + + // Instance-specific data + expando = "sizzle" + 1 * new Date(), + preferredDoc = window.document, + dirruns = 0, + done = 0, + classCache = createCache(), + tokenCache = createCache(), + compilerCache = createCache(), + nonnativeSelectorCache = createCache(), + sortOrder = function( a, b ) { + if ( a === b ) { + hasDuplicate = true; + } + return 0; + }, + + // Instance methods + hasOwn = ( {} ).hasOwnProperty, + arr = [], + pop = arr.pop, + pushNative = arr.push, + push = arr.push, + slice = arr.slice, + + // Use a stripped-down indexOf as it's faster than native + // https://jsperf.com/thor-indexof-vs-for/5 + indexOf = function( list, elem ) { + var i = 0, + len = list.length; + for ( ; i < len; i++ ) { + if ( list[ i ] === elem ) { + return i; + } + } + return -1; + }, + + booleans = "checked|selected|async|autofocus|autoplay|controls|defer|disabled|hidden|" + + "ismap|loop|multiple|open|readonly|required|scoped", + + // Regular expressions + + // http://www.w3.org/TR/css3-selectors/#whitespace + whitespace = "[\\x20\\t\\r\\n\\f]", + + // https://www.w3.org/TR/css-syntax-3/#ident-token-diagram + identifier = "(?:\\\\[\\da-fA-F]{1,6}" + whitespace + + "?|\\\\[^\\r\\n\\f]|[\\w-]|[^\0-\\x7f])+", + + // Attribute selectors: http://www.w3.org/TR/selectors/#attribute-selectors + attributes = "\\[" + whitespace + "*(" + identifier + ")(?:" + whitespace + + + // Operator (capture 2) + "*([*^$|!~]?=)" + whitespace + + + // "Attribute values must be CSS identifiers [capture 5] + // or strings [capture 3 or capture 4]" + "*(?:'((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\"|(" + identifier + "))|)" + + whitespace + "*\\]", + + pseudos = ":(" + identifier + ")(?:\\((" + + + // To reduce the number of selectors needing tokenize in the preFilter, prefer arguments: + // 1. quoted (capture 3; capture 4 or capture 5) + "('((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\")|" + + + // 2. simple (capture 6) + "((?:\\\\.|[^\\\\()[\\]]|" + attributes + ")*)|" + + + // 3. anything else (capture 2) + ".*" + + ")\\)|)", + + // Leading and non-escaped trailing whitespace, capturing some non-whitespace characters preceding the latter + rwhitespace = new RegExp( whitespace + "+", "g" ), + rtrim = new RegExp( "^" + whitespace + "+|((?:^|[^\\\\])(?:\\\\.)*)" + + whitespace + "+$", "g" ), + + rcomma = new RegExp( "^" + whitespace + "*," + whitespace + "*" ), + rcombinators = new RegExp( "^" + whitespace + "*([>+~]|" + whitespace + ")" + whitespace + + "*" ), + rdescend = new RegExp( whitespace + "|>" ), + + rpseudo = new RegExp( pseudos ), + ridentifier = new RegExp( "^" + identifier + "$" ), + + matchExpr = { + "ID": new RegExp( "^#(" + identifier + ")" ), + "CLASS": new RegExp( "^\\.(" + identifier + ")" ), + "TAG": new RegExp( "^(" + identifier + "|[*])" ), + "ATTR": new RegExp( "^" + attributes ), + "PSEUDO": new RegExp( "^" + pseudos ), + "CHILD": new RegExp( "^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\(" + + whitespace + "*(even|odd|(([+-]|)(\\d*)n|)" + whitespace + "*(?:([+-]|)" + + whitespace + "*(\\d+)|))" + whitespace + "*\\)|)", "i" ), + "bool": new RegExp( "^(?:" + booleans + ")$", "i" ), + + // For use in libraries implementing .is() + // We use this for POS matching in `select` + "needsContext": new RegExp( "^" + whitespace + + "*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\(" + whitespace + + "*((?:-\\d)?\\d*)" + whitespace + "*\\)|)(?=[^-]|$)", "i" ) + }, + + rhtml = /HTML$/i, + rinputs = /^(?:input|select|textarea|button)$/i, + rheader = /^h\d$/i, + + rnative = /^[^{]+\{\s*\[native \w/, + + // Easily-parseable/retrievable ID or TAG or CLASS selectors + rquickExpr = /^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/, + + rsibling = /[+~]/, + + // CSS escapes + // http://www.w3.org/TR/CSS21/syndata.html#escaped-characters + runescape = new RegExp( "\\\\[\\da-fA-F]{1,6}" + whitespace + "?|\\\\([^\\r\\n\\f])", "g" ), + funescape = function( escape, nonHex ) { + var high = "0x" + escape.slice( 1 ) - 0x10000; + + return nonHex ? + + // Strip the backslash prefix from a non-hex escape sequence + nonHex : + + // Replace a hexadecimal escape sequence with the encoded Unicode code point + // Support: IE <=11+ + // For values outside the Basic Multilingual Plane (BMP), manually construct a + // surrogate pair + high < 0 ? + String.fromCharCode( high + 0x10000 ) : + String.fromCharCode( high >> 10 | 0xD800, high & 0x3FF | 0xDC00 ); + }, + + // CSS string/identifier serialization + // https://drafts.csswg.org/cssom/#common-serializing-idioms + rcssescape = /([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g, + fcssescape = function( ch, asCodePoint ) { + if ( asCodePoint ) { + + // U+0000 NULL becomes U+FFFD REPLACEMENT CHARACTER + if ( ch === "\0" ) { + return "\uFFFD"; + } + + // Control characters and (dependent upon position) numbers get escaped as code points + return ch.slice( 0, -1 ) + "\\" + + ch.charCodeAt( ch.length - 1 ).toString( 16 ) + " "; + } + + // Other potentially-special ASCII characters get backslash-escaped + return "\\" + ch; + }, + + // Used for iframes + // See setDocument() + // Removing the function wrapper causes a "Permission Denied" + // error in IE + unloadHandler = function() { + setDocument(); + }, + + inDisabledFieldset = addCombinator( + function( elem ) { + return elem.disabled === true && elem.nodeName.toLowerCase() === "fieldset"; + }, + { dir: "parentNode", next: "legend" } + ); + +// Optimize for push.apply( _, NodeList ) +try { + push.apply( + ( arr = slice.call( preferredDoc.childNodes ) ), + preferredDoc.childNodes + ); + + // Support: Android<4.0 + // Detect silently failing push.apply + // eslint-disable-next-line no-unused-expressions + arr[ preferredDoc.childNodes.length ].nodeType; +} catch ( e ) { + push = { apply: arr.length ? + + // Leverage slice if possible + function( target, els ) { + pushNative.apply( target, slice.call( els ) ); + } : + + // Support: IE<9 + // Otherwise append directly + function( target, els ) { + var j = target.length, + i = 0; + + // Can't trust NodeList.length + while ( ( target[ j++ ] = els[ i++ ] ) ) {} + target.length = j - 1; + } + }; +} + +function Sizzle( selector, context, results, seed ) { + var m, i, elem, nid, match, groups, newSelector, + newContext = context && context.ownerDocument, + + // nodeType defaults to 9, since context defaults to document + nodeType = context ? context.nodeType : 9; + + results = results || []; + + // Return early from calls with invalid selector or context + if ( typeof selector !== "string" || !selector || + nodeType !== 1 && nodeType !== 9 && nodeType !== 11 ) { + + return results; + } + + // Try to shortcut find operations (as opposed to filters) in HTML documents + if ( !seed ) { + setDocument( context ); + context = context || document; + + if ( documentIsHTML ) { + + // If the selector is sufficiently simple, try using a "get*By*" DOM method + // (excepting DocumentFragment context, where the methods don't exist) + if ( nodeType !== 11 && ( match = rquickExpr.exec( selector ) ) ) { + + // ID selector + if ( ( m = match[ 1 ] ) ) { + + // Document context + if ( nodeType === 9 ) { + if ( ( elem = context.getElementById( m ) ) ) { + + // Support: IE, Opera, Webkit + // TODO: identify versions + // getElementById can match elements by name instead of ID + if ( elem.id === m ) { + results.push( elem ); + return results; + } + } else { + return results; + } + + // Element context + } else { + + // Support: IE, Opera, Webkit + // TODO: identify versions + // getElementById can match elements by name instead of ID + if ( newContext && ( elem = newContext.getElementById( m ) ) && + contains( context, elem ) && + elem.id === m ) { + + results.push( elem ); + return results; + } + } + + // Type selector + } else if ( match[ 2 ] ) { + push.apply( results, context.getElementsByTagName( selector ) ); + return results; + + // Class selector + } else if ( ( m = match[ 3 ] ) && support.getElementsByClassName && + context.getElementsByClassName ) { + + push.apply( results, context.getElementsByClassName( m ) ); + return results; + } + } + + // Take advantage of querySelectorAll + if ( support.qsa && + !nonnativeSelectorCache[ selector + " " ] && + ( !rbuggyQSA || !rbuggyQSA.test( selector ) ) && + + // Support: IE 8 only + // Exclude object elements + ( nodeType !== 1 || context.nodeName.toLowerCase() !== "object" ) ) { + + newSelector = selector; + newContext = context; + + // qSA considers elements outside a scoping root when evaluating child or + // descendant combinators, which is not what we want. + // In such cases, we work around the behavior by prefixing every selector in the + // list with an ID selector referencing the scope context. + // The technique has to be used as well when a leading combinator is used + // as such selectors are not recognized by querySelectorAll. + // Thanks to Andrew Dupont for this technique. + if ( nodeType === 1 && + ( rdescend.test( selector ) || rcombinators.test( selector ) ) ) { + + // Expand context for sibling selectors + newContext = rsibling.test( selector ) && testContext( context.parentNode ) || + context; + + // We can use :scope instead of the ID hack if the browser + // supports it & if we're not changing the context. + if ( newContext !== context || !support.scope ) { + + // Capture the context ID, setting it first if necessary + if ( ( nid = context.getAttribute( "id" ) ) ) { + nid = nid.replace( rcssescape, fcssescape ); + } else { + context.setAttribute( "id", ( nid = expando ) ); + } + } + + // Prefix every selector in the list + groups = tokenize( selector ); + i = groups.length; + while ( i-- ) { + groups[ i ] = ( nid ? "#" + nid : ":scope" ) + " " + + toSelector( groups[ i ] ); + } + newSelector = groups.join( "," ); + } + + try { + push.apply( results, + newContext.querySelectorAll( newSelector ) + ); + return results; + } catch ( qsaError ) { + nonnativeSelectorCache( selector, true ); + } finally { + if ( nid === expando ) { + context.removeAttribute( "id" ); + } + } + } + } + } + + // All others + return select( selector.replace( rtrim, "$1" ), context, results, seed ); +} + +/** + * Create key-value caches of limited size + * @returns {function(string, object)} Returns the Object data after storing it on itself with + * property name the (space-suffixed) string and (if the cache is larger than Expr.cacheLength) + * deleting the oldest entry + */ +function createCache() { + var keys = []; + + function cache( key, value ) { + + // Use (key + " ") to avoid collision with native prototype properties (see Issue #157) + if ( keys.push( key + " " ) > Expr.cacheLength ) { + + // Only keep the most recent entries + delete cache[ keys.shift() ]; + } + return ( cache[ key + " " ] = value ); + } + return cache; +} + +/** + * Mark a function for special use by Sizzle + * @param {Function} fn The function to mark + */ +function markFunction( fn ) { + fn[ expando ] = true; + return fn; +} + +/** + * Support testing using an element + * @param {Function} fn Passed the created element and returns a boolean result + */ +function assert( fn ) { + var el = document.createElement( "fieldset" ); + + try { + return !!fn( el ); + } catch ( e ) { + return false; + } finally { + + // Remove from its parent by default + if ( el.parentNode ) { + el.parentNode.removeChild( el ); + } + + // release memory in IE + el = null; + } +} + +/** + * Adds the same handler for all of the specified attrs + * @param {String} attrs Pipe-separated list of attributes + * @param {Function} handler The method that will be applied + */ +function addHandle( attrs, handler ) { + var arr = attrs.split( "|" ), + i = arr.length; + + while ( i-- ) { + Expr.attrHandle[ arr[ i ] ] = handler; + } +} + +/** + * Checks document order of two siblings + * @param {Element} a + * @param {Element} b + * @returns {Number} Returns less than 0 if a precedes b, greater than 0 if a follows b + */ +function siblingCheck( a, b ) { + var cur = b && a, + diff = cur && a.nodeType === 1 && b.nodeType === 1 && + a.sourceIndex - b.sourceIndex; + + // Use IE sourceIndex if available on both nodes + if ( diff ) { + return diff; + } + + // Check if b follows a + if ( cur ) { + while ( ( cur = cur.nextSibling ) ) { + if ( cur === b ) { + return -1; + } + } + } + + return a ? 1 : -1; +} + +/** + * Returns a function to use in pseudos for input types + * @param {String} type + */ +function createInputPseudo( type ) { + return function( elem ) { + var name = elem.nodeName.toLowerCase(); + return name === "input" && elem.type === type; + }; +} + +/** + * Returns a function to use in pseudos for buttons + * @param {String} type + */ +function createButtonPseudo( type ) { + return function( elem ) { + var name = elem.nodeName.toLowerCase(); + return ( name === "input" || name === "button" ) && elem.type === type; + }; +} + +/** + * Returns a function to use in pseudos for :enabled/:disabled + * @param {Boolean} disabled true for :disabled; false for :enabled + */ +function createDisabledPseudo( disabled ) { + + // Known :disabled false positives: fieldset[disabled] > legend:nth-of-type(n+2) :can-disable + return function( elem ) { + + // Only certain elements can match :enabled or :disabled + // https://html.spec.whatwg.org/multipage/scripting.html#selector-enabled + // https://html.spec.whatwg.org/multipage/scripting.html#selector-disabled + if ( "form" in elem ) { + + // Check for inherited disabledness on relevant non-disabled elements: + // * listed form-associated elements in a disabled fieldset + // https://html.spec.whatwg.org/multipage/forms.html#category-listed + // https://html.spec.whatwg.org/multipage/forms.html#concept-fe-disabled + // * option elements in a disabled optgroup + // https://html.spec.whatwg.org/multipage/forms.html#concept-option-disabled + // All such elements have a "form" property. + if ( elem.parentNode && elem.disabled === false ) { + + // Option elements defer to a parent optgroup if present + if ( "label" in elem ) { + if ( "label" in elem.parentNode ) { + return elem.parentNode.disabled === disabled; + } else { + return elem.disabled === disabled; + } + } + + // Support: IE 6 - 11 + // Use the isDisabled shortcut property to check for disabled fieldset ancestors + return elem.isDisabled === disabled || + + // Where there is no isDisabled, check manually + /* jshint -W018 */ + elem.isDisabled !== !disabled && + inDisabledFieldset( elem ) === disabled; + } + + return elem.disabled === disabled; + + // Try to winnow out elements that can't be disabled before trusting the disabled property. + // Some victims get caught in our net (label, legend, menu, track), but it shouldn't + // even exist on them, let alone have a boolean value. + } else if ( "label" in elem ) { + return elem.disabled === disabled; + } + + // Remaining elements are neither :enabled nor :disabled + return false; + }; +} + +/** + * Returns a function to use in pseudos for positionals + * @param {Function} fn + */ +function createPositionalPseudo( fn ) { + return markFunction( function( argument ) { + argument = +argument; + return markFunction( function( seed, matches ) { + var j, + matchIndexes = fn( [], seed.length, argument ), + i = matchIndexes.length; + + // Match elements found at the specified indexes + while ( i-- ) { + if ( seed[ ( j = matchIndexes[ i ] ) ] ) { + seed[ j ] = !( matches[ j ] = seed[ j ] ); + } + } + } ); + } ); +} + +/** + * Checks a node for validity as a Sizzle context + * @param {Element|Object=} context + * @returns {Element|Object|Boolean} The input node if acceptable, otherwise a falsy value + */ +function testContext( context ) { + return context && typeof context.getElementsByTagName !== "undefined" && context; +} + +// Expose support vars for convenience +support = Sizzle.support = {}; + +/** + * Detects XML nodes + * @param {Element|Object} elem An element or a document + * @returns {Boolean} True iff elem is a non-HTML XML node + */ +isXML = Sizzle.isXML = function( elem ) { + var namespace = elem.namespaceURI, + docElem = ( elem.ownerDocument || elem ).documentElement; + + // Support: IE <=8 + // Assume HTML when documentElement doesn't yet exist, such as inside loading iframes + // https://bugs.jquery.com/ticket/4833 + return !rhtml.test( namespace || docElem && docElem.nodeName || "HTML" ); +}; + +/** + * Sets document-related variables once based on the current document + * @param {Element|Object} [doc] An element or document object to use to set the document + * @returns {Object} Returns the current document + */ +setDocument = Sizzle.setDocument = function( node ) { + var hasCompare, subWindow, + doc = node ? node.ownerDocument || node : preferredDoc; + + // Return early if doc is invalid or already selected + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( doc == document || doc.nodeType !== 9 || !doc.documentElement ) { + return document; + } + + // Update global variables + document = doc; + docElem = document.documentElement; + documentIsHTML = !isXML( document ); + + // Support: IE 9 - 11+, Edge 12 - 18+ + // Accessing iframe documents after unload throws "permission denied" errors (jQuery #13936) + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( preferredDoc != document && + ( subWindow = document.defaultView ) && subWindow.top !== subWindow ) { + + // Support: IE 11, Edge + if ( subWindow.addEventListener ) { + subWindow.addEventListener( "unload", unloadHandler, false ); + + // Support: IE 9 - 10 only + } else if ( subWindow.attachEvent ) { + subWindow.attachEvent( "onunload", unloadHandler ); + } + } + + // Support: IE 8 - 11+, Edge 12 - 18+, Chrome <=16 - 25 only, Firefox <=3.6 - 31 only, + // Safari 4 - 5 only, Opera <=11.6 - 12.x only + // IE/Edge & older browsers don't support the :scope pseudo-class. + // Support: Safari 6.0 only + // Safari 6.0 supports :scope but it's an alias of :root there. + support.scope = assert( function( el ) { + docElem.appendChild( el ).appendChild( document.createElement( "div" ) ); + return typeof el.querySelectorAll !== "undefined" && + !el.querySelectorAll( ":scope fieldset div" ).length; + } ); + + /* Attributes + ---------------------------------------------------------------------- */ + + // Support: IE<8 + // Verify that getAttribute really returns attributes and not properties + // (excepting IE8 booleans) + support.attributes = assert( function( el ) { + el.className = "i"; + return !el.getAttribute( "className" ); + } ); + + /* getElement(s)By* + ---------------------------------------------------------------------- */ + + // Check if getElementsByTagName("*") returns only elements + support.getElementsByTagName = assert( function( el ) { + el.appendChild( document.createComment( "" ) ); + return !el.getElementsByTagName( "*" ).length; + } ); + + // Support: IE<9 + support.getElementsByClassName = rnative.test( document.getElementsByClassName ); + + // Support: IE<10 + // Check if getElementById returns elements by name + // The broken getElementById methods don't pick up programmatically-set names, + // so use a roundabout getElementsByName test + support.getById = assert( function( el ) { + docElem.appendChild( el ).id = expando; + return !document.getElementsByName || !document.getElementsByName( expando ).length; + } ); + + // ID filter and find + if ( support.getById ) { + Expr.filter[ "ID" ] = function( id ) { + var attrId = id.replace( runescape, funescape ); + return function( elem ) { + return elem.getAttribute( "id" ) === attrId; + }; + }; + Expr.find[ "ID" ] = function( id, context ) { + if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { + var elem = context.getElementById( id ); + return elem ? [ elem ] : []; + } + }; + } else { + Expr.filter[ "ID" ] = function( id ) { + var attrId = id.replace( runescape, funescape ); + return function( elem ) { + var node = typeof elem.getAttributeNode !== "undefined" && + elem.getAttributeNode( "id" ); + return node && node.value === attrId; + }; + }; + + // Support: IE 6 - 7 only + // getElementById is not reliable as a find shortcut + Expr.find[ "ID" ] = function( id, context ) { + if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { + var node, i, elems, + elem = context.getElementById( id ); + + if ( elem ) { + + // Verify the id attribute + node = elem.getAttributeNode( "id" ); + if ( node && node.value === id ) { + return [ elem ]; + } + + // Fall back on getElementsByName + elems = context.getElementsByName( id ); + i = 0; + while ( ( elem = elems[ i++ ] ) ) { + node = elem.getAttributeNode( "id" ); + if ( node && node.value === id ) { + return [ elem ]; + } + } + } + + return []; + } + }; + } + + // Tag + Expr.find[ "TAG" ] = support.getElementsByTagName ? + function( tag, context ) { + if ( typeof context.getElementsByTagName !== "undefined" ) { + return context.getElementsByTagName( tag ); + + // DocumentFragment nodes don't have gEBTN + } else if ( support.qsa ) { + return context.querySelectorAll( tag ); + } + } : + + function( tag, context ) { + var elem, + tmp = [], + i = 0, + + // By happy coincidence, a (broken) gEBTN appears on DocumentFragment nodes too + results = context.getElementsByTagName( tag ); + + // Filter out possible comments + if ( tag === "*" ) { + while ( ( elem = results[ i++ ] ) ) { + if ( elem.nodeType === 1 ) { + tmp.push( elem ); + } + } + + return tmp; + } + return results; + }; + + // Class + Expr.find[ "CLASS" ] = support.getElementsByClassName && function( className, context ) { + if ( typeof context.getElementsByClassName !== "undefined" && documentIsHTML ) { + return context.getElementsByClassName( className ); + } + }; + + /* QSA/matchesSelector + ---------------------------------------------------------------------- */ + + // QSA and matchesSelector support + + // matchesSelector(:active) reports false when true (IE9/Opera 11.5) + rbuggyMatches = []; + + // qSa(:focus) reports false when true (Chrome 21) + // We allow this because of a bug in IE8/9 that throws an error + // whenever `document.activeElement` is accessed on an iframe + // So, we allow :focus to pass through QSA all the time to avoid the IE error + // See https://bugs.jquery.com/ticket/13378 + rbuggyQSA = []; + + if ( ( support.qsa = rnative.test( document.querySelectorAll ) ) ) { + + // Build QSA regex + // Regex strategy adopted from Diego Perini + assert( function( el ) { + + var input; + + // Select is set to empty string on purpose + // This is to test IE's treatment of not explicitly + // setting a boolean content attribute, + // since its presence should be enough + // https://bugs.jquery.com/ticket/12359 + docElem.appendChild( el ).innerHTML = "" + + ""; + + // Support: IE8, Opera 11-12.16 + // Nothing should be selected when empty strings follow ^= or $= or *= + // The test attribute must be unknown in Opera but "safe" for WinRT + // https://msdn.microsoft.com/en-us/library/ie/hh465388.aspx#attribute_section + if ( el.querySelectorAll( "[msallowcapture^='']" ).length ) { + rbuggyQSA.push( "[*^$]=" + whitespace + "*(?:''|\"\")" ); + } + + // Support: IE8 + // Boolean attributes and "value" are not treated correctly + if ( !el.querySelectorAll( "[selected]" ).length ) { + rbuggyQSA.push( "\\[" + whitespace + "*(?:value|" + booleans + ")" ); + } + + // Support: Chrome<29, Android<4.4, Safari<7.0+, iOS<7.0+, PhantomJS<1.9.8+ + if ( !el.querySelectorAll( "[id~=" + expando + "-]" ).length ) { + rbuggyQSA.push( "~=" ); + } + + // Support: IE 11+, Edge 15 - 18+ + // IE 11/Edge don't find elements on a `[name='']` query in some cases. + // Adding a temporary attribute to the document before the selection works + // around the issue. + // Interestingly, IE 10 & older don't seem to have the issue. + input = document.createElement( "input" ); + input.setAttribute( "name", "" ); + el.appendChild( input ); + if ( !el.querySelectorAll( "[name='']" ).length ) { + rbuggyQSA.push( "\\[" + whitespace + "*name" + whitespace + "*=" + + whitespace + "*(?:''|\"\")" ); + } + + // Webkit/Opera - :checked should return selected option elements + // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked + // IE8 throws error here and will not see later tests + if ( !el.querySelectorAll( ":checked" ).length ) { + rbuggyQSA.push( ":checked" ); + } + + // Support: Safari 8+, iOS 8+ + // https://bugs.webkit.org/show_bug.cgi?id=136851 + // In-page `selector#id sibling-combinator selector` fails + if ( !el.querySelectorAll( "a#" + expando + "+*" ).length ) { + rbuggyQSA.push( ".#.+[+~]" ); + } + + // Support: Firefox <=3.6 - 5 only + // Old Firefox doesn't throw on a badly-escaped identifier. + el.querySelectorAll( "\\\f" ); + rbuggyQSA.push( "[\\r\\n\\f]" ); + } ); + + assert( function( el ) { + el.innerHTML = "" + + ""; + + // Support: Windows 8 Native Apps + // The type and name attributes are restricted during .innerHTML assignment + var input = document.createElement( "input" ); + input.setAttribute( "type", "hidden" ); + el.appendChild( input ).setAttribute( "name", "D" ); + + // Support: IE8 + // Enforce case-sensitivity of name attribute + if ( el.querySelectorAll( "[name=d]" ).length ) { + rbuggyQSA.push( "name" + whitespace + "*[*^$|!~]?=" ); + } + + // FF 3.5 - :enabled/:disabled and hidden elements (hidden elements are still enabled) + // IE8 throws error here and will not see later tests + if ( el.querySelectorAll( ":enabled" ).length !== 2 ) { + rbuggyQSA.push( ":enabled", ":disabled" ); + } + + // Support: IE9-11+ + // IE's :disabled selector does not pick up the children of disabled fieldsets + docElem.appendChild( el ).disabled = true; + if ( el.querySelectorAll( ":disabled" ).length !== 2 ) { + rbuggyQSA.push( ":enabled", ":disabled" ); + } + + // Support: Opera 10 - 11 only + // Opera 10-11 does not throw on post-comma invalid pseudos + el.querySelectorAll( "*,:x" ); + rbuggyQSA.push( ",.*:" ); + } ); + } + + if ( ( support.matchesSelector = rnative.test( ( matches = docElem.matches || + docElem.webkitMatchesSelector || + docElem.mozMatchesSelector || + docElem.oMatchesSelector || + docElem.msMatchesSelector ) ) ) ) { + + assert( function( el ) { + + // Check to see if it's possible to do matchesSelector + // on a disconnected node (IE 9) + support.disconnectedMatch = matches.call( el, "*" ); + + // This should fail with an exception + // Gecko does not error, returns false instead + matches.call( el, "[s!='']:x" ); + rbuggyMatches.push( "!=", pseudos ); + } ); + } + + rbuggyQSA = rbuggyQSA.length && new RegExp( rbuggyQSA.join( "|" ) ); + rbuggyMatches = rbuggyMatches.length && new RegExp( rbuggyMatches.join( "|" ) ); + + /* Contains + ---------------------------------------------------------------------- */ + hasCompare = rnative.test( docElem.compareDocumentPosition ); + + // Element contains another + // Purposefully self-exclusive + // As in, an element does not contain itself + contains = hasCompare || rnative.test( docElem.contains ) ? + function( a, b ) { + var adown = a.nodeType === 9 ? a.documentElement : a, + bup = b && b.parentNode; + return a === bup || !!( bup && bup.nodeType === 1 && ( + adown.contains ? + adown.contains( bup ) : + a.compareDocumentPosition && a.compareDocumentPosition( bup ) & 16 + ) ); + } : + function( a, b ) { + if ( b ) { + while ( ( b = b.parentNode ) ) { + if ( b === a ) { + return true; + } + } + } + return false; + }; + + /* Sorting + ---------------------------------------------------------------------- */ + + // Document order sorting + sortOrder = hasCompare ? + function( a, b ) { + + // Flag for duplicate removal + if ( a === b ) { + hasDuplicate = true; + return 0; + } + + // Sort on method existence if only one input has compareDocumentPosition + var compare = !a.compareDocumentPosition - !b.compareDocumentPosition; + if ( compare ) { + return compare; + } + + // Calculate position if both inputs belong to the same document + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + compare = ( a.ownerDocument || a ) == ( b.ownerDocument || b ) ? + a.compareDocumentPosition( b ) : + + // Otherwise we know they are disconnected + 1; + + // Disconnected nodes + if ( compare & 1 || + ( !support.sortDetached && b.compareDocumentPosition( a ) === compare ) ) { + + // Choose the first element that is related to our preferred document + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( a == document || a.ownerDocument == preferredDoc && + contains( preferredDoc, a ) ) { + return -1; + } + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( b == document || b.ownerDocument == preferredDoc && + contains( preferredDoc, b ) ) { + return 1; + } + + // Maintain original order + return sortInput ? + ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : + 0; + } + + return compare & 4 ? -1 : 1; + } : + function( a, b ) { + + // Exit early if the nodes are identical + if ( a === b ) { + hasDuplicate = true; + return 0; + } + + var cur, + i = 0, + aup = a.parentNode, + bup = b.parentNode, + ap = [ a ], + bp = [ b ]; + + // Parentless nodes are either documents or disconnected + if ( !aup || !bup ) { + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + /* eslint-disable eqeqeq */ + return a == document ? -1 : + b == document ? 1 : + /* eslint-enable eqeqeq */ + aup ? -1 : + bup ? 1 : + sortInput ? + ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : + 0; + + // If the nodes are siblings, we can do a quick check + } else if ( aup === bup ) { + return siblingCheck( a, b ); + } + + // Otherwise we need full lists of their ancestors for comparison + cur = a; + while ( ( cur = cur.parentNode ) ) { + ap.unshift( cur ); + } + cur = b; + while ( ( cur = cur.parentNode ) ) { + bp.unshift( cur ); + } + + // Walk down the tree looking for a discrepancy + while ( ap[ i ] === bp[ i ] ) { + i++; + } + + return i ? + + // Do a sibling check if the nodes have a common ancestor + siblingCheck( ap[ i ], bp[ i ] ) : + + // Otherwise nodes in our document sort first + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + /* eslint-disable eqeqeq */ + ap[ i ] == preferredDoc ? -1 : + bp[ i ] == preferredDoc ? 1 : + /* eslint-enable eqeqeq */ + 0; + }; + + return document; +}; + +Sizzle.matches = function( expr, elements ) { + return Sizzle( expr, null, null, elements ); +}; + +Sizzle.matchesSelector = function( elem, expr ) { + setDocument( elem ); + + if ( support.matchesSelector && documentIsHTML && + !nonnativeSelectorCache[ expr + " " ] && + ( !rbuggyMatches || !rbuggyMatches.test( expr ) ) && + ( !rbuggyQSA || !rbuggyQSA.test( expr ) ) ) { + + try { + var ret = matches.call( elem, expr ); + + // IE 9's matchesSelector returns false on disconnected nodes + if ( ret || support.disconnectedMatch || + + // As well, disconnected nodes are said to be in a document + // fragment in IE 9 + elem.document && elem.document.nodeType !== 11 ) { + return ret; + } + } catch ( e ) { + nonnativeSelectorCache( expr, true ); + } + } + + return Sizzle( expr, document, null, [ elem ] ).length > 0; +}; + +Sizzle.contains = function( context, elem ) { + + // Set document vars if needed + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( ( context.ownerDocument || context ) != document ) { + setDocument( context ); + } + return contains( context, elem ); +}; + +Sizzle.attr = function( elem, name ) { + + // Set document vars if needed + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( ( elem.ownerDocument || elem ) != document ) { + setDocument( elem ); + } + + var fn = Expr.attrHandle[ name.toLowerCase() ], + + // Don't get fooled by Object.prototype properties (jQuery #13807) + val = fn && hasOwn.call( Expr.attrHandle, name.toLowerCase() ) ? + fn( elem, name, !documentIsHTML ) : + undefined; + + return val !== undefined ? + val : + support.attributes || !documentIsHTML ? + elem.getAttribute( name ) : + ( val = elem.getAttributeNode( name ) ) && val.specified ? + val.value : + null; +}; + +Sizzle.escape = function( sel ) { + return ( sel + "" ).replace( rcssescape, fcssescape ); +}; + +Sizzle.error = function( msg ) { + throw new Error( "Syntax error, unrecognized expression: " + msg ); +}; + +/** + * Document sorting and removing duplicates + * @param {ArrayLike} results + */ +Sizzle.uniqueSort = function( results ) { + var elem, + duplicates = [], + j = 0, + i = 0; + + // Unless we *know* we can detect duplicates, assume their presence + hasDuplicate = !support.detectDuplicates; + sortInput = !support.sortStable && results.slice( 0 ); + results.sort( sortOrder ); + + if ( hasDuplicate ) { + while ( ( elem = results[ i++ ] ) ) { + if ( elem === results[ i ] ) { + j = duplicates.push( i ); + } + } + while ( j-- ) { + results.splice( duplicates[ j ], 1 ); + } + } + + // Clear input after sorting to release objects + // See https://github.com/jquery/sizzle/pull/225 + sortInput = null; + + return results; +}; + +/** + * Utility function for retrieving the text value of an array of DOM nodes + * @param {Array|Element} elem + */ +getText = Sizzle.getText = function( elem ) { + var node, + ret = "", + i = 0, + nodeType = elem.nodeType; + + if ( !nodeType ) { + + // If no nodeType, this is expected to be an array + while ( ( node = elem[ i++ ] ) ) { + + // Do not traverse comment nodes + ret += getText( node ); + } + } else if ( nodeType === 1 || nodeType === 9 || nodeType === 11 ) { + + // Use textContent for elements + // innerText usage removed for consistency of new lines (jQuery #11153) + if ( typeof elem.textContent === "string" ) { + return elem.textContent; + } else { + + // Traverse its children + for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { + ret += getText( elem ); + } + } + } else if ( nodeType === 3 || nodeType === 4 ) { + return elem.nodeValue; + } + + // Do not include comment or processing instruction nodes + + return ret; +}; + +Expr = Sizzle.selectors = { + + // Can be adjusted by the user + cacheLength: 50, + + createPseudo: markFunction, + + match: matchExpr, + + attrHandle: {}, + + find: {}, + + relative: { + ">": { dir: "parentNode", first: true }, + " ": { dir: "parentNode" }, + "+": { dir: "previousSibling", first: true }, + "~": { dir: "previousSibling" } + }, + + preFilter: { + "ATTR": function( match ) { + match[ 1 ] = match[ 1 ].replace( runescape, funescape ); + + // Move the given value to match[3] whether quoted or unquoted + match[ 3 ] = ( match[ 3 ] || match[ 4 ] || + match[ 5 ] || "" ).replace( runescape, funescape ); + + if ( match[ 2 ] === "~=" ) { + match[ 3 ] = " " + match[ 3 ] + " "; + } + + return match.slice( 0, 4 ); + }, + + "CHILD": function( match ) { + + /* matches from matchExpr["CHILD"] + 1 type (only|nth|...) + 2 what (child|of-type) + 3 argument (even|odd|\d*|\d*n([+-]\d+)?|...) + 4 xn-component of xn+y argument ([+-]?\d*n|) + 5 sign of xn-component + 6 x of xn-component + 7 sign of y-component + 8 y of y-component + */ + match[ 1 ] = match[ 1 ].toLowerCase(); + + if ( match[ 1 ].slice( 0, 3 ) === "nth" ) { + + // nth-* requires argument + if ( !match[ 3 ] ) { + Sizzle.error( match[ 0 ] ); + } + + // numeric x and y parameters for Expr.filter.CHILD + // remember that false/true cast respectively to 0/1 + match[ 4 ] = +( match[ 4 ] ? + match[ 5 ] + ( match[ 6 ] || 1 ) : + 2 * ( match[ 3 ] === "even" || match[ 3 ] === "odd" ) ); + match[ 5 ] = +( ( match[ 7 ] + match[ 8 ] ) || match[ 3 ] === "odd" ); + + // other types prohibit arguments + } else if ( match[ 3 ] ) { + Sizzle.error( match[ 0 ] ); + } + + return match; + }, + + "PSEUDO": function( match ) { + var excess, + unquoted = !match[ 6 ] && match[ 2 ]; + + if ( matchExpr[ "CHILD" ].test( match[ 0 ] ) ) { + return null; + } + + // Accept quoted arguments as-is + if ( match[ 3 ] ) { + match[ 2 ] = match[ 4 ] || match[ 5 ] || ""; + + // Strip excess characters from unquoted arguments + } else if ( unquoted && rpseudo.test( unquoted ) && + + // Get excess from tokenize (recursively) + ( excess = tokenize( unquoted, true ) ) && + + // advance to the next closing parenthesis + ( excess = unquoted.indexOf( ")", unquoted.length - excess ) - unquoted.length ) ) { + + // excess is a negative index + match[ 0 ] = match[ 0 ].slice( 0, excess ); + match[ 2 ] = unquoted.slice( 0, excess ); + } + + // Return only captures needed by the pseudo filter method (type and argument) + return match.slice( 0, 3 ); + } + }, + + filter: { + + "TAG": function( nodeNameSelector ) { + var nodeName = nodeNameSelector.replace( runescape, funescape ).toLowerCase(); + return nodeNameSelector === "*" ? + function() { + return true; + } : + function( elem ) { + return elem.nodeName && elem.nodeName.toLowerCase() === nodeName; + }; + }, + + "CLASS": function( className ) { + var pattern = classCache[ className + " " ]; + + return pattern || + ( pattern = new RegExp( "(^|" + whitespace + + ")" + className + "(" + whitespace + "|$)" ) ) && classCache( + className, function( elem ) { + return pattern.test( + typeof elem.className === "string" && elem.className || + typeof elem.getAttribute !== "undefined" && + elem.getAttribute( "class" ) || + "" + ); + } ); + }, + + "ATTR": function( name, operator, check ) { + return function( elem ) { + var result = Sizzle.attr( elem, name ); + + if ( result == null ) { + return operator === "!="; + } + if ( !operator ) { + return true; + } + + result += ""; + + /* eslint-disable max-len */ + + return operator === "=" ? result === check : + operator === "!=" ? result !== check : + operator === "^=" ? check && result.indexOf( check ) === 0 : + operator === "*=" ? check && result.indexOf( check ) > -1 : + operator === "$=" ? check && result.slice( -check.length ) === check : + operator === "~=" ? ( " " + result.replace( rwhitespace, " " ) + " " ).indexOf( check ) > -1 : + operator === "|=" ? result === check || result.slice( 0, check.length + 1 ) === check + "-" : + false; + /* eslint-enable max-len */ + + }; + }, + + "CHILD": function( type, what, _argument, first, last ) { + var simple = type.slice( 0, 3 ) !== "nth", + forward = type.slice( -4 ) !== "last", + ofType = what === "of-type"; + + return first === 1 && last === 0 ? + + // Shortcut for :nth-*(n) + function( elem ) { + return !!elem.parentNode; + } : + + function( elem, _context, xml ) { + var cache, uniqueCache, outerCache, node, nodeIndex, start, + dir = simple !== forward ? "nextSibling" : "previousSibling", + parent = elem.parentNode, + name = ofType && elem.nodeName.toLowerCase(), + useCache = !xml && !ofType, + diff = false; + + if ( parent ) { + + // :(first|last|only)-(child|of-type) + if ( simple ) { + while ( dir ) { + node = elem; + while ( ( node = node[ dir ] ) ) { + if ( ofType ? + node.nodeName.toLowerCase() === name : + node.nodeType === 1 ) { + + return false; + } + } + + // Reverse direction for :only-* (if we haven't yet done so) + start = dir = type === "only" && !start && "nextSibling"; + } + return true; + } + + start = [ forward ? parent.firstChild : parent.lastChild ]; + + // non-xml :nth-child(...) stores cache data on `parent` + if ( forward && useCache ) { + + // Seek `elem` from a previously-cached index + + // ...in a gzip-friendly way + node = parent; + outerCache = node[ expando ] || ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + cache = uniqueCache[ type ] || []; + nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; + diff = nodeIndex && cache[ 2 ]; + node = nodeIndex && parent.childNodes[ nodeIndex ]; + + while ( ( node = ++nodeIndex && node && node[ dir ] || + + // Fallback to seeking `elem` from the start + ( diff = nodeIndex = 0 ) || start.pop() ) ) { + + // When found, cache indexes on `parent` and break + if ( node.nodeType === 1 && ++diff && node === elem ) { + uniqueCache[ type ] = [ dirruns, nodeIndex, diff ]; + break; + } + } + + } else { + + // Use previously-cached element index if available + if ( useCache ) { + + // ...in a gzip-friendly way + node = elem; + outerCache = node[ expando ] || ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + cache = uniqueCache[ type ] || []; + nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; + diff = nodeIndex; + } + + // xml :nth-child(...) + // or :nth-last-child(...) or :nth(-last)?-of-type(...) + if ( diff === false ) { + + // Use the same loop as above to seek `elem` from the start + while ( ( node = ++nodeIndex && node && node[ dir ] || + ( diff = nodeIndex = 0 ) || start.pop() ) ) { + + if ( ( ofType ? + node.nodeName.toLowerCase() === name : + node.nodeType === 1 ) && + ++diff ) { + + // Cache the index of each encountered element + if ( useCache ) { + outerCache = node[ expando ] || + ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + uniqueCache[ type ] = [ dirruns, diff ]; + } + + if ( node === elem ) { + break; + } + } + } + } + } + + // Incorporate the offset, then check against cycle size + diff -= last; + return diff === first || ( diff % first === 0 && diff / first >= 0 ); + } + }; + }, + + "PSEUDO": function( pseudo, argument ) { + + // pseudo-class names are case-insensitive + // http://www.w3.org/TR/selectors/#pseudo-classes + // Prioritize by case sensitivity in case custom pseudos are added with uppercase letters + // Remember that setFilters inherits from pseudos + var args, + fn = Expr.pseudos[ pseudo ] || Expr.setFilters[ pseudo.toLowerCase() ] || + Sizzle.error( "unsupported pseudo: " + pseudo ); + + // The user may use createPseudo to indicate that + // arguments are needed to create the filter function + // just as Sizzle does + if ( fn[ expando ] ) { + return fn( argument ); + } + + // But maintain support for old signatures + if ( fn.length > 1 ) { + args = [ pseudo, pseudo, "", argument ]; + return Expr.setFilters.hasOwnProperty( pseudo.toLowerCase() ) ? + markFunction( function( seed, matches ) { + var idx, + matched = fn( seed, argument ), + i = matched.length; + while ( i-- ) { + idx = indexOf( seed, matched[ i ] ); + seed[ idx ] = !( matches[ idx ] = matched[ i ] ); + } + } ) : + function( elem ) { + return fn( elem, 0, args ); + }; + } + + return fn; + } + }, + + pseudos: { + + // Potentially complex pseudos + "not": markFunction( function( selector ) { + + // Trim the selector passed to compile + // to avoid treating leading and trailing + // spaces as combinators + var input = [], + results = [], + matcher = compile( selector.replace( rtrim, "$1" ) ); + + return matcher[ expando ] ? + markFunction( function( seed, matches, _context, xml ) { + var elem, + unmatched = matcher( seed, null, xml, [] ), + i = seed.length; + + // Match elements unmatched by `matcher` + while ( i-- ) { + if ( ( elem = unmatched[ i ] ) ) { + seed[ i ] = !( matches[ i ] = elem ); + } + } + } ) : + function( elem, _context, xml ) { + input[ 0 ] = elem; + matcher( input, null, xml, results ); + + // Don't keep the element (issue #299) + input[ 0 ] = null; + return !results.pop(); + }; + } ), + + "has": markFunction( function( selector ) { + return function( elem ) { + return Sizzle( selector, elem ).length > 0; + }; + } ), + + "contains": markFunction( function( text ) { + text = text.replace( runescape, funescape ); + return function( elem ) { + return ( elem.textContent || getText( elem ) ).indexOf( text ) > -1; + }; + } ), + + // "Whether an element is represented by a :lang() selector + // is based solely on the element's language value + // being equal to the identifier C, + // or beginning with the identifier C immediately followed by "-". + // The matching of C against the element's language value is performed case-insensitively. + // The identifier C does not have to be a valid language name." + // http://www.w3.org/TR/selectors/#lang-pseudo + "lang": markFunction( function( lang ) { + + // lang value must be a valid identifier + if ( !ridentifier.test( lang || "" ) ) { + Sizzle.error( "unsupported lang: " + lang ); + } + lang = lang.replace( runescape, funescape ).toLowerCase(); + return function( elem ) { + var elemLang; + do { + if ( ( elemLang = documentIsHTML ? + elem.lang : + elem.getAttribute( "xml:lang" ) || elem.getAttribute( "lang" ) ) ) { + + elemLang = elemLang.toLowerCase(); + return elemLang === lang || elemLang.indexOf( lang + "-" ) === 0; + } + } while ( ( elem = elem.parentNode ) && elem.nodeType === 1 ); + return false; + }; + } ), + + // Miscellaneous + "target": function( elem ) { + var hash = window.location && window.location.hash; + return hash && hash.slice( 1 ) === elem.id; + }, + + "root": function( elem ) { + return elem === docElem; + }, + + "focus": function( elem ) { + return elem === document.activeElement && + ( !document.hasFocus || document.hasFocus() ) && + !!( elem.type || elem.href || ~elem.tabIndex ); + }, + + // Boolean properties + "enabled": createDisabledPseudo( false ), + "disabled": createDisabledPseudo( true ), + + "checked": function( elem ) { + + // In CSS3, :checked should return both checked and selected elements + // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked + var nodeName = elem.nodeName.toLowerCase(); + return ( nodeName === "input" && !!elem.checked ) || + ( nodeName === "option" && !!elem.selected ); + }, + + "selected": function( elem ) { + + // Accessing this property makes selected-by-default + // options in Safari work properly + if ( elem.parentNode ) { + // eslint-disable-next-line no-unused-expressions + elem.parentNode.selectedIndex; + } + + return elem.selected === true; + }, + + // Contents + "empty": function( elem ) { + + // http://www.w3.org/TR/selectors/#empty-pseudo + // :empty is negated by element (1) or content nodes (text: 3; cdata: 4; entity ref: 5), + // but not by others (comment: 8; processing instruction: 7; etc.) + // nodeType < 6 works because attributes (2) do not appear as children + for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { + if ( elem.nodeType < 6 ) { + return false; + } + } + return true; + }, + + "parent": function( elem ) { + return !Expr.pseudos[ "empty" ]( elem ); + }, + + // Element/input types + "header": function( elem ) { + return rheader.test( elem.nodeName ); + }, + + "input": function( elem ) { + return rinputs.test( elem.nodeName ); + }, + + "button": function( elem ) { + var name = elem.nodeName.toLowerCase(); + return name === "input" && elem.type === "button" || name === "button"; + }, + + "text": function( elem ) { + var attr; + return elem.nodeName.toLowerCase() === "input" && + elem.type === "text" && + + // Support: IE<8 + // New HTML5 attribute values (e.g., "search") appear with elem.type === "text" + ( ( attr = elem.getAttribute( "type" ) ) == null || + attr.toLowerCase() === "text" ); + }, + + // Position-in-collection + "first": createPositionalPseudo( function() { + return [ 0 ]; + } ), + + "last": createPositionalPseudo( function( _matchIndexes, length ) { + return [ length - 1 ]; + } ), + + "eq": createPositionalPseudo( function( _matchIndexes, length, argument ) { + return [ argument < 0 ? argument + length : argument ]; + } ), + + "even": createPositionalPseudo( function( matchIndexes, length ) { + var i = 0; + for ( ; i < length; i += 2 ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "odd": createPositionalPseudo( function( matchIndexes, length ) { + var i = 1; + for ( ; i < length; i += 2 ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "lt": createPositionalPseudo( function( matchIndexes, length, argument ) { + var i = argument < 0 ? + argument + length : + argument > length ? + length : + argument; + for ( ; --i >= 0; ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "gt": createPositionalPseudo( function( matchIndexes, length, argument ) { + var i = argument < 0 ? argument + length : argument; + for ( ; ++i < length; ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ) + } +}; + +Expr.pseudos[ "nth" ] = Expr.pseudos[ "eq" ]; + +// Add button/input type pseudos +for ( i in { radio: true, checkbox: true, file: true, password: true, image: true } ) { + Expr.pseudos[ i ] = createInputPseudo( i ); +} +for ( i in { submit: true, reset: true } ) { + Expr.pseudos[ i ] = createButtonPseudo( i ); +} + +// Easy API for creating new setFilters +function setFilters() {} +setFilters.prototype = Expr.filters = Expr.pseudos; +Expr.setFilters = new setFilters(); + +tokenize = Sizzle.tokenize = function( selector, parseOnly ) { + var matched, match, tokens, type, + soFar, groups, preFilters, + cached = tokenCache[ selector + " " ]; + + if ( cached ) { + return parseOnly ? 0 : cached.slice( 0 ); + } + + soFar = selector; + groups = []; + preFilters = Expr.preFilter; + + while ( soFar ) { + + // Comma and first run + if ( !matched || ( match = rcomma.exec( soFar ) ) ) { + if ( match ) { + + // Don't consume trailing commas as valid + soFar = soFar.slice( match[ 0 ].length ) || soFar; + } + groups.push( ( tokens = [] ) ); + } + + matched = false; + + // Combinators + if ( ( match = rcombinators.exec( soFar ) ) ) { + matched = match.shift(); + tokens.push( { + value: matched, + + // Cast descendant combinators to space + type: match[ 0 ].replace( rtrim, " " ) + } ); + soFar = soFar.slice( matched.length ); + } + + // Filters + for ( type in Expr.filter ) { + if ( ( match = matchExpr[ type ].exec( soFar ) ) && ( !preFilters[ type ] || + ( match = preFilters[ type ]( match ) ) ) ) { + matched = match.shift(); + tokens.push( { + value: matched, + type: type, + matches: match + } ); + soFar = soFar.slice( matched.length ); + } + } + + if ( !matched ) { + break; + } + } + + // Return the length of the invalid excess + // if we're just parsing + // Otherwise, throw an error or return tokens + return parseOnly ? + soFar.length : + soFar ? + Sizzle.error( selector ) : + + // Cache the tokens + tokenCache( selector, groups ).slice( 0 ); +}; + +function toSelector( tokens ) { + var i = 0, + len = tokens.length, + selector = ""; + for ( ; i < len; i++ ) { + selector += tokens[ i ].value; + } + return selector; +} + +function addCombinator( matcher, combinator, base ) { + var dir = combinator.dir, + skip = combinator.next, + key = skip || dir, + checkNonElements = base && key === "parentNode", + doneName = done++; + + return combinator.first ? + + // Check against closest ancestor/preceding element + function( elem, context, xml ) { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + return matcher( elem, context, xml ); + } + } + return false; + } : + + // Check against all ancestor/preceding elements + function( elem, context, xml ) { + var oldCache, uniqueCache, outerCache, + newCache = [ dirruns, doneName ]; + + // We can't set arbitrary data on XML nodes, so they don't benefit from combinator caching + if ( xml ) { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + if ( matcher( elem, context, xml ) ) { + return true; + } + } + } + } else { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + outerCache = elem[ expando ] || ( elem[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ elem.uniqueID ] || + ( outerCache[ elem.uniqueID ] = {} ); + + if ( skip && skip === elem.nodeName.toLowerCase() ) { + elem = elem[ dir ] || elem; + } else if ( ( oldCache = uniqueCache[ key ] ) && + oldCache[ 0 ] === dirruns && oldCache[ 1 ] === doneName ) { + + // Assign to newCache so results back-propagate to previous elements + return ( newCache[ 2 ] = oldCache[ 2 ] ); + } else { + + // Reuse newcache so results back-propagate to previous elements + uniqueCache[ key ] = newCache; + + // A match means we're done; a fail means we have to keep checking + if ( ( newCache[ 2 ] = matcher( elem, context, xml ) ) ) { + return true; + } + } + } + } + } + return false; + }; +} + +function elementMatcher( matchers ) { + return matchers.length > 1 ? + function( elem, context, xml ) { + var i = matchers.length; + while ( i-- ) { + if ( !matchers[ i ]( elem, context, xml ) ) { + return false; + } + } + return true; + } : + matchers[ 0 ]; +} + +function multipleContexts( selector, contexts, results ) { + var i = 0, + len = contexts.length; + for ( ; i < len; i++ ) { + Sizzle( selector, contexts[ i ], results ); + } + return results; +} + +function condense( unmatched, map, filter, context, xml ) { + var elem, + newUnmatched = [], + i = 0, + len = unmatched.length, + mapped = map != null; + + for ( ; i < len; i++ ) { + if ( ( elem = unmatched[ i ] ) ) { + if ( !filter || filter( elem, context, xml ) ) { + newUnmatched.push( elem ); + if ( mapped ) { + map.push( i ); + } + } + } + } + + return newUnmatched; +} + +function setMatcher( preFilter, selector, matcher, postFilter, postFinder, postSelector ) { + if ( postFilter && !postFilter[ expando ] ) { + postFilter = setMatcher( postFilter ); + } + if ( postFinder && !postFinder[ expando ] ) { + postFinder = setMatcher( postFinder, postSelector ); + } + return markFunction( function( seed, results, context, xml ) { + var temp, i, elem, + preMap = [], + postMap = [], + preexisting = results.length, + + // Get initial elements from seed or context + elems = seed || multipleContexts( + selector || "*", + context.nodeType ? [ context ] : context, + [] + ), + + // Prefilter to get matcher input, preserving a map for seed-results synchronization + matcherIn = preFilter && ( seed || !selector ) ? + condense( elems, preMap, preFilter, context, xml ) : + elems, + + matcherOut = matcher ? + + // If we have a postFinder, or filtered seed, or non-seed postFilter or preexisting results, + postFinder || ( seed ? preFilter : preexisting || postFilter ) ? + + // ...intermediate processing is necessary + [] : + + // ...otherwise use results directly + results : + matcherIn; + + // Find primary matches + if ( matcher ) { + matcher( matcherIn, matcherOut, context, xml ); + } + + // Apply postFilter + if ( postFilter ) { + temp = condense( matcherOut, postMap ); + postFilter( temp, [], context, xml ); + + // Un-match failing elements by moving them back to matcherIn + i = temp.length; + while ( i-- ) { + if ( ( elem = temp[ i ] ) ) { + matcherOut[ postMap[ i ] ] = !( matcherIn[ postMap[ i ] ] = elem ); + } + } + } + + if ( seed ) { + if ( postFinder || preFilter ) { + if ( postFinder ) { + + // Get the final matcherOut by condensing this intermediate into postFinder contexts + temp = []; + i = matcherOut.length; + while ( i-- ) { + if ( ( elem = matcherOut[ i ] ) ) { + + // Restore matcherIn since elem is not yet a final match + temp.push( ( matcherIn[ i ] = elem ) ); + } + } + postFinder( null, ( matcherOut = [] ), temp, xml ); + } + + // Move matched elements from seed to results to keep them synchronized + i = matcherOut.length; + while ( i-- ) { + if ( ( elem = matcherOut[ i ] ) && + ( temp = postFinder ? indexOf( seed, elem ) : preMap[ i ] ) > -1 ) { + + seed[ temp ] = !( results[ temp ] = elem ); + } + } + } + + // Add elements to results, through postFinder if defined + } else { + matcherOut = condense( + matcherOut === results ? + matcherOut.splice( preexisting, matcherOut.length ) : + matcherOut + ); + if ( postFinder ) { + postFinder( null, results, matcherOut, xml ); + } else { + push.apply( results, matcherOut ); + } + } + } ); +} + +function matcherFromTokens( tokens ) { + var checkContext, matcher, j, + len = tokens.length, + leadingRelative = Expr.relative[ tokens[ 0 ].type ], + implicitRelative = leadingRelative || Expr.relative[ " " ], + i = leadingRelative ? 1 : 0, + + // The foundational matcher ensures that elements are reachable from top-level context(s) + matchContext = addCombinator( function( elem ) { + return elem === checkContext; + }, implicitRelative, true ), + matchAnyContext = addCombinator( function( elem ) { + return indexOf( checkContext, elem ) > -1; + }, implicitRelative, true ), + matchers = [ function( elem, context, xml ) { + var ret = ( !leadingRelative && ( xml || context !== outermostContext ) ) || ( + ( checkContext = context ).nodeType ? + matchContext( elem, context, xml ) : + matchAnyContext( elem, context, xml ) ); + + // Avoid hanging onto element (issue #299) + checkContext = null; + return ret; + } ]; + + for ( ; i < len; i++ ) { + if ( ( matcher = Expr.relative[ tokens[ i ].type ] ) ) { + matchers = [ addCombinator( elementMatcher( matchers ), matcher ) ]; + } else { + matcher = Expr.filter[ tokens[ i ].type ].apply( null, tokens[ i ].matches ); + + // Return special upon seeing a positional matcher + if ( matcher[ expando ] ) { + + // Find the next relative operator (if any) for proper handling + j = ++i; + for ( ; j < len; j++ ) { + if ( Expr.relative[ tokens[ j ].type ] ) { + break; + } + } + return setMatcher( + i > 1 && elementMatcher( matchers ), + i > 1 && toSelector( + + // If the preceding token was a descendant combinator, insert an implicit any-element `*` + tokens + .slice( 0, i - 1 ) + .concat( { value: tokens[ i - 2 ].type === " " ? "*" : "" } ) + ).replace( rtrim, "$1" ), + matcher, + i < j && matcherFromTokens( tokens.slice( i, j ) ), + j < len && matcherFromTokens( ( tokens = tokens.slice( j ) ) ), + j < len && toSelector( tokens ) + ); + } + matchers.push( matcher ); + } + } + + return elementMatcher( matchers ); +} + +function matcherFromGroupMatchers( elementMatchers, setMatchers ) { + var bySet = setMatchers.length > 0, + byElement = elementMatchers.length > 0, + superMatcher = function( seed, context, xml, results, outermost ) { + var elem, j, matcher, + matchedCount = 0, + i = "0", + unmatched = seed && [], + setMatched = [], + contextBackup = outermostContext, + + // We must always have either seed elements or outermost context + elems = seed || byElement && Expr.find[ "TAG" ]( "*", outermost ), + + // Use integer dirruns iff this is the outermost matcher + dirrunsUnique = ( dirruns += contextBackup == null ? 1 : Math.random() || 0.1 ), + len = elems.length; + + if ( outermost ) { + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + outermostContext = context == document || context || outermost; + } + + // Add elements passing elementMatchers directly to results + // Support: IE<9, Safari + // Tolerate NodeList properties (IE: "length"; Safari: ) matching elements by id + for ( ; i !== len && ( elem = elems[ i ] ) != null; i++ ) { + if ( byElement && elem ) { + j = 0; + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( !context && elem.ownerDocument != document ) { + setDocument( elem ); + xml = !documentIsHTML; + } + while ( ( matcher = elementMatchers[ j++ ] ) ) { + if ( matcher( elem, context || document, xml ) ) { + results.push( elem ); + break; + } + } + if ( outermost ) { + dirruns = dirrunsUnique; + } + } + + // Track unmatched elements for set filters + if ( bySet ) { + + // They will have gone through all possible matchers + if ( ( elem = !matcher && elem ) ) { + matchedCount--; + } + + // Lengthen the array for every element, matched or not + if ( seed ) { + unmatched.push( elem ); + } + } + } + + // `i` is now the count of elements visited above, and adding it to `matchedCount` + // makes the latter nonnegative. + matchedCount += i; + + // Apply set filters to unmatched elements + // NOTE: This can be skipped if there are no unmatched elements (i.e., `matchedCount` + // equals `i`), unless we didn't visit _any_ elements in the above loop because we have + // no element matchers and no seed. + // Incrementing an initially-string "0" `i` allows `i` to remain a string only in that + // case, which will result in a "00" `matchedCount` that differs from `i` but is also + // numerically zero. + if ( bySet && i !== matchedCount ) { + j = 0; + while ( ( matcher = setMatchers[ j++ ] ) ) { + matcher( unmatched, setMatched, context, xml ); + } + + if ( seed ) { + + // Reintegrate element matches to eliminate the need for sorting + if ( matchedCount > 0 ) { + while ( i-- ) { + if ( !( unmatched[ i ] || setMatched[ i ] ) ) { + setMatched[ i ] = pop.call( results ); + } + } + } + + // Discard index placeholder values to get only actual matches + setMatched = condense( setMatched ); + } + + // Add matches to results + push.apply( results, setMatched ); + + // Seedless set matches succeeding multiple successful matchers stipulate sorting + if ( outermost && !seed && setMatched.length > 0 && + ( matchedCount + setMatchers.length ) > 1 ) { + + Sizzle.uniqueSort( results ); + } + } + + // Override manipulation of globals by nested matchers + if ( outermost ) { + dirruns = dirrunsUnique; + outermostContext = contextBackup; + } + + return unmatched; + }; + + return bySet ? + markFunction( superMatcher ) : + superMatcher; +} + +compile = Sizzle.compile = function( selector, match /* Internal Use Only */ ) { + var i, + setMatchers = [], + elementMatchers = [], + cached = compilerCache[ selector + " " ]; + + if ( !cached ) { + + // Generate a function of recursive functions that can be used to check each element + if ( !match ) { + match = tokenize( selector ); + } + i = match.length; + while ( i-- ) { + cached = matcherFromTokens( match[ i ] ); + if ( cached[ expando ] ) { + setMatchers.push( cached ); + } else { + elementMatchers.push( cached ); + } + } + + // Cache the compiled function + cached = compilerCache( + selector, + matcherFromGroupMatchers( elementMatchers, setMatchers ) + ); + + // Save selector and tokenization + cached.selector = selector; + } + return cached; +}; + +/** + * A low-level selection function that works with Sizzle's compiled + * selector functions + * @param {String|Function} selector A selector or a pre-compiled + * selector function built with Sizzle.compile + * @param {Element} context + * @param {Array} [results] + * @param {Array} [seed] A set of elements to match against + */ +select = Sizzle.select = function( selector, context, results, seed ) { + var i, tokens, token, type, find, + compiled = typeof selector === "function" && selector, + match = !seed && tokenize( ( selector = compiled.selector || selector ) ); + + results = results || []; + + // Try to minimize operations if there is only one selector in the list and no seed + // (the latter of which guarantees us context) + if ( match.length === 1 ) { + + // Reduce context if the leading compound selector is an ID + tokens = match[ 0 ] = match[ 0 ].slice( 0 ); + if ( tokens.length > 2 && ( token = tokens[ 0 ] ).type === "ID" && + context.nodeType === 9 && documentIsHTML && Expr.relative[ tokens[ 1 ].type ] ) { + + context = ( Expr.find[ "ID" ]( token.matches[ 0 ] + .replace( runescape, funescape ), context ) || [] )[ 0 ]; + if ( !context ) { + return results; + + // Precompiled matchers will still verify ancestry, so step up a level + } else if ( compiled ) { + context = context.parentNode; + } + + selector = selector.slice( tokens.shift().value.length ); + } + + // Fetch a seed set for right-to-left matching + i = matchExpr[ "needsContext" ].test( selector ) ? 0 : tokens.length; + while ( i-- ) { + token = tokens[ i ]; + + // Abort if we hit a combinator + if ( Expr.relative[ ( type = token.type ) ] ) { + break; + } + if ( ( find = Expr.find[ type ] ) ) { + + // Search, expanding context for leading sibling combinators + if ( ( seed = find( + token.matches[ 0 ].replace( runescape, funescape ), + rsibling.test( tokens[ 0 ].type ) && testContext( context.parentNode ) || + context + ) ) ) { + + // If seed is empty or no tokens remain, we can return early + tokens.splice( i, 1 ); + selector = seed.length && toSelector( tokens ); + if ( !selector ) { + push.apply( results, seed ); + return results; + } + + break; + } + } + } + } + + // Compile and execute a filtering function if one is not provided + // Provide `match` to avoid retokenization if we modified the selector above + ( compiled || compile( selector, match ) )( + seed, + context, + !documentIsHTML, + results, + !context || rsibling.test( selector ) && testContext( context.parentNode ) || context + ); + return results; +}; + +// One-time assignments + +// Sort stability +support.sortStable = expando.split( "" ).sort( sortOrder ).join( "" ) === expando; + +// Support: Chrome 14-35+ +// Always assume duplicates if they aren't passed to the comparison function +support.detectDuplicates = !!hasDuplicate; + +// Initialize against the default document +setDocument(); + +// Support: Webkit<537.32 - Safari 6.0.3/Chrome 25 (fixed in Chrome 27) +// Detached nodes confoundingly follow *each other* +support.sortDetached = assert( function( el ) { + + // Should return 1, but returns 4 (following) + return el.compareDocumentPosition( document.createElement( "fieldset" ) ) & 1; +} ); + +// Support: IE<8 +// Prevent attribute/property "interpolation" +// https://msdn.microsoft.com/en-us/library/ms536429%28VS.85%29.aspx +if ( !assert( function( el ) { + el.innerHTML = ""; + return el.firstChild.getAttribute( "href" ) === "#"; +} ) ) { + addHandle( "type|href|height|width", function( elem, name, isXML ) { + if ( !isXML ) { + return elem.getAttribute( name, name.toLowerCase() === "type" ? 1 : 2 ); + } + } ); +} + +// Support: IE<9 +// Use defaultValue in place of getAttribute("value") +if ( !support.attributes || !assert( function( el ) { + el.innerHTML = ""; + el.firstChild.setAttribute( "value", "" ); + return el.firstChild.getAttribute( "value" ) === ""; +} ) ) { + addHandle( "value", function( elem, _name, isXML ) { + if ( !isXML && elem.nodeName.toLowerCase() === "input" ) { + return elem.defaultValue; + } + } ); +} + +// Support: IE<9 +// Use getAttributeNode to fetch booleans when getAttribute lies +if ( !assert( function( el ) { + return el.getAttribute( "disabled" ) == null; +} ) ) { + addHandle( booleans, function( elem, name, isXML ) { + var val; + if ( !isXML ) { + return elem[ name ] === true ? name.toLowerCase() : + ( val = elem.getAttributeNode( name ) ) && val.specified ? + val.value : + null; + } + } ); +} + +return Sizzle; + +} )( window ); + + + +jQuery.find = Sizzle; +jQuery.expr = Sizzle.selectors; + +// Deprecated +jQuery.expr[ ":" ] = jQuery.expr.pseudos; +jQuery.uniqueSort = jQuery.unique = Sizzle.uniqueSort; +jQuery.text = Sizzle.getText; +jQuery.isXMLDoc = Sizzle.isXML; +jQuery.contains = Sizzle.contains; +jQuery.escapeSelector = Sizzle.escape; + + + + +var dir = function( elem, dir, until ) { + var matched = [], + truncate = until !== undefined; + + while ( ( elem = elem[ dir ] ) && elem.nodeType !== 9 ) { + if ( elem.nodeType === 1 ) { + if ( truncate && jQuery( elem ).is( until ) ) { + break; + } + matched.push( elem ); + } + } + return matched; +}; + + +var siblings = function( n, elem ) { + var matched = []; + + for ( ; n; n = n.nextSibling ) { + if ( n.nodeType === 1 && n !== elem ) { + matched.push( n ); + } + } + + return matched; +}; + + +var rneedsContext = jQuery.expr.match.needsContext; + + + +function nodeName( elem, name ) { + + return elem.nodeName && elem.nodeName.toLowerCase() === name.toLowerCase(); + +}; +var rsingleTag = ( /^<([a-z][^\/\0>:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i ); + + + +// Implement the identical functionality for filter and not +function winnow( elements, qualifier, not ) { + if ( isFunction( qualifier ) ) { + return jQuery.grep( elements, function( elem, i ) { + return !!qualifier.call( elem, i, elem ) !== not; + } ); + } + + // Single element + if ( qualifier.nodeType ) { + return jQuery.grep( elements, function( elem ) { + return ( elem === qualifier ) !== not; + } ); + } + + // Arraylike of elements (jQuery, arguments, Array) + if ( typeof qualifier !== "string" ) { + return jQuery.grep( elements, function( elem ) { + return ( indexOf.call( qualifier, elem ) > -1 ) !== not; + } ); + } + + // Filtered directly for both simple and complex selectors + return jQuery.filter( qualifier, elements, not ); +} + +jQuery.filter = function( expr, elems, not ) { + var elem = elems[ 0 ]; + + if ( not ) { + expr = ":not(" + expr + ")"; + } + + if ( elems.length === 1 && elem.nodeType === 1 ) { + return jQuery.find.matchesSelector( elem, expr ) ? [ elem ] : []; + } + + return jQuery.find.matches( expr, jQuery.grep( elems, function( elem ) { + return elem.nodeType === 1; + } ) ); +}; + +jQuery.fn.extend( { + find: function( selector ) { + var i, ret, + len = this.length, + self = this; + + if ( typeof selector !== "string" ) { + return this.pushStack( jQuery( selector ).filter( function() { + for ( i = 0; i < len; i++ ) { + if ( jQuery.contains( self[ i ], this ) ) { + return true; + } + } + } ) ); + } + + ret = this.pushStack( [] ); + + for ( i = 0; i < len; i++ ) { + jQuery.find( selector, self[ i ], ret ); + } + + return len > 1 ? jQuery.uniqueSort( ret ) : ret; + }, + filter: function( selector ) { + return this.pushStack( winnow( this, selector || [], false ) ); + }, + not: function( selector ) { + return this.pushStack( winnow( this, selector || [], true ) ); + }, + is: function( selector ) { + return !!winnow( + this, + + // If this is a positional/relative selector, check membership in the returned set + // so $("p:first").is("p:last") won't return true for a doc with two "p". + typeof selector === "string" && rneedsContext.test( selector ) ? + jQuery( selector ) : + selector || [], + false + ).length; + } +} ); + + +// Initialize a jQuery object + + +// A central reference to the root jQuery(document) +var rootjQuery, + + // A simple way to check for HTML strings + // Prioritize #id over to avoid XSS via location.hash (#9521) + // Strict HTML recognition (#11290: must start with <) + // Shortcut simple #id case for speed + rquickExpr = /^(?:\s*(<[\w\W]+>)[^>]*|#([\w-]+))$/, + + init = jQuery.fn.init = function( selector, context, root ) { + var match, elem; + + // HANDLE: $(""), $(null), $(undefined), $(false) + if ( !selector ) { + return this; + } + + // Method init() accepts an alternate rootjQuery + // so migrate can support jQuery.sub (gh-2101) + root = root || rootjQuery; + + // Handle HTML strings + if ( typeof selector === "string" ) { + if ( selector[ 0 ] === "<" && + selector[ selector.length - 1 ] === ">" && + selector.length >= 3 ) { + + // Assume that strings that start and end with <> are HTML and skip the regex check + match = [ null, selector, null ]; + + } else { + match = rquickExpr.exec( selector ); + } + + // Match html or make sure no context is specified for #id + if ( match && ( match[ 1 ] || !context ) ) { + + // HANDLE: $(html) -> $(array) + if ( match[ 1 ] ) { + context = context instanceof jQuery ? context[ 0 ] : context; + + // Option to run scripts is true for back-compat + // Intentionally let the error be thrown if parseHTML is not present + jQuery.merge( this, jQuery.parseHTML( + match[ 1 ], + context && context.nodeType ? context.ownerDocument || context : document, + true + ) ); + + // HANDLE: $(html, props) + if ( rsingleTag.test( match[ 1 ] ) && jQuery.isPlainObject( context ) ) { + for ( match in context ) { + + // Properties of context are called as methods if possible + if ( isFunction( this[ match ] ) ) { + this[ match ]( context[ match ] ); + + // ...and otherwise set as attributes + } else { + this.attr( match, context[ match ] ); + } + } + } + + return this; + + // HANDLE: $(#id) + } else { + elem = document.getElementById( match[ 2 ] ); + + if ( elem ) { + + // Inject the element directly into the jQuery object + this[ 0 ] = elem; + this.length = 1; + } + return this; + } + + // HANDLE: $(expr, $(...)) + } else if ( !context || context.jquery ) { + return ( context || root ).find( selector ); + + // HANDLE: $(expr, context) + // (which is just equivalent to: $(context).find(expr) + } else { + return this.constructor( context ).find( selector ); + } + + // HANDLE: $(DOMElement) + } else if ( selector.nodeType ) { + this[ 0 ] = selector; + this.length = 1; + return this; + + // HANDLE: $(function) + // Shortcut for document ready + } else if ( isFunction( selector ) ) { + return root.ready !== undefined ? + root.ready( selector ) : + + // Execute immediately if ready is not present + selector( jQuery ); + } + + return jQuery.makeArray( selector, this ); + }; + +// Give the init function the jQuery prototype for later instantiation +init.prototype = jQuery.fn; + +// Initialize central reference +rootjQuery = jQuery( document ); + + +var rparentsprev = /^(?:parents|prev(?:Until|All))/, + + // Methods guaranteed to produce a unique set when starting from a unique set + guaranteedUnique = { + children: true, + contents: true, + next: true, + prev: true + }; + +jQuery.fn.extend( { + has: function( target ) { + var targets = jQuery( target, this ), + l = targets.length; + + return this.filter( function() { + var i = 0; + for ( ; i < l; i++ ) { + if ( jQuery.contains( this, targets[ i ] ) ) { + return true; + } + } + } ); + }, + + closest: function( selectors, context ) { + var cur, + i = 0, + l = this.length, + matched = [], + targets = typeof selectors !== "string" && jQuery( selectors ); + + // Positional selectors never match, since there's no _selection_ context + if ( !rneedsContext.test( selectors ) ) { + for ( ; i < l; i++ ) { + for ( cur = this[ i ]; cur && cur !== context; cur = cur.parentNode ) { + + // Always skip document fragments + if ( cur.nodeType < 11 && ( targets ? + targets.index( cur ) > -1 : + + // Don't pass non-elements to Sizzle + cur.nodeType === 1 && + jQuery.find.matchesSelector( cur, selectors ) ) ) { + + matched.push( cur ); + break; + } + } + } + } + + return this.pushStack( matched.length > 1 ? jQuery.uniqueSort( matched ) : matched ); + }, + + // Determine the position of an element within the set + index: function( elem ) { + + // No argument, return index in parent + if ( !elem ) { + return ( this[ 0 ] && this[ 0 ].parentNode ) ? this.first().prevAll().length : -1; + } + + // Index in selector + if ( typeof elem === "string" ) { + return indexOf.call( jQuery( elem ), this[ 0 ] ); + } + + // Locate the position of the desired element + return indexOf.call( this, + + // If it receives a jQuery object, the first element is used + elem.jquery ? elem[ 0 ] : elem + ); + }, + + add: function( selector, context ) { + return this.pushStack( + jQuery.uniqueSort( + jQuery.merge( this.get(), jQuery( selector, context ) ) + ) + ); + }, + + addBack: function( selector ) { + return this.add( selector == null ? + this.prevObject : this.prevObject.filter( selector ) + ); + } +} ); + +function sibling( cur, dir ) { + while ( ( cur = cur[ dir ] ) && cur.nodeType !== 1 ) {} + return cur; +} + +jQuery.each( { + parent: function( elem ) { + var parent = elem.parentNode; + return parent && parent.nodeType !== 11 ? parent : null; + }, + parents: function( elem ) { + return dir( elem, "parentNode" ); + }, + parentsUntil: function( elem, _i, until ) { + return dir( elem, "parentNode", until ); + }, + next: function( elem ) { + return sibling( elem, "nextSibling" ); + }, + prev: function( elem ) { + return sibling( elem, "previousSibling" ); + }, + nextAll: function( elem ) { + return dir( elem, "nextSibling" ); + }, + prevAll: function( elem ) { + return dir( elem, "previousSibling" ); + }, + nextUntil: function( elem, _i, until ) { + return dir( elem, "nextSibling", until ); + }, + prevUntil: function( elem, _i, until ) { + return dir( elem, "previousSibling", until ); + }, + siblings: function( elem ) { + return siblings( ( elem.parentNode || {} ).firstChild, elem ); + }, + children: function( elem ) { + return siblings( elem.firstChild ); + }, + contents: function( elem ) { + if ( elem.contentDocument != null && + + // Support: IE 11+ + // elements with no `data` attribute has an object + // `contentDocument` with a `null` prototype. + getProto( elem.contentDocument ) ) { + + return elem.contentDocument; + } + + // Support: IE 9 - 11 only, iOS 7 only, Android Browser <=4.3 only + // Treat the template element as a regular one in browsers that + // don't support it. + if ( nodeName( elem, "template" ) ) { + elem = elem.content || elem; + } + + return jQuery.merge( [], elem.childNodes ); + } +}, function( name, fn ) { + jQuery.fn[ name ] = function( until, selector ) { + var matched = jQuery.map( this, fn, until ); + + if ( name.slice( -5 ) !== "Until" ) { + selector = until; + } + + if ( selector && typeof selector === "string" ) { + matched = jQuery.filter( selector, matched ); + } + + if ( this.length > 1 ) { + + // Remove duplicates + if ( !guaranteedUnique[ name ] ) { + jQuery.uniqueSort( matched ); + } + + // Reverse order for parents* and prev-derivatives + if ( rparentsprev.test( name ) ) { + matched.reverse(); + } + } + + return this.pushStack( matched ); + }; +} ); +var rnothtmlwhite = ( /[^\x20\t\r\n\f]+/g ); + + + +// Convert String-formatted options into Object-formatted ones +function createOptions( options ) { + var object = {}; + jQuery.each( options.match( rnothtmlwhite ) || [], function( _, flag ) { + object[ flag ] = true; + } ); + return object; +} + +/* + * Create a callback list using the following parameters: + * + * options: an optional list of space-separated options that will change how + * the callback list behaves or a more traditional option object + * + * By default a callback list will act like an event callback list and can be + * "fired" multiple times. + * + * Possible options: + * + * once: will ensure the callback list can only be fired once (like a Deferred) + * + * memory: will keep track of previous values and will call any callback added + * after the list has been fired right away with the latest "memorized" + * values (like a Deferred) + * + * unique: will ensure a callback can only be added once (no duplicate in the list) + * + * stopOnFalse: interrupt callings when a callback returns false + * + */ +jQuery.Callbacks = function( options ) { + + // Convert options from String-formatted to Object-formatted if needed + // (we check in cache first) + options = typeof options === "string" ? + createOptions( options ) : + jQuery.extend( {}, options ); + + var // Flag to know if list is currently firing + firing, + + // Last fire value for non-forgettable lists + memory, + + // Flag to know if list was already fired + fired, + + // Flag to prevent firing + locked, + + // Actual callback list + list = [], + + // Queue of execution data for repeatable lists + queue = [], + + // Index of currently firing callback (modified by add/remove as needed) + firingIndex = -1, + + // Fire callbacks + fire = function() { + + // Enforce single-firing + locked = locked || options.once; + + // Execute callbacks for all pending executions, + // respecting firingIndex overrides and runtime changes + fired = firing = true; + for ( ; queue.length; firingIndex = -1 ) { + memory = queue.shift(); + while ( ++firingIndex < list.length ) { + + // Run callback and check for early termination + if ( list[ firingIndex ].apply( memory[ 0 ], memory[ 1 ] ) === false && + options.stopOnFalse ) { + + // Jump to end and forget the data so .add doesn't re-fire + firingIndex = list.length; + memory = false; + } + } + } + + // Forget the data if we're done with it + if ( !options.memory ) { + memory = false; + } + + firing = false; + + // Clean up if we're done firing for good + if ( locked ) { + + // Keep an empty list if we have data for future add calls + if ( memory ) { + list = []; + + // Otherwise, this object is spent + } else { + list = ""; + } + } + }, + + // Actual Callbacks object + self = { + + // Add a callback or a collection of callbacks to the list + add: function() { + if ( list ) { + + // If we have memory from a past run, we should fire after adding + if ( memory && !firing ) { + firingIndex = list.length - 1; + queue.push( memory ); + } + + ( function add( args ) { + jQuery.each( args, function( _, arg ) { + if ( isFunction( arg ) ) { + if ( !options.unique || !self.has( arg ) ) { + list.push( arg ); + } + } else if ( arg && arg.length && toType( arg ) !== "string" ) { + + // Inspect recursively + add( arg ); + } + } ); + } )( arguments ); + + if ( memory && !firing ) { + fire(); + } + } + return this; + }, + + // Remove a callback from the list + remove: function() { + jQuery.each( arguments, function( _, arg ) { + var index; + while ( ( index = jQuery.inArray( arg, list, index ) ) > -1 ) { + list.splice( index, 1 ); + + // Handle firing indexes + if ( index <= firingIndex ) { + firingIndex--; + } + } + } ); + return this; + }, + + // Check if a given callback is in the list. + // If no argument is given, return whether or not list has callbacks attached. + has: function( fn ) { + return fn ? + jQuery.inArray( fn, list ) > -1 : + list.length > 0; + }, + + // Remove all callbacks from the list + empty: function() { + if ( list ) { + list = []; + } + return this; + }, + + // Disable .fire and .add + // Abort any current/pending executions + // Clear all callbacks and values + disable: function() { + locked = queue = []; + list = memory = ""; + return this; + }, + disabled: function() { + return !list; + }, + + // Disable .fire + // Also disable .add unless we have memory (since it would have no effect) + // Abort any pending executions + lock: function() { + locked = queue = []; + if ( !memory && !firing ) { + list = memory = ""; + } + return this; + }, + locked: function() { + return !!locked; + }, + + // Call all callbacks with the given context and arguments + fireWith: function( context, args ) { + if ( !locked ) { + args = args || []; + args = [ context, args.slice ? args.slice() : args ]; + queue.push( args ); + if ( !firing ) { + fire(); + } + } + return this; + }, + + // Call all the callbacks with the given arguments + fire: function() { + self.fireWith( this, arguments ); + return this; + }, + + // To know if the callbacks have already been called at least once + fired: function() { + return !!fired; + } + }; + + return self; +}; + + +function Identity( v ) { + return v; +} +function Thrower( ex ) { + throw ex; +} + +function adoptValue( value, resolve, reject, noValue ) { + var method; + + try { + + // Check for promise aspect first to privilege synchronous behavior + if ( value && isFunction( ( method = value.promise ) ) ) { + method.call( value ).done( resolve ).fail( reject ); + + // Other thenables + } else if ( value && isFunction( ( method = value.then ) ) ) { + method.call( value, resolve, reject ); + + // Other non-thenables + } else { + + // Control `resolve` arguments by letting Array#slice cast boolean `noValue` to integer: + // * false: [ value ].slice( 0 ) => resolve( value ) + // * true: [ value ].slice( 1 ) => resolve() + resolve.apply( undefined, [ value ].slice( noValue ) ); + } + + // For Promises/A+, convert exceptions into rejections + // Since jQuery.when doesn't unwrap thenables, we can skip the extra checks appearing in + // Deferred#then to conditionally suppress rejection. + } catch ( value ) { + + // Support: Android 4.0 only + // Strict mode functions invoked without .call/.apply get global-object context + reject.apply( undefined, [ value ] ); + } +} + +jQuery.extend( { + + Deferred: function( func ) { + var tuples = [ + + // action, add listener, callbacks, + // ... .then handlers, argument index, [final state] + [ "notify", "progress", jQuery.Callbacks( "memory" ), + jQuery.Callbacks( "memory" ), 2 ], + [ "resolve", "done", jQuery.Callbacks( "once memory" ), + jQuery.Callbacks( "once memory" ), 0, "resolved" ], + [ "reject", "fail", jQuery.Callbacks( "once memory" ), + jQuery.Callbacks( "once memory" ), 1, "rejected" ] + ], + state = "pending", + promise = { + state: function() { + return state; + }, + always: function() { + deferred.done( arguments ).fail( arguments ); + return this; + }, + "catch": function( fn ) { + return promise.then( null, fn ); + }, + + // Keep pipe for back-compat + pipe: function( /* fnDone, fnFail, fnProgress */ ) { + var fns = arguments; + + return jQuery.Deferred( function( newDefer ) { + jQuery.each( tuples, function( _i, tuple ) { + + // Map tuples (progress, done, fail) to arguments (done, fail, progress) + var fn = isFunction( fns[ tuple[ 4 ] ] ) && fns[ tuple[ 4 ] ]; + + // deferred.progress(function() { bind to newDefer or newDefer.notify }) + // deferred.done(function() { bind to newDefer or newDefer.resolve }) + // deferred.fail(function() { bind to newDefer or newDefer.reject }) + deferred[ tuple[ 1 ] ]( function() { + var returned = fn && fn.apply( this, arguments ); + if ( returned && isFunction( returned.promise ) ) { + returned.promise() + .progress( newDefer.notify ) + .done( newDefer.resolve ) + .fail( newDefer.reject ); + } else { + newDefer[ tuple[ 0 ] + "With" ]( + this, + fn ? [ returned ] : arguments + ); + } + } ); + } ); + fns = null; + } ).promise(); + }, + then: function( onFulfilled, onRejected, onProgress ) { + var maxDepth = 0; + function resolve( depth, deferred, handler, special ) { + return function() { + var that = this, + args = arguments, + mightThrow = function() { + var returned, then; + + // Support: Promises/A+ section 2.3.3.3.3 + // https://promisesaplus.com/#point-59 + // Ignore double-resolution attempts + if ( depth < maxDepth ) { + return; + } + + returned = handler.apply( that, args ); + + // Support: Promises/A+ section 2.3.1 + // https://promisesaplus.com/#point-48 + if ( returned === deferred.promise() ) { + throw new TypeError( "Thenable self-resolution" ); + } + + // Support: Promises/A+ sections 2.3.3.1, 3.5 + // https://promisesaplus.com/#point-54 + // https://promisesaplus.com/#point-75 + // Retrieve `then` only once + then = returned && + + // Support: Promises/A+ section 2.3.4 + // https://promisesaplus.com/#point-64 + // Only check objects and functions for thenability + ( typeof returned === "object" || + typeof returned === "function" ) && + returned.then; + + // Handle a returned thenable + if ( isFunction( then ) ) { + + // Special processors (notify) just wait for resolution + if ( special ) { + then.call( + returned, + resolve( maxDepth, deferred, Identity, special ), + resolve( maxDepth, deferred, Thrower, special ) + ); + + // Normal processors (resolve) also hook into progress + } else { + + // ...and disregard older resolution values + maxDepth++; + + then.call( + returned, + resolve( maxDepth, deferred, Identity, special ), + resolve( maxDepth, deferred, Thrower, special ), + resolve( maxDepth, deferred, Identity, + deferred.notifyWith ) + ); + } + + // Handle all other returned values + } else { + + // Only substitute handlers pass on context + // and multiple values (non-spec behavior) + if ( handler !== Identity ) { + that = undefined; + args = [ returned ]; + } + + // Process the value(s) + // Default process is resolve + ( special || deferred.resolveWith )( that, args ); + } + }, + + // Only normal processors (resolve) catch and reject exceptions + process = special ? + mightThrow : + function() { + try { + mightThrow(); + } catch ( e ) { + + if ( jQuery.Deferred.exceptionHook ) { + jQuery.Deferred.exceptionHook( e, + process.stackTrace ); + } + + // Support: Promises/A+ section 2.3.3.3.4.1 + // https://promisesaplus.com/#point-61 + // Ignore post-resolution exceptions + if ( depth + 1 >= maxDepth ) { + + // Only substitute handlers pass on context + // and multiple values (non-spec behavior) + if ( handler !== Thrower ) { + that = undefined; + args = [ e ]; + } + + deferred.rejectWith( that, args ); + } + } + }; + + // Support: Promises/A+ section 2.3.3.3.1 + // https://promisesaplus.com/#point-57 + // Re-resolve promises immediately to dodge false rejection from + // subsequent errors + if ( depth ) { + process(); + } else { + + // Call an optional hook to record the stack, in case of exception + // since it's otherwise lost when execution goes async + if ( jQuery.Deferred.getStackHook ) { + process.stackTrace = jQuery.Deferred.getStackHook(); + } + window.setTimeout( process ); + } + }; + } + + return jQuery.Deferred( function( newDefer ) { + + // progress_handlers.add( ... ) + tuples[ 0 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onProgress ) ? + onProgress : + Identity, + newDefer.notifyWith + ) + ); + + // fulfilled_handlers.add( ... ) + tuples[ 1 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onFulfilled ) ? + onFulfilled : + Identity + ) + ); + + // rejected_handlers.add( ... ) + tuples[ 2 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onRejected ) ? + onRejected : + Thrower + ) + ); + } ).promise(); + }, + + // Get a promise for this deferred + // If obj is provided, the promise aspect is added to the object + promise: function( obj ) { + return obj != null ? jQuery.extend( obj, promise ) : promise; + } + }, + deferred = {}; + + // Add list-specific methods + jQuery.each( tuples, function( i, tuple ) { + var list = tuple[ 2 ], + stateString = tuple[ 5 ]; + + // promise.progress = list.add + // promise.done = list.add + // promise.fail = list.add + promise[ tuple[ 1 ] ] = list.add; + + // Handle state + if ( stateString ) { + list.add( + function() { + + // state = "resolved" (i.e., fulfilled) + // state = "rejected" + state = stateString; + }, + + // rejected_callbacks.disable + // fulfilled_callbacks.disable + tuples[ 3 - i ][ 2 ].disable, + + // rejected_handlers.disable + // fulfilled_handlers.disable + tuples[ 3 - i ][ 3 ].disable, + + // progress_callbacks.lock + tuples[ 0 ][ 2 ].lock, + + // progress_handlers.lock + tuples[ 0 ][ 3 ].lock + ); + } + + // progress_handlers.fire + // fulfilled_handlers.fire + // rejected_handlers.fire + list.add( tuple[ 3 ].fire ); + + // deferred.notify = function() { deferred.notifyWith(...) } + // deferred.resolve = function() { deferred.resolveWith(...) } + // deferred.reject = function() { deferred.rejectWith(...) } + deferred[ tuple[ 0 ] ] = function() { + deferred[ tuple[ 0 ] + "With" ]( this === deferred ? undefined : this, arguments ); + return this; + }; + + // deferred.notifyWith = list.fireWith + // deferred.resolveWith = list.fireWith + // deferred.rejectWith = list.fireWith + deferred[ tuple[ 0 ] + "With" ] = list.fireWith; + } ); + + // Make the deferred a promise + promise.promise( deferred ); + + // Call given func if any + if ( func ) { + func.call( deferred, deferred ); + } + + // All done! + return deferred; + }, + + // Deferred helper + when: function( singleValue ) { + var + + // count of uncompleted subordinates + remaining = arguments.length, + + // count of unprocessed arguments + i = remaining, + + // subordinate fulfillment data + resolveContexts = Array( i ), + resolveValues = slice.call( arguments ), + + // the master Deferred + master = jQuery.Deferred(), + + // subordinate callback factory + updateFunc = function( i ) { + return function( value ) { + resolveContexts[ i ] = this; + resolveValues[ i ] = arguments.length > 1 ? slice.call( arguments ) : value; + if ( !( --remaining ) ) { + master.resolveWith( resolveContexts, resolveValues ); + } + }; + }; + + // Single- and empty arguments are adopted like Promise.resolve + if ( remaining <= 1 ) { + adoptValue( singleValue, master.done( updateFunc( i ) ).resolve, master.reject, + !remaining ); + + // Use .then() to unwrap secondary thenables (cf. gh-3000) + if ( master.state() === "pending" || + isFunction( resolveValues[ i ] && resolveValues[ i ].then ) ) { + + return master.then(); + } + } + + // Multiple arguments are aggregated like Promise.all array elements + while ( i-- ) { + adoptValue( resolveValues[ i ], updateFunc( i ), master.reject ); + } + + return master.promise(); + } +} ); + + +// These usually indicate a programmer mistake during development, +// warn about them ASAP rather than swallowing them by default. +var rerrorNames = /^(Eval|Internal|Range|Reference|Syntax|Type|URI)Error$/; + +jQuery.Deferred.exceptionHook = function( error, stack ) { + + // Support: IE 8 - 9 only + // Console exists when dev tools are open, which can happen at any time + if ( window.console && window.console.warn && error && rerrorNames.test( error.name ) ) { + window.console.warn( "jQuery.Deferred exception: " + error.message, error.stack, stack ); + } +}; + + + + +jQuery.readyException = function( error ) { + window.setTimeout( function() { + throw error; + } ); +}; + + + + +// The deferred used on DOM ready +var readyList = jQuery.Deferred(); + +jQuery.fn.ready = function( fn ) { + + readyList + .then( fn ) + + // Wrap jQuery.readyException in a function so that the lookup + // happens at the time of error handling instead of callback + // registration. + .catch( function( error ) { + jQuery.readyException( error ); + } ); + + return this; +}; + +jQuery.extend( { + + // Is the DOM ready to be used? Set to true once it occurs. + isReady: false, + + // A counter to track how many items to wait for before + // the ready event fires. See #6781 + readyWait: 1, + + // Handle when the DOM is ready + ready: function( wait ) { + + // Abort if there are pending holds or we're already ready + if ( wait === true ? --jQuery.readyWait : jQuery.isReady ) { + return; + } + + // Remember that the DOM is ready + jQuery.isReady = true; + + // If a normal DOM Ready event fired, decrement, and wait if need be + if ( wait !== true && --jQuery.readyWait > 0 ) { + return; + } + + // If there are functions bound, to execute + readyList.resolveWith( document, [ jQuery ] ); + } +} ); + +jQuery.ready.then = readyList.then; + +// The ready event handler and self cleanup method +function completed() { + document.removeEventListener( "DOMContentLoaded", completed ); + window.removeEventListener( "load", completed ); + jQuery.ready(); +} + +// Catch cases where $(document).ready() is called +// after the browser event has already occurred. +// Support: IE <=9 - 10 only +// Older IE sometimes signals "interactive" too soon +if ( document.readyState === "complete" || + ( document.readyState !== "loading" && !document.documentElement.doScroll ) ) { + + // Handle it asynchronously to allow scripts the opportunity to delay ready + window.setTimeout( jQuery.ready ); + +} else { + + // Use the handy event callback + document.addEventListener( "DOMContentLoaded", completed ); + + // A fallback to window.onload, that will always work + window.addEventListener( "load", completed ); +} + + + + +// Multifunctional method to get and set values of a collection +// The value/s can optionally be executed if it's a function +var access = function( elems, fn, key, value, chainable, emptyGet, raw ) { + var i = 0, + len = elems.length, + bulk = key == null; + + // Sets many values + if ( toType( key ) === "object" ) { + chainable = true; + for ( i in key ) { + access( elems, fn, i, key[ i ], true, emptyGet, raw ); + } + + // Sets one value + } else if ( value !== undefined ) { + chainable = true; + + if ( !isFunction( value ) ) { + raw = true; + } + + if ( bulk ) { + + // Bulk operations run against the entire set + if ( raw ) { + fn.call( elems, value ); + fn = null; + + // ...except when executing function values + } else { + bulk = fn; + fn = function( elem, _key, value ) { + return bulk.call( jQuery( elem ), value ); + }; + } + } + + if ( fn ) { + for ( ; i < len; i++ ) { + fn( + elems[ i ], key, raw ? + value : + value.call( elems[ i ], i, fn( elems[ i ], key ) ) + ); + } + } + } + + if ( chainable ) { + return elems; + } + + // Gets + if ( bulk ) { + return fn.call( elems ); + } + + return len ? fn( elems[ 0 ], key ) : emptyGet; +}; + + +// Matches dashed string for camelizing +var rmsPrefix = /^-ms-/, + rdashAlpha = /-([a-z])/g; + +// Used by camelCase as callback to replace() +function fcamelCase( _all, letter ) { + return letter.toUpperCase(); +} + +// Convert dashed to camelCase; used by the css and data modules +// Support: IE <=9 - 11, Edge 12 - 15 +// Microsoft forgot to hump their vendor prefix (#9572) +function camelCase( string ) { + return string.replace( rmsPrefix, "ms-" ).replace( rdashAlpha, fcamelCase ); +} +var acceptData = function( owner ) { + + // Accepts only: + // - Node + // - Node.ELEMENT_NODE + // - Node.DOCUMENT_NODE + // - Object + // - Any + return owner.nodeType === 1 || owner.nodeType === 9 || !( +owner.nodeType ); +}; + + + + +function Data() { + this.expando = jQuery.expando + Data.uid++; +} + +Data.uid = 1; + +Data.prototype = { + + cache: function( owner ) { + + // Check if the owner object already has a cache + var value = owner[ this.expando ]; + + // If not, create one + if ( !value ) { + value = {}; + + // We can accept data for non-element nodes in modern browsers, + // but we should not, see #8335. + // Always return an empty object. + if ( acceptData( owner ) ) { + + // If it is a node unlikely to be stringify-ed or looped over + // use plain assignment + if ( owner.nodeType ) { + owner[ this.expando ] = value; + + // Otherwise secure it in a non-enumerable property + // configurable must be true to allow the property to be + // deleted when data is removed + } else { + Object.defineProperty( owner, this.expando, { + value: value, + configurable: true + } ); + } + } + } + + return value; + }, + set: function( owner, data, value ) { + var prop, + cache = this.cache( owner ); + + // Handle: [ owner, key, value ] args + // Always use camelCase key (gh-2257) + if ( typeof data === "string" ) { + cache[ camelCase( data ) ] = value; + + // Handle: [ owner, { properties } ] args + } else { + + // Copy the properties one-by-one to the cache object + for ( prop in data ) { + cache[ camelCase( prop ) ] = data[ prop ]; + } + } + return cache; + }, + get: function( owner, key ) { + return key === undefined ? + this.cache( owner ) : + + // Always use camelCase key (gh-2257) + owner[ this.expando ] && owner[ this.expando ][ camelCase( key ) ]; + }, + access: function( owner, key, value ) { + + // In cases where either: + // + // 1. No key was specified + // 2. A string key was specified, but no value provided + // + // Take the "read" path and allow the get method to determine + // which value to return, respectively either: + // + // 1. The entire cache object + // 2. The data stored at the key + // + if ( key === undefined || + ( ( key && typeof key === "string" ) && value === undefined ) ) { + + return this.get( owner, key ); + } + + // When the key is not a string, or both a key and value + // are specified, set or extend (existing objects) with either: + // + // 1. An object of properties + // 2. A key and value + // + this.set( owner, key, value ); + + // Since the "set" path can have two possible entry points + // return the expected data based on which path was taken[*] + return value !== undefined ? value : key; + }, + remove: function( owner, key ) { + var i, + cache = owner[ this.expando ]; + + if ( cache === undefined ) { + return; + } + + if ( key !== undefined ) { + + // Support array or space separated string of keys + if ( Array.isArray( key ) ) { + + // If key is an array of keys... + // We always set camelCase keys, so remove that. + key = key.map( camelCase ); + } else { + key = camelCase( key ); + + // If a key with the spaces exists, use it. + // Otherwise, create an array by matching non-whitespace + key = key in cache ? + [ key ] : + ( key.match( rnothtmlwhite ) || [] ); + } + + i = key.length; + + while ( i-- ) { + delete cache[ key[ i ] ]; + } + } + + // Remove the expando if there's no more data + if ( key === undefined || jQuery.isEmptyObject( cache ) ) { + + // Support: Chrome <=35 - 45 + // Webkit & Blink performance suffers when deleting properties + // from DOM nodes, so set to undefined instead + // https://bugs.chromium.org/p/chromium/issues/detail?id=378607 (bug restricted) + if ( owner.nodeType ) { + owner[ this.expando ] = undefined; + } else { + delete owner[ this.expando ]; + } + } + }, + hasData: function( owner ) { + var cache = owner[ this.expando ]; + return cache !== undefined && !jQuery.isEmptyObject( cache ); + } +}; +var dataPriv = new Data(); + +var dataUser = new Data(); + + + +// Implementation Summary +// +// 1. Enforce API surface and semantic compatibility with 1.9.x branch +// 2. Improve the module's maintainability by reducing the storage +// paths to a single mechanism. +// 3. Use the same single mechanism to support "private" and "user" data. +// 4. _Never_ expose "private" data to user code (TODO: Drop _data, _removeData) +// 5. Avoid exposing implementation details on user objects (eg. expando properties) +// 6. Provide a clear path for implementation upgrade to WeakMap in 2014 + +var rbrace = /^(?:\{[\w\W]*\}|\[[\w\W]*\])$/, + rmultiDash = /[A-Z]/g; + +function getData( data ) { + if ( data === "true" ) { + return true; + } + + if ( data === "false" ) { + return false; + } + + if ( data === "null" ) { + return null; + } + + // Only convert to a number if it doesn't change the string + if ( data === +data + "" ) { + return +data; + } + + if ( rbrace.test( data ) ) { + return JSON.parse( data ); + } + + return data; +} + +function dataAttr( elem, key, data ) { + var name; + + // If nothing was found internally, try to fetch any + // data from the HTML5 data-* attribute + if ( data === undefined && elem.nodeType === 1 ) { + name = "data-" + key.replace( rmultiDash, "-$&" ).toLowerCase(); + data = elem.getAttribute( name ); + + if ( typeof data === "string" ) { + try { + data = getData( data ); + } catch ( e ) {} + + // Make sure we set the data so it isn't changed later + dataUser.set( elem, key, data ); + } else { + data = undefined; + } + } + return data; +} + +jQuery.extend( { + hasData: function( elem ) { + return dataUser.hasData( elem ) || dataPriv.hasData( elem ); + }, + + data: function( elem, name, data ) { + return dataUser.access( elem, name, data ); + }, + + removeData: function( elem, name ) { + dataUser.remove( elem, name ); + }, + + // TODO: Now that all calls to _data and _removeData have been replaced + // with direct calls to dataPriv methods, these can be deprecated. + _data: function( elem, name, data ) { + return dataPriv.access( elem, name, data ); + }, + + _removeData: function( elem, name ) { + dataPriv.remove( elem, name ); + } +} ); + +jQuery.fn.extend( { + data: function( key, value ) { + var i, name, data, + elem = this[ 0 ], + attrs = elem && elem.attributes; + + // Gets all values + if ( key === undefined ) { + if ( this.length ) { + data = dataUser.get( elem ); + + if ( elem.nodeType === 1 && !dataPriv.get( elem, "hasDataAttrs" ) ) { + i = attrs.length; + while ( i-- ) { + + // Support: IE 11 only + // The attrs elements can be null (#14894) + if ( attrs[ i ] ) { + name = attrs[ i ].name; + if ( name.indexOf( "data-" ) === 0 ) { + name = camelCase( name.slice( 5 ) ); + dataAttr( elem, name, data[ name ] ); + } + } + } + dataPriv.set( elem, "hasDataAttrs", true ); + } + } + + return data; + } + + // Sets multiple values + if ( typeof key === "object" ) { + return this.each( function() { + dataUser.set( this, key ); + } ); + } + + return access( this, function( value ) { + var data; + + // The calling jQuery object (element matches) is not empty + // (and therefore has an element appears at this[ 0 ]) and the + // `value` parameter was not undefined. An empty jQuery object + // will result in `undefined` for elem = this[ 0 ] which will + // throw an exception if an attempt to read a data cache is made. + if ( elem && value === undefined ) { + + // Attempt to get data from the cache + // The key will always be camelCased in Data + data = dataUser.get( elem, key ); + if ( data !== undefined ) { + return data; + } + + // Attempt to "discover" the data in + // HTML5 custom data-* attrs + data = dataAttr( elem, key ); + if ( data !== undefined ) { + return data; + } + + // We tried really hard, but the data doesn't exist. + return; + } + + // Set the data... + this.each( function() { + + // We always store the camelCased key + dataUser.set( this, key, value ); + } ); + }, null, value, arguments.length > 1, null, true ); + }, + + removeData: function( key ) { + return this.each( function() { + dataUser.remove( this, key ); + } ); + } +} ); + + +jQuery.extend( { + queue: function( elem, type, data ) { + var queue; + + if ( elem ) { + type = ( type || "fx" ) + "queue"; + queue = dataPriv.get( elem, type ); + + // Speed up dequeue by getting out quickly if this is just a lookup + if ( data ) { + if ( !queue || Array.isArray( data ) ) { + queue = dataPriv.access( elem, type, jQuery.makeArray( data ) ); + } else { + queue.push( data ); + } + } + return queue || []; + } + }, + + dequeue: function( elem, type ) { + type = type || "fx"; + + var queue = jQuery.queue( elem, type ), + startLength = queue.length, + fn = queue.shift(), + hooks = jQuery._queueHooks( elem, type ), + next = function() { + jQuery.dequeue( elem, type ); + }; + + // If the fx queue is dequeued, always remove the progress sentinel + if ( fn === "inprogress" ) { + fn = queue.shift(); + startLength--; + } + + if ( fn ) { + + // Add a progress sentinel to prevent the fx queue from being + // automatically dequeued + if ( type === "fx" ) { + queue.unshift( "inprogress" ); + } + + // Clear up the last queue stop function + delete hooks.stop; + fn.call( elem, next, hooks ); + } + + if ( !startLength && hooks ) { + hooks.empty.fire(); + } + }, + + // Not public - generate a queueHooks object, or return the current one + _queueHooks: function( elem, type ) { + var key = type + "queueHooks"; + return dataPriv.get( elem, key ) || dataPriv.access( elem, key, { + empty: jQuery.Callbacks( "once memory" ).add( function() { + dataPriv.remove( elem, [ type + "queue", key ] ); + } ) + } ); + } +} ); + +jQuery.fn.extend( { + queue: function( type, data ) { + var setter = 2; + + if ( typeof type !== "string" ) { + data = type; + type = "fx"; + setter--; + } + + if ( arguments.length < setter ) { + return jQuery.queue( this[ 0 ], type ); + } + + return data === undefined ? + this : + this.each( function() { + var queue = jQuery.queue( this, type, data ); + + // Ensure a hooks for this queue + jQuery._queueHooks( this, type ); + + if ( type === "fx" && queue[ 0 ] !== "inprogress" ) { + jQuery.dequeue( this, type ); + } + } ); + }, + dequeue: function( type ) { + return this.each( function() { + jQuery.dequeue( this, type ); + } ); + }, + clearQueue: function( type ) { + return this.queue( type || "fx", [] ); + }, + + // Get a promise resolved when queues of a certain type + // are emptied (fx is the type by default) + promise: function( type, obj ) { + var tmp, + count = 1, + defer = jQuery.Deferred(), + elements = this, + i = this.length, + resolve = function() { + if ( !( --count ) ) { + defer.resolveWith( elements, [ elements ] ); + } + }; + + if ( typeof type !== "string" ) { + obj = type; + type = undefined; + } + type = type || "fx"; + + while ( i-- ) { + tmp = dataPriv.get( elements[ i ], type + "queueHooks" ); + if ( tmp && tmp.empty ) { + count++; + tmp.empty.add( resolve ); + } + } + resolve(); + return defer.promise( obj ); + } +} ); +var pnum = ( /[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/ ).source; + +var rcssNum = new RegExp( "^(?:([+-])=|)(" + pnum + ")([a-z%]*)$", "i" ); + + +var cssExpand = [ "Top", "Right", "Bottom", "Left" ]; + +var documentElement = document.documentElement; + + + + var isAttached = function( elem ) { + return jQuery.contains( elem.ownerDocument, elem ); + }, + composed = { composed: true }; + + // Support: IE 9 - 11+, Edge 12 - 18+, iOS 10.0 - 10.2 only + // Check attachment across shadow DOM boundaries when possible (gh-3504) + // Support: iOS 10.0-10.2 only + // Early iOS 10 versions support `attachShadow` but not `getRootNode`, + // leading to errors. We need to check for `getRootNode`. + if ( documentElement.getRootNode ) { + isAttached = function( elem ) { + return jQuery.contains( elem.ownerDocument, elem ) || + elem.getRootNode( composed ) === elem.ownerDocument; + }; + } +var isHiddenWithinTree = function( elem, el ) { + + // isHiddenWithinTree might be called from jQuery#filter function; + // in that case, element will be second argument + elem = el || elem; + + // Inline style trumps all + return elem.style.display === "none" || + elem.style.display === "" && + + // Otherwise, check computed style + // Support: Firefox <=43 - 45 + // Disconnected elements can have computed display: none, so first confirm that elem is + // in the document. + isAttached( elem ) && + + jQuery.css( elem, "display" ) === "none"; + }; + + + +function adjustCSS( elem, prop, valueParts, tween ) { + var adjusted, scale, + maxIterations = 20, + currentValue = tween ? + function() { + return tween.cur(); + } : + function() { + return jQuery.css( elem, prop, "" ); + }, + initial = currentValue(), + unit = valueParts && valueParts[ 3 ] || ( jQuery.cssNumber[ prop ] ? "" : "px" ), + + // Starting value computation is required for potential unit mismatches + initialInUnit = elem.nodeType && + ( jQuery.cssNumber[ prop ] || unit !== "px" && +initial ) && + rcssNum.exec( jQuery.css( elem, prop ) ); + + if ( initialInUnit && initialInUnit[ 3 ] !== unit ) { + + // Support: Firefox <=54 + // Halve the iteration target value to prevent interference from CSS upper bounds (gh-2144) + initial = initial / 2; + + // Trust units reported by jQuery.css + unit = unit || initialInUnit[ 3 ]; + + // Iteratively approximate from a nonzero starting point + initialInUnit = +initial || 1; + + while ( maxIterations-- ) { + + // Evaluate and update our best guess (doubling guesses that zero out). + // Finish if the scale equals or crosses 1 (making the old*new product non-positive). + jQuery.style( elem, prop, initialInUnit + unit ); + if ( ( 1 - scale ) * ( 1 - ( scale = currentValue() / initial || 0.5 ) ) <= 0 ) { + maxIterations = 0; + } + initialInUnit = initialInUnit / scale; + + } + + initialInUnit = initialInUnit * 2; + jQuery.style( elem, prop, initialInUnit + unit ); + + // Make sure we update the tween properties later on + valueParts = valueParts || []; + } + + if ( valueParts ) { + initialInUnit = +initialInUnit || +initial || 0; + + // Apply relative offset (+=/-=) if specified + adjusted = valueParts[ 1 ] ? + initialInUnit + ( valueParts[ 1 ] + 1 ) * valueParts[ 2 ] : + +valueParts[ 2 ]; + if ( tween ) { + tween.unit = unit; + tween.start = initialInUnit; + tween.end = adjusted; + } + } + return adjusted; +} + + +var defaultDisplayMap = {}; + +function getDefaultDisplay( elem ) { + var temp, + doc = elem.ownerDocument, + nodeName = elem.nodeName, + display = defaultDisplayMap[ nodeName ]; + + if ( display ) { + return display; + } + + temp = doc.body.appendChild( doc.createElement( nodeName ) ); + display = jQuery.css( temp, "display" ); + + temp.parentNode.removeChild( temp ); + + if ( display === "none" ) { + display = "block"; + } + defaultDisplayMap[ nodeName ] = display; + + return display; +} + +function showHide( elements, show ) { + var display, elem, + values = [], + index = 0, + length = elements.length; + + // Determine new display value for elements that need to change + for ( ; index < length; index++ ) { + elem = elements[ index ]; + if ( !elem.style ) { + continue; + } + + display = elem.style.display; + if ( show ) { + + // Since we force visibility upon cascade-hidden elements, an immediate (and slow) + // check is required in this first loop unless we have a nonempty display value (either + // inline or about-to-be-restored) + if ( display === "none" ) { + values[ index ] = dataPriv.get( elem, "display" ) || null; + if ( !values[ index ] ) { + elem.style.display = ""; + } + } + if ( elem.style.display === "" && isHiddenWithinTree( elem ) ) { + values[ index ] = getDefaultDisplay( elem ); + } + } else { + if ( display !== "none" ) { + values[ index ] = "none"; + + // Remember what we're overwriting + dataPriv.set( elem, "display", display ); + } + } + } + + // Set the display of the elements in a second loop to avoid constant reflow + for ( index = 0; index < length; index++ ) { + if ( values[ index ] != null ) { + elements[ index ].style.display = values[ index ]; + } + } + + return elements; +} + +jQuery.fn.extend( { + show: function() { + return showHide( this, true ); + }, + hide: function() { + return showHide( this ); + }, + toggle: function( state ) { + if ( typeof state === "boolean" ) { + return state ? this.show() : this.hide(); + } + + return this.each( function() { + if ( isHiddenWithinTree( this ) ) { + jQuery( this ).show(); + } else { + jQuery( this ).hide(); + } + } ); + } +} ); +var rcheckableType = ( /^(?:checkbox|radio)$/i ); + +var rtagName = ( /<([a-z][^\/\0>\x20\t\r\n\f]*)/i ); + +var rscriptType = ( /^$|^module$|\/(?:java|ecma)script/i ); + + + +( function() { + var fragment = document.createDocumentFragment(), + div = fragment.appendChild( document.createElement( "div" ) ), + input = document.createElement( "input" ); + + // Support: Android 4.0 - 4.3 only + // Check state lost if the name is set (#11217) + // Support: Windows Web Apps (WWA) + // `name` and `type` must use .setAttribute for WWA (#14901) + input.setAttribute( "type", "radio" ); + input.setAttribute( "checked", "checked" ); + input.setAttribute( "name", "t" ); + + div.appendChild( input ); + + // Support: Android <=4.1 only + // Older WebKit doesn't clone checked state correctly in fragments + support.checkClone = div.cloneNode( true ).cloneNode( true ).lastChild.checked; + + // Support: IE <=11 only + // Make sure textarea (and checkbox) defaultValue is properly cloned + div.innerHTML = ""; + support.noCloneChecked = !!div.cloneNode( true ).lastChild.defaultValue; + + // Support: IE <=9 only + // IE <=9 replaces "; + support.option = !!div.lastChild; +} )(); + + +// We have to close these tags to support XHTML (#13200) +var wrapMap = { + + // XHTML parsers do not magically insert elements in the + // same way that tag soup parsers do. So we cannot shorten + // this by omitting or other required elements. + thead: [ 1, "", "
" ], + col: [ 2, "", "
" ], + tr: [ 2, "", "
" ], + td: [ 3, "", "
" ], + + _default: [ 0, "", "" ] +}; + +wrapMap.tbody = wrapMap.tfoot = wrapMap.colgroup = wrapMap.caption = wrapMap.thead; +wrapMap.th = wrapMap.td; + +// Support: IE <=9 only +if ( !support.option ) { + wrapMap.optgroup = wrapMap.option = [ 1, "" ]; +} + + +function getAll( context, tag ) { + + // Support: IE <=9 - 11 only + // Use typeof to avoid zero-argument method invocation on host objects (#15151) + var ret; + + if ( typeof context.getElementsByTagName !== "undefined" ) { + ret = context.getElementsByTagName( tag || "*" ); + + } else if ( typeof context.querySelectorAll !== "undefined" ) { + ret = context.querySelectorAll( tag || "*" ); + + } else { + ret = []; + } + + if ( tag === undefined || tag && nodeName( context, tag ) ) { + return jQuery.merge( [ context ], ret ); + } + + return ret; +} + + +// Mark scripts as having already been evaluated +function setGlobalEval( elems, refElements ) { + var i = 0, + l = elems.length; + + for ( ; i < l; i++ ) { + dataPriv.set( + elems[ i ], + "globalEval", + !refElements || dataPriv.get( refElements[ i ], "globalEval" ) + ); + } +} + + +var rhtml = /<|&#?\w+;/; + +function buildFragment( elems, context, scripts, selection, ignored ) { + var elem, tmp, tag, wrap, attached, j, + fragment = context.createDocumentFragment(), + nodes = [], + i = 0, + l = elems.length; + + for ( ; i < l; i++ ) { + elem = elems[ i ]; + + if ( elem || elem === 0 ) { + + // Add nodes directly + if ( toType( elem ) === "object" ) { + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( nodes, elem.nodeType ? [ elem ] : elem ); + + // Convert non-html into a text node + } else if ( !rhtml.test( elem ) ) { + nodes.push( context.createTextNode( elem ) ); + + // Convert html into DOM nodes + } else { + tmp = tmp || fragment.appendChild( context.createElement( "div" ) ); + + // Deserialize a standard representation + tag = ( rtagName.exec( elem ) || [ "", "" ] )[ 1 ].toLowerCase(); + wrap = wrapMap[ tag ] || wrapMap._default; + tmp.innerHTML = wrap[ 1 ] + jQuery.htmlPrefilter( elem ) + wrap[ 2 ]; + + // Descend through wrappers to the right content + j = wrap[ 0 ]; + while ( j-- ) { + tmp = tmp.lastChild; + } + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( nodes, tmp.childNodes ); + + // Remember the top-level container + tmp = fragment.firstChild; + + // Ensure the created nodes are orphaned (#12392) + tmp.textContent = ""; + } + } + } + + // Remove wrapper from fragment + fragment.textContent = ""; + + i = 0; + while ( ( elem = nodes[ i++ ] ) ) { + + // Skip elements already in the context collection (trac-4087) + if ( selection && jQuery.inArray( elem, selection ) > -1 ) { + if ( ignored ) { + ignored.push( elem ); + } + continue; + } + + attached = isAttached( elem ); + + // Append to fragment + tmp = getAll( fragment.appendChild( elem ), "script" ); + + // Preserve script evaluation history + if ( attached ) { + setGlobalEval( tmp ); + } + + // Capture executables + if ( scripts ) { + j = 0; + while ( ( elem = tmp[ j++ ] ) ) { + if ( rscriptType.test( elem.type || "" ) ) { + scripts.push( elem ); + } + } + } + } + + return fragment; +} + + +var + rkeyEvent = /^key/, + rmouseEvent = /^(?:mouse|pointer|contextmenu|drag|drop)|click/, + rtypenamespace = /^([^.]*)(?:\.(.+)|)/; + +function returnTrue() { + return true; +} + +function returnFalse() { + return false; +} + +// Support: IE <=9 - 11+ +// focus() and blur() are asynchronous, except when they are no-op. +// So expect focus to be synchronous when the element is already active, +// and blur to be synchronous when the element is not already active. +// (focus and blur are always synchronous in other supported browsers, +// this just defines when we can count on it). +function expectSync( elem, type ) { + return ( elem === safeActiveElement() ) === ( type === "focus" ); +} + +// Support: IE <=9 only +// Accessing document.activeElement can throw unexpectedly +// https://bugs.jquery.com/ticket/13393 +function safeActiveElement() { + try { + return document.activeElement; + } catch ( err ) { } +} + +function on( elem, types, selector, data, fn, one ) { + var origFn, type; + + // Types can be a map of types/handlers + if ( typeof types === "object" ) { + + // ( types-Object, selector, data ) + if ( typeof selector !== "string" ) { + + // ( types-Object, data ) + data = data || selector; + selector = undefined; + } + for ( type in types ) { + on( elem, type, selector, data, types[ type ], one ); + } + return elem; + } + + if ( data == null && fn == null ) { + + // ( types, fn ) + fn = selector; + data = selector = undefined; + } else if ( fn == null ) { + if ( typeof selector === "string" ) { + + // ( types, selector, fn ) + fn = data; + data = undefined; + } else { + + // ( types, data, fn ) + fn = data; + data = selector; + selector = undefined; + } + } + if ( fn === false ) { + fn = returnFalse; + } else if ( !fn ) { + return elem; + } + + if ( one === 1 ) { + origFn = fn; + fn = function( event ) { + + // Can use an empty set, since event contains the info + jQuery().off( event ); + return origFn.apply( this, arguments ); + }; + + // Use same guid so caller can remove using origFn + fn.guid = origFn.guid || ( origFn.guid = jQuery.guid++ ); + } + return elem.each( function() { + jQuery.event.add( this, types, fn, data, selector ); + } ); +} + +/* + * Helper functions for managing events -- not part of the public interface. + * Props to Dean Edwards' addEvent library for many of the ideas. + */ +jQuery.event = { + + global: {}, + + add: function( elem, types, handler, data, selector ) { + + var handleObjIn, eventHandle, tmp, + events, t, handleObj, + special, handlers, type, namespaces, origType, + elemData = dataPriv.get( elem ); + + // Only attach events to objects that accept data + if ( !acceptData( elem ) ) { + return; + } + + // Caller can pass in an object of custom data in lieu of the handler + if ( handler.handler ) { + handleObjIn = handler; + handler = handleObjIn.handler; + selector = handleObjIn.selector; + } + + // Ensure that invalid selectors throw exceptions at attach time + // Evaluate against documentElement in case elem is a non-element node (e.g., document) + if ( selector ) { + jQuery.find.matchesSelector( documentElement, selector ); + } + + // Make sure that the handler has a unique ID, used to find/remove it later + if ( !handler.guid ) { + handler.guid = jQuery.guid++; + } + + // Init the element's event structure and main handler, if this is the first + if ( !( events = elemData.events ) ) { + events = elemData.events = Object.create( null ); + } + if ( !( eventHandle = elemData.handle ) ) { + eventHandle = elemData.handle = function( e ) { + + // Discard the second event of a jQuery.event.trigger() and + // when an event is called after a page has unloaded + return typeof jQuery !== "undefined" && jQuery.event.triggered !== e.type ? + jQuery.event.dispatch.apply( elem, arguments ) : undefined; + }; + } + + // Handle multiple events separated by a space + types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; + t = types.length; + while ( t-- ) { + tmp = rtypenamespace.exec( types[ t ] ) || []; + type = origType = tmp[ 1 ]; + namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); + + // There *must* be a type, no attaching namespace-only handlers + if ( !type ) { + continue; + } + + // If event changes its type, use the special event handlers for the changed type + special = jQuery.event.special[ type ] || {}; + + // If selector defined, determine special event api type, otherwise given type + type = ( selector ? special.delegateType : special.bindType ) || type; + + // Update special based on newly reset type + special = jQuery.event.special[ type ] || {}; + + // handleObj is passed to all event handlers + handleObj = jQuery.extend( { + type: type, + origType: origType, + data: data, + handler: handler, + guid: handler.guid, + selector: selector, + needsContext: selector && jQuery.expr.match.needsContext.test( selector ), + namespace: namespaces.join( "." ) + }, handleObjIn ); + + // Init the event handler queue if we're the first + if ( !( handlers = events[ type ] ) ) { + handlers = events[ type ] = []; + handlers.delegateCount = 0; + + // Only use addEventListener if the special events handler returns false + if ( !special.setup || + special.setup.call( elem, data, namespaces, eventHandle ) === false ) { + + if ( elem.addEventListener ) { + elem.addEventListener( type, eventHandle ); + } + } + } + + if ( special.add ) { + special.add.call( elem, handleObj ); + + if ( !handleObj.handler.guid ) { + handleObj.handler.guid = handler.guid; + } + } + + // Add to the element's handler list, delegates in front + if ( selector ) { + handlers.splice( handlers.delegateCount++, 0, handleObj ); + } else { + handlers.push( handleObj ); + } + + // Keep track of which events have ever been used, for event optimization + jQuery.event.global[ type ] = true; + } + + }, + + // Detach an event or set of events from an element + remove: function( elem, types, handler, selector, mappedTypes ) { + + var j, origCount, tmp, + events, t, handleObj, + special, handlers, type, namespaces, origType, + elemData = dataPriv.hasData( elem ) && dataPriv.get( elem ); + + if ( !elemData || !( events = elemData.events ) ) { + return; + } + + // Once for each type.namespace in types; type may be omitted + types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; + t = types.length; + while ( t-- ) { + tmp = rtypenamespace.exec( types[ t ] ) || []; + type = origType = tmp[ 1 ]; + namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); + + // Unbind all events (on this namespace, if provided) for the element + if ( !type ) { + for ( type in events ) { + jQuery.event.remove( elem, type + types[ t ], handler, selector, true ); + } + continue; + } + + special = jQuery.event.special[ type ] || {}; + type = ( selector ? special.delegateType : special.bindType ) || type; + handlers = events[ type ] || []; + tmp = tmp[ 2 ] && + new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ); + + // Remove matching events + origCount = j = handlers.length; + while ( j-- ) { + handleObj = handlers[ j ]; + + if ( ( mappedTypes || origType === handleObj.origType ) && + ( !handler || handler.guid === handleObj.guid ) && + ( !tmp || tmp.test( handleObj.namespace ) ) && + ( !selector || selector === handleObj.selector || + selector === "**" && handleObj.selector ) ) { + handlers.splice( j, 1 ); + + if ( handleObj.selector ) { + handlers.delegateCount--; + } + if ( special.remove ) { + special.remove.call( elem, handleObj ); + } + } + } + + // Remove generic event handler if we removed something and no more handlers exist + // (avoids potential for endless recursion during removal of special event handlers) + if ( origCount && !handlers.length ) { + if ( !special.teardown || + special.teardown.call( elem, namespaces, elemData.handle ) === false ) { + + jQuery.removeEvent( elem, type, elemData.handle ); + } + + delete events[ type ]; + } + } + + // Remove data and the expando if it's no longer used + if ( jQuery.isEmptyObject( events ) ) { + dataPriv.remove( elem, "handle events" ); + } + }, + + dispatch: function( nativeEvent ) { + + var i, j, ret, matched, handleObj, handlerQueue, + args = new Array( arguments.length ), + + // Make a writable jQuery.Event from the native event object + event = jQuery.event.fix( nativeEvent ), + + handlers = ( + dataPriv.get( this, "events" ) || Object.create( null ) + )[ event.type ] || [], + special = jQuery.event.special[ event.type ] || {}; + + // Use the fix-ed jQuery.Event rather than the (read-only) native event + args[ 0 ] = event; + + for ( i = 1; i < arguments.length; i++ ) { + args[ i ] = arguments[ i ]; + } + + event.delegateTarget = this; + + // Call the preDispatch hook for the mapped type, and let it bail if desired + if ( special.preDispatch && special.preDispatch.call( this, event ) === false ) { + return; + } + + // Determine handlers + handlerQueue = jQuery.event.handlers.call( this, event, handlers ); + + // Run delegates first; they may want to stop propagation beneath us + i = 0; + while ( ( matched = handlerQueue[ i++ ] ) && !event.isPropagationStopped() ) { + event.currentTarget = matched.elem; + + j = 0; + while ( ( handleObj = matched.handlers[ j++ ] ) && + !event.isImmediatePropagationStopped() ) { + + // If the event is namespaced, then each handler is only invoked if it is + // specially universal or its namespaces are a superset of the event's. + if ( !event.rnamespace || handleObj.namespace === false || + event.rnamespace.test( handleObj.namespace ) ) { + + event.handleObj = handleObj; + event.data = handleObj.data; + + ret = ( ( jQuery.event.special[ handleObj.origType ] || {} ).handle || + handleObj.handler ).apply( matched.elem, args ); + + if ( ret !== undefined ) { + if ( ( event.result = ret ) === false ) { + event.preventDefault(); + event.stopPropagation(); + } + } + } + } + } + + // Call the postDispatch hook for the mapped type + if ( special.postDispatch ) { + special.postDispatch.call( this, event ); + } + + return event.result; + }, + + handlers: function( event, handlers ) { + var i, handleObj, sel, matchedHandlers, matchedSelectors, + handlerQueue = [], + delegateCount = handlers.delegateCount, + cur = event.target; + + // Find delegate handlers + if ( delegateCount && + + // Support: IE <=9 + // Black-hole SVG instance trees (trac-13180) + cur.nodeType && + + // Support: Firefox <=42 + // Suppress spec-violating clicks indicating a non-primary pointer button (trac-3861) + // https://www.w3.org/TR/DOM-Level-3-Events/#event-type-click + // Support: IE 11 only + // ...but not arrow key "clicks" of radio inputs, which can have `button` -1 (gh-2343) + !( event.type === "click" && event.button >= 1 ) ) { + + for ( ; cur !== this; cur = cur.parentNode || this ) { + + // Don't check non-elements (#13208) + // Don't process clicks on disabled elements (#6911, #8165, #11382, #11764) + if ( cur.nodeType === 1 && !( event.type === "click" && cur.disabled === true ) ) { + matchedHandlers = []; + matchedSelectors = {}; + for ( i = 0; i < delegateCount; i++ ) { + handleObj = handlers[ i ]; + + // Don't conflict with Object.prototype properties (#13203) + sel = handleObj.selector + " "; + + if ( matchedSelectors[ sel ] === undefined ) { + matchedSelectors[ sel ] = handleObj.needsContext ? + jQuery( sel, this ).index( cur ) > -1 : + jQuery.find( sel, this, null, [ cur ] ).length; + } + if ( matchedSelectors[ sel ] ) { + matchedHandlers.push( handleObj ); + } + } + if ( matchedHandlers.length ) { + handlerQueue.push( { elem: cur, handlers: matchedHandlers } ); + } + } + } + } + + // Add the remaining (directly-bound) handlers + cur = this; + if ( delegateCount < handlers.length ) { + handlerQueue.push( { elem: cur, handlers: handlers.slice( delegateCount ) } ); + } + + return handlerQueue; + }, + + addProp: function( name, hook ) { + Object.defineProperty( jQuery.Event.prototype, name, { + enumerable: true, + configurable: true, + + get: isFunction( hook ) ? + function() { + if ( this.originalEvent ) { + return hook( this.originalEvent ); + } + } : + function() { + if ( this.originalEvent ) { + return this.originalEvent[ name ]; + } + }, + + set: function( value ) { + Object.defineProperty( this, name, { + enumerable: true, + configurable: true, + writable: true, + value: value + } ); + } + } ); + }, + + fix: function( originalEvent ) { + return originalEvent[ jQuery.expando ] ? + originalEvent : + new jQuery.Event( originalEvent ); + }, + + special: { + load: { + + // Prevent triggered image.load events from bubbling to window.load + noBubble: true + }, + click: { + + // Utilize native event to ensure correct state for checkable inputs + setup: function( data ) { + + // For mutual compressibility with _default, replace `this` access with a local var. + // `|| data` is dead code meant only to preserve the variable through minification. + var el = this || data; + + // Claim the first handler + if ( rcheckableType.test( el.type ) && + el.click && nodeName( el, "input" ) ) { + + // dataPriv.set( el, "click", ... ) + leverageNative( el, "click", returnTrue ); + } + + // Return false to allow normal processing in the caller + return false; + }, + trigger: function( data ) { + + // For mutual compressibility with _default, replace `this` access with a local var. + // `|| data` is dead code meant only to preserve the variable through minification. + var el = this || data; + + // Force setup before triggering a click + if ( rcheckableType.test( el.type ) && + el.click && nodeName( el, "input" ) ) { + + leverageNative( el, "click" ); + } + + // Return non-false to allow normal event-path propagation + return true; + }, + + // For cross-browser consistency, suppress native .click() on links + // Also prevent it if we're currently inside a leveraged native-event stack + _default: function( event ) { + var target = event.target; + return rcheckableType.test( target.type ) && + target.click && nodeName( target, "input" ) && + dataPriv.get( target, "click" ) || + nodeName( target, "a" ); + } + }, + + beforeunload: { + postDispatch: function( event ) { + + // Support: Firefox 20+ + // Firefox doesn't alert if the returnValue field is not set. + if ( event.result !== undefined && event.originalEvent ) { + event.originalEvent.returnValue = event.result; + } + } + } + } +}; + +// Ensure the presence of an event listener that handles manually-triggered +// synthetic events by interrupting progress until reinvoked in response to +// *native* events that it fires directly, ensuring that state changes have +// already occurred before other listeners are invoked. +function leverageNative( el, type, expectSync ) { + + // Missing expectSync indicates a trigger call, which must force setup through jQuery.event.add + if ( !expectSync ) { + if ( dataPriv.get( el, type ) === undefined ) { + jQuery.event.add( el, type, returnTrue ); + } + return; + } + + // Register the controller as a special universal handler for all event namespaces + dataPriv.set( el, type, false ); + jQuery.event.add( el, type, { + namespace: false, + handler: function( event ) { + var notAsync, result, + saved = dataPriv.get( this, type ); + + if ( ( event.isTrigger & 1 ) && this[ type ] ) { + + // Interrupt processing of the outer synthetic .trigger()ed event + // Saved data should be false in such cases, but might be a leftover capture object + // from an async native handler (gh-4350) + if ( !saved.length ) { + + // Store arguments for use when handling the inner native event + // There will always be at least one argument (an event object), so this array + // will not be confused with a leftover capture object. + saved = slice.call( arguments ); + dataPriv.set( this, type, saved ); + + // Trigger the native event and capture its result + // Support: IE <=9 - 11+ + // focus() and blur() are asynchronous + notAsync = expectSync( this, type ); + this[ type ](); + result = dataPriv.get( this, type ); + if ( saved !== result || notAsync ) { + dataPriv.set( this, type, false ); + } else { + result = {}; + } + if ( saved !== result ) { + + // Cancel the outer synthetic event + event.stopImmediatePropagation(); + event.preventDefault(); + return result.value; + } + + // If this is an inner synthetic event for an event with a bubbling surrogate + // (focus or blur), assume that the surrogate already propagated from triggering the + // native event and prevent that from happening again here. + // This technically gets the ordering wrong w.r.t. to `.trigger()` (in which the + // bubbling surrogate propagates *after* the non-bubbling base), but that seems + // less bad than duplication. + } else if ( ( jQuery.event.special[ type ] || {} ).delegateType ) { + event.stopPropagation(); + } + + // If this is a native event triggered above, everything is now in order + // Fire an inner synthetic event with the original arguments + } else if ( saved.length ) { + + // ...and capture the result + dataPriv.set( this, type, { + value: jQuery.event.trigger( + + // Support: IE <=9 - 11+ + // Extend with the prototype to reset the above stopImmediatePropagation() + jQuery.extend( saved[ 0 ], jQuery.Event.prototype ), + saved.slice( 1 ), + this + ) + } ); + + // Abort handling of the native event + event.stopImmediatePropagation(); + } + } + } ); +} + +jQuery.removeEvent = function( elem, type, handle ) { + + // This "if" is needed for plain objects + if ( elem.removeEventListener ) { + elem.removeEventListener( type, handle ); + } +}; + +jQuery.Event = function( src, props ) { + + // Allow instantiation without the 'new' keyword + if ( !( this instanceof jQuery.Event ) ) { + return new jQuery.Event( src, props ); + } + + // Event object + if ( src && src.type ) { + this.originalEvent = src; + this.type = src.type; + + // Events bubbling up the document may have been marked as prevented + // by a handler lower down the tree; reflect the correct value. + this.isDefaultPrevented = src.defaultPrevented || + src.defaultPrevented === undefined && + + // Support: Android <=2.3 only + src.returnValue === false ? + returnTrue : + returnFalse; + + // Create target properties + // Support: Safari <=6 - 7 only + // Target should not be a text node (#504, #13143) + this.target = ( src.target && src.target.nodeType === 3 ) ? + src.target.parentNode : + src.target; + + this.currentTarget = src.currentTarget; + this.relatedTarget = src.relatedTarget; + + // Event type + } else { + this.type = src; + } + + // Put explicitly provided properties onto the event object + if ( props ) { + jQuery.extend( this, props ); + } + + // Create a timestamp if incoming event doesn't have one + this.timeStamp = src && src.timeStamp || Date.now(); + + // Mark it as fixed + this[ jQuery.expando ] = true; +}; + +// jQuery.Event is based on DOM3 Events as specified by the ECMAScript Language Binding +// https://www.w3.org/TR/2003/WD-DOM-Level-3-Events-20030331/ecma-script-binding.html +jQuery.Event.prototype = { + constructor: jQuery.Event, + isDefaultPrevented: returnFalse, + isPropagationStopped: returnFalse, + isImmediatePropagationStopped: returnFalse, + isSimulated: false, + + preventDefault: function() { + var e = this.originalEvent; + + this.isDefaultPrevented = returnTrue; + + if ( e && !this.isSimulated ) { + e.preventDefault(); + } + }, + stopPropagation: function() { + var e = this.originalEvent; + + this.isPropagationStopped = returnTrue; + + if ( e && !this.isSimulated ) { + e.stopPropagation(); + } + }, + stopImmediatePropagation: function() { + var e = this.originalEvent; + + this.isImmediatePropagationStopped = returnTrue; + + if ( e && !this.isSimulated ) { + e.stopImmediatePropagation(); + } + + this.stopPropagation(); + } +}; + +// Includes all common event props including KeyEvent and MouseEvent specific props +jQuery.each( { + altKey: true, + bubbles: true, + cancelable: true, + changedTouches: true, + ctrlKey: true, + detail: true, + eventPhase: true, + metaKey: true, + pageX: true, + pageY: true, + shiftKey: true, + view: true, + "char": true, + code: true, + charCode: true, + key: true, + keyCode: true, + button: true, + buttons: true, + clientX: true, + clientY: true, + offsetX: true, + offsetY: true, + pointerId: true, + pointerType: true, + screenX: true, + screenY: true, + targetTouches: true, + toElement: true, + touches: true, + + which: function( event ) { + var button = event.button; + + // Add which for key events + if ( event.which == null && rkeyEvent.test( event.type ) ) { + return event.charCode != null ? event.charCode : event.keyCode; + } + + // Add which for click: 1 === left; 2 === middle; 3 === right + if ( !event.which && button !== undefined && rmouseEvent.test( event.type ) ) { + if ( button & 1 ) { + return 1; + } + + if ( button & 2 ) { + return 3; + } + + if ( button & 4 ) { + return 2; + } + + return 0; + } + + return event.which; + } +}, jQuery.event.addProp ); + +jQuery.each( { focus: "focusin", blur: "focusout" }, function( type, delegateType ) { + jQuery.event.special[ type ] = { + + // Utilize native event if possible so blur/focus sequence is correct + setup: function() { + + // Claim the first handler + // dataPriv.set( this, "focus", ... ) + // dataPriv.set( this, "blur", ... ) + leverageNative( this, type, expectSync ); + + // Return false to allow normal processing in the caller + return false; + }, + trigger: function() { + + // Force setup before trigger + leverageNative( this, type ); + + // Return non-false to allow normal event-path propagation + return true; + }, + + delegateType: delegateType + }; +} ); + +// Create mouseenter/leave events using mouseover/out and event-time checks +// so that event delegation works in jQuery. +// Do the same for pointerenter/pointerleave and pointerover/pointerout +// +// Support: Safari 7 only +// Safari sends mouseenter too often; see: +// https://bugs.chromium.org/p/chromium/issues/detail?id=470258 +// for the description of the bug (it existed in older Chrome versions as well). +jQuery.each( { + mouseenter: "mouseover", + mouseleave: "mouseout", + pointerenter: "pointerover", + pointerleave: "pointerout" +}, function( orig, fix ) { + jQuery.event.special[ orig ] = { + delegateType: fix, + bindType: fix, + + handle: function( event ) { + var ret, + target = this, + related = event.relatedTarget, + handleObj = event.handleObj; + + // For mouseenter/leave call the handler if related is outside the target. + // NB: No relatedTarget if the mouse left/entered the browser window + if ( !related || ( related !== target && !jQuery.contains( target, related ) ) ) { + event.type = handleObj.origType; + ret = handleObj.handler.apply( this, arguments ); + event.type = fix; + } + return ret; + } + }; +} ); + +jQuery.fn.extend( { + + on: function( types, selector, data, fn ) { + return on( this, types, selector, data, fn ); + }, + one: function( types, selector, data, fn ) { + return on( this, types, selector, data, fn, 1 ); + }, + off: function( types, selector, fn ) { + var handleObj, type; + if ( types && types.preventDefault && types.handleObj ) { + + // ( event ) dispatched jQuery.Event + handleObj = types.handleObj; + jQuery( types.delegateTarget ).off( + handleObj.namespace ? + handleObj.origType + "." + handleObj.namespace : + handleObj.origType, + handleObj.selector, + handleObj.handler + ); + return this; + } + if ( typeof types === "object" ) { + + // ( types-object [, selector] ) + for ( type in types ) { + this.off( type, selector, types[ type ] ); + } + return this; + } + if ( selector === false || typeof selector === "function" ) { + + // ( types [, fn] ) + fn = selector; + selector = undefined; + } + if ( fn === false ) { + fn = returnFalse; + } + return this.each( function() { + jQuery.event.remove( this, types, fn, selector ); + } ); + } +} ); + + +var + + // Support: IE <=10 - 11, Edge 12 - 13 only + // In IE/Edge using regex groups here causes severe slowdowns. + // See https://connect.microsoft.com/IE/feedback/details/1736512/ + rnoInnerhtml = /\s*$/g; + +// Prefer a tbody over its parent table for containing new rows +function manipulationTarget( elem, content ) { + if ( nodeName( elem, "table" ) && + nodeName( content.nodeType !== 11 ? content : content.firstChild, "tr" ) ) { + + return jQuery( elem ).children( "tbody" )[ 0 ] || elem; + } + + return elem; +} + +// Replace/restore the type attribute of script elements for safe DOM manipulation +function disableScript( elem ) { + elem.type = ( elem.getAttribute( "type" ) !== null ) + "/" + elem.type; + return elem; +} +function restoreScript( elem ) { + if ( ( elem.type || "" ).slice( 0, 5 ) === "true/" ) { + elem.type = elem.type.slice( 5 ); + } else { + elem.removeAttribute( "type" ); + } + + return elem; +} + +function cloneCopyEvent( src, dest ) { + var i, l, type, pdataOld, udataOld, udataCur, events; + + if ( dest.nodeType !== 1 ) { + return; + } + + // 1. Copy private data: events, handlers, etc. + if ( dataPriv.hasData( src ) ) { + pdataOld = dataPriv.get( src ); + events = pdataOld.events; + + if ( events ) { + dataPriv.remove( dest, "handle events" ); + + for ( type in events ) { + for ( i = 0, l = events[ type ].length; i < l; i++ ) { + jQuery.event.add( dest, type, events[ type ][ i ] ); + } + } + } + } + + // 2. Copy user data + if ( dataUser.hasData( src ) ) { + udataOld = dataUser.access( src ); + udataCur = jQuery.extend( {}, udataOld ); + + dataUser.set( dest, udataCur ); + } +} + +// Fix IE bugs, see support tests +function fixInput( src, dest ) { + var nodeName = dest.nodeName.toLowerCase(); + + // Fails to persist the checked state of a cloned checkbox or radio button. + if ( nodeName === "input" && rcheckableType.test( src.type ) ) { + dest.checked = src.checked; + + // Fails to return the selected option to the default selected state when cloning options + } else if ( nodeName === "input" || nodeName === "textarea" ) { + dest.defaultValue = src.defaultValue; + } +} + +function domManip( collection, args, callback, ignored ) { + + // Flatten any nested arrays + args = flat( args ); + + var fragment, first, scripts, hasScripts, node, doc, + i = 0, + l = collection.length, + iNoClone = l - 1, + value = args[ 0 ], + valueIsFunction = isFunction( value ); + + // We can't cloneNode fragments that contain checked, in WebKit + if ( valueIsFunction || + ( l > 1 && typeof value === "string" && + !support.checkClone && rchecked.test( value ) ) ) { + return collection.each( function( index ) { + var self = collection.eq( index ); + if ( valueIsFunction ) { + args[ 0 ] = value.call( this, index, self.html() ); + } + domManip( self, args, callback, ignored ); + } ); + } + + if ( l ) { + fragment = buildFragment( args, collection[ 0 ].ownerDocument, false, collection, ignored ); + first = fragment.firstChild; + + if ( fragment.childNodes.length === 1 ) { + fragment = first; + } + + // Require either new content or an interest in ignored elements to invoke the callback + if ( first || ignored ) { + scripts = jQuery.map( getAll( fragment, "script" ), disableScript ); + hasScripts = scripts.length; + + // Use the original fragment for the last item + // instead of the first because it can end up + // being emptied incorrectly in certain situations (#8070). + for ( ; i < l; i++ ) { + node = fragment; + + if ( i !== iNoClone ) { + node = jQuery.clone( node, true, true ); + + // Keep references to cloned scripts for later restoration + if ( hasScripts ) { + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( scripts, getAll( node, "script" ) ); + } + } + + callback.call( collection[ i ], node, i ); + } + + if ( hasScripts ) { + doc = scripts[ scripts.length - 1 ].ownerDocument; + + // Reenable scripts + jQuery.map( scripts, restoreScript ); + + // Evaluate executable scripts on first document insertion + for ( i = 0; i < hasScripts; i++ ) { + node = scripts[ i ]; + if ( rscriptType.test( node.type || "" ) && + !dataPriv.access( node, "globalEval" ) && + jQuery.contains( doc, node ) ) { + + if ( node.src && ( node.type || "" ).toLowerCase() !== "module" ) { + + // Optional AJAX dependency, but won't run scripts if not present + if ( jQuery._evalUrl && !node.noModule ) { + jQuery._evalUrl( node.src, { + nonce: node.nonce || node.getAttribute( "nonce" ) + }, doc ); + } + } else { + DOMEval( node.textContent.replace( rcleanScript, "" ), node, doc ); + } + } + } + } + } + } + + return collection; +} + +function remove( elem, selector, keepData ) { + var node, + nodes = selector ? jQuery.filter( selector, elem ) : elem, + i = 0; + + for ( ; ( node = nodes[ i ] ) != null; i++ ) { + if ( !keepData && node.nodeType === 1 ) { + jQuery.cleanData( getAll( node ) ); + } + + if ( node.parentNode ) { + if ( keepData && isAttached( node ) ) { + setGlobalEval( getAll( node, "script" ) ); + } + node.parentNode.removeChild( node ); + } + } + + return elem; +} + +jQuery.extend( { + htmlPrefilter: function( html ) { + return html; + }, + + clone: function( elem, dataAndEvents, deepDataAndEvents ) { + var i, l, srcElements, destElements, + clone = elem.cloneNode( true ), + inPage = isAttached( elem ); + + // Fix IE cloning issues + if ( !support.noCloneChecked && ( elem.nodeType === 1 || elem.nodeType === 11 ) && + !jQuery.isXMLDoc( elem ) ) { + + // We eschew Sizzle here for performance reasons: https://jsperf.com/getall-vs-sizzle/2 + destElements = getAll( clone ); + srcElements = getAll( elem ); + + for ( i = 0, l = srcElements.length; i < l; i++ ) { + fixInput( srcElements[ i ], destElements[ i ] ); + } + } + + // Copy the events from the original to the clone + if ( dataAndEvents ) { + if ( deepDataAndEvents ) { + srcElements = srcElements || getAll( elem ); + destElements = destElements || getAll( clone ); + + for ( i = 0, l = srcElements.length; i < l; i++ ) { + cloneCopyEvent( srcElements[ i ], destElements[ i ] ); + } + } else { + cloneCopyEvent( elem, clone ); + } + } + + // Preserve script evaluation history + destElements = getAll( clone, "script" ); + if ( destElements.length > 0 ) { + setGlobalEval( destElements, !inPage && getAll( elem, "script" ) ); + } + + // Return the cloned set + return clone; + }, + + cleanData: function( elems ) { + var data, elem, type, + special = jQuery.event.special, + i = 0; + + for ( ; ( elem = elems[ i ] ) !== undefined; i++ ) { + if ( acceptData( elem ) ) { + if ( ( data = elem[ dataPriv.expando ] ) ) { + if ( data.events ) { + for ( type in data.events ) { + if ( special[ type ] ) { + jQuery.event.remove( elem, type ); + + // This is a shortcut to avoid jQuery.event.remove's overhead + } else { + jQuery.removeEvent( elem, type, data.handle ); + } + } + } + + // Support: Chrome <=35 - 45+ + // Assign undefined instead of using delete, see Data#remove + elem[ dataPriv.expando ] = undefined; + } + if ( elem[ dataUser.expando ] ) { + + // Support: Chrome <=35 - 45+ + // Assign undefined instead of using delete, see Data#remove + elem[ dataUser.expando ] = undefined; + } + } + } + } +} ); + +jQuery.fn.extend( { + detach: function( selector ) { + return remove( this, selector, true ); + }, + + remove: function( selector ) { + return remove( this, selector ); + }, + + text: function( value ) { + return access( this, function( value ) { + return value === undefined ? + jQuery.text( this ) : + this.empty().each( function() { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + this.textContent = value; + } + } ); + }, null, value, arguments.length ); + }, + + append: function() { + return domManip( this, arguments, function( elem ) { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + var target = manipulationTarget( this, elem ); + target.appendChild( elem ); + } + } ); + }, + + prepend: function() { + return domManip( this, arguments, function( elem ) { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + var target = manipulationTarget( this, elem ); + target.insertBefore( elem, target.firstChild ); + } + } ); + }, + + before: function() { + return domManip( this, arguments, function( elem ) { + if ( this.parentNode ) { + this.parentNode.insertBefore( elem, this ); + } + } ); + }, + + after: function() { + return domManip( this, arguments, function( elem ) { + if ( this.parentNode ) { + this.parentNode.insertBefore( elem, this.nextSibling ); + } + } ); + }, + + empty: function() { + var elem, + i = 0; + + for ( ; ( elem = this[ i ] ) != null; i++ ) { + if ( elem.nodeType === 1 ) { + + // Prevent memory leaks + jQuery.cleanData( getAll( elem, false ) ); + + // Remove any remaining nodes + elem.textContent = ""; + } + } + + return this; + }, + + clone: function( dataAndEvents, deepDataAndEvents ) { + dataAndEvents = dataAndEvents == null ? false : dataAndEvents; + deepDataAndEvents = deepDataAndEvents == null ? dataAndEvents : deepDataAndEvents; + + return this.map( function() { + return jQuery.clone( this, dataAndEvents, deepDataAndEvents ); + } ); + }, + + html: function( value ) { + return access( this, function( value ) { + var elem = this[ 0 ] || {}, + i = 0, + l = this.length; + + if ( value === undefined && elem.nodeType === 1 ) { + return elem.innerHTML; + } + + // See if we can take a shortcut and just use innerHTML + if ( typeof value === "string" && !rnoInnerhtml.test( value ) && + !wrapMap[ ( rtagName.exec( value ) || [ "", "" ] )[ 1 ].toLowerCase() ] ) { + + value = jQuery.htmlPrefilter( value ); + + try { + for ( ; i < l; i++ ) { + elem = this[ i ] || {}; + + // Remove element nodes and prevent memory leaks + if ( elem.nodeType === 1 ) { + jQuery.cleanData( getAll( elem, false ) ); + elem.innerHTML = value; + } + } + + elem = 0; + + // If using innerHTML throws an exception, use the fallback method + } catch ( e ) {} + } + + if ( elem ) { + this.empty().append( value ); + } + }, null, value, arguments.length ); + }, + + replaceWith: function() { + var ignored = []; + + // Make the changes, replacing each non-ignored context element with the new content + return domManip( this, arguments, function( elem ) { + var parent = this.parentNode; + + if ( jQuery.inArray( this, ignored ) < 0 ) { + jQuery.cleanData( getAll( this ) ); + if ( parent ) { + parent.replaceChild( elem, this ); + } + } + + // Force callback invocation + }, ignored ); + } +} ); + +jQuery.each( { + appendTo: "append", + prependTo: "prepend", + insertBefore: "before", + insertAfter: "after", + replaceAll: "replaceWith" +}, function( name, original ) { + jQuery.fn[ name ] = function( selector ) { + var elems, + ret = [], + insert = jQuery( selector ), + last = insert.length - 1, + i = 0; + + for ( ; i <= last; i++ ) { + elems = i === last ? this : this.clone( true ); + jQuery( insert[ i ] )[ original ]( elems ); + + // Support: Android <=4.0 only, PhantomJS 1 only + // .get() because push.apply(_, arraylike) throws on ancient WebKit + push.apply( ret, elems.get() ); + } + + return this.pushStack( ret ); + }; +} ); +var rnumnonpx = new RegExp( "^(" + pnum + ")(?!px)[a-z%]+$", "i" ); + +var getStyles = function( elem ) { + + // Support: IE <=11 only, Firefox <=30 (#15098, #14150) + // IE throws on elements created in popups + // FF meanwhile throws on frame elements through "defaultView.getComputedStyle" + var view = elem.ownerDocument.defaultView; + + if ( !view || !view.opener ) { + view = window; + } + + return view.getComputedStyle( elem ); + }; + +var swap = function( elem, options, callback ) { + var ret, name, + old = {}; + + // Remember the old values, and insert the new ones + for ( name in options ) { + old[ name ] = elem.style[ name ]; + elem.style[ name ] = options[ name ]; + } + + ret = callback.call( elem ); + + // Revert the old values + for ( name in options ) { + elem.style[ name ] = old[ name ]; + } + + return ret; +}; + + +var rboxStyle = new RegExp( cssExpand.join( "|" ), "i" ); + + + +( function() { + + // Executing both pixelPosition & boxSizingReliable tests require only one layout + // so they're executed at the same time to save the second computation. + function computeStyleTests() { + + // This is a singleton, we need to execute it only once + if ( !div ) { + return; + } + + container.style.cssText = "position:absolute;left:-11111px;width:60px;" + + "margin-top:1px;padding:0;border:0"; + div.style.cssText = + "position:relative;display:block;box-sizing:border-box;overflow:scroll;" + + "margin:auto;border:1px;padding:1px;" + + "width:60%;top:1%"; + documentElement.appendChild( container ).appendChild( div ); + + var divStyle = window.getComputedStyle( div ); + pixelPositionVal = divStyle.top !== "1%"; + + // Support: Android 4.0 - 4.3 only, Firefox <=3 - 44 + reliableMarginLeftVal = roundPixelMeasures( divStyle.marginLeft ) === 12; + + // Support: Android 4.0 - 4.3 only, Safari <=9.1 - 10.1, iOS <=7.0 - 9.3 + // Some styles come back with percentage values, even though they shouldn't + div.style.right = "60%"; + pixelBoxStylesVal = roundPixelMeasures( divStyle.right ) === 36; + + // Support: IE 9 - 11 only + // Detect misreporting of content dimensions for box-sizing:border-box elements + boxSizingReliableVal = roundPixelMeasures( divStyle.width ) === 36; + + // Support: IE 9 only + // Detect overflow:scroll screwiness (gh-3699) + // Support: Chrome <=64 + // Don't get tricked when zoom affects offsetWidth (gh-4029) + div.style.position = "absolute"; + scrollboxSizeVal = roundPixelMeasures( div.offsetWidth / 3 ) === 12; + + documentElement.removeChild( container ); + + // Nullify the div so it wouldn't be stored in the memory and + // it will also be a sign that checks already performed + div = null; + } + + function roundPixelMeasures( measure ) { + return Math.round( parseFloat( measure ) ); + } + + var pixelPositionVal, boxSizingReliableVal, scrollboxSizeVal, pixelBoxStylesVal, + reliableTrDimensionsVal, reliableMarginLeftVal, + container = document.createElement( "div" ), + div = document.createElement( "div" ); + + // Finish early in limited (non-browser) environments + if ( !div.style ) { + return; + } + + // Support: IE <=9 - 11 only + // Style of cloned element affects source element cloned (#8908) + div.style.backgroundClip = "content-box"; + div.cloneNode( true ).style.backgroundClip = ""; + support.clearCloneStyle = div.style.backgroundClip === "content-box"; + + jQuery.extend( support, { + boxSizingReliable: function() { + computeStyleTests(); + return boxSizingReliableVal; + }, + pixelBoxStyles: function() { + computeStyleTests(); + return pixelBoxStylesVal; + }, + pixelPosition: function() { + computeStyleTests(); + return pixelPositionVal; + }, + reliableMarginLeft: function() { + computeStyleTests(); + return reliableMarginLeftVal; + }, + scrollboxSize: function() { + computeStyleTests(); + return scrollboxSizeVal; + }, + + // Support: IE 9 - 11+, Edge 15 - 18+ + // IE/Edge misreport `getComputedStyle` of table rows with width/height + // set in CSS while `offset*` properties report correct values. + // Behavior in IE 9 is more subtle than in newer versions & it passes + // some versions of this test; make sure not to make it pass there! + reliableTrDimensions: function() { + var table, tr, trChild, trStyle; + if ( reliableTrDimensionsVal == null ) { + table = document.createElement( "table" ); + tr = document.createElement( "tr" ); + trChild = document.createElement( "div" ); + + table.style.cssText = "position:absolute;left:-11111px"; + tr.style.height = "1px"; + trChild.style.height = "9px"; + + documentElement + .appendChild( table ) + .appendChild( tr ) + .appendChild( trChild ); + + trStyle = window.getComputedStyle( tr ); + reliableTrDimensionsVal = parseInt( trStyle.height ) > 3; + + documentElement.removeChild( table ); + } + return reliableTrDimensionsVal; + } + } ); +} )(); + + +function curCSS( elem, name, computed ) { + var width, minWidth, maxWidth, ret, + + // Support: Firefox 51+ + // Retrieving style before computed somehow + // fixes an issue with getting wrong values + // on detached elements + style = elem.style; + + computed = computed || getStyles( elem ); + + // getPropertyValue is needed for: + // .css('filter') (IE 9 only, #12537) + // .css('--customProperty) (#3144) + if ( computed ) { + ret = computed.getPropertyValue( name ) || computed[ name ]; + + if ( ret === "" && !isAttached( elem ) ) { + ret = jQuery.style( elem, name ); + } + + // A tribute to the "awesome hack by Dean Edwards" + // Android Browser returns percentage for some values, + // but width seems to be reliably pixels. + // This is against the CSSOM draft spec: + // https://drafts.csswg.org/cssom/#resolved-values + if ( !support.pixelBoxStyles() && rnumnonpx.test( ret ) && rboxStyle.test( name ) ) { + + // Remember the original values + width = style.width; + minWidth = style.minWidth; + maxWidth = style.maxWidth; + + // Put in the new values to get a computed value out + style.minWidth = style.maxWidth = style.width = ret; + ret = computed.width; + + // Revert the changed values + style.width = width; + style.minWidth = minWidth; + style.maxWidth = maxWidth; + } + } + + return ret !== undefined ? + + // Support: IE <=9 - 11 only + // IE returns zIndex value as an integer. + ret + "" : + ret; +} + + +function addGetHookIf( conditionFn, hookFn ) { + + // Define the hook, we'll check on the first run if it's really needed. + return { + get: function() { + if ( conditionFn() ) { + + // Hook not needed (or it's not possible to use it due + // to missing dependency), remove it. + delete this.get; + return; + } + + // Hook needed; redefine it so that the support test is not executed again. + return ( this.get = hookFn ).apply( this, arguments ); + } + }; +} + + +var cssPrefixes = [ "Webkit", "Moz", "ms" ], + emptyStyle = document.createElement( "div" ).style, + vendorProps = {}; + +// Return a vendor-prefixed property or undefined +function vendorPropName( name ) { + + // Check for vendor prefixed names + var capName = name[ 0 ].toUpperCase() + name.slice( 1 ), + i = cssPrefixes.length; + + while ( i-- ) { + name = cssPrefixes[ i ] + capName; + if ( name in emptyStyle ) { + return name; + } + } +} + +// Return a potentially-mapped jQuery.cssProps or vendor prefixed property +function finalPropName( name ) { + var final = jQuery.cssProps[ name ] || vendorProps[ name ]; + + if ( final ) { + return final; + } + if ( name in emptyStyle ) { + return name; + } + return vendorProps[ name ] = vendorPropName( name ) || name; +} + + +var + + // Swappable if display is none or starts with table + // except "table", "table-cell", or "table-caption" + // See here for display values: https://developer.mozilla.org/en-US/docs/CSS/display + rdisplayswap = /^(none|table(?!-c[ea]).+)/, + rcustomProp = /^--/, + cssShow = { position: "absolute", visibility: "hidden", display: "block" }, + cssNormalTransform = { + letterSpacing: "0", + fontWeight: "400" + }; + +function setPositiveNumber( _elem, value, subtract ) { + + // Any relative (+/-) values have already been + // normalized at this point + var matches = rcssNum.exec( value ); + return matches ? + + // Guard against undefined "subtract", e.g., when used as in cssHooks + Math.max( 0, matches[ 2 ] - ( subtract || 0 ) ) + ( matches[ 3 ] || "px" ) : + value; +} + +function boxModelAdjustment( elem, dimension, box, isBorderBox, styles, computedVal ) { + var i = dimension === "width" ? 1 : 0, + extra = 0, + delta = 0; + + // Adjustment may not be necessary + if ( box === ( isBorderBox ? "border" : "content" ) ) { + return 0; + } + + for ( ; i < 4; i += 2 ) { + + // Both box models exclude margin + if ( box === "margin" ) { + delta += jQuery.css( elem, box + cssExpand[ i ], true, styles ); + } + + // If we get here with a content-box, we're seeking "padding" or "border" or "margin" + if ( !isBorderBox ) { + + // Add padding + delta += jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); + + // For "border" or "margin", add border + if ( box !== "padding" ) { + delta += jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + + // But still keep track of it otherwise + } else { + extra += jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + } + + // If we get here with a border-box (content + padding + border), we're seeking "content" or + // "padding" or "margin" + } else { + + // For "content", subtract padding + if ( box === "content" ) { + delta -= jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); + } + + // For "content" or "padding", subtract border + if ( box !== "margin" ) { + delta -= jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + } + } + } + + // Account for positive content-box scroll gutter when requested by providing computedVal + if ( !isBorderBox && computedVal >= 0 ) { + + // offsetWidth/offsetHeight is a rounded sum of content, padding, scroll gutter, and border + // Assuming integer scroll gutter, subtract the rest and round down + delta += Math.max( 0, Math.ceil( + elem[ "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ) ] - + computedVal - + delta - + extra - + 0.5 + + // If offsetWidth/offsetHeight is unknown, then we can't determine content-box scroll gutter + // Use an explicit zero to avoid NaN (gh-3964) + ) ) || 0; + } + + return delta; +} + +function getWidthOrHeight( elem, dimension, extra ) { + + // Start with computed style + var styles = getStyles( elem ), + + // To avoid forcing a reflow, only fetch boxSizing if we need it (gh-4322). + // Fake content-box until we know it's needed to know the true value. + boxSizingNeeded = !support.boxSizingReliable() || extra, + isBorderBox = boxSizingNeeded && + jQuery.css( elem, "boxSizing", false, styles ) === "border-box", + valueIsBorderBox = isBorderBox, + + val = curCSS( elem, dimension, styles ), + offsetProp = "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ); + + // Support: Firefox <=54 + // Return a confounding non-pixel value or feign ignorance, as appropriate. + if ( rnumnonpx.test( val ) ) { + if ( !extra ) { + return val; + } + val = "auto"; + } + + + // Support: IE 9 - 11 only + // Use offsetWidth/offsetHeight for when box sizing is unreliable. + // In those cases, the computed value can be trusted to be border-box. + if ( ( !support.boxSizingReliable() && isBorderBox || + + // Support: IE 10 - 11+, Edge 15 - 18+ + // IE/Edge misreport `getComputedStyle` of table rows with width/height + // set in CSS while `offset*` properties report correct values. + // Interestingly, in some cases IE 9 doesn't suffer from this issue. + !support.reliableTrDimensions() && nodeName( elem, "tr" ) || + + // Fall back to offsetWidth/offsetHeight when value is "auto" + // This happens for inline elements with no explicit setting (gh-3571) + val === "auto" || + + // Support: Android <=4.1 - 4.3 only + // Also use offsetWidth/offsetHeight for misreported inline dimensions (gh-3602) + !parseFloat( val ) && jQuery.css( elem, "display", false, styles ) === "inline" ) && + + // Make sure the element is visible & connected + elem.getClientRects().length ) { + + isBorderBox = jQuery.css( elem, "boxSizing", false, styles ) === "border-box"; + + // Where available, offsetWidth/offsetHeight approximate border box dimensions. + // Where not available (e.g., SVG), assume unreliable box-sizing and interpret the + // retrieved value as a content box dimension. + valueIsBorderBox = offsetProp in elem; + if ( valueIsBorderBox ) { + val = elem[ offsetProp ]; + } + } + + // Normalize "" and auto + val = parseFloat( val ) || 0; + + // Adjust for the element's box model + return ( val + + boxModelAdjustment( + elem, + dimension, + extra || ( isBorderBox ? "border" : "content" ), + valueIsBorderBox, + styles, + + // Provide the current computed size to request scroll gutter calculation (gh-3589) + val + ) + ) + "px"; +} + +jQuery.extend( { + + // Add in style property hooks for overriding the default + // behavior of getting and setting a style property + cssHooks: { + opacity: { + get: function( elem, computed ) { + if ( computed ) { + + // We should always get a number back from opacity + var ret = curCSS( elem, "opacity" ); + return ret === "" ? "1" : ret; + } + } + } + }, + + // Don't automatically add "px" to these possibly-unitless properties + cssNumber: { + "animationIterationCount": true, + "columnCount": true, + "fillOpacity": true, + "flexGrow": true, + "flexShrink": true, + "fontWeight": true, + "gridArea": true, + "gridColumn": true, + "gridColumnEnd": true, + "gridColumnStart": true, + "gridRow": true, + "gridRowEnd": true, + "gridRowStart": true, + "lineHeight": true, + "opacity": true, + "order": true, + "orphans": true, + "widows": true, + "zIndex": true, + "zoom": true + }, + + // Add in properties whose names you wish to fix before + // setting or getting the value + cssProps: {}, + + // Get and set the style property on a DOM Node + style: function( elem, name, value, extra ) { + + // Don't set styles on text and comment nodes + if ( !elem || elem.nodeType === 3 || elem.nodeType === 8 || !elem.style ) { + return; + } + + // Make sure that we're working with the right name + var ret, type, hooks, + origName = camelCase( name ), + isCustomProp = rcustomProp.test( name ), + style = elem.style; + + // Make sure that we're working with the right name. We don't + // want to query the value if it is a CSS custom property + // since they are user-defined. + if ( !isCustomProp ) { + name = finalPropName( origName ); + } + + // Gets hook for the prefixed version, then unprefixed version + hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; + + // Check if we're setting a value + if ( value !== undefined ) { + type = typeof value; + + // Convert "+=" or "-=" to relative numbers (#7345) + if ( type === "string" && ( ret = rcssNum.exec( value ) ) && ret[ 1 ] ) { + value = adjustCSS( elem, name, ret ); + + // Fixes bug #9237 + type = "number"; + } + + // Make sure that null and NaN values aren't set (#7116) + if ( value == null || value !== value ) { + return; + } + + // If a number was passed in, add the unit (except for certain CSS properties) + // The isCustomProp check can be removed in jQuery 4.0 when we only auto-append + // "px" to a few hardcoded values. + if ( type === "number" && !isCustomProp ) { + value += ret && ret[ 3 ] || ( jQuery.cssNumber[ origName ] ? "" : "px" ); + } + + // background-* props affect original clone's values + if ( !support.clearCloneStyle && value === "" && name.indexOf( "background" ) === 0 ) { + style[ name ] = "inherit"; + } + + // If a hook was provided, use that value, otherwise just set the specified value + if ( !hooks || !( "set" in hooks ) || + ( value = hooks.set( elem, value, extra ) ) !== undefined ) { + + if ( isCustomProp ) { + style.setProperty( name, value ); + } else { + style[ name ] = value; + } + } + + } else { + + // If a hook was provided get the non-computed value from there + if ( hooks && "get" in hooks && + ( ret = hooks.get( elem, false, extra ) ) !== undefined ) { + + return ret; + } + + // Otherwise just get the value from the style object + return style[ name ]; + } + }, + + css: function( elem, name, extra, styles ) { + var val, num, hooks, + origName = camelCase( name ), + isCustomProp = rcustomProp.test( name ); + + // Make sure that we're working with the right name. We don't + // want to modify the value if it is a CSS custom property + // since they are user-defined. + if ( !isCustomProp ) { + name = finalPropName( origName ); + } + + // Try prefixed name followed by the unprefixed name + hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; + + // If a hook was provided get the computed value from there + if ( hooks && "get" in hooks ) { + val = hooks.get( elem, true, extra ); + } + + // Otherwise, if a way to get the computed value exists, use that + if ( val === undefined ) { + val = curCSS( elem, name, styles ); + } + + // Convert "normal" to computed value + if ( val === "normal" && name in cssNormalTransform ) { + val = cssNormalTransform[ name ]; + } + + // Make numeric if forced or a qualifier was provided and val looks numeric + if ( extra === "" || extra ) { + num = parseFloat( val ); + return extra === true || isFinite( num ) ? num || 0 : val; + } + + return val; + } +} ); + +jQuery.each( [ "height", "width" ], function( _i, dimension ) { + jQuery.cssHooks[ dimension ] = { + get: function( elem, computed, extra ) { + if ( computed ) { + + // Certain elements can have dimension info if we invisibly show them + // but it must have a current display style that would benefit + return rdisplayswap.test( jQuery.css( elem, "display" ) ) && + + // Support: Safari 8+ + // Table columns in Safari have non-zero offsetWidth & zero + // getBoundingClientRect().width unless display is changed. + // Support: IE <=11 only + // Running getBoundingClientRect on a disconnected node + // in IE throws an error. + ( !elem.getClientRects().length || !elem.getBoundingClientRect().width ) ? + swap( elem, cssShow, function() { + return getWidthOrHeight( elem, dimension, extra ); + } ) : + getWidthOrHeight( elem, dimension, extra ); + } + }, + + set: function( elem, value, extra ) { + var matches, + styles = getStyles( elem ), + + // Only read styles.position if the test has a chance to fail + // to avoid forcing a reflow. + scrollboxSizeBuggy = !support.scrollboxSize() && + styles.position === "absolute", + + // To avoid forcing a reflow, only fetch boxSizing if we need it (gh-3991) + boxSizingNeeded = scrollboxSizeBuggy || extra, + isBorderBox = boxSizingNeeded && + jQuery.css( elem, "boxSizing", false, styles ) === "border-box", + subtract = extra ? + boxModelAdjustment( + elem, + dimension, + extra, + isBorderBox, + styles + ) : + 0; + + // Account for unreliable border-box dimensions by comparing offset* to computed and + // faking a content-box to get border and padding (gh-3699) + if ( isBorderBox && scrollboxSizeBuggy ) { + subtract -= Math.ceil( + elem[ "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ) ] - + parseFloat( styles[ dimension ] ) - + boxModelAdjustment( elem, dimension, "border", false, styles ) - + 0.5 + ); + } + + // Convert to pixels if value adjustment is needed + if ( subtract && ( matches = rcssNum.exec( value ) ) && + ( matches[ 3 ] || "px" ) !== "px" ) { + + elem.style[ dimension ] = value; + value = jQuery.css( elem, dimension ); + } + + return setPositiveNumber( elem, value, subtract ); + } + }; +} ); + +jQuery.cssHooks.marginLeft = addGetHookIf( support.reliableMarginLeft, + function( elem, computed ) { + if ( computed ) { + return ( parseFloat( curCSS( elem, "marginLeft" ) ) || + elem.getBoundingClientRect().left - + swap( elem, { marginLeft: 0 }, function() { + return elem.getBoundingClientRect().left; + } ) + ) + "px"; + } + } +); + +// These hooks are used by animate to expand properties +jQuery.each( { + margin: "", + padding: "", + border: "Width" +}, function( prefix, suffix ) { + jQuery.cssHooks[ prefix + suffix ] = { + expand: function( value ) { + var i = 0, + expanded = {}, + + // Assumes a single number if not a string + parts = typeof value === "string" ? value.split( " " ) : [ value ]; + + for ( ; i < 4; i++ ) { + expanded[ prefix + cssExpand[ i ] + suffix ] = + parts[ i ] || parts[ i - 2 ] || parts[ 0 ]; + } + + return expanded; + } + }; + + if ( prefix !== "margin" ) { + jQuery.cssHooks[ prefix + suffix ].set = setPositiveNumber; + } +} ); + +jQuery.fn.extend( { + css: function( name, value ) { + return access( this, function( elem, name, value ) { + var styles, len, + map = {}, + i = 0; + + if ( Array.isArray( name ) ) { + styles = getStyles( elem ); + len = name.length; + + for ( ; i < len; i++ ) { + map[ name[ i ] ] = jQuery.css( elem, name[ i ], false, styles ); + } + + return map; + } + + return value !== undefined ? + jQuery.style( elem, name, value ) : + jQuery.css( elem, name ); + }, name, value, arguments.length > 1 ); + } +} ); + + +function Tween( elem, options, prop, end, easing ) { + return new Tween.prototype.init( elem, options, prop, end, easing ); +} +jQuery.Tween = Tween; + +Tween.prototype = { + constructor: Tween, + init: function( elem, options, prop, end, easing, unit ) { + this.elem = elem; + this.prop = prop; + this.easing = easing || jQuery.easing._default; + this.options = options; + this.start = this.now = this.cur(); + this.end = end; + this.unit = unit || ( jQuery.cssNumber[ prop ] ? "" : "px" ); + }, + cur: function() { + var hooks = Tween.propHooks[ this.prop ]; + + return hooks && hooks.get ? + hooks.get( this ) : + Tween.propHooks._default.get( this ); + }, + run: function( percent ) { + var eased, + hooks = Tween.propHooks[ this.prop ]; + + if ( this.options.duration ) { + this.pos = eased = jQuery.easing[ this.easing ]( + percent, this.options.duration * percent, 0, 1, this.options.duration + ); + } else { + this.pos = eased = percent; + } + this.now = ( this.end - this.start ) * eased + this.start; + + if ( this.options.step ) { + this.options.step.call( this.elem, this.now, this ); + } + + if ( hooks && hooks.set ) { + hooks.set( this ); + } else { + Tween.propHooks._default.set( this ); + } + return this; + } +}; + +Tween.prototype.init.prototype = Tween.prototype; + +Tween.propHooks = { + _default: { + get: function( tween ) { + var result; + + // Use a property on the element directly when it is not a DOM element, + // or when there is no matching style property that exists. + if ( tween.elem.nodeType !== 1 || + tween.elem[ tween.prop ] != null && tween.elem.style[ tween.prop ] == null ) { + return tween.elem[ tween.prop ]; + } + + // Passing an empty string as a 3rd parameter to .css will automatically + // attempt a parseFloat and fallback to a string if the parse fails. + // Simple values such as "10px" are parsed to Float; + // complex values such as "rotate(1rad)" are returned as-is. + result = jQuery.css( tween.elem, tween.prop, "" ); + + // Empty strings, null, undefined and "auto" are converted to 0. + return !result || result === "auto" ? 0 : result; + }, + set: function( tween ) { + + // Use step hook for back compat. + // Use cssHook if its there. + // Use .style if available and use plain properties where available. + if ( jQuery.fx.step[ tween.prop ] ) { + jQuery.fx.step[ tween.prop ]( tween ); + } else if ( tween.elem.nodeType === 1 && ( + jQuery.cssHooks[ tween.prop ] || + tween.elem.style[ finalPropName( tween.prop ) ] != null ) ) { + jQuery.style( tween.elem, tween.prop, tween.now + tween.unit ); + } else { + tween.elem[ tween.prop ] = tween.now; + } + } + } +}; + +// Support: IE <=9 only +// Panic based approach to setting things on disconnected nodes +Tween.propHooks.scrollTop = Tween.propHooks.scrollLeft = { + set: function( tween ) { + if ( tween.elem.nodeType && tween.elem.parentNode ) { + tween.elem[ tween.prop ] = tween.now; + } + } +}; + +jQuery.easing = { + linear: function( p ) { + return p; + }, + swing: function( p ) { + return 0.5 - Math.cos( p * Math.PI ) / 2; + }, + _default: "swing" +}; + +jQuery.fx = Tween.prototype.init; + +// Back compat <1.8 extension point +jQuery.fx.step = {}; + + + + +var + fxNow, inProgress, + rfxtypes = /^(?:toggle|show|hide)$/, + rrun = /queueHooks$/; + +function schedule() { + if ( inProgress ) { + if ( document.hidden === false && window.requestAnimationFrame ) { + window.requestAnimationFrame( schedule ); + } else { + window.setTimeout( schedule, jQuery.fx.interval ); + } + + jQuery.fx.tick(); + } +} + +// Animations created synchronously will run synchronously +function createFxNow() { + window.setTimeout( function() { + fxNow = undefined; + } ); + return ( fxNow = Date.now() ); +} + +// Generate parameters to create a standard animation +function genFx( type, includeWidth ) { + var which, + i = 0, + attrs = { height: type }; + + // If we include width, step value is 1 to do all cssExpand values, + // otherwise step value is 2 to skip over Left and Right + includeWidth = includeWidth ? 1 : 0; + for ( ; i < 4; i += 2 - includeWidth ) { + which = cssExpand[ i ]; + attrs[ "margin" + which ] = attrs[ "padding" + which ] = type; + } + + if ( includeWidth ) { + attrs.opacity = attrs.width = type; + } + + return attrs; +} + +function createTween( value, prop, animation ) { + var tween, + collection = ( Animation.tweeners[ prop ] || [] ).concat( Animation.tweeners[ "*" ] ), + index = 0, + length = collection.length; + for ( ; index < length; index++ ) { + if ( ( tween = collection[ index ].call( animation, prop, value ) ) ) { + + // We're done with this property + return tween; + } + } +} + +function defaultPrefilter( elem, props, opts ) { + var prop, value, toggle, hooks, oldfire, propTween, restoreDisplay, display, + isBox = "width" in props || "height" in props, + anim = this, + orig = {}, + style = elem.style, + hidden = elem.nodeType && isHiddenWithinTree( elem ), + dataShow = dataPriv.get( elem, "fxshow" ); + + // Queue-skipping animations hijack the fx hooks + if ( !opts.queue ) { + hooks = jQuery._queueHooks( elem, "fx" ); + if ( hooks.unqueued == null ) { + hooks.unqueued = 0; + oldfire = hooks.empty.fire; + hooks.empty.fire = function() { + if ( !hooks.unqueued ) { + oldfire(); + } + }; + } + hooks.unqueued++; + + anim.always( function() { + + // Ensure the complete handler is called before this completes + anim.always( function() { + hooks.unqueued--; + if ( !jQuery.queue( elem, "fx" ).length ) { + hooks.empty.fire(); + } + } ); + } ); + } + + // Detect show/hide animations + for ( prop in props ) { + value = props[ prop ]; + if ( rfxtypes.test( value ) ) { + delete props[ prop ]; + toggle = toggle || value === "toggle"; + if ( value === ( hidden ? "hide" : "show" ) ) { + + // Pretend to be hidden if this is a "show" and + // there is still data from a stopped show/hide + if ( value === "show" && dataShow && dataShow[ prop ] !== undefined ) { + hidden = true; + + // Ignore all other no-op show/hide data + } else { + continue; + } + } + orig[ prop ] = dataShow && dataShow[ prop ] || jQuery.style( elem, prop ); + } + } + + // Bail out if this is a no-op like .hide().hide() + propTween = !jQuery.isEmptyObject( props ); + if ( !propTween && jQuery.isEmptyObject( orig ) ) { + return; + } + + // Restrict "overflow" and "display" styles during box animations + if ( isBox && elem.nodeType === 1 ) { + + // Support: IE <=9 - 11, Edge 12 - 15 + // Record all 3 overflow attributes because IE does not infer the shorthand + // from identically-valued overflowX and overflowY and Edge just mirrors + // the overflowX value there. + opts.overflow = [ style.overflow, style.overflowX, style.overflowY ]; + + // Identify a display type, preferring old show/hide data over the CSS cascade + restoreDisplay = dataShow && dataShow.display; + if ( restoreDisplay == null ) { + restoreDisplay = dataPriv.get( elem, "display" ); + } + display = jQuery.css( elem, "display" ); + if ( display === "none" ) { + if ( restoreDisplay ) { + display = restoreDisplay; + } else { + + // Get nonempty value(s) by temporarily forcing visibility + showHide( [ elem ], true ); + restoreDisplay = elem.style.display || restoreDisplay; + display = jQuery.css( elem, "display" ); + showHide( [ elem ] ); + } + } + + // Animate inline elements as inline-block + if ( display === "inline" || display === "inline-block" && restoreDisplay != null ) { + if ( jQuery.css( elem, "float" ) === "none" ) { + + // Restore the original display value at the end of pure show/hide animations + if ( !propTween ) { + anim.done( function() { + style.display = restoreDisplay; + } ); + if ( restoreDisplay == null ) { + display = style.display; + restoreDisplay = display === "none" ? "" : display; + } + } + style.display = "inline-block"; + } + } + } + + if ( opts.overflow ) { + style.overflow = "hidden"; + anim.always( function() { + style.overflow = opts.overflow[ 0 ]; + style.overflowX = opts.overflow[ 1 ]; + style.overflowY = opts.overflow[ 2 ]; + } ); + } + + // Implement show/hide animations + propTween = false; + for ( prop in orig ) { + + // General show/hide setup for this element animation + if ( !propTween ) { + if ( dataShow ) { + if ( "hidden" in dataShow ) { + hidden = dataShow.hidden; + } + } else { + dataShow = dataPriv.access( elem, "fxshow", { display: restoreDisplay } ); + } + + // Store hidden/visible for toggle so `.stop().toggle()` "reverses" + if ( toggle ) { + dataShow.hidden = !hidden; + } + + // Show elements before animating them + if ( hidden ) { + showHide( [ elem ], true ); + } + + /* eslint-disable no-loop-func */ + + anim.done( function() { + + /* eslint-enable no-loop-func */ + + // The final step of a "hide" animation is actually hiding the element + if ( !hidden ) { + showHide( [ elem ] ); + } + dataPriv.remove( elem, "fxshow" ); + for ( prop in orig ) { + jQuery.style( elem, prop, orig[ prop ] ); + } + } ); + } + + // Per-property setup + propTween = createTween( hidden ? dataShow[ prop ] : 0, prop, anim ); + if ( !( prop in dataShow ) ) { + dataShow[ prop ] = propTween.start; + if ( hidden ) { + propTween.end = propTween.start; + propTween.start = 0; + } + } + } +} + +function propFilter( props, specialEasing ) { + var index, name, easing, value, hooks; + + // camelCase, specialEasing and expand cssHook pass + for ( index in props ) { + name = camelCase( index ); + easing = specialEasing[ name ]; + value = props[ index ]; + if ( Array.isArray( value ) ) { + easing = value[ 1 ]; + value = props[ index ] = value[ 0 ]; + } + + if ( index !== name ) { + props[ name ] = value; + delete props[ index ]; + } + + hooks = jQuery.cssHooks[ name ]; + if ( hooks && "expand" in hooks ) { + value = hooks.expand( value ); + delete props[ name ]; + + // Not quite $.extend, this won't overwrite existing keys. + // Reusing 'index' because we have the correct "name" + for ( index in value ) { + if ( !( index in props ) ) { + props[ index ] = value[ index ]; + specialEasing[ index ] = easing; + } + } + } else { + specialEasing[ name ] = easing; + } + } +} + +function Animation( elem, properties, options ) { + var result, + stopped, + index = 0, + length = Animation.prefilters.length, + deferred = jQuery.Deferred().always( function() { + + // Don't match elem in the :animated selector + delete tick.elem; + } ), + tick = function() { + if ( stopped ) { + return false; + } + var currentTime = fxNow || createFxNow(), + remaining = Math.max( 0, animation.startTime + animation.duration - currentTime ), + + // Support: Android 2.3 only + // Archaic crash bug won't allow us to use `1 - ( 0.5 || 0 )` (#12497) + temp = remaining / animation.duration || 0, + percent = 1 - temp, + index = 0, + length = animation.tweens.length; + + for ( ; index < length; index++ ) { + animation.tweens[ index ].run( percent ); + } + + deferred.notifyWith( elem, [ animation, percent, remaining ] ); + + // If there's more to do, yield + if ( percent < 1 && length ) { + return remaining; + } + + // If this was an empty animation, synthesize a final progress notification + if ( !length ) { + deferred.notifyWith( elem, [ animation, 1, 0 ] ); + } + + // Resolve the animation and report its conclusion + deferred.resolveWith( elem, [ animation ] ); + return false; + }, + animation = deferred.promise( { + elem: elem, + props: jQuery.extend( {}, properties ), + opts: jQuery.extend( true, { + specialEasing: {}, + easing: jQuery.easing._default + }, options ), + originalProperties: properties, + originalOptions: options, + startTime: fxNow || createFxNow(), + duration: options.duration, + tweens: [], + createTween: function( prop, end ) { + var tween = jQuery.Tween( elem, animation.opts, prop, end, + animation.opts.specialEasing[ prop ] || animation.opts.easing ); + animation.tweens.push( tween ); + return tween; + }, + stop: function( gotoEnd ) { + var index = 0, + + // If we are going to the end, we want to run all the tweens + // otherwise we skip this part + length = gotoEnd ? animation.tweens.length : 0; + if ( stopped ) { + return this; + } + stopped = true; + for ( ; index < length; index++ ) { + animation.tweens[ index ].run( 1 ); + } + + // Resolve when we played the last frame; otherwise, reject + if ( gotoEnd ) { + deferred.notifyWith( elem, [ animation, 1, 0 ] ); + deferred.resolveWith( elem, [ animation, gotoEnd ] ); + } else { + deferred.rejectWith( elem, [ animation, gotoEnd ] ); + } + return this; + } + } ), + props = animation.props; + + propFilter( props, animation.opts.specialEasing ); + + for ( ; index < length; index++ ) { + result = Animation.prefilters[ index ].call( animation, elem, props, animation.opts ); + if ( result ) { + if ( isFunction( result.stop ) ) { + jQuery._queueHooks( animation.elem, animation.opts.queue ).stop = + result.stop.bind( result ); + } + return result; + } + } + + jQuery.map( props, createTween, animation ); + + if ( isFunction( animation.opts.start ) ) { + animation.opts.start.call( elem, animation ); + } + + // Attach callbacks from options + animation + .progress( animation.opts.progress ) + .done( animation.opts.done, animation.opts.complete ) + .fail( animation.opts.fail ) + .always( animation.opts.always ); + + jQuery.fx.timer( + jQuery.extend( tick, { + elem: elem, + anim: animation, + queue: animation.opts.queue + } ) + ); + + return animation; +} + +jQuery.Animation = jQuery.extend( Animation, { + + tweeners: { + "*": [ function( prop, value ) { + var tween = this.createTween( prop, value ); + adjustCSS( tween.elem, prop, rcssNum.exec( value ), tween ); + return tween; + } ] + }, + + tweener: function( props, callback ) { + if ( isFunction( props ) ) { + callback = props; + props = [ "*" ]; + } else { + props = props.match( rnothtmlwhite ); + } + + var prop, + index = 0, + length = props.length; + + for ( ; index < length; index++ ) { + prop = props[ index ]; + Animation.tweeners[ prop ] = Animation.tweeners[ prop ] || []; + Animation.tweeners[ prop ].unshift( callback ); + } + }, + + prefilters: [ defaultPrefilter ], + + prefilter: function( callback, prepend ) { + if ( prepend ) { + Animation.prefilters.unshift( callback ); + } else { + Animation.prefilters.push( callback ); + } + } +} ); + +jQuery.speed = function( speed, easing, fn ) { + var opt = speed && typeof speed === "object" ? jQuery.extend( {}, speed ) : { + complete: fn || !fn && easing || + isFunction( speed ) && speed, + duration: speed, + easing: fn && easing || easing && !isFunction( easing ) && easing + }; + + // Go to the end state if fx are off + if ( jQuery.fx.off ) { + opt.duration = 0; + + } else { + if ( typeof opt.duration !== "number" ) { + if ( opt.duration in jQuery.fx.speeds ) { + opt.duration = jQuery.fx.speeds[ opt.duration ]; + + } else { + opt.duration = jQuery.fx.speeds._default; + } + } + } + + // Normalize opt.queue - true/undefined/null -> "fx" + if ( opt.queue == null || opt.queue === true ) { + opt.queue = "fx"; + } + + // Queueing + opt.old = opt.complete; + + opt.complete = function() { + if ( isFunction( opt.old ) ) { + opt.old.call( this ); + } + + if ( opt.queue ) { + jQuery.dequeue( this, opt.queue ); + } + }; + + return opt; +}; + +jQuery.fn.extend( { + fadeTo: function( speed, to, easing, callback ) { + + // Show any hidden elements after setting opacity to 0 + return this.filter( isHiddenWithinTree ).css( "opacity", 0 ).show() + + // Animate to the value specified + .end().animate( { opacity: to }, speed, easing, callback ); + }, + animate: function( prop, speed, easing, callback ) { + var empty = jQuery.isEmptyObject( prop ), + optall = jQuery.speed( speed, easing, callback ), + doAnimation = function() { + + // Operate on a copy of prop so per-property easing won't be lost + var anim = Animation( this, jQuery.extend( {}, prop ), optall ); + + // Empty animations, or finishing resolves immediately + if ( empty || dataPriv.get( this, "finish" ) ) { + anim.stop( true ); + } + }; + doAnimation.finish = doAnimation; + + return empty || optall.queue === false ? + this.each( doAnimation ) : + this.queue( optall.queue, doAnimation ); + }, + stop: function( type, clearQueue, gotoEnd ) { + var stopQueue = function( hooks ) { + var stop = hooks.stop; + delete hooks.stop; + stop( gotoEnd ); + }; + + if ( typeof type !== "string" ) { + gotoEnd = clearQueue; + clearQueue = type; + type = undefined; + } + if ( clearQueue ) { + this.queue( type || "fx", [] ); + } + + return this.each( function() { + var dequeue = true, + index = type != null && type + "queueHooks", + timers = jQuery.timers, + data = dataPriv.get( this ); + + if ( index ) { + if ( data[ index ] && data[ index ].stop ) { + stopQueue( data[ index ] ); + } + } else { + for ( index in data ) { + if ( data[ index ] && data[ index ].stop && rrun.test( index ) ) { + stopQueue( data[ index ] ); + } + } + } + + for ( index = timers.length; index--; ) { + if ( timers[ index ].elem === this && + ( type == null || timers[ index ].queue === type ) ) { + + timers[ index ].anim.stop( gotoEnd ); + dequeue = false; + timers.splice( index, 1 ); + } + } + + // Start the next in the queue if the last step wasn't forced. + // Timers currently will call their complete callbacks, which + // will dequeue but only if they were gotoEnd. + if ( dequeue || !gotoEnd ) { + jQuery.dequeue( this, type ); + } + } ); + }, + finish: function( type ) { + if ( type !== false ) { + type = type || "fx"; + } + return this.each( function() { + var index, + data = dataPriv.get( this ), + queue = data[ type + "queue" ], + hooks = data[ type + "queueHooks" ], + timers = jQuery.timers, + length = queue ? queue.length : 0; + + // Enable finishing flag on private data + data.finish = true; + + // Empty the queue first + jQuery.queue( this, type, [] ); + + if ( hooks && hooks.stop ) { + hooks.stop.call( this, true ); + } + + // Look for any active animations, and finish them + for ( index = timers.length; index--; ) { + if ( timers[ index ].elem === this && timers[ index ].queue === type ) { + timers[ index ].anim.stop( true ); + timers.splice( index, 1 ); + } + } + + // Look for any animations in the old queue and finish them + for ( index = 0; index < length; index++ ) { + if ( queue[ index ] && queue[ index ].finish ) { + queue[ index ].finish.call( this ); + } + } + + // Turn off finishing flag + delete data.finish; + } ); + } +} ); + +jQuery.each( [ "toggle", "show", "hide" ], function( _i, name ) { + var cssFn = jQuery.fn[ name ]; + jQuery.fn[ name ] = function( speed, easing, callback ) { + return speed == null || typeof speed === "boolean" ? + cssFn.apply( this, arguments ) : + this.animate( genFx( name, true ), speed, easing, callback ); + }; +} ); + +// Generate shortcuts for custom animations +jQuery.each( { + slideDown: genFx( "show" ), + slideUp: genFx( "hide" ), + slideToggle: genFx( "toggle" ), + fadeIn: { opacity: "show" }, + fadeOut: { opacity: "hide" }, + fadeToggle: { opacity: "toggle" } +}, function( name, props ) { + jQuery.fn[ name ] = function( speed, easing, callback ) { + return this.animate( props, speed, easing, callback ); + }; +} ); + +jQuery.timers = []; +jQuery.fx.tick = function() { + var timer, + i = 0, + timers = jQuery.timers; + + fxNow = Date.now(); + + for ( ; i < timers.length; i++ ) { + timer = timers[ i ]; + + // Run the timer and safely remove it when done (allowing for external removal) + if ( !timer() && timers[ i ] === timer ) { + timers.splice( i--, 1 ); + } + } + + if ( !timers.length ) { + jQuery.fx.stop(); + } + fxNow = undefined; +}; + +jQuery.fx.timer = function( timer ) { + jQuery.timers.push( timer ); + jQuery.fx.start(); +}; + +jQuery.fx.interval = 13; +jQuery.fx.start = function() { + if ( inProgress ) { + return; + } + + inProgress = true; + schedule(); +}; + +jQuery.fx.stop = function() { + inProgress = null; +}; + +jQuery.fx.speeds = { + slow: 600, + fast: 200, + + // Default speed + _default: 400 +}; + + +// Based off of the plugin by Clint Helfers, with permission. +// https://web.archive.org/web/20100324014747/http://blindsignals.com/index.php/2009/07/jquery-delay/ +jQuery.fn.delay = function( time, type ) { + time = jQuery.fx ? jQuery.fx.speeds[ time ] || time : time; + type = type || "fx"; + + return this.queue( type, function( next, hooks ) { + var timeout = window.setTimeout( next, time ); + hooks.stop = function() { + window.clearTimeout( timeout ); + }; + } ); +}; + + +( function() { + var input = document.createElement( "input" ), + select = document.createElement( "select" ), + opt = select.appendChild( document.createElement( "option" ) ); + + input.type = "checkbox"; + + // Support: Android <=4.3 only + // Default value for a checkbox should be "on" + support.checkOn = input.value !== ""; + + // Support: IE <=11 only + // Must access selectedIndex to make default options select + support.optSelected = opt.selected; + + // Support: IE <=11 only + // An input loses its value after becoming a radio + input = document.createElement( "input" ); + input.value = "t"; + input.type = "radio"; + support.radioValue = input.value === "t"; +} )(); + + +var boolHook, + attrHandle = jQuery.expr.attrHandle; + +jQuery.fn.extend( { + attr: function( name, value ) { + return access( this, jQuery.attr, name, value, arguments.length > 1 ); + }, + + removeAttr: function( name ) { + return this.each( function() { + jQuery.removeAttr( this, name ); + } ); + } +} ); + +jQuery.extend( { + attr: function( elem, name, value ) { + var ret, hooks, + nType = elem.nodeType; + + // Don't get/set attributes on text, comment and attribute nodes + if ( nType === 3 || nType === 8 || nType === 2 ) { + return; + } + + // Fallback to prop when attributes are not supported + if ( typeof elem.getAttribute === "undefined" ) { + return jQuery.prop( elem, name, value ); + } + + // Attribute hooks are determined by the lowercase version + // Grab necessary hook if one is defined + if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { + hooks = jQuery.attrHooks[ name.toLowerCase() ] || + ( jQuery.expr.match.bool.test( name ) ? boolHook : undefined ); + } + + if ( value !== undefined ) { + if ( value === null ) { + jQuery.removeAttr( elem, name ); + return; + } + + if ( hooks && "set" in hooks && + ( ret = hooks.set( elem, value, name ) ) !== undefined ) { + return ret; + } + + elem.setAttribute( name, value + "" ); + return value; + } + + if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { + return ret; + } + + ret = jQuery.find.attr( elem, name ); + + // Non-existent attributes return null, we normalize to undefined + return ret == null ? undefined : ret; + }, + + attrHooks: { + type: { + set: function( elem, value ) { + if ( !support.radioValue && value === "radio" && + nodeName( elem, "input" ) ) { + var val = elem.value; + elem.setAttribute( "type", value ); + if ( val ) { + elem.value = val; + } + return value; + } + } + } + }, + + removeAttr: function( elem, value ) { + var name, + i = 0, + + // Attribute names can contain non-HTML whitespace characters + // https://html.spec.whatwg.org/multipage/syntax.html#attributes-2 + attrNames = value && value.match( rnothtmlwhite ); + + if ( attrNames && elem.nodeType === 1 ) { + while ( ( name = attrNames[ i++ ] ) ) { + elem.removeAttribute( name ); + } + } + } +} ); + +// Hooks for boolean attributes +boolHook = { + set: function( elem, value, name ) { + if ( value === false ) { + + // Remove boolean attributes when set to false + jQuery.removeAttr( elem, name ); + } else { + elem.setAttribute( name, name ); + } + return name; + } +}; + +jQuery.each( jQuery.expr.match.bool.source.match( /\w+/g ), function( _i, name ) { + var getter = attrHandle[ name ] || jQuery.find.attr; + + attrHandle[ name ] = function( elem, name, isXML ) { + var ret, handle, + lowercaseName = name.toLowerCase(); + + if ( !isXML ) { + + // Avoid an infinite loop by temporarily removing this function from the getter + handle = attrHandle[ lowercaseName ]; + attrHandle[ lowercaseName ] = ret; + ret = getter( elem, name, isXML ) != null ? + lowercaseName : + null; + attrHandle[ lowercaseName ] = handle; + } + return ret; + }; +} ); + + + + +var rfocusable = /^(?:input|select|textarea|button)$/i, + rclickable = /^(?:a|area)$/i; + +jQuery.fn.extend( { + prop: function( name, value ) { + return access( this, jQuery.prop, name, value, arguments.length > 1 ); + }, + + removeProp: function( name ) { + return this.each( function() { + delete this[ jQuery.propFix[ name ] || name ]; + } ); + } +} ); + +jQuery.extend( { + prop: function( elem, name, value ) { + var ret, hooks, + nType = elem.nodeType; + + // Don't get/set properties on text, comment and attribute nodes + if ( nType === 3 || nType === 8 || nType === 2 ) { + return; + } + + if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { + + // Fix name and attach hooks + name = jQuery.propFix[ name ] || name; + hooks = jQuery.propHooks[ name ]; + } + + if ( value !== undefined ) { + if ( hooks && "set" in hooks && + ( ret = hooks.set( elem, value, name ) ) !== undefined ) { + return ret; + } + + return ( elem[ name ] = value ); + } + + if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { + return ret; + } + + return elem[ name ]; + }, + + propHooks: { + tabIndex: { + get: function( elem ) { + + // Support: IE <=9 - 11 only + // elem.tabIndex doesn't always return the + // correct value when it hasn't been explicitly set + // https://web.archive.org/web/20141116233347/http://fluidproject.org/blog/2008/01/09/getting-setting-and-removing-tabindex-values-with-javascript/ + // Use proper attribute retrieval(#12072) + var tabindex = jQuery.find.attr( elem, "tabindex" ); + + if ( tabindex ) { + return parseInt( tabindex, 10 ); + } + + if ( + rfocusable.test( elem.nodeName ) || + rclickable.test( elem.nodeName ) && + elem.href + ) { + return 0; + } + + return -1; + } + } + }, + + propFix: { + "for": "htmlFor", + "class": "className" + } +} ); + +// Support: IE <=11 only +// Accessing the selectedIndex property +// forces the browser to respect setting selected +// on the option +// The getter ensures a default option is selected +// when in an optgroup +// eslint rule "no-unused-expressions" is disabled for this code +// since it considers such accessions noop +if ( !support.optSelected ) { + jQuery.propHooks.selected = { + get: function( elem ) { + + /* eslint no-unused-expressions: "off" */ + + var parent = elem.parentNode; + if ( parent && parent.parentNode ) { + parent.parentNode.selectedIndex; + } + return null; + }, + set: function( elem ) { + + /* eslint no-unused-expressions: "off" */ + + var parent = elem.parentNode; + if ( parent ) { + parent.selectedIndex; + + if ( parent.parentNode ) { + parent.parentNode.selectedIndex; + } + } + } + }; +} + +jQuery.each( [ + "tabIndex", + "readOnly", + "maxLength", + "cellSpacing", + "cellPadding", + "rowSpan", + "colSpan", + "useMap", + "frameBorder", + "contentEditable" +], function() { + jQuery.propFix[ this.toLowerCase() ] = this; +} ); + + + + + // Strip and collapse whitespace according to HTML spec + // https://infra.spec.whatwg.org/#strip-and-collapse-ascii-whitespace + function stripAndCollapse( value ) { + var tokens = value.match( rnothtmlwhite ) || []; + return tokens.join( " " ); + } + + +function getClass( elem ) { + return elem.getAttribute && elem.getAttribute( "class" ) || ""; +} + +function classesToArray( value ) { + if ( Array.isArray( value ) ) { + return value; + } + if ( typeof value === "string" ) { + return value.match( rnothtmlwhite ) || []; + } + return []; +} + +jQuery.fn.extend( { + addClass: function( value ) { + var classes, elem, cur, curValue, clazz, j, finalValue, + i = 0; + + if ( isFunction( value ) ) { + return this.each( function( j ) { + jQuery( this ).addClass( value.call( this, j, getClass( this ) ) ); + } ); + } + + classes = classesToArray( value ); + + if ( classes.length ) { + while ( ( elem = this[ i++ ] ) ) { + curValue = getClass( elem ); + cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); + + if ( cur ) { + j = 0; + while ( ( clazz = classes[ j++ ] ) ) { + if ( cur.indexOf( " " + clazz + " " ) < 0 ) { + cur += clazz + " "; + } + } + + // Only assign if different to avoid unneeded rendering. + finalValue = stripAndCollapse( cur ); + if ( curValue !== finalValue ) { + elem.setAttribute( "class", finalValue ); + } + } + } + } + + return this; + }, + + removeClass: function( value ) { + var classes, elem, cur, curValue, clazz, j, finalValue, + i = 0; + + if ( isFunction( value ) ) { + return this.each( function( j ) { + jQuery( this ).removeClass( value.call( this, j, getClass( this ) ) ); + } ); + } + + if ( !arguments.length ) { + return this.attr( "class", "" ); + } + + classes = classesToArray( value ); + + if ( classes.length ) { + while ( ( elem = this[ i++ ] ) ) { + curValue = getClass( elem ); + + // This expression is here for better compressibility (see addClass) + cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); + + if ( cur ) { + j = 0; + while ( ( clazz = classes[ j++ ] ) ) { + + // Remove *all* instances + while ( cur.indexOf( " " + clazz + " " ) > -1 ) { + cur = cur.replace( " " + clazz + " ", " " ); + } + } + + // Only assign if different to avoid unneeded rendering. + finalValue = stripAndCollapse( cur ); + if ( curValue !== finalValue ) { + elem.setAttribute( "class", finalValue ); + } + } + } + } + + return this; + }, + + toggleClass: function( value, stateVal ) { + var type = typeof value, + isValidValue = type === "string" || Array.isArray( value ); + + if ( typeof stateVal === "boolean" && isValidValue ) { + return stateVal ? this.addClass( value ) : this.removeClass( value ); + } + + if ( isFunction( value ) ) { + return this.each( function( i ) { + jQuery( this ).toggleClass( + value.call( this, i, getClass( this ), stateVal ), + stateVal + ); + } ); + } + + return this.each( function() { + var className, i, self, classNames; + + if ( isValidValue ) { + + // Toggle individual class names + i = 0; + self = jQuery( this ); + classNames = classesToArray( value ); + + while ( ( className = classNames[ i++ ] ) ) { + + // Check each className given, space separated list + if ( self.hasClass( className ) ) { + self.removeClass( className ); + } else { + self.addClass( className ); + } + } + + // Toggle whole class name + } else if ( value === undefined || type === "boolean" ) { + className = getClass( this ); + if ( className ) { + + // Store className if set + dataPriv.set( this, "__className__", className ); + } + + // If the element has a class name or if we're passed `false`, + // then remove the whole classname (if there was one, the above saved it). + // Otherwise bring back whatever was previously saved (if anything), + // falling back to the empty string if nothing was stored. + if ( this.setAttribute ) { + this.setAttribute( "class", + className || value === false ? + "" : + dataPriv.get( this, "__className__" ) || "" + ); + } + } + } ); + }, + + hasClass: function( selector ) { + var className, elem, + i = 0; + + className = " " + selector + " "; + while ( ( elem = this[ i++ ] ) ) { + if ( elem.nodeType === 1 && + ( " " + stripAndCollapse( getClass( elem ) ) + " " ).indexOf( className ) > -1 ) { + return true; + } + } + + return false; + } +} ); + + + + +var rreturn = /\r/g; + +jQuery.fn.extend( { + val: function( value ) { + var hooks, ret, valueIsFunction, + elem = this[ 0 ]; + + if ( !arguments.length ) { + if ( elem ) { + hooks = jQuery.valHooks[ elem.type ] || + jQuery.valHooks[ elem.nodeName.toLowerCase() ]; + + if ( hooks && + "get" in hooks && + ( ret = hooks.get( elem, "value" ) ) !== undefined + ) { + return ret; + } + + ret = elem.value; + + // Handle most common string cases + if ( typeof ret === "string" ) { + return ret.replace( rreturn, "" ); + } + + // Handle cases where value is null/undef or number + return ret == null ? "" : ret; + } + + return; + } + + valueIsFunction = isFunction( value ); + + return this.each( function( i ) { + var val; + + if ( this.nodeType !== 1 ) { + return; + } + + if ( valueIsFunction ) { + val = value.call( this, i, jQuery( this ).val() ); + } else { + val = value; + } + + // Treat null/undefined as ""; convert numbers to string + if ( val == null ) { + val = ""; + + } else if ( typeof val === "number" ) { + val += ""; + + } else if ( Array.isArray( val ) ) { + val = jQuery.map( val, function( value ) { + return value == null ? "" : value + ""; + } ); + } + + hooks = jQuery.valHooks[ this.type ] || jQuery.valHooks[ this.nodeName.toLowerCase() ]; + + // If set returns undefined, fall back to normal setting + if ( !hooks || !( "set" in hooks ) || hooks.set( this, val, "value" ) === undefined ) { + this.value = val; + } + } ); + } +} ); + +jQuery.extend( { + valHooks: { + option: { + get: function( elem ) { + + var val = jQuery.find.attr( elem, "value" ); + return val != null ? + val : + + // Support: IE <=10 - 11 only + // option.text throws exceptions (#14686, #14858) + // Strip and collapse whitespace + // https://html.spec.whatwg.org/#strip-and-collapse-whitespace + stripAndCollapse( jQuery.text( elem ) ); + } + }, + select: { + get: function( elem ) { + var value, option, i, + options = elem.options, + index = elem.selectedIndex, + one = elem.type === "select-one", + values = one ? null : [], + max = one ? index + 1 : options.length; + + if ( index < 0 ) { + i = max; + + } else { + i = one ? index : 0; + } + + // Loop through all the selected options + for ( ; i < max; i++ ) { + option = options[ i ]; + + // Support: IE <=9 only + // IE8-9 doesn't update selected after form reset (#2551) + if ( ( option.selected || i === index ) && + + // Don't return options that are disabled or in a disabled optgroup + !option.disabled && + ( !option.parentNode.disabled || + !nodeName( option.parentNode, "optgroup" ) ) ) { + + // Get the specific value for the option + value = jQuery( option ).val(); + + // We don't need an array for one selects + if ( one ) { + return value; + } + + // Multi-Selects return an array + values.push( value ); + } + } + + return values; + }, + + set: function( elem, value ) { + var optionSet, option, + options = elem.options, + values = jQuery.makeArray( value ), + i = options.length; + + while ( i-- ) { + option = options[ i ]; + + /* eslint-disable no-cond-assign */ + + if ( option.selected = + jQuery.inArray( jQuery.valHooks.option.get( option ), values ) > -1 + ) { + optionSet = true; + } + + /* eslint-enable no-cond-assign */ + } + + // Force browsers to behave consistently when non-matching value is set + if ( !optionSet ) { + elem.selectedIndex = -1; + } + return values; + } + } + } +} ); + +// Radios and checkboxes getter/setter +jQuery.each( [ "radio", "checkbox" ], function() { + jQuery.valHooks[ this ] = { + set: function( elem, value ) { + if ( Array.isArray( value ) ) { + return ( elem.checked = jQuery.inArray( jQuery( elem ).val(), value ) > -1 ); + } + } + }; + if ( !support.checkOn ) { + jQuery.valHooks[ this ].get = function( elem ) { + return elem.getAttribute( "value" ) === null ? "on" : elem.value; + }; + } +} ); + + + + +// Return jQuery for attributes-only inclusion + + +support.focusin = "onfocusin" in window; + + +var rfocusMorph = /^(?:focusinfocus|focusoutblur)$/, + stopPropagationCallback = function( e ) { + e.stopPropagation(); + }; + +jQuery.extend( jQuery.event, { + + trigger: function( event, data, elem, onlyHandlers ) { + + var i, cur, tmp, bubbleType, ontype, handle, special, lastElement, + eventPath = [ elem || document ], + type = hasOwn.call( event, "type" ) ? event.type : event, + namespaces = hasOwn.call( event, "namespace" ) ? event.namespace.split( "." ) : []; + + cur = lastElement = tmp = elem = elem || document; + + // Don't do events on text and comment nodes + if ( elem.nodeType === 3 || elem.nodeType === 8 ) { + return; + } + + // focus/blur morphs to focusin/out; ensure we're not firing them right now + if ( rfocusMorph.test( type + jQuery.event.triggered ) ) { + return; + } + + if ( type.indexOf( "." ) > -1 ) { + + // Namespaced trigger; create a regexp to match event type in handle() + namespaces = type.split( "." ); + type = namespaces.shift(); + namespaces.sort(); + } + ontype = type.indexOf( ":" ) < 0 && "on" + type; + + // Caller can pass in a jQuery.Event object, Object, or just an event type string + event = event[ jQuery.expando ] ? + event : + new jQuery.Event( type, typeof event === "object" && event ); + + // Trigger bitmask: & 1 for native handlers; & 2 for jQuery (always true) + event.isTrigger = onlyHandlers ? 2 : 3; + event.namespace = namespaces.join( "." ); + event.rnamespace = event.namespace ? + new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ) : + null; + + // Clean up the event in case it is being reused + event.result = undefined; + if ( !event.target ) { + event.target = elem; + } + + // Clone any incoming data and prepend the event, creating the handler arg list + data = data == null ? + [ event ] : + jQuery.makeArray( data, [ event ] ); + + // Allow special events to draw outside the lines + special = jQuery.event.special[ type ] || {}; + if ( !onlyHandlers && special.trigger && special.trigger.apply( elem, data ) === false ) { + return; + } + + // Determine event propagation path in advance, per W3C events spec (#9951) + // Bubble up to document, then to window; watch for a global ownerDocument var (#9724) + if ( !onlyHandlers && !special.noBubble && !isWindow( elem ) ) { + + bubbleType = special.delegateType || type; + if ( !rfocusMorph.test( bubbleType + type ) ) { + cur = cur.parentNode; + } + for ( ; cur; cur = cur.parentNode ) { + eventPath.push( cur ); + tmp = cur; + } + + // Only add window if we got to document (e.g., not plain obj or detached DOM) + if ( tmp === ( elem.ownerDocument || document ) ) { + eventPath.push( tmp.defaultView || tmp.parentWindow || window ); + } + } + + // Fire handlers on the event path + i = 0; + while ( ( cur = eventPath[ i++ ] ) && !event.isPropagationStopped() ) { + lastElement = cur; + event.type = i > 1 ? + bubbleType : + special.bindType || type; + + // jQuery handler + handle = ( + dataPriv.get( cur, "events" ) || Object.create( null ) + )[ event.type ] && + dataPriv.get( cur, "handle" ); + if ( handle ) { + handle.apply( cur, data ); + } + + // Native handler + handle = ontype && cur[ ontype ]; + if ( handle && handle.apply && acceptData( cur ) ) { + event.result = handle.apply( cur, data ); + if ( event.result === false ) { + event.preventDefault(); + } + } + } + event.type = type; + + // If nobody prevented the default action, do it now + if ( !onlyHandlers && !event.isDefaultPrevented() ) { + + if ( ( !special._default || + special._default.apply( eventPath.pop(), data ) === false ) && + acceptData( elem ) ) { + + // Call a native DOM method on the target with the same name as the event. + // Don't do default actions on window, that's where global variables be (#6170) + if ( ontype && isFunction( elem[ type ] ) && !isWindow( elem ) ) { + + // Don't re-trigger an onFOO event when we call its FOO() method + tmp = elem[ ontype ]; + + if ( tmp ) { + elem[ ontype ] = null; + } + + // Prevent re-triggering of the same event, since we already bubbled it above + jQuery.event.triggered = type; + + if ( event.isPropagationStopped() ) { + lastElement.addEventListener( type, stopPropagationCallback ); + } + + elem[ type ](); + + if ( event.isPropagationStopped() ) { + lastElement.removeEventListener( type, stopPropagationCallback ); + } + + jQuery.event.triggered = undefined; + + if ( tmp ) { + elem[ ontype ] = tmp; + } + } + } + } + + return event.result; + }, + + // Piggyback on a donor event to simulate a different one + // Used only for `focus(in | out)` events + simulate: function( type, elem, event ) { + var e = jQuery.extend( + new jQuery.Event(), + event, + { + type: type, + isSimulated: true + } + ); + + jQuery.event.trigger( e, null, elem ); + } + +} ); + +jQuery.fn.extend( { + + trigger: function( type, data ) { + return this.each( function() { + jQuery.event.trigger( type, data, this ); + } ); + }, + triggerHandler: function( type, data ) { + var elem = this[ 0 ]; + if ( elem ) { + return jQuery.event.trigger( type, data, elem, true ); + } + } +} ); + + +// Support: Firefox <=44 +// Firefox doesn't have focus(in | out) events +// Related ticket - https://bugzilla.mozilla.org/show_bug.cgi?id=687787 +// +// Support: Chrome <=48 - 49, Safari <=9.0 - 9.1 +// focus(in | out) events fire after focus & blur events, +// which is spec violation - http://www.w3.org/TR/DOM-Level-3-Events/#events-focusevent-event-order +// Related ticket - https://bugs.chromium.org/p/chromium/issues/detail?id=449857 +if ( !support.focusin ) { + jQuery.each( { focus: "focusin", blur: "focusout" }, function( orig, fix ) { + + // Attach a single capturing handler on the document while someone wants focusin/focusout + var handler = function( event ) { + jQuery.event.simulate( fix, event.target, jQuery.event.fix( event ) ); + }; + + jQuery.event.special[ fix ] = { + setup: function() { + + // Handle: regular nodes (via `this.ownerDocument`), window + // (via `this.document`) & document (via `this`). + var doc = this.ownerDocument || this.document || this, + attaches = dataPriv.access( doc, fix ); + + if ( !attaches ) { + doc.addEventListener( orig, handler, true ); + } + dataPriv.access( doc, fix, ( attaches || 0 ) + 1 ); + }, + teardown: function() { + var doc = this.ownerDocument || this.document || this, + attaches = dataPriv.access( doc, fix ) - 1; + + if ( !attaches ) { + doc.removeEventListener( orig, handler, true ); + dataPriv.remove( doc, fix ); + + } else { + dataPriv.access( doc, fix, attaches ); + } + } + }; + } ); +} +var location = window.location; + +var nonce = { guid: Date.now() }; + +var rquery = ( /\?/ ); + + + +// Cross-browser xml parsing +jQuery.parseXML = function( data ) { + var xml; + if ( !data || typeof data !== "string" ) { + return null; + } + + // Support: IE 9 - 11 only + // IE throws on parseFromString with invalid input. + try { + xml = ( new window.DOMParser() ).parseFromString( data, "text/xml" ); + } catch ( e ) { + xml = undefined; + } + + if ( !xml || xml.getElementsByTagName( "parsererror" ).length ) { + jQuery.error( "Invalid XML: " + data ); + } + return xml; +}; + + +var + rbracket = /\[\]$/, + rCRLF = /\r?\n/g, + rsubmitterTypes = /^(?:submit|button|image|reset|file)$/i, + rsubmittable = /^(?:input|select|textarea|keygen)/i; + +function buildParams( prefix, obj, traditional, add ) { + var name; + + if ( Array.isArray( obj ) ) { + + // Serialize array item. + jQuery.each( obj, function( i, v ) { + if ( traditional || rbracket.test( prefix ) ) { + + // Treat each array item as a scalar. + add( prefix, v ); + + } else { + + // Item is non-scalar (array or object), encode its numeric index. + buildParams( + prefix + "[" + ( typeof v === "object" && v != null ? i : "" ) + "]", + v, + traditional, + add + ); + } + } ); + + } else if ( !traditional && toType( obj ) === "object" ) { + + // Serialize object item. + for ( name in obj ) { + buildParams( prefix + "[" + name + "]", obj[ name ], traditional, add ); + } + + } else { + + // Serialize scalar item. + add( prefix, obj ); + } +} + +// Serialize an array of form elements or a set of +// key/values into a query string +jQuery.param = function( a, traditional ) { + var prefix, + s = [], + add = function( key, valueOrFunction ) { + + // If value is a function, invoke it and use its return value + var value = isFunction( valueOrFunction ) ? + valueOrFunction() : + valueOrFunction; + + s[ s.length ] = encodeURIComponent( key ) + "=" + + encodeURIComponent( value == null ? "" : value ); + }; + + if ( a == null ) { + return ""; + } + + // If an array was passed in, assume that it is an array of form elements. + if ( Array.isArray( a ) || ( a.jquery && !jQuery.isPlainObject( a ) ) ) { + + // Serialize the form elements + jQuery.each( a, function() { + add( this.name, this.value ); + } ); + + } else { + + // If traditional, encode the "old" way (the way 1.3.2 or older + // did it), otherwise encode params recursively. + for ( prefix in a ) { + buildParams( prefix, a[ prefix ], traditional, add ); + } + } + + // Return the resulting serialization + return s.join( "&" ); +}; + +jQuery.fn.extend( { + serialize: function() { + return jQuery.param( this.serializeArray() ); + }, + serializeArray: function() { + return this.map( function() { + + // Can add propHook for "elements" to filter or add form elements + var elements = jQuery.prop( this, "elements" ); + return elements ? jQuery.makeArray( elements ) : this; + } ) + .filter( function() { + var type = this.type; + + // Use .is( ":disabled" ) so that fieldset[disabled] works + return this.name && !jQuery( this ).is( ":disabled" ) && + rsubmittable.test( this.nodeName ) && !rsubmitterTypes.test( type ) && + ( this.checked || !rcheckableType.test( type ) ); + } ) + .map( function( _i, elem ) { + var val = jQuery( this ).val(); + + if ( val == null ) { + return null; + } + + if ( Array.isArray( val ) ) { + return jQuery.map( val, function( val ) { + return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; + } ); + } + + return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; + } ).get(); + } +} ); + + +var + r20 = /%20/g, + rhash = /#.*$/, + rantiCache = /([?&])_=[^&]*/, + rheaders = /^(.*?):[ \t]*([^\r\n]*)$/mg, + + // #7653, #8125, #8152: local protocol detection + rlocalProtocol = /^(?:about|app|app-storage|.+-extension|file|res|widget):$/, + rnoContent = /^(?:GET|HEAD)$/, + rprotocol = /^\/\//, + + /* Prefilters + * 1) They are useful to introduce custom dataTypes (see ajax/jsonp.js for an example) + * 2) These are called: + * - BEFORE asking for a transport + * - AFTER param serialization (s.data is a string if s.processData is true) + * 3) key is the dataType + * 4) the catchall symbol "*" can be used + * 5) execution will start with transport dataType and THEN continue down to "*" if needed + */ + prefilters = {}, + + /* Transports bindings + * 1) key is the dataType + * 2) the catchall symbol "*" can be used + * 3) selection will start with transport dataType and THEN go to "*" if needed + */ + transports = {}, + + // Avoid comment-prolog char sequence (#10098); must appease lint and evade compression + allTypes = "*/".concat( "*" ), + + // Anchor tag for parsing the document origin + originAnchor = document.createElement( "a" ); + originAnchor.href = location.href; + +// Base "constructor" for jQuery.ajaxPrefilter and jQuery.ajaxTransport +function addToPrefiltersOrTransports( structure ) { + + // dataTypeExpression is optional and defaults to "*" + return function( dataTypeExpression, func ) { + + if ( typeof dataTypeExpression !== "string" ) { + func = dataTypeExpression; + dataTypeExpression = "*"; + } + + var dataType, + i = 0, + dataTypes = dataTypeExpression.toLowerCase().match( rnothtmlwhite ) || []; + + if ( isFunction( func ) ) { + + // For each dataType in the dataTypeExpression + while ( ( dataType = dataTypes[ i++ ] ) ) { + + // Prepend if requested + if ( dataType[ 0 ] === "+" ) { + dataType = dataType.slice( 1 ) || "*"; + ( structure[ dataType ] = structure[ dataType ] || [] ).unshift( func ); + + // Otherwise append + } else { + ( structure[ dataType ] = structure[ dataType ] || [] ).push( func ); + } + } + } + }; +} + +// Base inspection function for prefilters and transports +function inspectPrefiltersOrTransports( structure, options, originalOptions, jqXHR ) { + + var inspected = {}, + seekingTransport = ( structure === transports ); + + function inspect( dataType ) { + var selected; + inspected[ dataType ] = true; + jQuery.each( structure[ dataType ] || [], function( _, prefilterOrFactory ) { + var dataTypeOrTransport = prefilterOrFactory( options, originalOptions, jqXHR ); + if ( typeof dataTypeOrTransport === "string" && + !seekingTransport && !inspected[ dataTypeOrTransport ] ) { + + options.dataTypes.unshift( dataTypeOrTransport ); + inspect( dataTypeOrTransport ); + return false; + } else if ( seekingTransport ) { + return !( selected = dataTypeOrTransport ); + } + } ); + return selected; + } + + return inspect( options.dataTypes[ 0 ] ) || !inspected[ "*" ] && inspect( "*" ); +} + +// A special extend for ajax options +// that takes "flat" options (not to be deep extended) +// Fixes #9887 +function ajaxExtend( target, src ) { + var key, deep, + flatOptions = jQuery.ajaxSettings.flatOptions || {}; + + for ( key in src ) { + if ( src[ key ] !== undefined ) { + ( flatOptions[ key ] ? target : ( deep || ( deep = {} ) ) )[ key ] = src[ key ]; + } + } + if ( deep ) { + jQuery.extend( true, target, deep ); + } + + return target; +} + +/* Handles responses to an ajax request: + * - finds the right dataType (mediates between content-type and expected dataType) + * - returns the corresponding response + */ +function ajaxHandleResponses( s, jqXHR, responses ) { + + var ct, type, finalDataType, firstDataType, + contents = s.contents, + dataTypes = s.dataTypes; + + // Remove auto dataType and get content-type in the process + while ( dataTypes[ 0 ] === "*" ) { + dataTypes.shift(); + if ( ct === undefined ) { + ct = s.mimeType || jqXHR.getResponseHeader( "Content-Type" ); + } + } + + // Check if we're dealing with a known content-type + if ( ct ) { + for ( type in contents ) { + if ( contents[ type ] && contents[ type ].test( ct ) ) { + dataTypes.unshift( type ); + break; + } + } + } + + // Check to see if we have a response for the expected dataType + if ( dataTypes[ 0 ] in responses ) { + finalDataType = dataTypes[ 0 ]; + } else { + + // Try convertible dataTypes + for ( type in responses ) { + if ( !dataTypes[ 0 ] || s.converters[ type + " " + dataTypes[ 0 ] ] ) { + finalDataType = type; + break; + } + if ( !firstDataType ) { + firstDataType = type; + } + } + + // Or just use first one + finalDataType = finalDataType || firstDataType; + } + + // If we found a dataType + // We add the dataType to the list if needed + // and return the corresponding response + if ( finalDataType ) { + if ( finalDataType !== dataTypes[ 0 ] ) { + dataTypes.unshift( finalDataType ); + } + return responses[ finalDataType ]; + } +} + +/* Chain conversions given the request and the original response + * Also sets the responseXXX fields on the jqXHR instance + */ +function ajaxConvert( s, response, jqXHR, isSuccess ) { + var conv2, current, conv, tmp, prev, + converters = {}, + + // Work with a copy of dataTypes in case we need to modify it for conversion + dataTypes = s.dataTypes.slice(); + + // Create converters map with lowercased keys + if ( dataTypes[ 1 ] ) { + for ( conv in s.converters ) { + converters[ conv.toLowerCase() ] = s.converters[ conv ]; + } + } + + current = dataTypes.shift(); + + // Convert to each sequential dataType + while ( current ) { + + if ( s.responseFields[ current ] ) { + jqXHR[ s.responseFields[ current ] ] = response; + } + + // Apply the dataFilter if provided + if ( !prev && isSuccess && s.dataFilter ) { + response = s.dataFilter( response, s.dataType ); + } + + prev = current; + current = dataTypes.shift(); + + if ( current ) { + + // There's only work to do if current dataType is non-auto + if ( current === "*" ) { + + current = prev; + + // Convert response if prev dataType is non-auto and differs from current + } else if ( prev !== "*" && prev !== current ) { + + // Seek a direct converter + conv = converters[ prev + " " + current ] || converters[ "* " + current ]; + + // If none found, seek a pair + if ( !conv ) { + for ( conv2 in converters ) { + + // If conv2 outputs current + tmp = conv2.split( " " ); + if ( tmp[ 1 ] === current ) { + + // If prev can be converted to accepted input + conv = converters[ prev + " " + tmp[ 0 ] ] || + converters[ "* " + tmp[ 0 ] ]; + if ( conv ) { + + // Condense equivalence converters + if ( conv === true ) { + conv = converters[ conv2 ]; + + // Otherwise, insert the intermediate dataType + } else if ( converters[ conv2 ] !== true ) { + current = tmp[ 0 ]; + dataTypes.unshift( tmp[ 1 ] ); + } + break; + } + } + } + } + + // Apply converter (if not an equivalence) + if ( conv !== true ) { + + // Unless errors are allowed to bubble, catch and return them + if ( conv && s.throws ) { + response = conv( response ); + } else { + try { + response = conv( response ); + } catch ( e ) { + return { + state: "parsererror", + error: conv ? e : "No conversion from " + prev + " to " + current + }; + } + } + } + } + } + } + + return { state: "success", data: response }; +} + +jQuery.extend( { + + // Counter for holding the number of active queries + active: 0, + + // Last-Modified header cache for next request + lastModified: {}, + etag: {}, + + ajaxSettings: { + url: location.href, + type: "GET", + isLocal: rlocalProtocol.test( location.protocol ), + global: true, + processData: true, + async: true, + contentType: "application/x-www-form-urlencoded; charset=UTF-8", + + /* + timeout: 0, + data: null, + dataType: null, + username: null, + password: null, + cache: null, + throws: false, + traditional: false, + headers: {}, + */ + + accepts: { + "*": allTypes, + text: "text/plain", + html: "text/html", + xml: "application/xml, text/xml", + json: "application/json, text/javascript" + }, + + contents: { + xml: /\bxml\b/, + html: /\bhtml/, + json: /\bjson\b/ + }, + + responseFields: { + xml: "responseXML", + text: "responseText", + json: "responseJSON" + }, + + // Data converters + // Keys separate source (or catchall "*") and destination types with a single space + converters: { + + // Convert anything to text + "* text": String, + + // Text to html (true = no transformation) + "text html": true, + + // Evaluate text as a json expression + "text json": JSON.parse, + + // Parse text as xml + "text xml": jQuery.parseXML + }, + + // For options that shouldn't be deep extended: + // you can add your own custom options here if + // and when you create one that shouldn't be + // deep extended (see ajaxExtend) + flatOptions: { + url: true, + context: true + } + }, + + // Creates a full fledged settings object into target + // with both ajaxSettings and settings fields. + // If target is omitted, writes into ajaxSettings. + ajaxSetup: function( target, settings ) { + return settings ? + + // Building a settings object + ajaxExtend( ajaxExtend( target, jQuery.ajaxSettings ), settings ) : + + // Extending ajaxSettings + ajaxExtend( jQuery.ajaxSettings, target ); + }, + + ajaxPrefilter: addToPrefiltersOrTransports( prefilters ), + ajaxTransport: addToPrefiltersOrTransports( transports ), + + // Main method + ajax: function( url, options ) { + + // If url is an object, simulate pre-1.5 signature + if ( typeof url === "object" ) { + options = url; + url = undefined; + } + + // Force options to be an object + options = options || {}; + + var transport, + + // URL without anti-cache param + cacheURL, + + // Response headers + responseHeadersString, + responseHeaders, + + // timeout handle + timeoutTimer, + + // Url cleanup var + urlAnchor, + + // Request state (becomes false upon send and true upon completion) + completed, + + // To know if global events are to be dispatched + fireGlobals, + + // Loop variable + i, + + // uncached part of the url + uncached, + + // Create the final options object + s = jQuery.ajaxSetup( {}, options ), + + // Callbacks context + callbackContext = s.context || s, + + // Context for global events is callbackContext if it is a DOM node or jQuery collection + globalEventContext = s.context && + ( callbackContext.nodeType || callbackContext.jquery ) ? + jQuery( callbackContext ) : + jQuery.event, + + // Deferreds + deferred = jQuery.Deferred(), + completeDeferred = jQuery.Callbacks( "once memory" ), + + // Status-dependent callbacks + statusCode = s.statusCode || {}, + + // Headers (they are sent all at once) + requestHeaders = {}, + requestHeadersNames = {}, + + // Default abort message + strAbort = "canceled", + + // Fake xhr + jqXHR = { + readyState: 0, + + // Builds headers hashtable if needed + getResponseHeader: function( key ) { + var match; + if ( completed ) { + if ( !responseHeaders ) { + responseHeaders = {}; + while ( ( match = rheaders.exec( responseHeadersString ) ) ) { + responseHeaders[ match[ 1 ].toLowerCase() + " " ] = + ( responseHeaders[ match[ 1 ].toLowerCase() + " " ] || [] ) + .concat( match[ 2 ] ); + } + } + match = responseHeaders[ key.toLowerCase() + " " ]; + } + return match == null ? null : match.join( ", " ); + }, + + // Raw string + getAllResponseHeaders: function() { + return completed ? responseHeadersString : null; + }, + + // Caches the header + setRequestHeader: function( name, value ) { + if ( completed == null ) { + name = requestHeadersNames[ name.toLowerCase() ] = + requestHeadersNames[ name.toLowerCase() ] || name; + requestHeaders[ name ] = value; + } + return this; + }, + + // Overrides response content-type header + overrideMimeType: function( type ) { + if ( completed == null ) { + s.mimeType = type; + } + return this; + }, + + // Status-dependent callbacks + statusCode: function( map ) { + var code; + if ( map ) { + if ( completed ) { + + // Execute the appropriate callbacks + jqXHR.always( map[ jqXHR.status ] ); + } else { + + // Lazy-add the new callbacks in a way that preserves old ones + for ( code in map ) { + statusCode[ code ] = [ statusCode[ code ], map[ code ] ]; + } + } + } + return this; + }, + + // Cancel the request + abort: function( statusText ) { + var finalText = statusText || strAbort; + if ( transport ) { + transport.abort( finalText ); + } + done( 0, finalText ); + return this; + } + }; + + // Attach deferreds + deferred.promise( jqXHR ); + + // Add protocol if not provided (prefilters might expect it) + // Handle falsy url in the settings object (#10093: consistency with old signature) + // We also use the url parameter if available + s.url = ( ( url || s.url || location.href ) + "" ) + .replace( rprotocol, location.protocol + "//" ); + + // Alias method option to type as per ticket #12004 + s.type = options.method || options.type || s.method || s.type; + + // Extract dataTypes list + s.dataTypes = ( s.dataType || "*" ).toLowerCase().match( rnothtmlwhite ) || [ "" ]; + + // A cross-domain request is in order when the origin doesn't match the current origin. + if ( s.crossDomain == null ) { + urlAnchor = document.createElement( "a" ); + + // Support: IE <=8 - 11, Edge 12 - 15 + // IE throws exception on accessing the href property if url is malformed, + // e.g. http://example.com:80x/ + try { + urlAnchor.href = s.url; + + // Support: IE <=8 - 11 only + // Anchor's host property isn't correctly set when s.url is relative + urlAnchor.href = urlAnchor.href; + s.crossDomain = originAnchor.protocol + "//" + originAnchor.host !== + urlAnchor.protocol + "//" + urlAnchor.host; + } catch ( e ) { + + // If there is an error parsing the URL, assume it is crossDomain, + // it can be rejected by the transport if it is invalid + s.crossDomain = true; + } + } + + // Convert data if not already a string + if ( s.data && s.processData && typeof s.data !== "string" ) { + s.data = jQuery.param( s.data, s.traditional ); + } + + // Apply prefilters + inspectPrefiltersOrTransports( prefilters, s, options, jqXHR ); + + // If request was aborted inside a prefilter, stop there + if ( completed ) { + return jqXHR; + } + + // We can fire global events as of now if asked to + // Don't fire events if jQuery.event is undefined in an AMD-usage scenario (#15118) + fireGlobals = jQuery.event && s.global; + + // Watch for a new set of requests + if ( fireGlobals && jQuery.active++ === 0 ) { + jQuery.event.trigger( "ajaxStart" ); + } + + // Uppercase the type + s.type = s.type.toUpperCase(); + + // Determine if request has content + s.hasContent = !rnoContent.test( s.type ); + + // Save the URL in case we're toying with the If-Modified-Since + // and/or If-None-Match header later on + // Remove hash to simplify url manipulation + cacheURL = s.url.replace( rhash, "" ); + + // More options handling for requests with no content + if ( !s.hasContent ) { + + // Remember the hash so we can put it back + uncached = s.url.slice( cacheURL.length ); + + // If data is available and should be processed, append data to url + if ( s.data && ( s.processData || typeof s.data === "string" ) ) { + cacheURL += ( rquery.test( cacheURL ) ? "&" : "?" ) + s.data; + + // #9682: remove data so that it's not used in an eventual retry + delete s.data; + } + + // Add or update anti-cache param if needed + if ( s.cache === false ) { + cacheURL = cacheURL.replace( rantiCache, "$1" ); + uncached = ( rquery.test( cacheURL ) ? "&" : "?" ) + "_=" + ( nonce.guid++ ) + + uncached; + } + + // Put hash and anti-cache on the URL that will be requested (gh-1732) + s.url = cacheURL + uncached; + + // Change '%20' to '+' if this is encoded form body content (gh-2658) + } else if ( s.data && s.processData && + ( s.contentType || "" ).indexOf( "application/x-www-form-urlencoded" ) === 0 ) { + s.data = s.data.replace( r20, "+" ); + } + + // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. + if ( s.ifModified ) { + if ( jQuery.lastModified[ cacheURL ] ) { + jqXHR.setRequestHeader( "If-Modified-Since", jQuery.lastModified[ cacheURL ] ); + } + if ( jQuery.etag[ cacheURL ] ) { + jqXHR.setRequestHeader( "If-None-Match", jQuery.etag[ cacheURL ] ); + } + } + + // Set the correct header, if data is being sent + if ( s.data && s.hasContent && s.contentType !== false || options.contentType ) { + jqXHR.setRequestHeader( "Content-Type", s.contentType ); + } + + // Set the Accepts header for the server, depending on the dataType + jqXHR.setRequestHeader( + "Accept", + s.dataTypes[ 0 ] && s.accepts[ s.dataTypes[ 0 ] ] ? + s.accepts[ s.dataTypes[ 0 ] ] + + ( s.dataTypes[ 0 ] !== "*" ? ", " + allTypes + "; q=0.01" : "" ) : + s.accepts[ "*" ] + ); + + // Check for headers option + for ( i in s.headers ) { + jqXHR.setRequestHeader( i, s.headers[ i ] ); + } + + // Allow custom headers/mimetypes and early abort + if ( s.beforeSend && + ( s.beforeSend.call( callbackContext, jqXHR, s ) === false || completed ) ) { + + // Abort if not done already and return + return jqXHR.abort(); + } + + // Aborting is no longer a cancellation + strAbort = "abort"; + + // Install callbacks on deferreds + completeDeferred.add( s.complete ); + jqXHR.done( s.success ); + jqXHR.fail( s.error ); + + // Get transport + transport = inspectPrefiltersOrTransports( transports, s, options, jqXHR ); + + // If no transport, we auto-abort + if ( !transport ) { + done( -1, "No Transport" ); + } else { + jqXHR.readyState = 1; + + // Send global event + if ( fireGlobals ) { + globalEventContext.trigger( "ajaxSend", [ jqXHR, s ] ); + } + + // If request was aborted inside ajaxSend, stop there + if ( completed ) { + return jqXHR; + } + + // Timeout + if ( s.async && s.timeout > 0 ) { + timeoutTimer = window.setTimeout( function() { + jqXHR.abort( "timeout" ); + }, s.timeout ); + } + + try { + completed = false; + transport.send( requestHeaders, done ); + } catch ( e ) { + + // Rethrow post-completion exceptions + if ( completed ) { + throw e; + } + + // Propagate others as results + done( -1, e ); + } + } + + // Callback for when everything is done + function done( status, nativeStatusText, responses, headers ) { + var isSuccess, success, error, response, modified, + statusText = nativeStatusText; + + // Ignore repeat invocations + if ( completed ) { + return; + } + + completed = true; + + // Clear timeout if it exists + if ( timeoutTimer ) { + window.clearTimeout( timeoutTimer ); + } + + // Dereference transport for early garbage collection + // (no matter how long the jqXHR object will be used) + transport = undefined; + + // Cache response headers + responseHeadersString = headers || ""; + + // Set readyState + jqXHR.readyState = status > 0 ? 4 : 0; + + // Determine if successful + isSuccess = status >= 200 && status < 300 || status === 304; + + // Get response data + if ( responses ) { + response = ajaxHandleResponses( s, jqXHR, responses ); + } + + // Use a noop converter for missing script + if ( !isSuccess && jQuery.inArray( "script", s.dataTypes ) > -1 ) { + s.converters[ "text script" ] = function() {}; + } + + // Convert no matter what (that way responseXXX fields are always set) + response = ajaxConvert( s, response, jqXHR, isSuccess ); + + // If successful, handle type chaining + if ( isSuccess ) { + + // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. + if ( s.ifModified ) { + modified = jqXHR.getResponseHeader( "Last-Modified" ); + if ( modified ) { + jQuery.lastModified[ cacheURL ] = modified; + } + modified = jqXHR.getResponseHeader( "etag" ); + if ( modified ) { + jQuery.etag[ cacheURL ] = modified; + } + } + + // if no content + if ( status === 204 || s.type === "HEAD" ) { + statusText = "nocontent"; + + // if not modified + } else if ( status === 304 ) { + statusText = "notmodified"; + + // If we have data, let's convert it + } else { + statusText = response.state; + success = response.data; + error = response.error; + isSuccess = !error; + } + } else { + + // Extract error from statusText and normalize for non-aborts + error = statusText; + if ( status || !statusText ) { + statusText = "error"; + if ( status < 0 ) { + status = 0; + } + } + } + + // Set data for the fake xhr object + jqXHR.status = status; + jqXHR.statusText = ( nativeStatusText || statusText ) + ""; + + // Success/Error + if ( isSuccess ) { + deferred.resolveWith( callbackContext, [ success, statusText, jqXHR ] ); + } else { + deferred.rejectWith( callbackContext, [ jqXHR, statusText, error ] ); + } + + // Status-dependent callbacks + jqXHR.statusCode( statusCode ); + statusCode = undefined; + + if ( fireGlobals ) { + globalEventContext.trigger( isSuccess ? "ajaxSuccess" : "ajaxError", + [ jqXHR, s, isSuccess ? success : error ] ); + } + + // Complete + completeDeferred.fireWith( callbackContext, [ jqXHR, statusText ] ); + + if ( fireGlobals ) { + globalEventContext.trigger( "ajaxComplete", [ jqXHR, s ] ); + + // Handle the global AJAX counter + if ( !( --jQuery.active ) ) { + jQuery.event.trigger( "ajaxStop" ); + } + } + } + + return jqXHR; + }, + + getJSON: function( url, data, callback ) { + return jQuery.get( url, data, callback, "json" ); + }, + + getScript: function( url, callback ) { + return jQuery.get( url, undefined, callback, "script" ); + } +} ); + +jQuery.each( [ "get", "post" ], function( _i, method ) { + jQuery[ method ] = function( url, data, callback, type ) { + + // Shift arguments if data argument was omitted + if ( isFunction( data ) ) { + type = type || callback; + callback = data; + data = undefined; + } + + // The url can be an options object (which then must have .url) + return jQuery.ajax( jQuery.extend( { + url: url, + type: method, + dataType: type, + data: data, + success: callback + }, jQuery.isPlainObject( url ) && url ) ); + }; +} ); + +jQuery.ajaxPrefilter( function( s ) { + var i; + for ( i in s.headers ) { + if ( i.toLowerCase() === "content-type" ) { + s.contentType = s.headers[ i ] || ""; + } + } +} ); + + +jQuery._evalUrl = function( url, options, doc ) { + return jQuery.ajax( { + url: url, + + // Make this explicit, since user can override this through ajaxSetup (#11264) + type: "GET", + dataType: "script", + cache: true, + async: false, + global: false, + + // Only evaluate the response if it is successful (gh-4126) + // dataFilter is not invoked for failure responses, so using it instead + // of the default converter is kludgy but it works. + converters: { + "text script": function() {} + }, + dataFilter: function( response ) { + jQuery.globalEval( response, options, doc ); + } + } ); +}; + + +jQuery.fn.extend( { + wrapAll: function( html ) { + var wrap; + + if ( this[ 0 ] ) { + if ( isFunction( html ) ) { + html = html.call( this[ 0 ] ); + } + + // The elements to wrap the target around + wrap = jQuery( html, this[ 0 ].ownerDocument ).eq( 0 ).clone( true ); + + if ( this[ 0 ].parentNode ) { + wrap.insertBefore( this[ 0 ] ); + } + + wrap.map( function() { + var elem = this; + + while ( elem.firstElementChild ) { + elem = elem.firstElementChild; + } + + return elem; + } ).append( this ); + } + + return this; + }, + + wrapInner: function( html ) { + if ( isFunction( html ) ) { + return this.each( function( i ) { + jQuery( this ).wrapInner( html.call( this, i ) ); + } ); + } + + return this.each( function() { + var self = jQuery( this ), + contents = self.contents(); + + if ( contents.length ) { + contents.wrapAll( html ); + + } else { + self.append( html ); + } + } ); + }, + + wrap: function( html ) { + var htmlIsFunction = isFunction( html ); + + return this.each( function( i ) { + jQuery( this ).wrapAll( htmlIsFunction ? html.call( this, i ) : html ); + } ); + }, + + unwrap: function( selector ) { + this.parent( selector ).not( "body" ).each( function() { + jQuery( this ).replaceWith( this.childNodes ); + } ); + return this; + } +} ); + + +jQuery.expr.pseudos.hidden = function( elem ) { + return !jQuery.expr.pseudos.visible( elem ); +}; +jQuery.expr.pseudos.visible = function( elem ) { + return !!( elem.offsetWidth || elem.offsetHeight || elem.getClientRects().length ); +}; + + + + +jQuery.ajaxSettings.xhr = function() { + try { + return new window.XMLHttpRequest(); + } catch ( e ) {} +}; + +var xhrSuccessStatus = { + + // File protocol always yields status code 0, assume 200 + 0: 200, + + // Support: IE <=9 only + // #1450: sometimes IE returns 1223 when it should be 204 + 1223: 204 + }, + xhrSupported = jQuery.ajaxSettings.xhr(); + +support.cors = !!xhrSupported && ( "withCredentials" in xhrSupported ); +support.ajax = xhrSupported = !!xhrSupported; + +jQuery.ajaxTransport( function( options ) { + var callback, errorCallback; + + // Cross domain only allowed if supported through XMLHttpRequest + if ( support.cors || xhrSupported && !options.crossDomain ) { + return { + send: function( headers, complete ) { + var i, + xhr = options.xhr(); + + xhr.open( + options.type, + options.url, + options.async, + options.username, + options.password + ); + + // Apply custom fields if provided + if ( options.xhrFields ) { + for ( i in options.xhrFields ) { + xhr[ i ] = options.xhrFields[ i ]; + } + } + + // Override mime type if needed + if ( options.mimeType && xhr.overrideMimeType ) { + xhr.overrideMimeType( options.mimeType ); + } + + // X-Requested-With header + // For cross-domain requests, seeing as conditions for a preflight are + // akin to a jigsaw puzzle, we simply never set it to be sure. + // (it can always be set on a per-request basis or even using ajaxSetup) + // For same-domain requests, won't change header if already provided. + if ( !options.crossDomain && !headers[ "X-Requested-With" ] ) { + headers[ "X-Requested-With" ] = "XMLHttpRequest"; + } + + // Set headers + for ( i in headers ) { + xhr.setRequestHeader( i, headers[ i ] ); + } + + // Callback + callback = function( type ) { + return function() { + if ( callback ) { + callback = errorCallback = xhr.onload = + xhr.onerror = xhr.onabort = xhr.ontimeout = + xhr.onreadystatechange = null; + + if ( type === "abort" ) { + xhr.abort(); + } else if ( type === "error" ) { + + // Support: IE <=9 only + // On a manual native abort, IE9 throws + // errors on any property access that is not readyState + if ( typeof xhr.status !== "number" ) { + complete( 0, "error" ); + } else { + complete( + + // File: protocol always yields status 0; see #8605, #14207 + xhr.status, + xhr.statusText + ); + } + } else { + complete( + xhrSuccessStatus[ xhr.status ] || xhr.status, + xhr.statusText, + + // Support: IE <=9 only + // IE9 has no XHR2 but throws on binary (trac-11426) + // For XHR2 non-text, let the caller handle it (gh-2498) + ( xhr.responseType || "text" ) !== "text" || + typeof xhr.responseText !== "string" ? + { binary: xhr.response } : + { text: xhr.responseText }, + xhr.getAllResponseHeaders() + ); + } + } + }; + }; + + // Listen to events + xhr.onload = callback(); + errorCallback = xhr.onerror = xhr.ontimeout = callback( "error" ); + + // Support: IE 9 only + // Use onreadystatechange to replace onabort + // to handle uncaught aborts + if ( xhr.onabort !== undefined ) { + xhr.onabort = errorCallback; + } else { + xhr.onreadystatechange = function() { + + // Check readyState before timeout as it changes + if ( xhr.readyState === 4 ) { + + // Allow onerror to be called first, + // but that will not handle a native abort + // Also, save errorCallback to a variable + // as xhr.onerror cannot be accessed + window.setTimeout( function() { + if ( callback ) { + errorCallback(); + } + } ); + } + }; + } + + // Create the abort callback + callback = callback( "abort" ); + + try { + + // Do send the request (this may raise an exception) + xhr.send( options.hasContent && options.data || null ); + } catch ( e ) { + + // #14683: Only rethrow if this hasn't been notified as an error yet + if ( callback ) { + throw e; + } + } + }, + + abort: function() { + if ( callback ) { + callback(); + } + } + }; + } +} ); + + + + +// Prevent auto-execution of scripts when no explicit dataType was provided (See gh-2432) +jQuery.ajaxPrefilter( function( s ) { + if ( s.crossDomain ) { + s.contents.script = false; + } +} ); + +// Install script dataType +jQuery.ajaxSetup( { + accepts: { + script: "text/javascript, application/javascript, " + + "application/ecmascript, application/x-ecmascript" + }, + contents: { + script: /\b(?:java|ecma)script\b/ + }, + converters: { + "text script": function( text ) { + jQuery.globalEval( text ); + return text; + } + } +} ); + +// Handle cache's special case and crossDomain +jQuery.ajaxPrefilter( "script", function( s ) { + if ( s.cache === undefined ) { + s.cache = false; + } + if ( s.crossDomain ) { + s.type = "GET"; + } +} ); + +// Bind script tag hack transport +jQuery.ajaxTransport( "script", function( s ) { + + // This transport only deals with cross domain or forced-by-attrs requests + if ( s.crossDomain || s.scriptAttrs ) { + var script, callback; + return { + send: function( _, complete ) { + script = jQuery( " +{% endmacro %} \ No newline at end of file diff --git a/docs/extra/adpeps.ipeps.ctm.html b/docs/extra/adpeps.ipeps.ctm.html new file mode 100644 index 0000000..2d91dd3 --- /dev/null +++ b/docs/extra/adpeps.ipeps.ctm.html @@ -0,0 +1,606 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ctm

+

Main CTM code

+

The individual site and boundary tensors come in a +special list-type object (TList), which has extra +indexing features, such as periodic boundary +conditions and shift contexts

+

All ncon contractions are defined in contractions.yaml

+
+
+class CTM(tensors, chi, conv_fun=None)[source]
+

CTM class

+
+
Parameters
+
+
+
+
+
+property converged
+

Check convergence with supplied convergence function

+
+ +
+
+show_progress()[source]
+

Print out the current progress

+
+ +
+
+update()[source]
+

Perform an update of all boundary tensors

+
+ +
+ +
+
+do_bottom(ts, chi)[source]
+

Perform bottom CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_left(ts, chi)[source]
+

Perform left CTM move

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • sl (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+
+do_right(ts, chi)[source]
+

Perform right CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_top(ts, chi)[source]
+

Perform top CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+get_projectors(T1, T2, chi)[source]
+

Contracts the corners together and computes the +projectors by performing an svd

+
+
Parameters
+

T1 (int) –

+
+
+
+ +
+
+get_projectors_bottom(ts, chi)[source]
+

Returns the bottom projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_left(ts, chi)[source]
+

Returns the left projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_right(ts, chi)[source]
+

Returns the right projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_top(ts, chi)[source]
+

Returns the top projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+renorm_left(ts, Pl, Plb)[source]
+
+
Return type
+

Tuple[Nested, Nested, Nested]

+
+
Parameters
+
+
+
+
+ +
+
+renormalize(tensors, chi)[source]
+

Performs a CTM iteration +Updates all sites in the unit cell

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • S (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/extra/adpeps.ipeps.ipeps.html b/docs/extra/adpeps.ipeps.ipeps.html new file mode 100644 index 0000000..ba660c5 --- /dev/null +++ b/docs/extra/adpeps.ipeps.ipeps.html @@ -0,0 +1,552 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ipeps

+

iPEPS module for optimization with CTM

+

For an example of how to run a simulation see adpeps.simulation.run_ipeps_gs

+

The module is initialized from one of the specific +model files, which return the initial boundary and +site tensors

+

The list of parameters is set to the elements of the +individual site tensors

+

Conventions for indices:

+
+
    +
  • Site tensors:

    +
    A: [phys, right, top, left, bottom]
    +
    +
    +
  • +
  • Boundary tensors:

    +
    C1: [right, bottom]
    +C2: [left,  bottom]
    +C3: [top,   left]
    +C4: [right, top]
    +T1: [right, left, ket, bra]
    +T2: [top,   bottom, ket, bra]
    +T3: [right, left, ket, bra]
    +T4: [top,   bottom, ket, bra]
    +
    +
    +
  • +
+
+

Order of boundary tensors:

+
C1 - T1 - C2
+|    |    |
+T4 - A  - T2
+|    |    |
+C4 - T3 - C3
+
+
+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+ +
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+init_A_tensor(d, D, pattern)[source]
+

The elements will be randomized based on sim_config.seed

+
+ +
+
+init_ctm_tensors(A, Ad)[source]
+

Returns initital boundary T-tensors based on the unit cell tensors and +empty trivial boundary C-tensors

+
+ +
+

iPEPS

+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+

iPEPS_exci

+
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/extra/ipeps.ipeps.html b/docs/extra/ipeps.ipeps.html new file mode 100644 index 0000000..be03447 --- /dev/null +++ b/docs/extra/ipeps.ipeps.html @@ -0,0 +1,340 @@ + + + + + + + + iPEPSS — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.config.html b/docs/generated/adpeps.ipeps.config.html new file mode 100644 index 0000000..5532291 --- /dev/null +++ b/docs/generated/adpeps.ipeps.config.html @@ -0,0 +1,492 @@ + + + + + + + + adpeps.ipeps.config — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.config

+

Configuration module for iPEPS simulations

+

These settings will be loaded from a configuration file .yml file +via the from_dict() function

+
+
+from_dict(cfg)[source]
+

Import config from configuration (.yml) file

+
+ +
+
+D: int = None
+

iPEPS bond dimension

+
+ +
+
+base_sim: Optional[str] = None
+

Base simulation

+
+ +
+
+chi: int = None
+

CTM boundary bond dimension

+
+ +
+
+ctm_conv_tol: float = 1e-10
+

CTM convergence criterium (singular values norm difference)

+
+ +
+
+ctm_max_iter: int = 20
+

Maximal number of CTM steps

+
+ +
+
+ctm_min_iter: int = 5
+

Minimal number of CTM steps

+
+ +
+
+disp_level: int = 1
+

no output)

+
+
Type
+

Display level (0

+
+
+
+ +
+
+flush_output: bool = False
+

Passes the flush    = True argument to the builtin print function +when calling the adpeps.utils.printing.print() function

+

Useful when deploying the code to computing clusters and capturing the output +into text files

+
+ +
+
+load_sim: bool = None
+

Load previous (Python) simulation

+
+ +
+
+max_iterations: int = 100
+

Maximum number of optimizer iterations

+
+ +
+
+method: str = None
+

Optimization method

+
+ +
+
+model: str = None
+

Model

+
+ +
+
+model_params: dict = None
+

Model parameters

+
+ +
+
+momentum_path: str = 'Bril1'
+

Momentum path through the BZ

+
+ +
+
+out_prefix: str = ''
+

Optional prefix for the output file of the simulation

+

Example

+

.../{model}_D{D}_X{chi}.npz

+

becomes

+

.../{out_prefix}_{model}_D{D}_X{chi}.npz

+
+ +
+
+pattern: Optional[Iterable] = None
+

Unit cell configuration +Defined as a 2-D array of integers that label the unique sites in the unit +cell.

+

Example

+

A 2x2 unit cell with a [AB, BA]-type pattern is defined by

+
pattern            = [
+    [0, 1],
+    [1, 0]
+]
+
+
+
+ +
+
+resume: bool = False
+

Resume earlier simulation if found

+
+ +
+
+seed: int = 1
+

Random seed for initial state

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.ctm.html b/docs/generated/adpeps.ipeps.ctm.html new file mode 100644 index 0000000..5673031 --- /dev/null +++ b/docs/generated/adpeps.ipeps.ctm.html @@ -0,0 +1,608 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ctm

+

Main CTM code

+

The individual site and boundary tensors come in a +special list-type object (TList), which has extra +indexing features, such as periodic boundary +conditions and shift contexts

+

All ncon contractions are defined in contractions.yaml

+
+
+class CTM(tensors, chi, conv_fun=None)[source]
+

CTM class

+
+
Parameters
+
+
+
+
+
+show_progress()[source]
+

Print out the current progress

+
+ +
+
+update()[source]
+

Perform an update of all boundary tensors

+
+ +
+
+property converged
+

Check convergence with supplied convergence function

+
+ +
+ +
+
+do_bottom(ts, chi)[source]
+

Perform bottom CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_left(ts, chi)[source]
+

Perform left CTM move

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • sl (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+
+do_right(ts, chi)[source]
+

Perform right CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_top(ts, chi)[source]
+

Perform top CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+get_projectors(T1, T2, chi)[source]
+

Contracts the corners together and computes the +projectors by performing an svd

+
+
Parameters
+

T1 (int) –

+
+
+
+ +
+
+get_projectors_bottom(ts, chi)[source]
+

Returns the bottom projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_left(ts, chi)[source]
+

Returns the left projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_right(ts, chi)[source]
+

Returns the right projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_top(ts, chi)[source]
+

Returns the top projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+renorm_left(ts, Pl, Plb)[source]
+
+
Return type
+

Tuple[Nested, Nested, Nested]

+
+
Parameters
+
+
+
+
+ +
+
+renormalize(tensors, chi)[source]
+

Performs a CTM iteration +Updates all sites in the unit cell

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • S (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.ipeps.evaluation.html b/docs/generated/adpeps.ipeps.ipeps.evaluation.html new file mode 100644 index 0000000..aa14e80 --- /dev/null +++ b/docs/generated/adpeps.ipeps.ipeps.evaluation.html @@ -0,0 +1,364 @@ + + + + + + + + adpeps.ipeps.ipeps.evaluation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.ipeps.html b/docs/generated/adpeps.ipeps.ipeps.html new file mode 100644 index 0000000..3c40ce7 --- /dev/null +++ b/docs/generated/adpeps.ipeps.ipeps.html @@ -0,0 +1,468 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ipeps

+

iPEPS module for optimization with CTM

+

For an example of how to run a simulation see adpeps.simulation.run_ipeps_gs

+

The module is initialized from one of the specific +model files, which return the initial boundary and +site tensors

+

The list of parameters is set to the elements of the +individual site tensors

+

Conventions for indices:

+
+
    +
  • Site tensors:

    +
    A: [phys, right, top, left, bottom]
    +
    +
    +
  • +
  • Boundary tensors:

    +
    C1: [right, bottom]
    +C2: [left,  bottom]
    +C3: [top,   left]
    +C4: [right, top]
    +T1: [right, left, ket, bra]
    +T2: [top,   bottom, ket, bra]
    +T3: [right, left, ket, bra]
    +T4: [top,   bottom, ket, bra]
    +
    +
    +
  • +
+
+

Order of boundary tensors:

+
C1 - T1 - C2
+|    |    |
+T4 - A  - T2
+|    |    |
+C4 - T3 - C3
+
+
+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+ +
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+init_A_tensor(d, D, pattern)[source]
+

The elements will be randomized based on sim_config.seed

+
+ +
+
+init_ctm_tensors(A, Ad)[source]
+

Returns initital boundary T-tensors based on the unit cell tensors and +empty trivial boundary C-tensors

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.models.common.html b/docs/generated/adpeps.ipeps.models.common.html new file mode 100644 index 0000000..52a83e8 --- /dev/null +++ b/docs/generated/adpeps.ipeps.models.common.html @@ -0,0 +1,342 @@ + + + + + + + + adpeps.ipeps.models.common — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.models.heisenberg.html b/docs/generated/adpeps.ipeps.models.heisenberg.html new file mode 100644 index 0000000..e542042 --- /dev/null +++ b/docs/generated/adpeps.ipeps.models.heisenberg.html @@ -0,0 +1,366 @@ + + + + + + + + adpeps.ipeps.models.heisenberg — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.models.heisenberg

+

2D Heisenberg model

+
+
+make_hamiltonian(J=1)[source]
+

Heisenberg model

+
+ +
+
+setup()[source]
+

Returns the Hamiltonian

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.ipeps.models.html b/docs/generated/adpeps.ipeps.models.html new file mode 100644 index 0000000..9e9cec9 --- /dev/null +++ b/docs/generated/adpeps.ipeps.models.html @@ -0,0 +1,381 @@ + + + + + + + + adpeps.ipeps.models — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.models

+
+

Modules

+

Contains all models defined by Hamiltonians as part of this module

+ ++++ + + + + + + + + +

adpeps.ipeps.models.common

adpeps.ipeps.models.heisenberg

2D Heisenberg model

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.simulation.html b/docs/generated/adpeps.simulation.html new file mode 100644 index 0000000..3723b3c --- /dev/null +++ b/docs/generated/adpeps.simulation.html @@ -0,0 +1,387 @@ + + + + + + + + adpeps.simulation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation

+
+

Modules

+

Module with executable scripts for running the simulations

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+ ++++ + + + + + + + + +

adpeps.simulation.run_ipeps_exci

Main excited-state executable script

adpeps.simulation.run_ipeps_gs

Main ground-state executable script

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.simulation.run_ipeps_exci.html b/docs/generated/adpeps.simulation.run_ipeps_exci.html new file mode 100644 index 0000000..00a2a35 --- /dev/null +++ b/docs/generated/adpeps.simulation.run_ipeps_exci.html @@ -0,0 +1,381 @@ + + + + + + + + adpeps.simulation.run_ipeps_exci — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation.run_ipeps_exci

+

Main excited-state executable script

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+
+
+class iPEPSExciSimulation(config_file, momentum_ix)[source]
+

Simulation class for the excited-state simulation

+

Call an instance of this class directly to start the simulation

+
+ +
+
+run(config_file, momentum_ix)[source]
+

Start the simulation

+
+
Parameters
+
    +
  • config_file (str) – filename of the configuration file

  • +
  • momentum_ix (int) – index of the point in momentum space

  • +
+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.simulation.run_ipeps_gs.html b/docs/generated/adpeps.simulation.run_ipeps_gs.html new file mode 100644 index 0000000..ead30ae --- /dev/null +++ b/docs/generated/adpeps.simulation.run_ipeps_gs.html @@ -0,0 +1,440 @@ + + + + + + + + adpeps.simulation.run_ipeps_gs — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation.run_ipeps_gs

+

Main ground-state executable script

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+
+
+class Objective(peps)[source]
+

Class containing the objective function for the optimizer

+
+
Parameters
+

peps (adpeps.ipeps.ipeps.iPEPS) – iPEPS object to be optimized

+
+
+
+
+jac(v)[source]
+

Computes the vector-Jacobian product (gradient) of the +iPEPS at the current point v in parameter space

+

This function is designed to work with optimizers that make separate +calls to the objective function and the gradient, by caching both.

+
+
Parameters
+

v (jax._src.numpy.lax_numpy.ndarray) – input variational parameters for the site tensors of +the iPEPS

+
+
Returns
+

gradient of the iPEPS with respect to the input parameters v

+
+
Return type
+

ndarray

+
+
+
+ +
+
+out(v)[source]
+

Computes the objective function (energy) of the iPEPS

+

Since many optimizers make separate calls to the objective function +and the gradient, but usually require both results for any given +parameter vector v, this function calls the gradient as well.

+
+
Parameters
+

v – input variational parameters for the site tensors of +the iPEPS

+
+
Returns
+

energy of the iPEPS at the point v in parameter space

+
+
+
+ +
+
+fun
+

Objective function - CTM iterations until convergence +followed by the evaluation of the energy

+
+ +
+
+property gradnrm
+

Norm of the gradient

+
+ +
+
+peps
+

iPEPS object

+
+ +
+ +
+
+run(config_file)[source]
+

Start the simulation

+
+
Parameters
+

config_file (str) – filename of the configuration file

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.ctmtensors.html b/docs/generated/adpeps.utils.ctmtensors.html new file mode 100644 index 0000000..14279de --- /dev/null +++ b/docs/generated/adpeps.utils.ctmtensors.html @@ -0,0 +1,397 @@ + + + + + + + + adpeps.utils.ctmtensors — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.ctmtensors

+

Contains utility class that contains all iPEPS tensors

+
+
+class CTMTensors(A, Ad, Cs=<factory>, Ts=<factory>, B=None, Bd=None, B_Cs=None, B_Ts=None, Bd_Cs=None, Bd_Ts=None, BB_Cs=None, BB_Ts=None, Pl=None, Pr=None, Pt=None, Pb=None, Plb=None, Prb=None, Ptb=None, Pbb=None, observables=<factory>)[source]
+

This is a utility class that contains all tensors related to an iPEPS. +In effect, this forms a representation of the full state, including +the site tensors with variational parameters, the boundary tensors +generated by CTM and the projectors.

+

There are several fields of this dataclass that are generated +automatically, which provide convenient wrappers for the tensors.

+

For example, the CTMTensors.A field returns the site tensors +(contained in a adpeps.utils.tlist.TList)

+
+
Parameters
+
    +
  • A (adpeps.utils.tlist.TList) –

  • +
  • Ad (adpeps.utils.tlist.TList) –

  • +
  • Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • B (adpeps.utils.tlist.TList) –

  • +
  • Bd (adpeps.utils.tlist.TList) –

  • +
  • B_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • B_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • Bd_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • Bd_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • BB_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • BB_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • Pl (adpeps.utils.tlist.TList) –

  • +
  • Pr (adpeps.utils.tlist.TList) –

  • +
  • Pt (adpeps.utils.tlist.TList) –

  • +
  • Pb (adpeps.utils.tlist.TList) –

  • +
  • Plb (adpeps.utils.tlist.TList) –

  • +
  • Prb (adpeps.utils.tlist.TList) –

  • +
  • Ptb (adpeps.utils.tlist.TList) –

  • +
  • Pbb (adpeps.utils.tlist.TList) –

  • +
  • observables (List) –

  • +
+
+
Return type
+

None

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.empty_tensor.html b/docs/generated/adpeps.utils.empty_tensor.html new file mode 100644 index 0000000..6efd574 --- /dev/null +++ b/docs/generated/adpeps.utils.empty_tensor.html @@ -0,0 +1,354 @@ + + + + + + + + adpeps.utils.empty_tensor — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.empty_tensor

+

Contains utility class that represents a ‘zero’ (empty) tensor object

+
+
+class EmptyT[source]
+

Empty tensor utility class, which can be used to represent a +‘zero’ tensor.

+

The operations involving this type of tensor will return the expected +results, such as (Tensor * EmptyT -> EmptyT), removing the need for +checking if a tensor is empty in the part of the code where the +operation is called.

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.html b/docs/generated/adpeps.utils.html new file mode 100644 index 0000000..461583c --- /dev/null +++ b/docs/generated/adpeps.utils.html @@ -0,0 +1,394 @@ + + + + + + + + adpeps.utils — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils

+
+

Modules

+

Utilities module with several convenience functions and classes +used during the simulations

+ ++++ + + + + + + + + + + + + + + + + + + + + +

adpeps.utils.ctmtensors

Contains utility class that contains all iPEPS tensors

adpeps.utils.empty_tensor

Contains utility class that represents a ‘zero’ (empty) tensor object

adpeps.utils.io

IO module with convenience function for forming the localized filenames and foldernames of the relevant configuration files and data files.

adpeps.utils.nested

Contains utility class that represents a collection of tensors of different types, with operations that can be applied to all contained tensors at once

adpeps.utils.printing

Utility module for printing output depending on the verbosity setting adpeps.ipeps.config.disp_level in the configuration file.

adpeps.utils.tlist

List object with additional features, used for storing the iPEPS tensors

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.io.html b/docs/generated/adpeps.utils.io.html new file mode 100644 index 0000000..77201ad --- /dev/null +++ b/docs/generated/adpeps.utils.io.html @@ -0,0 +1,357 @@ + + + + + + + + adpeps.utils.io — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.io

+

IO module with convenience function for forming the localized filenames +and foldernames of the relevant configuration files and data files.

+
+

Note

+

The input configuration file location can be set via the +CONFIGDIR variable. If it is not set, the default +input folder will be the examples subfolder of the package +root directory

+
+
+

Note

+

The output data location can be set via the DATADIR +variable. If it is not set, the default output folder will be +in the simulations subfolder of the package root directory.

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.nested.html b/docs/generated/adpeps.utils.nested.html new file mode 100644 index 0000000..892d7d2 --- /dev/null +++ b/docs/generated/adpeps.utils.nested.html @@ -0,0 +1,419 @@ + + + + + + + + adpeps.utils.nested — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.nested

+

Contains utility class that represents a collection of tensors of +different types, with operations that can be applied to all +contained tensors at once

+
+
+class Nested(tensors)[source]
+

This is a helper class for the efficient contraction of variants of tensors, +used in the energy evaluation of excited states

+

A Nested tensor contains the following variants (some may be empty):

+
+
    +
  • tensors[0]: regular tensor (no B or Bd)

  • +
  • tensors[1]: (terms with) a single B tensor

  • +
  • tensors[2]: (terms with) a single Bd tensor

  • +
  • tensors[3]: (terms with) both a B and a Bd tensor

  • +
+
+

When two Nested tensors x,y are contracted, all combinations are taken into account +and the result is again a Nested tensor, filled with the following variants:

+
+
    +
  • tensors[0]: x[0] * y[0]

  • +
  • tensors[1]: x[1] * y[0] + x[0] * y[1]

  • +
  • tensors[2]: x[2] * y[0] + x[0] * y[2]

  • +
  • tensors[3]: x[3] * y[0] + x[2] * y[1] + x[1] * y[2] + x[0] * y[3]

  • +
+
+

By using Nested tensors in a (large) contraction, the many different terms are +resummed on the fly, leading to a potentially reduced computational cost

+
+

Note

+

Most implented functions act as wrappers for the corresponding numpy functions +on the individual tensors

+
+
+
+mult(other, *args)[source]
+
+
Parameters
+
    +
  • other (Union[np.ndarray, Nested, EmptyT]) – other tensor-like object to contract with

  • +
  • *args – arguments to be passed to the contraction method +(np.tensordot)

  • +
+
+
Returns
+

result of the contraction

+
+
Return type
+

res

+
+
Return type
+

Nested

+
+
+
+ +
+
+normalize()[source]
+

Normalize the contained tensors by the largest value +of the first element of self.tensors

+
+ +
+
+transpose(*args)[source]
+

Applies transpose to each contained tensor

+
+
Return type
+

Nested

+
+
+
+ +
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.printing.html b/docs/generated/adpeps.utils.printing.html new file mode 100644 index 0000000..9d2114f --- /dev/null +++ b/docs/generated/adpeps.utils.printing.html @@ -0,0 +1,361 @@ + + + + + + + + adpeps.utils.printing — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.printing

+

Utility module for printing output depending on the verbosity setting +adpeps.ipeps.config.disp_level in the configuration file.

+
+
+print(*args, level=None, **kwargs)[source]
+

Print output using builtin print if level +<= adpeps.ipeps.config.disp_level

+
+
Parameters
+
    +
  • *args – arbitraty arguments to be passed to builtin print

  • +
  • level (Optional[int]) – verbosity level, determining at which verbosity setting this +should be printed

  • +
  • **kwargs – arbitraty keyword arguments for builtin print

  • +
+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/generated/adpeps.utils.tlist.html b/docs/generated/adpeps.utils.tlist.html new file mode 100644 index 0000000..1b7d9a5 --- /dev/null +++ b/docs/generated/adpeps.utils.tlist.html @@ -0,0 +1,421 @@ + + + + + + + + adpeps.utils.tlist — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.tlist

+

List object with additional features, used for storing +the iPEPS tensors

+

Items in the list can be accessed by either a linear index +or a (i,j) double index, where i and j will be automatically +taken modulo the unit cell size (i.e. i = i % n_x)

+

Additionally, convenience functions that work on tensors can +be defined for the whole list, e.g. conj()

+
+
+cur_loc(*loc)[source]
+

Shift the locations of the tensors relative to a +new zero (loc) while in this context

+
+
Parameters
+

loc (int) – shifts (x,y)

+
+
+

Example

+
>>> l = TList([[1,2], [3,4]])
+>>> l[0,0]
+1
+>>> with cur_loc(1,0):
+>>>     l[0,0]
+2
+>>>     l[0,1]
+4
+>>> l[0,0]
+1
+
+
+

Note that this applies to ALL TList objects while +inside the context

+
+ +
+
+hold_write(*lists)[source]
+

Hold off on writing to the list while +inside the context

+
+
Parameters
+

lists (adpeps.utils.tlist.TList) – one or more TList objects that should have the writing +action delayed until the context is disabled

+
+
+

Example

+
>>> l = TList([[1,2], [3,4]])
+>>> with hold_write(l):
+>>>    l[0,0] = 100
+>>>    l[0,0]
+1
+>>> l[0,0]
+100
+
+
+
+ +
+
+set_pattern(pattern)[source]
+

Set pattern for all new TLists that are created while +the context is active

+
+
Parameters
+

pattern

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/genindex.html b/docs/genindex.html new file mode 100644 index 0000000..9b475ca --- /dev/null +++ b/docs/genindex.html @@ -0,0 +1,816 @@ + + + + + + + + Index — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ + +

Index

+ +
+ A + | B + | C + | D + | E + | F + | G + | H + | I + | J + | L + | M + | N + | O + | P + | R + | S + | T + | U + +
+

A

+ + + +
    +
  • + adpeps.ipeps.config + +
  • +
  • + adpeps.ipeps.ctm + +
  • +
  • + adpeps.ipeps.ipeps + +
  • +
  • + adpeps.ipeps.ipeps.evaluation + +
  • +
  • + adpeps.ipeps.models + +
  • +
  • + adpeps.ipeps.models.common + +
  • +
  • + adpeps.ipeps.models.heisenberg + +
  • +
  • + adpeps.simulation + +
  • +
  • + adpeps.simulation.run_ipeps_exci + +
  • +
    +
  • + adpeps.simulation.run_ipeps_gs + +
  • +
  • + adpeps.utils + +
  • +
  • + adpeps.utils.ctmtensors + +
  • +
  • + adpeps.utils.empty_tensor + +
  • +
  • + adpeps.utils.io + +
  • +
  • + adpeps.utils.nested + +
  • +
  • + adpeps.utils.printing + +
  • +
  • + adpeps.utils.tlist + +
  • +
+ +

B

+ + +
+ +

C

+ + + +
+ +

D

+ + + +
+ +

E

+ + +
+ +

F

+ + + +
+ +

G

+ + + +
+ +

H

+ + +
+ +

I

+ + + +
+ +

J

+ + +
+ +

L

+ + +
+ +

M

+ + + +
+ +

N

+ + + +
+ +

O

+ + + +
+ +

P

+ + + +
+ +

R

+ + + +
+ +

S

+ + + +
+ +

T

+ + +
+ +

U

+ + +
+ + + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/index.html b/docs/index.html new file mode 100644 index 0000000..1cac0da --- /dev/null +++ b/docs/index.html @@ -0,0 +1,438 @@ + + + + + + + + AD-PEPS’s documentation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

AD-PEPS’s documentation

+

The AD-PEPS Python package is intended as a example of an implementation of iPEPS ground-state and excited-state algorithms using Automatic Differentiation, as described in <paper> +As such, the code is meant to illustrate the workings of the algorithms described the paper. +For real applications, this code would likely need to be further adapted and optimized, however the package is a complete implementation and can directly be used for simple calculations.

+ +
+

Reference

+ ++++ + + + + + + + + + + + + + + + + + + + + +

ipeps.ipeps

iPEPS module for optimization with CTM

ipeps.config

Configuration module for iPEPS simulations

ipeps.ctm

Main CTM code

ipeps.models

Contains all models defined by Hamiltonians as part of this module

simulation

Module with executable scripts for running the simulations

utils

Utilities module with several convenience functions and classes used during the simulations

+
+
+
+

Indices and tables

+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/ipeps.html b/docs/ipeps.html new file mode 100644 index 0000000..d2bb43e --- /dev/null +++ b/docs/ipeps.html @@ -0,0 +1,364 @@ + + + + + + + + adpeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/notes/example.html b/docs/notes/example.html new file mode 100644 index 0000000..ed9e92a --- /dev/null +++ b/docs/notes/example.html @@ -0,0 +1,469 @@ + + + + + + + + Example: ground state — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Example: ground state

+

The package includes an example configuration for a ground-state simulation of the 2D Heisenberg model, defined by the Hamiltonian

+
+\[H = J \sum_i S_i \cdot S_{i+1}~.\]
+

The configuration file examples/heis_D2.yaml contains the following:

+
# Base configuration file for iPEPS simulation
+# See the individual config modules for more information
+
+# Simulation options
+# -> ipeps/config.py
+D:             2
+chi:           41
+model:         'heisenberg'
+
+# (Ground state) Optimizer
+method:        'L-BFGS-B'
+
+# If an existing state is loaded in the model initialization, 
+# the seed controls the random (small) noise that is added to 
+# the site tensors
+seed:          1
+
+# Prefix for output file
+out_prefix:
+
+# Resume earlier simulation if found
+resume:        True
+
+model_params:
+  J:           1
+
+# Set to 0 to suppress all output besides printing each step
+disp_level:    2
+
+# CTM convergence criterium (singular values norm difference)
+ctm_conv_tol:  1.e-6
+
+# Minimal number of CTM steps
+ctm_min_iter:  4
+
+# Maximal number of CTM steps
+ctm_max_iter:  8
+
+# Flush output (prints with print(*args, flush=True))
+flush_output:  True
+
+# Unit cell pattern (Neel order)
+pattern:
+  [
+    [0,1],
+    [1,0],
+  ]
+
+
+

This configures a simulation with bond dimension D=2 and boundary bond dimension chi=40, using the model defined in adpeps.ipeps.models.heisenberg.

+

Now the simulation can be started by calling the adpeps module with the name of this configuration file:

+
>>> python -m adpeps gs 'heis_D2'
+WARNING:absl:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)
+Namespace(config_file='heis_D2', sim_mode='gs', version=False)
+Running ground-state sim
+...
+
+
+

The simulation will continue to run and you should see output similar to this:

+
...
+Performing CTM pre-steps without tracking
+  | CTM step 1 conv: 4.935e-03 time: 3.17 obj: -0.658758
+  | CTM step 2 conv: 7.918e-04 time: 3.74 obj: -0.659550
+  | CTM step 3 conv: 1.234e-05 time: 6.42 obj: -0.659562
+Performing CTM
+  | CTM step 1 conv: 3.171e-07 time: 8.8 obj: -0.659563
+  | CTM step 2 conv: 2.108e-08 time: 4.07 obj: -0.659563
+  | CTM step 3 conv: 8.173e-09 time: 2.93 obj: -0.659563
+Energy: -0.6595625579862193
+...
+
+
+

The first cycle of iterations are not taken into account in the gradient computation, but make sure that the CTM iterations with gradient tracking start from some reasonably converged boundary tensors in order to avoid instabilities with initial CTM steps.

+
+

Note

+

The convergence rate of the CTM depends on the variational parameters of the iPEPS and the settings of the simulation. +Generally the convergence improves as the simulation approaches the optimum.

+
+

Whenever a step in the optimization has completed (this could take more than one cycle of CTM iterations depending on the type of optimizer), the module will output a summary of the steps so far:

+
...
+# ======================== #
+#      Step completed      #
+# ======================== #
+
+Step   0  E: -0.376468389894  |grad|: 1.2103482
+Step   1  E: -0.505252956403  |grad|: 0.19064889
+Step   2  E: -0.517432085607  |grad|: 0.10910666
+Step   3  E: -0.578045570568  |grad|: 0.081472534
+Step   4  E: -0.589074339197  |grad|: 0.089438567
+Step   5  E: -0.597590746400  |grad|: 0.15282526
+Step   6  E: -0.612205652457  |grad|: 0.076385807
+Step   7  E: -0.628079118387  |grad|: 0.0684857
+Step   8  E: -0.642200026835  |grad|: 0.097849544
+Step   9  E: -0.649553574703  |grad|: 0.066648727
+Step  10  E: -0.653909263824  |grad|: 0.0264237
+Step  11  E: -0.655389076620  |grad|: 0.016836624
+Step  12  E: -0.656585389308  |grad|: 0.016954703
+Step  13  E: -0.657797020335  |grad|: 0.020011479
+Step  14  E: -0.658174755217  |grad|: 0.033691114
+Step  15  E: -0.659083649568  |grad|: 0.012202327
+Step  16  E: -0.659365377610  |grad|: 0.0064214407
+Step  17  E: -0.659562557986  |grad|: 0.007503111
+...
+
+
+

The simulation will continue until adpeps.ipeps.config.max_iterations has been reached. +At any point the simulation can be stopped and continued later by restarting the module.

+
+

Note

+

In case you would like the simulation to continue from an earlier saved simulation with the same configuration file, make sure to set adpeps.ipeps.config.resume = True

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/notes/example2.html b/docs/notes/example2.html new file mode 100644 index 0000000..92c1eec --- /dev/null +++ b/docs/notes/example2.html @@ -0,0 +1,485 @@ + + + + + + + + Example: excitations — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Example: excitations

+
+

Note

+

This example continues from the ground-state example and requires an optimized ground state to start from.

+
+

Here we demonstrates how to use the adpeps package for computing excited states of the 2D Heisenberg model.

+

The configuration file examples/heis_D2_exci.yaml contains the following settings:

+
# Base configuration file for iPEPS simulation
+# See the individual config modules for more information
+
+# Simulation options
+# -> ipeps/config.py
+D:             2
+chi:           40
+model:         'heisenberg'
+
+# Prefix for output file
+out_prefix:
+
+# Resume earlier simulation if found
+resume:        True
+
+model_params:
+  J:           1
+
+# Set to 0 to suppress all output besides printing each step
+disp_level:    1
+
+# CTM convergence criterium (singular values norm difference)
+ctm_conv_tol:  1.e-6
+
+# Minimal number of CTM steps
+ctm_min_iter:  4
+
+# Maximal number of CTM steps
+ctm_max_iter:  20
+
+# Flush output (prints with print(*args, flush=True))
+flush_output:  True
+
+# Unit cell pattern (Neel order)
+pattern:
+  [
+    [0,1],
+    [1,0],
+  ]
+
+# Excitations
+momentum_path: 'Bril1'
+
+
+

Note that many of the options are the same as for the ground-state simulation, with the addition of the adpeps.ipeps.config.momentum_path setting, which controls which path through the Brillouin zone will be taken.

+

In the configuration for excited states you do not explicitly set the momentum, but choose a preset path of points in momentum space and pass the index for each simulation.

+

If we now start the simulation, we get the following response:

+
>>> python -m adpeps exci 'heis_D2_exci' --p_ix=1
+...
+Running excited-state sim
+...
+Base file .../exci/heisenberg_D2_X40.base.npz not found.
+Prepare the simulation first by running with option '-i'
+
+
+

What happened is that we first need to make some preparations for the simulation. +For excited-state simulations, we require the following:

+
+
    +
  1. Well-converged CTM boundary tensors

  2. +
  3. A basis for the excited-state tensors, orthogonal to the ground state

  4. +
+
+

The preparations for the simulation need to be performed only once, resulting in a base simulation file that will be used by the simulations for every momentum.

+
>>> python -m adpeps exci 'heis_D2_exci' -i
+...
+Running excited-state sim
+...
+  | CTM step 1 conv: 1.036e-01 time: 3.64 obj: -0.665574
+  | CTM step 2 conv: 3.137e-03 time: 4.23 obj: -0.662436
+  | CTM step 3 conv: 7.887e-05 time: 4.0 obj: -0.662515
+  | CTM step 4 conv: 1.388e-06 time: 2.2 obj: -0.662514
+  | CTM step 5 conv: 2.455e-07 time: 1.35 obj: -0.662514
+  | CTM step 6 conv: 3.044e-08 time: 1.35 obj: -0.662514
+  | CTM step 7 conv: 4.673e-09 time: 1.37 obj: -0.662514
+  | CTM step 8 conv: 4.467e-10 time: 1.36 obj: -0.662514
+  | CTM step 9 conv: 5.029e-11 time: 1.35 obj: -0.662514
+  | CTM step 10 conv: 5.612e-11 time: 1.38 obj: -0.662514
+  | CTM step 11 conv: 2.801e-11 time: 1.36 obj: -0.662514
+  | CTM step 12 conv: 1.204e-11 time: 1.65 obj: -0.662514
+  | CTM step 13 conv: 4.936e-12 time: 1.42 obj: -0.662514
+  | CTM step 14 conv: 1.989e-12 time: 1.39 obj: -0.662514
+GS norm 3.5890188873039093
+GS norm 1.0
+Substracting -0.33125703308289145 from Hamiltonian
+
+
+

Several steps have been performed: first a full CTM contraction of the ground-state network, followed by a normalization of the ground-state tensors. +Then the Hamiltonian is shifted by the ground-state energy expectation value, in order for the excitations to have energies relative to the ground state. +Finally, the basis is prepared and we have everything to get started.

+
>>> python -m adpeps exci 'heis_D2_exci' --p_ix=0
+...
+Running excited-state sim
+...
+Starting simulation of basis vector 1/62
+Performing CTM
+  | CTM step 1 conv: 4.236e+00 time: 3.19 obj: 5.173629
+  | CTM step 2 conv: 3.539e-02 time: 1.38 obj: 5.138237
+  | CTM step 3 conv: 6.139e-03 time: 1.27 obj: 5.132099
+  | CTM step 4 conv: 2.116e-04 time: 1.24 obj: 5.132310
+  | CTM step 5 conv: 6.148e-05 time: 1.28 obj: 5.132249
+  | CTM step 6 conv: 3.704e-04 time: 1.25 obj: 5.131879
+  | CTM step 7 conv: 2.493e-04 time: 1.25 obj: 5.131629
+Energies: 0.04418993415167889 1.5450126399606245e-10
+Norm: 0.008611083119254162
+==========
+Finished basis vector 1/62
+-
+Starting simulation of basis vector 2/62
+Performing CTM
+  | CTM step 1 conv: 2.004e+00 time: 0.99 obj: 3.335621
+  | CTM step 2 conv: 6.150e-02 time: 1.26 obj: 3.397117
+  | CTM step 3 conv: 2.017e-02 time: 1.28 obj: 3.376950
+  | CTM step 4 conv: 3.838e-03 time: 1.26 obj: 3.380788
+  | CTM step 5 conv: 3.650e-04 time: 1.3 obj: 3.380423
+  | CTM step 6 conv: 1.246e-03 time: 1.29 obj: 3.381669
+  | CTM step 7 conv: 1.288e-03 time: 1.31 obj: 3.380380
+Energies: 0.4285335373465171 1.5450126399606245e-10
+Norm: 0.12679967208649232
+==========
+Finished basis vector 2/62
+...
+
+
+

In this version of the algorithm, the full energy and norm overlap matrices will be computed. +Each of the basis vectors, as seen in the output above, is used as input in a separate CTM summation and the program will continue to run until all basis vectors have been used.

+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/notes/install.html b/docs/notes/install.html new file mode 100644 index 0000000..3282054 --- /dev/null +++ b/docs/notes/install.html @@ -0,0 +1,388 @@ + + + + + + + + Installation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Installation

+

The quickest way of installing the adpeps package is to clone the repository

+
git clone <repo>
+
+
+ +
+

Method 2: pip

+

The package can also be installed via pip:

+
cd ad-peps
+pip install -e .
+
+
+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/notes/start.html b/docs/notes/start.html new file mode 100644 index 0000000..6eeb332 --- /dev/null +++ b/docs/notes/start.html @@ -0,0 +1,453 @@ + + + + + + + + Getting Started — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + + + +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Getting Started

+
+

General

+

The main starting point for running simulations with the adpeps package is by loading the module directly via python -m adpeps.

+

For both ground-state and excited-state simulations the configuration can be set via configuration .yaml files. +Each option in the configuration file corresponds to an attribute of the adpeps.ipeps.config module.

+

The first argument for the module is the simulation mode (ground-state or excited-state):

+
python -m adpeps {gs,exci} ...
+
+
+
+

Note

+

The input configuration file location can be set via the +CONFIGDIR variable. If it is not set, the default +input folder will be the examples subfolder of the package +root directory

+
+
+

Note

+

The output data location can be set via the DATADIR +variable. If it is not set, the default output folder will be +in the simulations subfolder of the package root directory.

+
+
+
+

Ground states

+

For ground-state simulations, the only required argument is the configuration file.

+
usage: python -m adpeps gs [-h] config_file
+
+
+
+

Positional Arguments

+
+
config_file
+

Configuration (.yml) file for the simulation options

+
+
+
+
+
+

Excited states

+

For excited-state simulations, the first argument is again the name of a configuration file (note that the relevant options are different for excited-state simulations), and furthermore the momentum index -p is required.

+

The momentum index refers to a point in momentum space \((k_x, k_y)\) defined in a specific path through the Brillouin zone. +The corresponding momentum path can be set via the adpeps.ipeps.config.momentum_path option. +By default, the ‘Bril1’ path is taken, which follows the cut along high symmetry points \((\pi,0) - (\pi,\pi) - (\pi/2,\pi/2) - (0,0) - (\pi,0) - (\pi/2,\pi/2)\)

+
usage: python -m adpeps exci [-h] [-p MOMENTUM_IX] [-e] [-i]
+                             config_file
+
+
+
+

Positional Arguments

+
+
config_file
+

config file of excited-state simulation

+
+
+
+
+

Named Arguments

+
+
-p, --p_ix
+

momentum index

+
+
-e, --eval
+

Prepare excitation base

+
+
-i, --init
+

Prepare excitation base

+
+
+
+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/objects.inv b/docs/objects.inv new file mode 100644 index 0000000..36defe2 Binary files /dev/null and b/docs/objects.inv differ diff --git a/docs/py-modindex.html b/docs/py-modindex.html new file mode 100644 index 0000000..2adebfa --- /dev/null +++ b/docs/py-modindex.html @@ -0,0 +1,454 @@ + + + + + + + + Python Module Index — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ + +

Python Module Index

+ +
+ a +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
 
+ a
+ adpeps +
    + adpeps.ipeps.config +
    + adpeps.ipeps.ctm +
    + adpeps.ipeps.ipeps +
    + adpeps.ipeps.ipeps.evaluation +
    + adpeps.ipeps.models +
    + adpeps.ipeps.models.common +
    + adpeps.ipeps.models.heisenberg +
    + adpeps.simulation +
    + adpeps.simulation.run_ipeps_exci +
    + adpeps.simulation.run_ipeps_gs +
    + adpeps.utils +
    + adpeps.utils.ctmtensors +
    + adpeps.utils.empty_tensor +
    + adpeps.utils.io +
    + adpeps.utils.nested +
    + adpeps.utils.printing +
    + adpeps.utils.tlist +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/search.html b/docs/search.html new file mode 100644 index 0000000..a66c206 --- /dev/null +++ b/docs/search.html @@ -0,0 +1,374 @@ + + + + + + + + Search — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Search

+
+ +

+ Please activate JavaScript to enable the search + functionality. +

+
+

+ Searching for multiple words only shows matches that contain + all words. +

+
+ + + +
+ +
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/searchindex.js b/docs/searchindex.js new file mode 100644 index 0000000..fc70f1b --- /dev/null +++ b/docs/searchindex.js @@ -0,0 +1 @@ +Search.setIndex({docnames:["extra/adpeps.ipeps.ctm","extra/adpeps.ipeps.ipeps","extra/ipeps.ipeps","generated/adpeps.ipeps.config","generated/adpeps.ipeps.ctm","generated/adpeps.ipeps.ipeps","generated/adpeps.ipeps.ipeps.evaluation","generated/adpeps.ipeps.models","generated/adpeps.ipeps.models.common","generated/adpeps.ipeps.models.heisenberg","generated/adpeps.simulation","generated/adpeps.simulation.run_ipeps_exci","generated/adpeps.simulation.run_ipeps_gs","generated/adpeps.utils","generated/adpeps.utils.ctmtensors","generated/adpeps.utils.empty_tensor","generated/adpeps.utils.io","generated/adpeps.utils.nested","generated/adpeps.utils.printing","generated/adpeps.utils.tlist","index","ipeps","notes/example","notes/example2","notes/install","notes/start"],envversion:{"sphinx.domains.c":2,"sphinx.domains.changeset":1,"sphinx.domains.citation":1,"sphinx.domains.cpp":3,"sphinx.domains.index":1,"sphinx.domains.javascript":2,"sphinx.domains.math":2,"sphinx.domains.python":2,"sphinx.domains.rst":2,"sphinx.domains.std":2,"sphinx.ext.viewcode":1,sphinx:56},filenames:["extra/adpeps.ipeps.ctm.rst","extra/adpeps.ipeps.ipeps.rst","extra/ipeps.ipeps.rst","generated/adpeps.ipeps.config.rst","generated/adpeps.ipeps.ctm.rst","generated/adpeps.ipeps.ipeps.rst","generated/adpeps.ipeps.ipeps.evaluation.rst","generated/adpeps.ipeps.models.rst","generated/adpeps.ipeps.models.common.rst","generated/adpeps.ipeps.models.heisenberg.rst","generated/adpeps.simulation.rst","generated/adpeps.simulation.run_ipeps_exci.rst","generated/adpeps.simulation.run_ipeps_gs.rst","generated/adpeps.utils.rst","generated/adpeps.utils.ctmtensors.rst","generated/adpeps.utils.empty_tensor.rst","generated/adpeps.utils.io.rst","generated/adpeps.utils.nested.rst","generated/adpeps.utils.printing.rst","generated/adpeps.utils.tlist.rst","index.rst","ipeps.rst","notes/example.rst","notes/example2.rst","notes/install.rst","notes/start.rst"],objects:{"adpeps.ipeps":{config:[3,0,0,"module-0"],ctm:[4,0,0,"module-0"],ipeps:[5,0,0,"module-0"],models:[7,0,0,"module-0"]},"adpeps.ipeps.config":{D:[3,1,1,""],base_sim:[3,1,1,""],chi:[3,1,1,""],ctm_conv_tol:[3,1,1,""],ctm_max_iter:[3,1,1,""],ctm_min_iter:[3,1,1,""],disp_level:[3,1,1,""],flush_output:[3,1,1,""],from_dict:[3,2,1,""],load_sim:[3,1,1,""],max_iterations:[3,1,1,""],method:[3,1,1,""],model:[3,1,1,""],model_params:[3,1,1,""],momentum_path:[3,1,1,""],out_prefix:[3,1,1,""],pattern:[3,1,1,""],resume:[3,1,1,""],seed:[3,1,1,""]},"adpeps.ipeps.ctm":{CTM:[4,3,1,""],do_bottom:[4,2,1,""],do_left:[4,2,1,""],do_right:[4,2,1,""],do_top:[4,2,1,""],get_projectors:[4,2,1,""],get_projectors_bottom:[4,2,1,""],get_projectors_left:[4,2,1,""],get_projectors_right:[4,2,1,""],get_projectors_top:[4,2,1,""],renorm_left:[4,2,1,""],renormalize:[4,2,1,""]},"adpeps.ipeps.ctm.CTM":{converged:[4,4,1,""],show_progress:[4,4,1,""],update:[4,4,1,""]},"adpeps.ipeps.ipeps":{evaluation:[6,0,0,"module-0"],iPEPS:[5,3,1,""],iPEPS_exci:[5,3,1,""],init_A_tensor:[5,2,1,""],init_ctm_tensors:[5,2,1,""]},"adpeps.ipeps.ipeps.iPEPS":{converge_boundaries:[5,4,1,""],fill:[5,4,1,""],numel:[5,4,1,""],parse_elements:[5,4,1,""],run:[5,4,1,""]},"adpeps.ipeps.ipeps.iPEPS_exci":{fill:[5,4,1,""]},"adpeps.ipeps.models":{common:[8,0,0,"module-0"],heisenberg:[9,0,0,"module-0"]},"adpeps.ipeps.models.heisenberg":{make_hamiltonian:[9,2,1,""],setup:[9,2,1,""]},"adpeps.simulation":{run_ipeps_exci:[11,0,0,"module-0"],run_ipeps_gs:[12,0,0,"module-0"]},"adpeps.simulation.run_ipeps_exci":{iPEPSExciSimulation:[11,3,1,""],run:[11,2,1,""]},"adpeps.simulation.run_ipeps_gs":{Objective:[12,3,1,""],run:[12,2,1,""]},"adpeps.simulation.run_ipeps_gs.Objective":{fun:[12,5,1,""],gradnrm:[12,4,1,""],jac:[12,4,1,""],out:[12,4,1,""],peps:[12,5,1,""]},"adpeps.utils":{ctmtensors:[14,0,0,"module-0"],empty_tensor:[15,0,0,"module-0"],io:[16,0,0,"module-0"],nested:[17,0,0,"module-0"],printing:[18,0,0,"module-0"],tlist:[19,0,0,"module-0"]},"adpeps.utils.ctmtensors":{CTMTensors:[14,3,1,""]},"adpeps.utils.empty_tensor":{EmptyT:[15,3,1,""]},"adpeps.utils.nested":{Nested:[17,3,1,""]},"adpeps.utils.nested.Nested":{mult:[17,4,1,""],normalize:[17,4,1,""],transpose:[17,4,1,""]},"adpeps.utils.printing":{print:[18,2,1,""]},"adpeps.utils.tlist":{cur_loc:[19,2,1,""],hold_write:[19,2,1,""],set_pattern:[19,2,1,""]},adpeps:{simulation:[10,0,0,"module-0"],utils:[13,0,0,"module-0"]}},objnames:{"0":["py","module","Python module"],"1":["py","data","Python data"],"2":["py","function","Python function"],"3":["py","class","Python class"],"4":["py","method","Python method"],"5":["py","attribute","Python attribute"]},objtypes:{"0":"py:module","1":"py:data","2":"py:function","3":"py:class","4":"py:method","5":"py:attribute"},terms:{"004e":23,"0064214407":22,"007503111":22,"008611083119254162":23,"012202327":22,"016836624":22,"016954703":22,"017e":23,"020011479":22,"0264237":22,"029e":23,"033691114":22,"036e":23,"04418993415167889":23,"044e":23,"066648727":22,"0684857":22,"076385807":22,"081472534":22,"089438567":22,"097849544":22,"100":[3,19],"108e":22,"10910666":22,"116e":23,"12679967208649232":23,"131629":23,"131879":23,"132099":23,"132249":23,"132310":23,"137e":23,"138237":23,"139e":23,"148e":23,"150e":23,"15282526":22,"171e":22,"173629":23,"173e":22,"19064889":22,"204e":23,"2103482":22,"234e":22,"236e":23,"246e":23,"288e":23,"2x2":3,"33125703308289145":23,"33125703315998356":[],"335621":23,"376468389894":22,"376950":23,"380380":23,"380423":23,"380788":23,"381669":23,"388e":23,"397117":23,"4285335373465171":23,"455e":23,"467e":23,"493e":23,"505252956403":22,"517432085607":22,"539e":23,"5450126399606245e":23,"578045570568":22,"5890188873039093":23,"589074339197":22,"5890954402797566":[],"597590746400":22,"612205652457":22,"612e":23,"628079118387":22,"642200026835":22,"649553574703":22,"650e":23,"653909263824":22,"655389076620":22,"656585389308":22,"657797020335":22,"658174755217":22,"658758":22,"659083649568":22,"659365377610":22,"659550":22,"659562":22,"659562557986":22,"6595625579862193":22,"659563":22,"662436":23,"662514":23,"662515":23,"665574":23,"673e":23,"704e":23,"801e":23,"838e":23,"887e":23,"918e":22,"935e":22,"936e":23,"989e":23,"case":22,"class":[0,1,4,5,11,12,13,14,15,17],"default":[16,25],"final":23,"float":3,"function":[0,3,4,12,13,16,17,19],"import":3,"int":[0,3,4,11,18,19],"new":19,"return":[0,1,4,5,9,12,14,15,17],"true":[3,22,23],"while":19,For:[1,5,14,20,23,25],The:[0,1,4,5,10,11,12,15,16,20,22,23,24,25],Then:23,There:14,These:3,Useful:3,_src:[0,1,4,5,12],abov:23,absl:22,access:19,account:[17,22],act:17,action:19,activ:[19,24],adapt:20,added:22,addit:[19,23],addition:19,adpep:[22,23,24,25],again:[17,25],algorithm:[20,23],all:[0,1,4,5,7,14,17,19,22,23,24],along:25,also:24,ani:[12,22],appli:[17,19],applic:20,approach:22,arbitrati:18,arg:[17,18,22,23],argument:[3,17,18,20],arrai:[1,3,5],attribut:25,automat:[14,19,20,24],avoid:22,b_c:14,b_t:14,back:22,base:[1,3,5,22,23,25],base_sim:3,basi:23,bb_c:14,bb_t:14,bd_c:14,bd_t:14,becom:3,been:[22,23],besid:[22,23],bfg:22,bond:[0,3,4,22],bool:3,both:[12,17,25],bottom:[0,1,4,5],boundari:[0,1,3,4,5,14,22,23],bra:[1,5],bril1:[3,23,25],brillouin:[23,25],builtin:[3,18],cach:12,calcul:20,call:[3,10,11,12,15,22],can:[1,5,15,16,17,19,20,22,24,25],captur:3,cdot:22,cell:[0,1,3,4,5,19,22,23],cfg:3,check:[0,4,15,24],chi:[0,3,4,22,23],choos:23,clone:24,cluster:3,code:[0,3,4,15,20],collect:17,combin:17,come:[0,4,24],complet:[20,22],comput:[0,3,4,12,17,22,23],concaten:[1,5],conda:20,condit:[0,4],config:[1,5,18,22,23,25],config_fil:[11,12,22,25],configdir:[16,25],configur:[3,11,12,16,18,22,23,25],conj:19,contain:[0,4,7,12,14,15,17,22,23],context:[0,4,19],continu:[22,23],contract:[0,4,17,23],control:[22,23],conv:[22,23],conv_fun:[0,4],conveni:[13,14,16,19],convent:[1,5],converg:[0,1,3,4,5,12,22,23],converge_boundari:[1,5],corner:[0,4],correspond:[17,25],cost:17,could:22,cpu:22,creat:[19,24],criterium:[3,22,23],ctm:[1,3,5,12,14,22,23],ctm_conv_tol:[3,22,23],ctm_max_it:[3,22,23],ctm_min_it:[3,22,23],ctmtensor:[0,4],cur_loc:19,current:[0,4,12],cut:25,cycl:22,data:[16,25],dataclass:14,datadir:[16,25],defin:[0,3,4,7,19,22,25],delai:19,demonstr:23,depend:[18,22],deploi:3,describ:[10,11,12,20],design:12,determin:18,dict:3,differ:[3,17,22,23,25],differenti:20,dimens:[0,3,4,22],dimension:[1,5],directli:[10,11,12,20,25],directori:[16,25],disabl:19,disp_level:[3,18,22,23],displai:3,do_bottom:[0,4],do_left:[0,4],do_right:[0,4],do_top:[0,4],doubl:19,dure:13,each:[17,22,23,25],earlier:[3,22,23],effect:14,effici:17,either:[1,5,19],element:[1,5,17],empti:[1,5,15,17],emptyt:[15,17],energi:[1,5,12,17,22,23],env:24,environ:24,eval:25,evalu:[12,17],everi:23,everyth:23,exampl:[1,3,5,14,16,19,20,25],exci:[23,25],excit:[1,5,11,17,20],execut:[10,11,12],exist:22,expect:[15,23],explicitli:23,extra:[0,4],factori:14,fall:22,fals:[3,22],far:22,featur:[0,4,19],field:14,file:[1,3,5,11,12,16,18,22,23,24,25],filenam:[11,12,16],fill:[1,5,17],finish:[23,24],first:[17,22,23,25],flush:[3,22,23],flush_output:[3,22,23],fly:17,folder:[16,25],foldernam:16,follow:[12,17,22,23,24,25],form:[14,16],found:[3,22,23],from:[1,3,5,22,23],from_dict:3,full:[14,23],fun:12,further:20,furthermor:25,gener:[14,20,22],get:[10,11,12,20,23],get_projector:[0,4],get_projectors_bottom:[0,4],get_projectors_left:[0,4],get_projectors_right:[0,4],get_projectors_top:[0,4],git:24,given:12,gpu:22,grad:22,gradient:[1,5,12,22],gradnrm:12,ground:[12,20,23],hamiltonian:[7,9,22,23],happen:23,has:[0,4,22],have:[19,23],heis_d2:22,heis_d2_exci:23,heisenberg:[22,23],heisenberg_d2_x40:23,helper:17,here:23,high:25,hold:19,hold_writ:19,how:[1,5,23],howev:20,illustr:20,implement:20,implent:17,improv:22,includ:[14,22,24],index:[0,4,11,19,20,23,25],indic:[1,5],individu:[0,1,4,5,17,22,23],info:22,inform:[22,23],init:25,init_a_tensor:[1,5],init_ctm_tensor:[1,5],initi:[1,3,5,22],initit:[1,5],input:[0,1,4,5,12,16,23,25],insid:19,instabl:22,instal:20,instanc:11,integ:3,intend:[10,11,12,20],involv:15,ipep:[12,14,18,19,20,22,23,25],ipeps_exci:5,ipepsexcisimul:11,item:19,iter:[0,3,4,12,22],jac:12,jacobian:12,jax:[0,1,4,5,12],k_x:25,k_y:25,ket:[1,5],keyword:18,kwarg:18,label:3,larg:17,largest:17,later:22,lax_numpi:[0,1,4,5,12],lead:17,left:[0,1,4,5],level:[3,18],like:[17,20,22],linear:19,list:[0,1,4,5,14,19],load:[3,22,25],load_sim:3,loc:19,local:16,locat:[16,19,25],mai:17,main:[0,4,11,12,25],make:[12,22,23],make_hamiltonian:9,mani:[12,17,23],matric:23,max_iter:[3,22],maxim:[3,22,23],maximum:3,meant:20,method:[3,17,20,22],minim:[3,22,23],mode:25,model:[1,3,5,22,23],model_param:[3,22,23],modul:[1,3,5,16,18,20,22,23,25],modulo:19,momentum:[3,11,23,25],momentum_ix:[11,25],momentum_path:[3,23,25],more:[19,22,23],most:17,move:[0,4],mult:17,n_x:19,name:[20,22],namespac:22,ncon:[0,4],ndarrai:[0,1,4,5,12,17],need:[15,20,23],neel:[22,23],nest:[0,4],network:23,nois:22,none:[0,3,4,14,18],norm:[3,12,22,23],normal:[17,23],note:[19,23,25],now:[22,23],npz:[3,23],number:[1,3,5,22,23],numel:[1,5],numpi:[0,1,4,5,12,17],obj:[22,23],object:[0,4,12,15,17,19],observ:14,off:19,onc:[17,23],one:[1,5,19,22],onli:[23,25],oper:[15,17],optim:[1,3,5,12,20,22,23],optimum:22,option:[3,18,22,23,25],order:[1,5,22,23],orthogon:23,orthonorm:[],other:17,out:[0,4,12],out_prefix:[3,22,23],output:[3,16,18,22,23,25],overlap:23,p_ix:[23,25],packag:[10,11,12,16,20,22,23,24,25],page:20,paper:20,param:[1,5],paramet:[0,1,3,4,5,11,12,14,17,18,19,22],parse_el:[1,5],part:[7,15],pass:[3,17,18,23],path:[3,23,25],pattern:[1,3,5,19,22,23],pbb:14,pep:[12,24],perform:[0,1,4,5,22,23],period:[0,4],phy:[1,5],pip:20,plb:[0,4,14],point:[11,12,22,23,25],posit:20,potenti:17,prb:14,pre:22,prefix:[3,22,23],prepar:[23,25],preset:23,previou:3,print:[0,3,4,22,23],product:12,program:23,progress:[0,4],projector:[0,4,14],properti:[0,4,12],provid:14,ptb:14,python:[3,10,11,12,20,22,23,24,25],quickest:24,random:[1,3,5,22],rate:22,reach:22,real:20,reason:22,recommend:20,reduc:17,refer:25,regular:17,rel:[19,23],relat:14,relev:[16,25],remov:15,renorm:[0,4],renorm_left:[0,4],repo:24,repositori:24,repres:[15,17],represent:14,requir:[12,23,24,25],rerun:22,res:17,respect:12,respons:23,restart:22,result:[12,15,17,23],resum:[3,17,22,23],right:[0,1,4,5],root:[16,25],run:[1,5,10,11,12,22,23,25],run_ipeps_g:[1,5],s_i:22,same:[22,23],save:22,script:[10,11,12],search:20,see:[1,5,22,23],seed:[1,3,5,22],seen:23,self:17,separ:[12,23],set:[1,3,5,16,18,19,22,23,25],set_pattern:19,setup:9,sever:[13,14,23],shift:[0,4,19,23],should:[18,19,22],show_progress:[0,4],sim:[22,23],sim_config:[1,5],sim_mod:22,similar:22,simpl:20,simul:[1,3,5,13,16,22,23,25],sinc:12,singl:17,singular:[0,3,4,22,23],site:[0,1,3,4,5,12,14,22],size:19,small:22,some:[17,22,23],sourc:[0,1,3,4,5,9,11,12,14,15,17,18,19],space:[11,12,23,25],special:[0,4],specif:[1,5,25],specifi:[1,5],start:[10,11,12,20,22,23],state:[1,3,5,11,12,14,17,20,23],step:[3,22,23],stop:22,store:19,str:[3,11,12],subfold:[16,25],substract:23,sum_i:22,summari:22,summat:23,suppli:[0,4],suppress:[22,23],sure:22,svd:[0,4],symmetri:25,take:22,taken:[17,19,22,23,25],tensor:[0,1,4,5,12,14,15,17,19,22,23],tensordot:17,term:17,text:3,tf_cpp_min_log_level:22,than:22,thi:[7,11,12,14,15,17,18,19,20,22,23],through:[3,23,25],time:[22,23],tlist:[0,4,14],togeth:[0,4],top:[0,1,4,5],tpu:22,track:[1,5,22],transpos:17,trivial:[1,5],tupl:[0,4],two:17,type:[0,1,3,4,5,12,14,15,17,22],union:17,uniqu:3,unit:[0,1,3,4,5,19,22,23],until:[1,5,12,19,22,23],updat:[0,4],usag:25,use:23,used:[10,11,12,13,15,17,19,20,23,24],using:[17,18,20,22],usual:12,util:[0,3,4],valu:[0,3,4,17,22,23],variabl:[16,25],variant:[1,5,17],variat:[1,5,12,14,22],vector:[12,23],verbos:18,version:[22,23],via:[3,10,11,12,16,24,25],wai:24,warn:22,well:[12,23],what:23,when:[3,17,24],whenev:22,where:[15,19],which:[0,1,4,5,14,15,18,23,24,25],whole:19,without:[1,5,22],work:[12,19,20,24],would:[20,22],wrapper:[14,17],write:19,yaml:[0,4,22,23,25],yml:[3,24,25],you:[22,23,24],zero:[15,19],zone:[23,25]},titles:["adpeps.ipeps.ctm","adpeps.ipeps.ipeps","iPEPSS","adpeps.ipeps.config","adpeps.ipeps.ctm","adpeps.ipeps.ipeps","adpeps.ipeps.ipeps.evaluation","adpeps.ipeps.models","adpeps.ipeps.models.common","adpeps.ipeps.models.heisenberg","adpeps.simulation","adpeps.simulation.run_ipeps_exci","adpeps.simulation.run_ipeps_gs","adpeps.utils","adpeps.utils.ctmtensors","adpeps.utils.empty_tensor","adpeps.utils.io","adpeps.utils.nested","adpeps.utils.printing","adpeps.utils.tlist","AD-PEPS\u2019s documentation","adpeps.ipeps","Example: ground state","Example: excitations","Installation","Getting Started"],titleterms:{adpep:[0,1,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,21],argument:25,common:8,conda:24,config:3,ctm:[0,4],ctmtensor:14,document:20,empty_tensor:15,evalu:6,exampl:[22,23],excit:[23,25],gener:25,get:25,ground:[22,25],heisenberg:9,indic:20,instal:24,ipep:[0,1,3,4,5,6,7,8,9,21],ipeps_exci:1,ipepss:2,method:24,model:[7,8,9],modul:[7,10,13],name:25,nest:17,pep:20,pip:24,posit:25,print:18,recommend:24,refer:20,run_ipeps_exci:11,run_ipeps_g:12,simul:[10,11,12],start:25,state:[22,25],tabl:20,tlist:19,util:[13,14,15,16,17,18,19]}}) \ No newline at end of file diff --git a/docs/source/Makefile b/docs/source/Makefile new file mode 100644 index 0000000..de95642 --- /dev/null +++ b/docs/source/Makefile @@ -0,0 +1,21 @@ +# Minimal makefile for Sphinx documentation +# + +# You can set these variables from the command line, and also +# from the environment for the first two. +SPHINXOPTS ?= +SPHINXBUILD ?= sphinx-build +SOURCEDIR = . +BUILDDIR = _build + +# Put it first so that "make" without argument is like "make help". +help: + @$(SPHINXBUILD) -M help "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) + +.PHONY: help Makefile + +# Catch-all target: route all unknown targets to Sphinx using the new +# "make mode" option. $(O) is meant as a shortcut for $(SPHINXOPTS). +%: Makefile + @$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) + @cp -a "$(BUILDDIR)/html/." ../ diff --git a/docs/source/_build/doctrees/environment.pickle b/docs/source/_build/doctrees/environment.pickle new file mode 100644 index 0000000..f800084 Binary files /dev/null and b/docs/source/_build/doctrees/environment.pickle differ diff --git a/docs/source/_build/doctrees/extra/adpeps.ipeps.ctm.doctree b/docs/source/_build/doctrees/extra/adpeps.ipeps.ctm.doctree new file mode 100644 index 0000000..65916cc Binary files /dev/null and b/docs/source/_build/doctrees/extra/adpeps.ipeps.ctm.doctree differ diff --git a/docs/source/_build/doctrees/extra/adpeps.ipeps.ipeps.doctree b/docs/source/_build/doctrees/extra/adpeps.ipeps.ipeps.doctree new file mode 100644 index 0000000..9d5f64b Binary files /dev/null and b/docs/source/_build/doctrees/extra/adpeps.ipeps.ipeps.doctree differ diff --git a/docs/source/_build/doctrees/extra/ipeps.ipeps.doctree b/docs/source/_build/doctrees/extra/ipeps.ipeps.doctree new file mode 100644 index 0000000..33f1b72 Binary files /dev/null and b/docs/source/_build/doctrees/extra/ipeps.ipeps.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.config.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.config.doctree new file mode 100644 index 0000000..4f2e46e Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.config.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.ctm.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.ctm.doctree new file mode 100644 index 0000000..8ce3dcb Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.ctm.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.doctree new file mode 100644 index 0000000..3dddc41 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.evaluation.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.evaluation.doctree new file mode 100644 index 0000000..65a4c8d Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.ipeps.evaluation.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.models.common.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.common.doctree new file mode 100644 index 0000000..e1dcea6 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.common.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.models.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.doctree new file mode 100644 index 0000000..533dded Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.ipeps.models.heisenberg.doctree b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.heisenberg.doctree new file mode 100644 index 0000000..235253b Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.ipeps.models.heisenberg.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.simulation.doctree b/docs/source/_build/doctrees/generated/adpeps.simulation.doctree new file mode 100644 index 0000000..d1de7a5 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.simulation.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_exci.doctree b/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_exci.doctree new file mode 100644 index 0000000..21f6b68 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_exci.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_gs.doctree b/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_gs.doctree new file mode 100644 index 0000000..56c4b35 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.simulation.run_ipeps_gs.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.ctmtensors.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.ctmtensors.doctree new file mode 100644 index 0000000..31dcf6f Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.ctmtensors.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.doctree new file mode 100644 index 0000000..1cdd93e Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.empty_tensor.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.empty_tensor.doctree new file mode 100644 index 0000000..2008085 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.empty_tensor.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.io.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.io.doctree new file mode 100644 index 0000000..4f52dba Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.io.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.nested.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.nested.doctree new file mode 100644 index 0000000..75a4d7c Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.nested.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.printing.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.printing.doctree new file mode 100644 index 0000000..cfbdf87 Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.printing.doctree differ diff --git a/docs/source/_build/doctrees/generated/adpeps.utils.tlist.doctree b/docs/source/_build/doctrees/generated/adpeps.utils.tlist.doctree new file mode 100644 index 0000000..594ae7b Binary files /dev/null and b/docs/source/_build/doctrees/generated/adpeps.utils.tlist.doctree differ diff --git a/docs/source/_build/doctrees/index.doctree b/docs/source/_build/doctrees/index.doctree new file mode 100644 index 0000000..4b6b6b7 Binary files /dev/null and b/docs/source/_build/doctrees/index.doctree differ diff --git a/docs/source/_build/doctrees/ipeps.doctree b/docs/source/_build/doctrees/ipeps.doctree new file mode 100644 index 0000000..33fdbd8 Binary files /dev/null and b/docs/source/_build/doctrees/ipeps.doctree differ diff --git a/docs/source/_build/doctrees/notes/example.doctree b/docs/source/_build/doctrees/notes/example.doctree new file mode 100644 index 0000000..fa9f9a1 Binary files /dev/null and b/docs/source/_build/doctrees/notes/example.doctree differ diff --git a/docs/source/_build/doctrees/notes/example2.doctree b/docs/source/_build/doctrees/notes/example2.doctree new file mode 100644 index 0000000..784b175 Binary files /dev/null and b/docs/source/_build/doctrees/notes/example2.doctree differ diff --git a/docs/source/_build/doctrees/notes/install.doctree b/docs/source/_build/doctrees/notes/install.doctree new file mode 100644 index 0000000..a3b52ab Binary files /dev/null and b/docs/source/_build/doctrees/notes/install.doctree differ diff --git a/docs/source/_build/doctrees/notes/start.doctree b/docs/source/_build/doctrees/notes/start.doctree new file mode 100644 index 0000000..514932b Binary files /dev/null and b/docs/source/_build/doctrees/notes/start.doctree differ diff --git a/docs/source/_build/html/.buildinfo b/docs/source/_build/html/.buildinfo new file mode 100644 index 0000000..1526412 --- /dev/null +++ b/docs/source/_build/html/.buildinfo @@ -0,0 +1,4 @@ +# Sphinx build info version 1 +# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. +config: b36c6381b860d3fa6d2a0c9c335cb328 +tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/docs/source/_build/html/_modules/adpeps/ipeps/config.html b/docs/source/_build/html/_modules/adpeps/ipeps/config.html new file mode 100644 index 0000000..f468630 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/ipeps/config.html @@ -0,0 +1,474 @@ + + + + + + + + adpeps.ipeps.config — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.config

+""" Configuration module for iPEPS simulations 
+
+    These settings will be loaded from a configuration file :code:`.yml` file 
+    via the :meth:`from_dict` function
+"""
+
+from typing import Union, Iterable
+import numpy as np
+import math
+import os
+
+D: int                         = None
+""" iPEPS bond dimension """
+
+chi: int                       = None
+""" CTM boundary bond dimension """
+
+model: str                     = None
+""" Model """
+
+model_params: dict             = None
+""" Model parameters """
+
+method: str                    = None
+""" Optimization method """
+
+seed: int                      = 1
+""" Random seed for initial state """
+
+resume: bool                   = False
+""" Resume earlier simulation if found """
+
+base_sim: Union[str, None]     = None
+""" Base simulation """
+
+load_sim: bool                 = None
+""" Load previous (Python) simulation """
+
+max_iterations: int            = 100
+""" Maximum number of optimizer iterations """
+
+disp_level: int                = 1
+""" Display level (`0`: no output) """
+
+pattern: Union[Iterable, None] = None
+""" Unit cell configuration
+    Defined as a 2-D array of integers that label the unique sites in the unit 
+    cell.
+    
+    Example:
+        A 2x2 unit cell with a [AB, BA]-type pattern is defined by
+
+        .. code-block:: python
+
+            pattern            = [
+                [0, 1],
+                [1, 0]
+            ]
+"""
+
+ctm_conv_tol: float            = 1e-10
+""" CTM convergence criterium (singular values norm difference) """
+
+ctm_min_iter: int              = 5
+""" Minimal number of CTM steps """
+
+ctm_max_iter: int              = 20
+""" Maximal number of CTM steps """
+
+flush_output: bool             = False
+""" Passes the :code:`flush    = True` argument to the builtin :code:`print` function
+    when calling the :func:`adpeps.utils.printing.print` function
+
+    Useful when deploying the code to computing clusters and capturing the output 
+    into text files
+"""
+
+out_prefix: str                = ''
+""" Optional prefix for the output file of the simulation
+    
+    Example:
+        :code:`.../{model}_D{D}_X{chi}.npz`
+
+        becomes
+
+        :code:`.../{out_prefix}_{model}_D{D}_X{chi}.npz`
+"""
+
+# Excitation settings
+
+px: float                      = 0 * math.pi
+
+py: float                      = 0 * math.pi
+
+momentum_path: str             = 'Bril1'
+""" Momentum path through the BZ """
+
+filter_null: bool              = False
+
+
[docs]def from_dict(cfg): + """ Import config from configuration (`.yml`) file """ + + cfg_vars = globals() + for name, value in cfg.items(): + if name in cfg_vars.keys(): + cfg_vars[name] = value + else: + raise ValueError(f"Option {name} = {value} not defined in iPEPS config") + try: + debug_override = os.environ.get("PY_SIM_DEBUG2") + if debug_override is not None and int(debug_override) == 1: + print("** Debug mode on (PY_SIM_DEBUG = 1) **") + cfg_vars['disp_level'] = 2 + cfg_vars['use_parallel'] = False + except: + pass
+ +def get_model_params(): + if model_params is not None: + try: + return '_'.join([str(p) for p in model_params.values()]) + '_' + except AttributeError: + return '_'.join([str(p) for p in model_params]) + '_' + else: + return '' +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/ipeps/ctm.html b/docs/source/_build/html/_modules/adpeps/ipeps/ctm.html new file mode 100644 index 0000000..489967a --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/ipeps/ctm.html @@ -0,0 +1,859 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.ctm

+"""
+    Main CTM code
+
+    The individual site and boundary tensors come in a 
+    special list-type object (TList), which has extra 
+    indexing features, such as periodic boundary 
+    conditions and shift contexts
+
+    All ncon contractions are defined in contractions.yaml
+"""
+
+import jax
+import jax.numpy as np
+import time
+from typing import Tuple
+
+import adpeps.ipeps.config as sim_config
+from adpeps.utils.ctmtensors import CTMTensors
+from adpeps.utils.nested import Nested
+from adpeps.utils.tlist import TList, cur_loc, set_pattern
+from adpeps.tensor.contractions import ncon
+from adpeps.tensor.ops import svd, diag_inv
+
+def run_ctm(tensors, chi, conv_fun=None):
+    ctm = CTM(tensors, chi, conv_fun)
+    return ctm()
+
+
[docs]class CTM: + """ CTM class """ + + def __init__(self, tensors: CTMTensors, chi: int, conv_fun=None): + """ + Args: + tensors: input ctmtensors + chi: boundary bond dimension + """ + + self.tensors = tensors + self.chi = chi + self.conv_fun = conv_fun + self.tol = sim_config.ctm_conv_tol # Convergence tolerance (singular values) + self.min_iter = sim_config.ctm_min_iter + self.max_iter = sim_config.ctm_max_iter + + self.singular_values = None + self.last_convergence = None + self.diffs = [None] + self.n_steps = 0 + self.last_ctm_time = None + self.convergence = np.nan + self.condition_number = None + + def __call__(self): + while not self.converged: + self.show_progress() + self.update() + + return self.tensors, self.convergence + +
[docs] def update(self): + """ Perform an update of all boundary tensors """ + start = time.time() + self.tensors, s = renormalize(self.tensors, self.chi) + end = time.time() + + try: + s = jax.lax.stop_gradient(s) + s_nz = s[s != 0] / np.max(s) + cond_s = np.min(s_nz) + except: + cond_s = np.nan + + self.n_steps += 1 + self.singular_values = s + self.last_ctm_time = round(end-start, 2) + self.condition_number = cond_s
+ +
[docs] def show_progress(self): + """ Print out the current progress """ + if self.n_steps > 0 and sim_config.disp_level > 0: + if self.conv_fun is not None: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time} obj: {self.convergence:.6f}") + else: + print(f" | CTM step {self.n_steps} conv: {self.diffs[-1]:.3e} time: {self.last_ctm_time}")
+ + @property + def converged(self): + """ Check convergence with supplied convergence function """ + if self.conv_fun is not None: + s = jax.lax.stop_gradient(self.conv_fun(self.tensors)) + else: + s = self.singular_values + + self.last_convergence = self.convergence + self.convergence = s + try: + diff = np.linalg.norm(self.convergence-self.last_convergence) + self.diffs.append(diff) + except: + diff = np.nan + + if self.n_steps >= self.min_iter and self.diffs[-1] < self.tol: + return True + elif self.n_steps >= self.max_iter: + return True + else: + return False
+ +
[docs]def renormalize(tensors: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Performs a CTM iteration + Updates all sites in the unit cell + + Args: + tensors: input ctmtensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **S** (*np.ndarray*): singular values of C1 (for convergence) + """ + + with set_pattern(tensors.A.pattern): + tensors, sl = do_left(tensors, chi) + tensors = do_right(tensors, chi) + tensors = do_top(tensors, chi) + tensors = do_bottom(tensors, chi) + + # Singular values of C1[0,0] - to check for convergence + S = sl[0] + return (tensors, S)
+ + +""" + --------------------- + Individual left, right, top and bottom moves + --------------------- + + Each move consists of a loop through the sites of the unit cell + in which first the projectors are computed and then the boundary + tensors are updated. + + The loops are optimized for readability with a few tricks that are + implemented in the TList or CTMTensors classes: + + - cur_loc(x,y): with this context enabled, all TList objects have a + shift applied in their coordinates. + For example: + + A[0,0] = 1 + A[1,0] = 2 + with cur_loc(1,0): + print(A[0,0]) # => 2 (retrieves element A([0,0]+[1,0]) = A[1,0]) + + Using this context, the operations in the inner loops can be written + without reference to the (i,j) loop indices, as if it's just written + for one site in the unit cell. + + - CTMTensors.hold(tensor1, ...): with this context enabled, any values + stored in tensor1 (and other designated tensors) are only put in a + temporary location, so that reading the tensor still yields the + original values. After the context exits, the values will be + overwritten by the temporary values. + Example: + + # ts is a CTMTensors object containing site/boundary tensors + ts.C1[0,0] = [1] + ts.C2[0,0] = [2] + with ts.hold('C1'): + ts.C1[0,0] = [10] + ts.C2[0,0] = [20] + print(ts.C1[0,0]) # => [1] since the value [10] is not yet stored + print(ts.C2[0,0]) # => [20] + + print(ts.C1[0,0]) # => [10] since the context has exited + + With this context, there is no need to store the updated boundary + tensors in the inner loops in temporary objects (since in CTM each + update step should be performed separately). + + - TList.is_changed(x,y): tracks whether any of the tensors in the TList + have been updated since the last call to TList.reset_changed(). + This is useful for unit cells with pattern restrictions, so that + boundary tensors that correspond to equivalent sites are only + computed once. + +""" + +
[docs]def do_left(ts: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]: + """ + Perform left CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + A tuple containing + + - **tensors** (*CTMTensors*): updated tensors + - **sl** (*np.ndarray*): singular values of C1 (for convergence) + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C4.reset_changed() + ts.T4.reset_changed() + Pl = TList(shape=unit_cell) # Upper projectors + Plb = TList(shape=unit_cell) # Lower projectors + sl = TList(shape=unit_cell) # Singular values (for convergence check) + # Loop over x direction of the unit cell + for i in range(A.size[0]): + # Loop over y direction + for j in range(A.size[1]): + # Change the relative shift of the lists + with cur_loc(i,j): + if not Pl.is_changed(0,1): + Pl[0,1], Plb[0,1], sl[0,1] = get_projectors_left(ts, chi) + # Only update the lists after the loop over j is completed + with ts.hold('all_C1','all_C4','all_T4'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C1.is_changed(0,0): + ts.update(('C1', 'C4', 'T4'), ([0,0],[0,0],[0,0]), renorm_left(ts, Pl, Plb)) + return ts, sl
+ +
[docs]def do_right(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform right CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C2.reset_changed() + ts.C3.reset_changed() + ts.T2.reset_changed() + Pr = TList(shape=unit_cell) + Prb = TList(shape=unit_cell) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not Pr.is_changed(0,1): + Pr[0,1], Prb[0,1], _ = get_projectors_right(ts, chi) + with ts.hold('all_C2','all_C3','all_T2'): + for j in range(A.size[1]): + with cur_loc(i,j): + if not ts.C2.is_changed(1,0): + ts.update(('C2', 'C3', 'T2'), ([1,0],[1,0],[1,0]), renorm_right(ts, Pr, Prb)) + return ts
+ +
[docs]def do_top(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform top CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C1.reset_changed() + ts.C2.reset_changed() + ts.T1.reset_changed() + Pt = TList(shape=unit_cell) + Ptb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pt.is_changed(0,0): + Pt[0,0], Ptb[0,0], _ = get_projectors_top(ts, chi) + with ts.hold('all_C1','all_C2','all_T1'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C1.is_changed(-1,0): + ts.update(('C1', 'C2', 'T1'), ([-1,0],[2,0],[0,0]), renorm_top(ts, Pt, Ptb)) + return ts
+ +
[docs]def do_bottom(ts: CTMTensors, chi: int) -> CTMTensors: + """ + Perform bottom CTM move + + Args: + ts: input tensors + chi: boundary bond dimension + + Returns: + ts: updated tensors + + """ + A = ts.A + unit_cell = A.size + ts.C3.reset_changed() + ts.C4.reset_changed() + ts.T3.reset_changed() + Pb = TList(shape=unit_cell) + Pbb = TList(shape=unit_cell) + for j in range(A.size[1]): + for i in range(A.size[0]): + with cur_loc(i,j): + if not Pb.is_changed(0,0): + Pb[0,0], Pbb[0,0], _ = get_projectors_bottom(ts, chi) + with ts.hold('all_C3','all_C4','all_T3'): + for i in range(A.size[0]): + with cur_loc(i,j): + if not ts.C3.is_changed(2,1): + ts.update(('C3', 'C4', 'T3'), ([2,1],[-1,1],[0,1]), renorm_bottom(ts, Pb, Pbb)) + return ts
+ + +""" + --------------------- + Individual left, right, top and bottom projectors + --------------------- + + The projectors are computed by contracting a corner of the + system (C-tensor + 2 T-tensors + A and Adagger tensors) + in the top (/left) half with a corner in the bottom (/right) + half and performing an svd +""" + +
[docs]def get_projectors_left(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the left projectors + """ + tensors = (ts.C1[-1,-1],ts.C4[-1,2],ts.T1[0,-1],ts.T3[0,2],ts.T4[-1,0],ts.T4[-1,1], + ts.A[0,0], ts.Ad[0,0], ts.A[0,1], ts.Ad[0,1]) + return _get_projectors_left_impl(*tensors, chi)
+ +def _get_projectors_left_impl(C1,C4,T1,T3,T4u,T4d,Au,Adu,Ad,Add, chi): + Cs1 = ncon([C1, T1], 'proj_left_Cs1') + Q1 = ncon([Cs1, T4u, Au, Adu], 'proj_left_Q1') + + Cs4 = ncon([C4, T3], 'proj_left_Cs4') + Q4 = ncon([Cs4, T4d, Ad, Add], 'proj_left_Q4') + + Q4 = Q4.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q4, chi) + +
[docs]def get_projectors_right(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the right projectors + """ + tensors = (ts.C2[2,-1],ts.C3[2,2],ts.T1[1,-1],ts.T2[2,0],ts.T2[2,1],ts.T3[1,2], + ts.A[1,0], ts.Ad[1,0], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_right_impl(*tensors, chi)
+ +def _get_projectors_right_impl(C2,C3,T1,T2u,T2d,T3,Au,Adu,Ad,Add, chi): + Cs2 = ncon([C2, T1], 'proj_right_Cs2') + Q2 = ncon([Cs2, T2u, Au, Adu], 'proj_right_Q2') + + Cs3 = ncon([C3, T3], 'proj_right_Cs3') + Q3 = ncon([Cs3, T2d, Ad, Add], 'proj_right_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q2, Q3, chi) + +
[docs]def get_projectors_top(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the top projectors + """ + tensors = (ts.C1[-1,-1],ts.C2[2,-1],ts.T1[0,-1],ts.T1[1,-1],ts.T2[2,0],ts.T4[-1,0], + ts.A[0,0], ts.Ad[0,0], ts.A[1,0], ts.Ad[1,0]) + return _get_projectors_top_impl(*tensors, chi)
+ +def _get_projectors_top_impl(C1,C2,T1l,T1r,T2,T4,Al,Adl,Ar,Adr, chi): + Cs1 = ncon([C1, T4], 'proj_top_Cs1') + Q1 = ncon([Cs1, T1l, Al, Adl], 'proj_top_Q1') + + Cs2 = ncon([C2, T2], 'proj_top_Cs2') + Q2 = ncon([Cs2, T1r, Ar, Adr], 'proj_top_Q2') + + Q2 = Q2.transpose([3,4,5,0,1,2]) + return get_projectors(Q1, Q2, chi) + +
[docs]def get_projectors_bottom(ts: CTMTensors, chi: int + ) -> Tuple[np.ndarray, np.ndarray, np.ndarray]: + """ + Returns the bottom projectors + """ + tensors = (ts.C3[2,2],ts.C4[-1,2],ts.T2[2,1],ts.T3[0,2],ts.T3[1,2],ts.T4[-1,1], + ts.A[0,1], ts.Ad[0,1], ts.A[1,1], ts.Ad[1,1]) + return _get_projectors_bottom_impl(*tensors, chi)
+ +def _get_projectors_bottom_impl(C3,C4,T2,T3l,T3r,T4,Al,Adl,Ar,Adr, chi): + Cs4 = ncon([C4, T4], 'proj_bottom_Cs4') + Q4 = ncon([Cs4, T3l, Al, Adl], 'proj_bottom_Q4') + + Cs3 = ncon([C3, T2], 'proj_bottom_Cs3') + Q3 = ncon([Cs3, T3r, Ar, Adr], 'proj_bottom_Q3') + + Q3 = Q3.transpose([3,4,5,0,1,2]) + return get_projectors(Q4, Q3, chi) + +
[docs]def get_projectors(T1: int, T2, chi): + """ Contracts the corners together and computes the + projectors by performing an svd + """ + full_chi = T1.shape[3]*T1.shape[4]*T1.shape[5] + new_chi = min(full_chi, chi) + + Rho = ncon([T1, T2], ([-1,-2,-3,1,2,3], [1,2,3,-4,-5,-6])) + Rho_shape = Rho.shape + Rho = np.reshape(Rho, [Rho_shape[0]*Rho_shape[1]*Rho_shape[2], -1]) + u,s,v = svd(Rho, new_chi, 'n') + u = np.reshape(u, [Rho_shape[0], Rho_shape[1], Rho_shape[2], -1]) + v = np.reshape(v.T, [Rho_shape[3], Rho_shape[4], Rho_shape[5], -1]) + inv_s = diag_inv(np.sqrt(s)) + + P1 = ncon([T2, v, inv_s], 'proj_P1') + P2 = ncon([T1, u, inv_s], 'proj_P2') + + P1 = P1.transpose([3,0,1,2]) + P2 = P2.transpose([3,0,1,2]) + return P1, P2, s
+ + +""" + --------------------- + Individual left, right, top and bottom boundary tensor updates + --------------------- + + The boundary tensors are updated for one site at a time from the + tensors of the previous iteration with the site tensors and truncated + by using the projectors + + In these functions, the boundary tensors can be wrapped as Nested tensors, + containing both ground-state and excited-state tensors. + When using these Nested tensors, all different combinations are computed + automatically. + For example: + + Nested({C1,B_C1,Bd_C1,BB_C1}) * Nested({T1,B_T1,Bd_T1,BB_T1}) -> + Nested({ + (C1 * T1), + (B_C1 * T1 + C1 * B_T1), + (Bd_C1 * T1 + C1 * Bd_T1), + (BB_C1 * T1 + B_C1 * Bd_T1 + Bd_C1 * B_T1 + C1 * BB_T1) + }) + + The phase shifts are only applied to the B and Bd parts of the Nested tensors +""" + +
[docs]def renorm_left(ts: CTMTensors, Pl: np.ndarray, Plb: np.ndarray + ) -> Tuple[Nested, Nested, Nested]: + """ + + """ + new_T4 = ncon([Plb[0,0], ts.all_T4[-1,0], ts.all_A[0,0], ts.all_Ad[0,0], Pl[0,1]], 'doleft_T4', + normalize=True).shift(-sim_config.px) + + Cs1 = ncon([ts.all_C1[-1,0], ts.all_T1[0,0]], 'doleft_Cs1') + new_C1 = ncon([Cs1, Pl[0,1]], 'doleft_C1', normalize=True).shift(-sim_config.px) + + Cs4 = ncon([ts.all_C4[-1,0], ts.all_T3[0,0]], 'doleft_Cs4') + new_C4 = ncon([Cs4, Plb[0,0]], 'doleft_C4', normalize=True).shift(-sim_config.px) + + return new_C1, new_C4, new_T4
+ +def renorm_right(ts, Pr, Prb): + new_T2 = ncon([Prb[0,0], ts.all_T2[2,0], ts.all_A[1,0], ts.all_Ad[1,0], Pr[0,1]], 'doright_T2', + normalize=True).shift(sim_config.px) + + Cs2 = ncon([ts.all_C2[2,0], ts.all_T1[1,0]], 'doright_Cs2') + new_C2 = ncon([Cs2, Pr[0,1]], 'doright_C2', normalize=True).shift(sim_config.px) + + Cs3 = ncon([ts.all_C3[2,0], ts.all_T3[1,0]], 'doright_Cs3') + new_C3 = ncon([Cs3, Prb[0,0]], 'doright_C3', normalize=True).shift(sim_config.px) + + return new_C2, new_C3, new_T2 + +def renorm_top(ts, Pt, Ptb): + new_T1 = ncon([Ptb[-1,0], ts.all_T1[0,-1], ts.all_A[0,0], ts.all_Ad[0,0], Pt[0,0]], 'dotop_T1', + normalize=True).shift(-sim_config.py) + + Cs1 = ncon([ts.all_C1[-1,-1], ts.all_T4[-1,0]], 'dotop_Cs1') + new_C1 = ncon([Cs1, Pt[-1,0]], 'dotop_C1', normalize=True).shift(-sim_config.py) + + Cs2 = ncon([ts.all_C2[2,-1], ts.all_T2[2,0]], 'dotop_Cs2') + new_C2 = ncon([Cs2, Ptb[1,0]], 'dotop_C2', normalize=True).shift(-sim_config.py) + + return new_C1, new_C2, new_T1 + +def renorm_bottom(ts, Pb, Pbb): + new_T3 = ncon([Pbb[-1,0], ts.all_T3[0,2], ts.all_A[0,1], ts.all_Ad[0,1], Pb[0,0]], 'dobottom_T3', + normalize=True).shift(sim_config.py) + + Cs3 = ncon([ts.all_C3[2,2], ts.all_T2[2,1]], 'dobottom_Cs3') + new_C3 = ncon([Cs3, Pbb[1,0]], 'dobottom_C3', normalize=True).shift(sim_config.py) + + Cs4 = ncon([ts.all_C4[-1,2], ts.all_T4[-1,1]], 'dobottom_Cs4') + new_C4 = ncon([Cs4, Pb[-1,0]], 'dobottom_C4', normalize=True).shift(sim_config.py) + + return new_C3, new_C4, new_T3 +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/ipeps/ipeps.html b/docs/source/_build/html/_modules/adpeps/ipeps/ipeps.html new file mode 100644 index 0000000..f0ad27e --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/ipeps/ipeps.html @@ -0,0 +1,635 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.ipeps

+"""
+    iPEPS module for optimization with CTM
+
+    For an example of how to run a simulation see :mod:`adpeps.simulation.run_ipeps_gs`
+
+    The module is initialized from one of the specific 
+    model files, which return the initial boundary and 
+    site tensors
+
+    The list of parameters is set to the elements of the 
+    individual site tensors
+
+    Conventions for indices:
+
+        - Site tensors::
+
+            A: [phys, right, top, left, bottom]
+
+        - Boundary tensors::
+
+            C1: [right, bottom]
+            C2: [left,  bottom]
+            C3: [top,   left]
+            C4: [right, top]
+            T1: [right, left, ket, bra]
+            T2: [top,   bottom, ket, bra]
+            T3: [right, left, ket, bra]
+            T4: [top,   bottom, ket, bra]
+
+
+    Order of boundary tensors::
+
+        C1 - T1 - C2
+        |    |    |
+        T4 - A  - T2
+        |    |    |
+        C4 - T3 - C3
+"""
+
+from functools import partial
+import copy
+
+from jax import random
+import jax
+import jax.numpy as np
+
+from .ctm import run_ctm
+from adpeps.ipeps import evaluation
+from adpeps.ipeps import models
+from adpeps.tensor.contractions import ncon
+from adpeps.utils.ctmtensors import CTMTensors
+from adpeps.utils.printing import print
+from adpeps.utils.tlist import set_pattern, cur_loc, TList
+import adpeps.ipeps.config as sim_config
+
+
[docs]class iPEPS: + """ Initialize the iPEPS based on the settings in + :mod:`adpeps.ipeps.config` + """ + reinit_env = False + + def __init__(self): + # Load model Hamiltonian, observables + model = getattr(models, sim_config.model) + self.H, self.observables = model.setup() + + # Initialize tensors + self.d = self.H.shape[0] + A = init_A_tensor(self.d, sim_config.D, sim_config.pattern) + A = A.normalize() + Ad = A.conj() + Cs, Ts = init_ctm_tensors(A, A) + self.tensors = CTMTensors(A, Ad, Cs, Ts) + self.base_Cs, self.base_Ts = None, None + + # Initialize conv object + self.convergence = {} + + self.reinit_env = True + +
[docs] def run(self, params: np.ndarray) -> np.ndarray: + """ + Run the simulation + + Args: + params: variational parameters + + Returns: + energy of iPEPS + """ + + if params is not None: + self.fill(params) + + if self.reinit_env: + # Construct new boundary tensors and perform ctm iterations + # until convergence + # Note: gradient tracking is disabled for this function, so + # only the ctm steps in the code after this line will be tracked + print('Performing CTM pre-steps without tracking') + self.converge_boundaries() + + # Perform the ctm routine to obtain updated boundary tensors + print('Performing CTM') + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=self.compute_energy) + + # Evaluate energy + res = self.evaluate() + + # Stop downstream gradient tracking for iPEPS tensors, + # so they become regular arrays that can be saved + self.tensors.stop_gradient(only_boundaries=False) + + return res
+ + def compute_energy(self, tensors): + E, _ = evaluation.get_gs_energy(self.H, tensors) + return E + +
[docs] def converge_boundaries(self): + """ Performs CTM on the boundary tensors until convergence, + without gradient tracking + """ + # Make a non-tracking version of the iPEPS tensors + orig_A = copy.deepcopy(self.tensors.A) + self.tensors.A = self.tensors.A.stop_gradient() + self.tensors.Ad = self.tensors.Ad.stop_gradient() + + # Initialize new boundary tensors + Cs, Ts = init_ctm_tensors(self.tensors.A, self.tensors.Ad) + self.tensors = CTMTensors(self.tensors.A, self.tensors.Ad, Cs, Ts) + + # Perform CTM update steps on the boundary tensors + conv_fun = self.compute_energy + self.tensors.stop_gradient() + self.tensors, conv = run_ctm(self.tensors, sim_config.chi, conv_fun=conv_fun) + self.tensors.stop_gradient() + + self.save_boundary_tensors() + + # Restore the original (tracking) site tensors + self.tensors.A = orig_A + self.tensors.Ad = orig_A.conj()
+ + def save_boundary_tensors(self): + self.base_Cs = copy.deepcopy(self.tensors.Cs) + self.base_Ts = copy.deepcopy(self.tensors.Ts) + + def evaluate(self): + E = self.compute_energy(self.tensors) + print('Energy:', jax.lax.stop_gradient(E).item()) + return E + + + """ Input/output methods """ + +
[docs] def numel(self): + """ Number of variational parameters """ + return self.tensors.A.tot_numel()
+ +
[docs] def parse_elements(self, elements): + """ Returns site tensors filled with the input elements """ + assert elements.size == self.numel(), f"Size of input vector ({elements.size}) does not \ + match the number of parameters of the iPEPS ({self.numel()})" + return self.tensors.A.fill(elements, self.d, sim_config.D)
+ +
[docs] def fill(self, A): + """ Fill the site tensors with the elements + The elements can be specified either as a list of (d,D,D,D,D)-dimensional + arrays or one 1-dimensional array of all elements concatenated + """ + if isinstance(A, np.ndarray) and A.ndim == 1: + # Input is vector of elements + A = self.parse_elements(A) + self.tensors.A = A + self.tensors.Ad = A.conj() + else: + # Input is a list of arrays + assert len(A) == len(self.tensors.A), "Number of input tensors does not match \ + the number of site tensors of the iPEPS" + for i in range(len(self.tensors.A)): + self.tensors.A._data[i] = A[i] + self.tensors.Ad._data[i] = A[i].conj()
+ + +
[docs]class iPEPS_exci(iPEPS): + """ Excited-state variant of the iPEPS class + """ + + reinit_env = False + + def __init__(self): + super().__init__() + self.substract_gs_energy() + + def normalize_gs(self): + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrms0[0]}", level=1) + self.tensors.A._data = [a/np.sqrt(np.abs(nrms0[i])) for i, a in enumerate(self.tensors.A)] + self.tensors.Ad = self.tensors.A.conj() + nrm, nrm0, envBs, nrms0 = evaluation.compute_exci_norm(self.tensors) + print(f"GS norm {nrm0}", level=1) + + def substract_gs_energy(self): + E, _ = evaluation.get_gs_energy(self.H, self.tensors) + E = E/2 + print(f"Substracting {E} from Hamiltonian", level=1) + self.H = self.H - E * np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + # self.H = np.reshape(np.eye(self.H.shape[0]**2), self.H.shape) + + def evaluate(self): + E = evaluation.get_all_energy(self.H, self.tensors) + nrm, _, envBs, _ = evaluation.compute_exci_norm(self.tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=0) + print('Norm:', jax.lax.stop_gradient(nrm), level=0) + return E[3], envBs + + def run_gc(self, *args): + res, _ = self.run(*args) + return res + + def compute_energy(self, tensors): + E = evaluation.get_all_energy(self.H, tensors) + nrm, *_ = evaluation.compute_exci_norm(tensors) + print('Energies:', jax.lax.stop_gradient(E[3]), jax.lax.stop_gradient(E[0]), level=2) + print('Norm:', jax.lax.stop_gradient(nrm), level=2) + print('Normalized E:', jax.lax.stop_gradient(E[3])/jax.lax.stop_gradient(nrm), level=2) + return E[3] / nrm + + def compute_orth_basis(self): + return evaluation.get_orth_basis(self.tensors) + + + """ Input/output methods """ + +
[docs] def fill(self, B): + if isinstance(B, np.ndarray) and B.ndim == 1: + # Input is vector of elements + B = self.parse_elements(B) + self.tensors.B = B + self.tensors.Bd = B.conj() + else: + for i in range(len(self.tensors.A._data)): + self.tensors.B._data[i] = B[i] + self.tensors.Bd._data[i] = B[i].conj()
+ + +
[docs]def init_A_tensor(d, D, pattern): + """ + The elements will be randomized based on sim_config.seed + """ + with set_pattern(pattern): + A = TList() + + key = random.PRNGKey(sim_config.seed) + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + if not A.is_changed(0,0): + key, subkey = random.split(key) + A[0,0] = random.normal(key, (d,D,D,D,D)) + return A
+ +
[docs]def init_ctm_tensors(A, Ad): + """ + Returns initital boundary T-tensors based on the unit cell tensors and + empty trivial boundary C-tensors + """ + unit_cell = A.size + D = A[0].shape[1] + + Cs = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + Ts = [TList(shape=unit_cell, pattern=A.pattern) for _ in range(4)] + + for i in range(A.size[0]): + for j in range(A.size[1]): + with cur_loc(i,j): + Cs[0][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[1][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[2][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Cs[3][0,0] = np.expand_dims(np.array([1.]), axis=(1)) + Ts[0][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,4,-1], [1,2,3,4,-2])), axis=(0,1)) + Ts[1][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,3,-1,4], [1,2,3,-2,4])), axis=(0,1)) + Ts[2][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,2,-1,3,4], [1,2,-2,3,4])), axis=(0,1)) + Ts[3][0,0] = np.expand_dims(ncon([A[0,0], Ad[0,0]], ([1,-1,2,3,4], [1,-2,2,3,4])), axis=(0,1)) + return Cs, Ts
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/ipeps/models/heisenberg.html b/docs/source/_build/html/_modules/adpeps/ipeps/models/heisenberg.html new file mode 100644 index 0000000..36f3dc2 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/ipeps/models/heisenberg.html @@ -0,0 +1,376 @@ + + + + + + + + adpeps.ipeps.models.heisenberg — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.ipeps.models.heisenberg

+""" 2D Heisenberg model """
+
+import jax.numpy as np
+
+from .common import sigmaz, sigmap, sigmam
+from adpeps.utils.tlist import set_pattern
+import adpeps.ipeps.config as sim_config
+
+name = "Heisenberg spin-1/2 model"
+
+
[docs]def setup(): + """ Returns the Hamiltonian """ + H = make_hamiltonian(**sim_config.model_params) + + obs = None + return H, obs
+ +
[docs]def make_hamiltonian(J=1): + """ Heisenberg model """ + H = tprod(sigmaz, sigmaz) / 4 + \ + tprod(sigmap, sigmam) / 2 + \ + tprod(sigmam, sigmap) / 2 + H = J * H + return H
+ +def tprod(a,b): + return np.outer(a,b).reshape([2,2,2,2], order='F').transpose([0,2,1,3]) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_exci.html b/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_exci.html new file mode 100644 index 0000000..30ec9c0 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_exci.html @@ -0,0 +1,590 @@ + + + + + + + + adpeps.simulation.run_ipeps_exci — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.simulation.run_ipeps_exci

+""" Main excited-state executable script
+
+    Note:
+        The simulations are intended to be used by calling the package 
+        directly via :code:`python -m adpeps ...`, as described in 
+        :ref:`notes/start`
+"""
+
+from jax import grad, jit, vmap, value_and_grad
+from jax import random
+from jax.scipy.optimize import minimize
+from jax.test_util import check_grads
+from scipy import optimize
+from scipy.linalg import eigh, eig
+from yaml import safe_load, dump
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci
+from adpeps.ipeps.make_momentum_path import make_momentum_path
+from adpeps.utils import io
+from adpeps.utils.printing import print
+from adpeps.ipeps.evaluation import filter_null_modes
+import adpeps.ipeps.config as sim_config
+
+
[docs]def run(config_file: str, momentum_ix: int): + """ Start the simulation + + Args: + config_file: filename of the configuration file + momentum_ix: index of the point in momentum space + """ + + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + if not base_file.exists(): + print(f"Base file {base_file} not found. Prepare the simulation first by \ + running with option '-i'") + return + + sim = iPEPSExciSimulation(config_file, momentum_ix) + output_folder = io.get_exci_folder() + output_folder.mkdir(parents=True, exist_ok=True) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + output_file = io.get_exci_file(momentum_ix) + print(f"Output: {output_file}", level=2) + basis_size = sim.basis_size + res_dtype = np.complex128 + H = onp.zeros((basis_size,basis_size), dtype=res_dtype) + N = onp.zeros((basis_size,basis_size), dtype=res_dtype) + + for m in range(basis_size): + grad_H, grad_N = sim(m) + H[:,m] = grad_H + N[:,m] = grad_N + onp.savez(output_file, H=H, N=N) + + print(H) + print(N) + onp.savez(output_file, H=H, N=N) + print('Done') + print(f"Saved to {output_file}")
+ +def prepare(config_file): + with open(config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + print(base_file) + peps = iPEPS() + + gs_file = io.get_gs_file() + loaded_sim = np.load(gs_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + + sim_config.ctm_max_iter = 30 + sim_config.ctm_conv_tol = 1e-12 + + # Converge GS boundary tensors + peps.converge_boundaries() + + # Convert to excitations iPEPS + peps.__class__ = iPEPS_exci + + # Normalize the ground-state tensors such that the state has norm 1 + peps.normalize_gs() + + # Shift the Hamiltonian by the ground-state energy + # The excited state energy is then relative to the ground state + peps.substract_gs_energy() + + # Prepare an orthonormal basis with respect to the ground state + print('Preparing orthonormal basis') + basis = peps.compute_orth_basis() + + print(f"Saving base to {base_file}") + np.savez(base_file, peps=peps, basis=basis) + +def evaluate_single(config_file, momentum_ix): + def _compute_ev_red_basis(H, N, P, n): + P = P[:,:n] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, _ = eig(H2, N2) + return sorted(ev.real) + + + with open(config_file) as f: + cfg = safe_load(f) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + sim_config.px = kxs[momentum_ix] + sim_config.py = kys[momentum_ix] + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + output_file = io.get_exci_file(momentum_ix) + print(output_file) + dat = np.load(output_file) + H, N = dat['H'], dat['N'] + basis = base_sim['basis'] + peps = base_sim['peps'].item() + + # basis = basis.T @ filter_null_modes(peps.tensors, basis) + # print(basis.shape) + # print(N.shape) + # N = basis.T @ N @ basis + # H = basis.T @ H @ basis + # H = H.conjugate() + + H = 0.5 * (H + H.T.conjugate()) + N = 0.5 * (N + N.T.conjugate()) + ev_N, P = np.linalg.eig(N) + idx = ev_N.real.argsort()[::-1] + ev_N = ev_N[idx] + selected = (ev_N/ev_N.max()) > 1e-3 + P = P[:,idx] + P = P[:,selected] + N2 = P.T.conjugate() @ N @ P + H2 = P.T.conjugate() @ H @ P + N2 = 0.5 * (N2 + N2.T.conjugate()) + H2 = 0.5 * (H2 + H2.T.conjugate()) + ev, vectors = eig(H2, N2) + ixs = np.argsort(ev) + ev = ev[ixs] + vectors = vectors[:,ixs] + + return sorted(ev.real) + +def evaluate(config_file, momentum_ix): + if momentum_ix != -2: + return evaluate_single(config_file, momentum_ix) + + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + sim_config.from_dict(cfg) + kxs, kys = make_momentum_path(sim_config.momentum_path) + + import matplotlib.pyplot as plt + evs = [] + for ix in range(len(kxs)): + try: + ev = evaluate_single(config_file, ix) + except: + ev = [np.nan] + evs.append(ev[0]) + plt.plot(evs, '--+') + plt.show() + + + +
[docs]class iPEPSExciSimulation: + """ Simulation class for the excited-state simulation + + Call an instance of this class directly to start the simulation + """ + def __init__(self, config_file, momentum_ix): + self.config_file = config_file + self.momentum_ix = momentum_ix + + @property + def basis_size(self): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = base_sim['basis'] + return basis.shape[1] + + def __call__(self, ix, v=None): + print(f"Starting simulation of basis vector {ix+1}/{self.basis_size}") + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + if v is None: + v = basis[:,ix] + res, grad_H = value_and_grad(peps.run, has_aux=True)(v) + grad_H = grad_H.conj() + print('Res', res, level=2) + grad_N = res[1].pack_data() + print('Grad H', grad_H, level=2) + print('Grad N', grad_N, level=2) + print(f"========== \nFinished basis vector {ix+1}/{self.basis_size} \n") + return basis.T @ jax.lax.stop_gradient(grad_H), basis.T @ jax.lax.stop_gradient(grad_N) + + def check_grads(self, A=None): + with open(self.config_file) as f: + cfg = safe_load(f) + sim_config.from_dict(cfg) + + base_file = io.get_exci_base_file() + base_sim = np.load(base_file, allow_pickle=True) + basis = np.complex_(base_sim['basis']) + peps = base_sim['peps'].item() + print('Checking gradient') + # peps.fill(A) + check_grads(peps.run_gc, (A,), order=1, modes='rev') + print('Done check')
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_gs.html b/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_gs.html new file mode 100644 index 0000000..baa257e --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/simulation/run_ipeps_gs.html @@ -0,0 +1,521 @@ + + + + + + + + adpeps.simulation.run_ipeps_gs — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.simulation.run_ipeps_gs

+""" Main ground-state executable script
+
+    Note:
+        The simulations are intended to be used by calling the package 
+        directly via :code:`python -m adpeps ...`, as described in 
+        :ref:`notes/start`
+"""
+
+from jax import grad, jit, vmap, value_and_grad
+from jax import random
+from jax.scipy.optimize import minimize
+from jax.test_util import check_grads
+from scipy import optimize
+from yaml import safe_load, dump
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from adpeps.ipeps.ipeps import iPEPS, iPEPS_exci
+from adpeps.utils import io
+from adpeps.utils.printing import print
+import adpeps.ipeps.config as sim_config
+
+
[docs]def run(config_file: str): + """ Start the simulation + + Args: + config_file: filename of the configuration file + """ + + energies = [] + gradnorms = [] + def verbose(xk, step_size=None): + """ Output printing function """ + try: + energies.append(obj.cached_out) + gradnorms.append(obj.gradnrm) + except: + pass + print(' ') + print(' # ======================== #') + print(' # Step completed #') + print(' # ======================== #') + print(' ') + [print(' Step %3d E: %.12f |grad|: %2.8g' % (i,E,gradnorms[i])) for i,E in enumerate(energies)] + print('\n') + # np.savez(output_file, peps=peps, v=xk, gradnorms=gradnorms, energies=energies) + + print('Running') + print(config_file) + with open(config_file) as f: + cfg = safe_load(f) + + # Show options + print(dump(cfg)) + + # Load the configuration file into the sim_config object + sim_config.from_dict(cfg) + + # Initialize the iPEPS + peps = iPEPS() + + output_file = io.get_gs_file() + print(f"Output file {output_file}") + + if sim_config.resume and output_file.exists(): + loaded_sim = np.load(output_file, allow_pickle=True) + peps = loaded_sim['peps'].item() + v = loaded_sim['v'] + gradnorms = list(loaded_sim['gradnorms']) + energies = list(loaded_sim['energies']) + print("Resuming existing simulation") + verbose(v) + else: + print("Starting new simulation") + key = random.PRNGKey(sim_config.seed) + v = random.normal(key, (peps.numel(),)) + v = v / np.max(np.abs(v)) + + obj = Objective(peps) + + # Call SciPy's optimization function + obj.return_gn = False + xL = optimize.minimize(obj.out, v, method=sim_config.method, jac=obj.jac, + callback=verbose, options={'gtol': 1e-6, 'disp': True, + 'maxiter':sim_config.max_iterations})
+ +
[docs]class Objective: + """ Class containing the objective function for the optimizer + """ + + def __init__(self, peps: iPEPS): + """ + Args: + peps: iPEPS object to be optimized + """ + self.cached_v = None + self.cached_out = None + self.cached_jac = None + + self.peps = peps + """ iPEPS object """ + + self.fun = peps.run + """ Objective function - CTM iterations until convergence + followed by the evaluation of the energy + """ + self.return_gn = True + + @property + def gradnrm(self): + """ Norm of the gradient """ + return np.linalg.norm(self.cached_jac) + +
[docs] def jac(self, v: np.ndarray) -> np.ndarray: + """ Computes the vector-Jacobian product (gradient) of the + iPEPS at the current point :attr:`v` in parameter space + + This function is designed to work with optimizers that make separate + calls to the objective function and the gradient, by caching both. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + gradient of the iPEPS with respect to the input parameters :attr:`v` + """ + + # Cast the regular numpy array into a Jax numpy array for gradient tracking + v = np.array(v) + v = v / np.max(np.abs(v)) + + if (self.cached_jac is not None and self.cached_v is not None + and np.linalg.norm(v - self.cached_v) < 1e-14): + return self.cached_jac + + # Call the forward + backward pass iPEPS code + o, g = value_and_grad(self.fun)(v) + + # Convert to regular Numpy arrays so they can be passed to the optimizer + # and stored without any tracking information by Jax + o = onp.array(o) + v = onp.array(v) + g = onp.array(g) + + # Cache the results + self.cached_v = v + self.cached_out = o + self.cached_jac = g + + if self.return_gn: + return g, self.gradnrm + else: + return g
+ +
[docs] def out(self, v): + """ Computes the objective function (energy) of the iPEPS + + Since many optimizers make separate calls to the objective function + and the gradient, but usually require both results for any given + parameter vector v, this function calls the gradient as well. + + Args: + v: input variational parameters for the site tensors of + the iPEPS + + Returns: + energy of the iPEPS at the point :attr:`v` in parameter space + """ + if self.cached_v is not None and np.linalg.norm(v - self.cached_v) < 1e-14: + return self.cached_out + else: + self.jac(v) + return self.cached_out
+ + def check_grads(self, A=None): + print('Checking gradient') + self.peps.fill(A) + self.peps.converge_boundaries() + check_grads(self.peps.run, (A,), order=1, modes='rev') + print('Done check')
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/utils/ctmtensors.html b/docs/source/_build/html/_modules/adpeps/utils/ctmtensors.html new file mode 100644 index 0000000..c30f7a6 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/utils/ctmtensors.html @@ -0,0 +1,512 @@ + + + + + + + + adpeps.utils.ctmtensors — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.ctmtensors

+""" Contains utility class that contains all iPEPS tensors """
+
+from dataclasses import dataclass, field, fields, replace, asdict
+from functools import partial
+from typing import List
+
+from .empty_tensor import EmptyT
+from .nested import Nested
+from .tlist import TList, hold_write
+
+
[docs]@dataclass +class CTMTensors: + """ + This is a utility class that contains all tensors related to an iPEPS. + In effect, this forms a representation of the full state, including + the site tensors with variational parameters, the boundary tensors + generated by CTM and the projectors. + + There are several fields of this dataclass that are generated + automatically, which provide convenient wrappers for the tensors. + + For example, the :attr:`CTMTensors.A` field returns the site tensors + (contained in a :class:`adpeps.utils.tlist.TList`) + """ + A: TList + Ad: TList + Cs: List[TList] = field(default_factory=list) + Ts: List[TList] = field(default_factory=list) + + B: TList = field(default=None, metadata={'init_tlist': True}) + Bd: TList = field(default=None, metadata={'init_tlist': True}) + B_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + B_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + Bd_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Cs: List[TList] = field(default=None, metadata={'init_tlists': True}) + BB_Ts: List[TList] = field(default=None, metadata={'init_tlists': True}) + + Pl: TList = None + Pr: TList = None + Pt: TList = None + Pb: TList = None + Plb: TList = None + Prb: TList = None + Ptb: TList = None + Pbb: TList = None + + observables: List = field(default_factory=list) + + def _get_field_item(self, fieldname=None, ix=None): + return getattr(self, fieldname).__getitem__(ix) + + def _get_field_nested_item(self, fieldname=None, ix=None): + return getattr(self, fieldname)(ix) + + def _set_field_nested_item(self, fieldname=None, ix=None, value=None): + return getattr(self, f"{fieldname}_set")(ix, value) + + def hold(self, *fields): + def _convert_all(f): + if f.startswith('all_'): + f = f[4:] + return [f, f"B_{f}", f"Bd_{f}", f"BB_{f}"] + else: + return (f,) + fields = [field for fs in [_convert_all(f) for f in fields] for field in fs] + tensors = tuple([getattr(self, field) for field in fields]) + return hold_write(*tensors) + + def __post_init__(self): + base_tlist = self.A + for f in fields(self): + try: + if getattr(self, f.name) is None and f.metadata['init_tlist']: + setattr(self, f.name, TList.empty_like(base_tlist, empty_obj=EmptyT())) + except KeyError: + pass + try: + if getattr(self, f.name) is None and f.metadata['init_tlists']: + setattr(self, f.name, [TList.empty_like(base_tlist, empty_obj=EmptyT()) for _ in range(4)]) + except KeyError: + pass + + def all_Cs(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Cs[ix][i], self.B_Cs[ix][i], + self.Bd_Cs[ix][i], self.BB_Cs[ix][i]]) + return res + + def all_Ts(self, ix): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ts[ix][i], self.B_Ts[ix][i], + self.Bd_Ts[ix][i], self.BB_Ts[ix][i]]) + return res + + def update(self, fieldnames, ixs, values): + if isinstance(fieldnames, str): + fieldnames = (fieldnames,) + values = (values,) + ixs = (ixs,) + for i,f in enumerate(fieldnames): + value = values[i] + ix = ixs[i] + assert isinstance(value, Nested), "Use the all_Ci setter only with Nested tensors" + getattr(self, f)[ix] = value[0] + getattr(self, f"B_{f}")[ix] = value[1] + getattr(self, f"Bd_{f}")[ix] = value[2] + getattr(self, f"BB_{f}")[ix] = value[3] + + @property + def all_A(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.A._data[i], self.B._data[i], + EmptyT(), EmptyT()]) + return res + + @property + def all_Ad(self): + base_tlist = self.A + res = TList.empty_like(base_tlist, empty_obj=EmptyT()) + for i in range(len(res._data)): + res._data[i] = Nested([self.Ad._data[i], EmptyT(), + self.Bd._data[i], EmptyT()]) + return res + + def stop_gradient(self, only_boundaries=True): + for i in range(4): + self.Cs[i] = self.Cs[i].stop_gradient() + self.Ts[i] = self.Ts[i].stop_gradient() + self.B_Cs[i] = self.B_Cs[i].stop_gradient() + self.B_Ts[i] = self.B_Ts[i].stop_gradient() + self.Bd_Cs[i] = self.Bd_Cs[i].stop_gradient() + self.Bd_Ts[i] = self.Bd_Ts[i].stop_gradient() + self.BB_Cs[i] = self.BB_Cs[i].stop_gradient() + self.BB_Ts[i] = self.BB_Ts[i].stop_gradient() + if not only_boundaries: + self.A = self.A.stop_gradient() + self.Ad = self.Ad.stop_gradient() + self.B = self.B.stop_gradient() + self.Bd = self.Bd.stop_gradient()
+ +def _wrap_f(self, fieldname=None, ix=None): + return self._get_field_item(fieldname, ix) + +def _wrap_nested_f(self, fieldname=None, ix=None): + return self._get_field_nested_item(fieldname, ix) + +def _wrap_nested_f_set(self, value, fieldname=None, ix=None): + return self._set_field_nested_item(fieldname, ix, value) + +attrs = ['Cs', 'Ts', 'B_Cs', 'B_Ts', 'Bd_Cs', 'Bd_Ts', 'BB_Cs', 'BB_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_f, fieldname=attr, ix=i)) + # new_attr.__doc__ = f"Boundary tensors `{attr}` computed by CTM" + new_attr.__doc__ = ":meta private:" + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) +attrs = ['all_Cs', 'all_Ts'] +for attr in attrs: + for i in range(4): + new_attr = property(partial(_wrap_nested_f, fieldname=attr, ix=i), + partial(_wrap_nested_f_set, fieldname=attr, ix=i)) + new_attr.__doc__ = ":meta private:" + # new_attr.__doc__ = ":class:`adpeps.utils.tlist.TList` containing all versions \ + # (only ground-state, ground state + one `B` tensor, ground state \ + # + one `Bdagger` tensor, ground state + one `B` tensor + one `Bdagger`\ + # tensor) of the boundary tensors." + setattr(CTMTensors, f"{attr[:-1]}{i+1}", new_attr) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/utils/empty_tensor.html b/docs/source/_build/html/_modules/adpeps/utils/empty_tensor.html new file mode 100644 index 0000000..e21ae04 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/utils/empty_tensor.html @@ -0,0 +1,426 @@ + + + + + + + + adpeps.utils.empty_tensor — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.empty_tensor

+""" Contains utility class that represents a 'zero' (empty) tensor object """
+
+import jax.numpy as np
+
+
[docs]class EmptyT: + """ Empty tensor utility class, which can be used to represent a + 'zero' tensor. + + The operations involving this type of tensor will return the expected + results, such as (Tensor * EmptyT -> EmptyT), removing the need for + checking if a tensor is empty in the part of the code where the + operation is called. + """ + tag = None + + def __repr__(self): + return "<empty>" + + def __add__(self, other): + return other + + def __radd__(self, other): + return other + + def __sub__(self, other): + return other + + def __rsub__(self, other): + return other + + def __mul__(self, other): + return self + + def __neg__(self): + return self + + def __rmul__(self, other): + if isinstance(other, int): + return [self for _ in range(other)] + else: + return self + + def __truediv__(self, other): + return self + + def copy(self): + return self + + def to_real(self): + return self + + @property + def real(self): + return self + + @property + def data(self): + return [] + + def transpose(self, *args): + return self + + def __rtruediv__(self, other): + return self + + def __len__(self): + return 0 + + def __array__(self): + return np.array([]) + + def item(self): + return self + + def __getitem__(self, ix): + return self + + def mult(self, other, *args): + return self + + def to_complex(self): + return self + + def complex(self): + return False + + def is_finite(self): + return True
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/utils/nested.html b/docs/source/_build/html/_modules/adpeps/utils/nested.html new file mode 100644 index 0000000..e137bcd --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/utils/nested.html @@ -0,0 +1,499 @@ + + + + + + + + adpeps.utils.nested — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.nested

+""" Contains utility class that represents a collection of tensors of 
+    different types, with operations that can be applied to all 
+    contained tensors at once
+"""
+
+import cmath
+import jax.numpy as np
+
+from adpeps.types import TensorType
+
+
+
[docs]class Nested: + """ This is a helper class for the efficient contraction of variants of tensors, + used in the energy evaluation of excited states + + A Nested tensor contains the following variants (some may be empty): + + - :attr:`tensors[0]`: regular tensor (no B or Bd) + - :attr:`tensors[1]`: (terms with) a single B tensor + - :attr:`tensors[2]`: (terms with) a single Bd tensor + - :attr:`tensors[3]`: (terms with) both a B and a Bd tensor + + When two Nested tensors x,y are contracted, all combinations are taken into account + and the result is again a Nested tensor, filled with the following variants: + + - :attr:`tensors[0]: x[0] * y[0]` + - :attr:`tensors[1]: x[1] * y[0] + x[0] * y[1]` + - :attr:`tensors[2]: x[2] * y[0] + x[0] * y[2]` + - :attr:`tensors[3]: x[3] * y[0] + x[2] * y[1] + x[1] * y[2] + x[0] * y[3]` + + By using Nested tensors in a (large) contraction, the many different terms are + resummed on the fly, leading to a potentially reduced computational cost + + Note: + Most implented functions act as wrappers for the corresponding `numpy` functions + on the individual tensors + """ + + def __init__(self, tensors): + self.tensors = tensors + +
[docs] def normalize(self): + """ Normalize the contained tensors by the largest value + of the first element of :attr:`self.tensors` + """ + factor = np.abs(self[0]).max() + return self * (1 / factor), factor
+ +
[docs] def mult(self, other: TensorType, *args) -> 'Nested': + """ + Args: + other: other tensor-like object to contract with + *args: arguments to be passed to the contraction method + (:code:`np.tensordot`) + + Returns: + res: result of the contraction + """ + def _mult_function(A, B, *args): + if hasattr(A, 'mult'): + return A.mult(B, *args) + elif len(B) == 0: + return B.mult(A, *args) + return np.tensordot(A, B, *args) + + if isinstance(other, np.ndarray): + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other, *args) + new_data[1] = _mult_function(self.tensors[1], other, *args) + new_data[2] = _mult_function(self.tensors[2], other, *args) + new_data[3] = _mult_function(self.tensors[3], other, *args) + return Nested(new_data) + new_data = 4 * [[]] + new_data[0] = _mult_function(self.tensors[0], other.tensors[0], *args) + new_data[1] = _mult_function(self.tensors[1], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[1], *args) + new_data[2] = _mult_function(self.tensors[2], other.tensors[0], *args) +\ + _mult_function(self.tensors[0], other.tensors[2], *args) + + new_data[3] = _mult_function(self.tensors[3], other.tensors[0], *args) +\ + _mult_function(self.tensors[2], other.tensors[1], *args) +\ + _mult_function(self.tensors[1], other.tensors[2], *args) +\ + _mult_function(self.tensors[0], other.tensors[3], *args) + res = Nested(new_data) + return res
+ +
[docs] def transpose(self, *args) -> 'Nested': + """ Applies :code:`transpose` to each contained tensor """ + new_data = [self.tensors[i].transpose(*args) for i in range(4)] + return Nested(new_data)
+ + def __mul__(self, other): + new_data = [self.tensors[i] * other for i in range(4)] + return Nested(new_data) + + def __rmul__(self, other): + new_data = [other * self.tensors[i] for i in range(4)] + return Nested(new_data) + + def __truediv__(self, other): + new_data = [self.tensors[i] / other for i in range(4)] + return Nested(new_data) + + def __add__(self, other): + if isinstance(other, Nested): + new_data = [self.tensors[i] + other.tensors[i] for i in range(4)] + else: + new_data = [self.tensors[i] + other for i in range(4)] + return Nested(new_data) + + def __radd__(self, other): + return self + other + + def __getitem__(self, ix): + return self.tensors[ix] + + def __setitem__(self, ix, value): + self.tensors[ix] = value + + def __repr__(self): + return "(Nested) " + self.tensors.__repr__() + + def __neg__(self): + return Nested([-self.tensors[i] for i in range(4)]) + + def shift(self, phi): + new_data = [self.tensors[0], self.tensors[1] * exp(phi), + self.tensors[2] * exp(-phi), self.tensors[3]] + return Nested(new_data) + + def __len__(self): + try: + return len(self.tensors[0]) + except Exception: + return self.tensors[0].size + + @property + def real(self): + res = Nested([self.tensors[i].real for i in range(4)]) + return res + + @property + def shape(self): + return self.tensors[0].shape + + @property + def dims(self): + return self.tensors[0].dims + + def check_contr_inds(self, other, *args, **kwargs): + return self[0].check_contr_inds(other[0], *args, **kwargs) + + def numel(self): + return self[0].numel() + + @classmethod + def only_gs(cls, tensor, empty_obj=[]): + return cls([tensor, empty_obj, empty_obj, empty_obj])
+ +def exp(phi): + return cmath.exp(1j * phi) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/utils/printing.html b/docs/source/_build/html/_modules/adpeps/utils/printing.html new file mode 100644 index 0000000..9cbcc84 --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/utils/printing.html @@ -0,0 +1,375 @@ + + + + + + + + adpeps.utils.printing — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.printing

+""" Utility module for printing output depending on the verbosity setting 
+    :attr:`adpeps.ipeps.config.disp_level` in the configuration file.
+"""
+
+import builtins
+import time
+
+import adpeps.ipeps.config as sim_config
+
+prefix    = None
+show_time = False
+
+
[docs]def print(*args, level: int=None, **kwargs): + """ Print output using builtin :code:`print` if :code:`level` + <= :attr:`adpeps.ipeps.config.disp_level` + + Args: + *args: arbitraty arguments to be passed to builtin :code:`print` + level: verbosity level, determining at which verbosity setting this + should be printed + **kwargs: arbitraty keyword arguments for builtin :code:`print` + """ + if level is None or level <= sim_config.disp_level: + if sim_config.flush_output: + kwargs['flush'] = True + if prefix is not None: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(prefix, curtime, *args, **kwargs) + else: + builtins.print(prefix, *args, **kwargs) + else: + if show_time: + curtime = time.strftime("[%H:%M:%S]", time.localtime()) + builtins.print(curtime, *args, **kwargs) + else: + builtins.print(*args, **kwargs)
+
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/adpeps/utils/tlist.html b/docs/source/_build/html/_modules/adpeps/utils/tlist.html new file mode 100644 index 0000000..d9360ac --- /dev/null +++ b/docs/source/_build/html/_modules/adpeps/utils/tlist.html @@ -0,0 +1,651 @@ + + + + + + + + adpeps.utils.tlist — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Source code for adpeps.utils.tlist

+"""
+    List object with additional features, used for storing 
+    the iPEPS tensors
+
+    Items in the list can be accessed by either a linear index 
+    or a (i,j) double index, where i and j will be automatically 
+    taken modulo the unit cell size (i.e. i = i % n_x)
+
+    Additionally, convenience functions that work on tensors can 
+    be defined for the whole list, e.g. conj()
+"""
+
+import contextlib
+import jax
+import jax.numpy as np
+import numpy as onp
+
+from .empty_tensor import EmptyT
+
+
+
[docs]@contextlib.contextmanager +def cur_loc(*loc: int): + """ Shift the locations of the tensors relative to a + new zero (loc) while in this context + + Args: + loc: shifts (x,y) + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> l[0,0] + 1 + >>> with cur_loc(1,0): + >>> l[0,0] + 2 + >>> l[0,1] + 4 + >>> l[0,0] + 1 + + Note that this applies to ALL TList objects while + inside the context + """ + pre_patched_value = getattr(TList, '_loc') + setattr(TList, '_loc', loc) + yield TList + setattr(TList, '_loc', pre_patched_value)
+ +
[docs]@contextlib.contextmanager +def hold_write(*lists: 'TList'): + """ Hold off on writing to the list while + inside the context + + Args: + lists: one or more TList objects that should have the writing + action delayed until the context is disabled + + Example: + >>> l = TList([[1,2], [3,4]]) + >>> with hold_write(l): + >>> l[0,0] = 100 + >>> l[0,0] + 1 + >>> l[0,0] + 100 + """ + for l in lists: + l._hold_write = True + yield + for l in lists: + l._purge_tmp()
+ +
[docs]@contextlib.contextmanager +def set_pattern(pattern): + """ Set pattern for all new TLists that are created while + the context is active + + Args: + pattern: + """ + + pre_patched_value = getattr(TList, '_default_pattern') + setattr(TList, '_default_pattern', pattern) + yield TList + setattr(TList, '_default_pattern', pre_patched_value)
+ +class TList: + _loc = (0,0) + _default_pattern = None + _changed = None + + def __init__(self, data=None, shape=None, pattern=None, empty_obj=[[]]): + self._tmpdata = None + self.pattern = pattern + self._hold_write = False + self.empty_obj = empty_obj + if pattern is None and self._default_pattern is not None: + self.pattern = self._default_pattern + if self.pattern is None: + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + self._data = data.reshape([-1], order='C').tolist() + if data.ndim == 1: + self.size = (data.shape[0], 1) + else: + self.size = (data.shape[1], data.shape[0]) + except: + self._data = [data] + self.size = (1,1) + elif shape is not None: + self._data = (shape[0]*shape[1]) * empty_obj + self.size = shape + else: + self._data = None + self.size = () + else: + self.pattern = np.array(self.pattern) + self.size = (self.pattern.shape[1], self.pattern.shape[0]) + if data is not None: + try: + iter(data) # Check if iterable + data = np.array(data, dtype='object') + if data.size == np.unique(self.pattern).size: + self._data = data.reshape([-1], order='C').tolist() + else: + self._data = np.unique(self.pattern).size * empty_obj + for j in range(self.pattern.shape[1]): + for i in range(self.pattern.shape[0]): + self._data[self.pattern[i,j]] = data[i,j] + except: + self._data = [data] + self.size = (1,1) + else: + self._data = np.unique(self.pattern).size * empty_obj + assert len(self._data) == np.unique(self.pattern).size, \ + "Data must contain one element for each unique identifier in pattern" + self.reset_changed() + + def x_major(self): + return (self._conv_ix((x,y)) for y in range(self.size[1]) for x in range(self.size[0])) + + def y_major(self): + return (self._conv_ix((x,y)) for x in range(self.size[0]) for y in range(self.size[1])) + + def __len__(self): + return len(self._data) + + def mean(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) / len(finite_elems) + except Exception as e: + return sum(self._data) / len(self) + + def sum(self): + try: + finite_elems = [x for x in self._data if isfinite(x)] + return sum(finite_elems) + except Exception as e: + return sum(self._data) + + def normalize(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a / np.max(np.abs(a)) for a in self._data] + return new_list + + def conj(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [a.conj() for a in self._data] + return new_list + def items(self): + return [a.item() for a in self._data] + + def pack_data(self): + data = [] + for a in self._data: + data.append(np.reshape(a, (-1,))) + return np.concatenate(data) + + def reset_changed(self): + if self._data is not None: + self._changed = len(self._data) * [False] + return self + + def mark_changed(self, linear_ix): + if self._changed is not None: + self._changed[linear_ix] = True + + def is_changed(self, *ix): + if self._changed is None: + return False + linear_ix = self._conv_ix(ix) + return self._changed[linear_ix] + + def fill(self, data, d=None, D=None): + new_list = TList(shape=self.size, pattern=self.pattern) + offset = 0 + new_data = [] + for i,a in enumerate(self): + siz = a.size + # new_data.append(np.reshape(data[offset:offset+siz], (d, D, D, D, D))) + new_data.append(np.reshape(data[offset:offset+siz], a.shape)) + offset = offset + siz + new_list._data = new_data + return new_list + + def tot_numel(self): + return sum([a.size for a in self._data]) + + def stop_gradient(self): + new_list = TList(shape=self.size, pattern=self.pattern) + new_list._data = [jax.lax.stop_gradient(a) if len(a)>0 else a for a in self._data] + return new_list + + def _conv_ix(self, ix): + if isinstance(ix, (tuple,list)): + if len(self._loc) == 1: + # shift_i, shift_j = onp.unravel_index(self._loc[0], self.size, order='F') + shift_j, shift_i = np.unravel_index(self._loc[0], self.size) + else: + shift_i, shift_j = self._loc + i = (ix[0] + shift_i) % self.size[0] + j = (ix[1] + shift_j) % self.size[1] + # linear_ix = np.ravel_multi_index((i,j), self.size, order='F') + linear_ix = self._linear_ix(i,j) + else: + linear_ix = ix + return linear_ix + + def _linear_ix(self, i, j): + if self.pattern is not None: + return self.pattern[j][i] + else: + return np.ravel_multi_index((i,j), self.size, order='F') + + def _purge_tmp(self): + self._tmpdata = None + self._hold_write = False + + def __eq__(self, other): + if self._data != other._data: + return False + if self.pattern is not None: + if other.pattern is None: + return False + if not (self.pattern == other.pattern).all(): + return False + return True + + def __getitem__(self, ix): + linear_ix = self._conv_ix(ix) + if self._tmpdata is not None and self._tmpdata[linear_ix] is not None: + return self._tmpdata[linear_ix] + return self._data[linear_ix] + + def __setitem__(self, ix, value): + linear_ix = self._conv_ix(ix) + if self._hold_write: + if self._tmpdata is None: + self._tmpdata = [None] * len(self) + self._tmpdata[linear_ix] = self._data[linear_ix] + self._data[linear_ix] = value + self.mark_changed(linear_ix) + + def __repr__(self): + if self._data is None: + return "TList{}[]" + repr_str = "TList{" + if self._loc is not None: + repr_str += "Loc=" + self._loc.__repr__() + if self.pattern is not None: + repr_str += ",Pat=" + self.pattern.__repr__() + repr_str += ",Size=" + self.size.__repr__() + repr_str += "}[" + for j in range(self.size[1]): + repr_str += "[" + for i in range(self.size[0]): + try: + repr_str += f"{self[i,j].shape}" + except: + repr_str += self[i,j].__repr__() + if i < self.size[0]-1: + repr_str += ", " + if j < self.size[1]-1: + repr_str += "], " + else: + repr_str += "]]" + return repr_str + + @staticmethod + def empty_like(T, empty_obj=None): + if empty_obj is None: + empty_obj = T.empty_obj + return TList(shape=T.size, pattern=T.pattern, empty_obj=empty_obj) + +def isfinite(x): + try: + return len(x) > 0 + except Exception as e: + return np.isfinite(np.array(x)) +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_modules/index.html b/docs/source/_build/html/_modules/index.html new file mode 100644 index 0000000..d11c54b --- /dev/null +++ b/docs/source/_build/html/_modules/index.html @@ -0,0 +1,360 @@ + + + + + + + + Overview: module code — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/_sources/extra/adpeps.ipeps.ctm.rst.txt b/docs/source/_build/html/_sources/extra/adpeps.ipeps.ctm.rst.txt new file mode 100644 index 0000000..c011e15 --- /dev/null +++ b/docs/source/_build/html/_sources/extra/adpeps.ipeps.ctm.rst.txt @@ -0,0 +1,10 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ctm + :members: diff --git a/docs/source/_build/html/_sources/extra/adpeps.ipeps.ipeps.rst.txt b/docs/source/_build/html/_sources/extra/adpeps.ipeps.ipeps.rst.txt new file mode 100644 index 0000000..3323f55 --- /dev/null +++ b/docs/source/_build/html/_sources/extra/adpeps.ipeps.ipeps.rst.txt @@ -0,0 +1,35 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ipeps + :members: + + +iPEPS +----------- + +.. autoclass:: iPEPS + :members: + :member-order: bysource + +iPEPS_exci +----------- + +.. autoclass:: iPEPS_exci + :members: + :member-order: bysource + + +.. .. autosummary:: +.. :toctree: generated +.. :caption: Section 1 +.. :nosignatures: + +.. iPEPS +.. iPEPS_exci + diff --git a/docs/source/_build/html/_sources/extra/ipeps.ipeps.rst.txt b/docs/source/_build/html/_sources/extra/ipeps.ipeps.rst.txt new file mode 100644 index 0000000..193f163 --- /dev/null +++ b/docs/source/_build/html/_sources/extra/ipeps.ipeps.rst.txt @@ -0,0 +1,19 @@ +iPEPSS +====== + +.. :noindex: + +.. .. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. .. automodule:: adpeps.ipeps.ipeps + +.. .. autosummary:: + :toctree: generated + :nosignatures: + :caption: Classes + + iPEPS + iPEPS_exci diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.config.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.config.rst.txt new file mode 100644 index 0000000..eafaa88 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.config.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.config +=================== + +.. currentmodule:: adpeps.ipeps.config + +.. module:: adpeps.ipeps.config + + + +.. automodule:: adpeps.ipeps.config + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.ctm.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ctm.rst.txt new file mode 100644 index 0000000..25af8d5 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ctm.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. module:: adpeps.ipeps.ctm + + + +.. automodule:: adpeps.ipeps.ctm + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt new file mode 100644 index 0000000..9134e28 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.evaluation.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps.evaluation +============================= + +.. currentmodule:: adpeps.ipeps.ipeps.evaluation + +.. module:: adpeps.ipeps.ipeps.evaluation + + + +.. automodule:: adpeps.ipeps.ipeps.evaluation + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.rst.txt new file mode 100644 index 0000000..e4ac247 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.ipeps.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. module:: adpeps.ipeps.ipeps + + + +.. automodule:: adpeps.ipeps.ipeps + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.common.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.common.rst.txt new file mode 100644 index 0000000..617b7fb --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.common.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.models.common +========================== + +.. currentmodule:: adpeps.ipeps.models.common + +.. module:: adpeps.ipeps.models.common + + + +.. automodule:: adpeps.ipeps.models.common + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt new file mode 100644 index 0000000..fb407e7 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.heisenberg.rst.txt @@ -0,0 +1,14 @@ +adpeps.ipeps.models.heisenberg +============================== + +.. currentmodule:: adpeps.ipeps.models.heisenberg + +.. module:: adpeps.ipeps.models.heisenberg + + + +.. automodule:: adpeps.ipeps.models.heisenberg + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.rst.txt new file mode 100644 index 0000000..886d6cb --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.ipeps.models.rst.txt @@ -0,0 +1,23 @@ +adpeps.ipeps.models +=================== + +.. currentmodule:: adpeps.ipeps.models + +.. module:: adpeps.ipeps.models + + +Modules +------- + +.. automodule:: adpeps.ipeps.models + +.. autosummary:: + :toctree: + + + adpeps.ipeps.models.common + + adpeps.ipeps.models.heisenberg + + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.simulation.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.simulation.rst.txt new file mode 100644 index 0000000..d2c6305 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.simulation.rst.txt @@ -0,0 +1,23 @@ +adpeps.simulation +================= + +.. currentmodule:: adpeps.simulation + +.. module:: adpeps.simulation + + +Modules +------- + +.. automodule:: adpeps.simulation + +.. autosummary:: + :toctree: + + + adpeps.simulation.run_ipeps_exci + + adpeps.simulation.run_ipeps_gs + + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt new file mode 100644 index 0000000..70250d6 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_exci.rst.txt @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_exci +================================== + +.. currentmodule:: adpeps.simulation.run_ipeps_exci + +.. module:: adpeps.simulation.run_ipeps_exci + + + +.. automodule:: adpeps.simulation.run_ipeps_exci + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt new file mode 100644 index 0000000..276e16a --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.simulation.run_ipeps_gs.rst.txt @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_gs +================================ + +.. currentmodule:: adpeps.simulation.run_ipeps_gs + +.. module:: adpeps.simulation.run_ipeps_gs + + + +.. automodule:: adpeps.simulation.run_ipeps_gs + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.ctmtensors.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.ctmtensors.rst.txt new file mode 100644 index 0000000..fc3a665 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.ctmtensors.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.ctmtensors +======================= + +.. currentmodule:: adpeps.utils.ctmtensors + +.. module:: adpeps.utils.ctmtensors + + + +.. automodule:: adpeps.utils.ctmtensors + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.empty_tensor.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.empty_tensor.rst.txt new file mode 100644 index 0000000..634791b --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.empty_tensor.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.empty\_tensor +========================== + +.. currentmodule:: adpeps.utils.empty_tensor + +.. module:: adpeps.utils.empty_tensor + + + +.. automodule:: adpeps.utils.empty_tensor + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.io.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.io.rst.txt new file mode 100644 index 0000000..d73ab43 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.io.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.io +=============== + +.. currentmodule:: adpeps.utils.io + +.. module:: adpeps.utils.io + + + +.. automodule:: adpeps.utils.io + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.nested.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.nested.rst.txt new file mode 100644 index 0000000..4d807d9 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.nested.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.nested +=================== + +.. currentmodule:: adpeps.utils.nested + +.. module:: adpeps.utils.nested + + + +.. automodule:: adpeps.utils.nested + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.printing.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.printing.rst.txt new file mode 100644 index 0000000..a54b81a --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.printing.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.printing +===================== + +.. currentmodule:: adpeps.utils.printing + +.. module:: adpeps.utils.printing + + + +.. automodule:: adpeps.utils.printing + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.rst.txt new file mode 100644 index 0000000..dc43a5c --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.rst.txt @@ -0,0 +1,31 @@ +adpeps.utils +============ + +.. currentmodule:: adpeps.utils + +.. module:: adpeps.utils + + +Modules +------- + +.. automodule:: adpeps.utils + +.. autosummary:: + :toctree: + + + adpeps.utils.ctmtensors + + adpeps.utils.empty_tensor + + adpeps.utils.io + + adpeps.utils.nested + + adpeps.utils.printing + + adpeps.utils.tlist + + + diff --git a/docs/source/_build/html/_sources/generated/adpeps.utils.tlist.rst.txt b/docs/source/_build/html/_sources/generated/adpeps.utils.tlist.rst.txt new file mode 100644 index 0000000..8698206 --- /dev/null +++ b/docs/source/_build/html/_sources/generated/adpeps.utils.tlist.rst.txt @@ -0,0 +1,14 @@ +adpeps.utils.tlist +================== + +.. currentmodule:: adpeps.utils.tlist + +.. module:: adpeps.utils.tlist + + + +.. automodule:: adpeps.utils.tlist + :members: + :member-order: groupwise + + diff --git a/docs/source/_build/html/_sources/index.rst.txt b/docs/source/_build/html/_sources/index.rst.txt new file mode 100644 index 0000000..78614ef --- /dev/null +++ b/docs/source/_build/html/_sources/index.rst.txt @@ -0,0 +1,48 @@ +.. AD-PEPS documentation master file, created by + sphinx-quickstart on Mon Apr 12 16:13:24 2021. + You can adapt this file completely to your liking, but it should at least + contain the root `toctree` directive. + +AD-PEPS's documentation +=================================== + +The AD-PEPS Python package is intended as a example of an implementation of iPEPS ground-state and excited-state algorithms using Automatic Differentiation, as described in +As such, the code is meant to illustrate the workings of the algorithms described the paper. +For real applications, this code would likely need to be further adapted and optimized, however the package is a complete implementation and can directly be used for simple calculations. + +.. toctree:: + + notes/install + notes/start + notes/example + notes/example2 + + +Reference +-------------- + +.. .. toctree:: +.. :maxdepth: 2 + +.. ipeps + +.. currentmodule:: adpeps + +.. autosummary:: + :toctree: generated + :recursive: + + ipeps.ipeps + ipeps.config + ipeps.ctm + ipeps.models + simulation + utils + + +Indices and tables +================== + +* :ref:`genindex` +* :ref:`modindex` +* :ref:`search` diff --git a/docs/source/_build/html/_sources/ipeps.rst.txt b/docs/source/_build/html/_sources/ipeps.rst.txt new file mode 100644 index 0000000..e94d9b0 --- /dev/null +++ b/docs/source/_build/html/_sources/ipeps.rst.txt @@ -0,0 +1,17 @@ +adpeps.ipeps +============ + +.. currentmodule:: adpeps.ipeps + +.. toctree:: + :maxdepth: 2 + :caption: Contents + + adpeps.ipeps.ipeps + adpeps.ipeps.ctm + +.. autosummary:: + :toctree: generated + :nosignatures: + + adpeps.ipeps.config diff --git a/docs/source/_build/html/_sources/notes/example.rst.txt b/docs/source/_build/html/_sources/notes/example.rst.txt new file mode 100644 index 0000000..480ca94 --- /dev/null +++ b/docs/source/_build/html/_sources/notes/example.rst.txt @@ -0,0 +1,81 @@ +.. _notes/example: + +Example: ground state +=========================================== + +The package includes an example configuration for a ground-state simulation of the 2D Heisenberg model, defined by the Hamiltonian + +.. math:: + + H = J \sum_i S_i \cdot S_{i+1}~. + +The configuration file `examples/heis_D2.yaml` contains the following: + +.. literalinclude:: ../../../examples/heis_D2.yaml + +This configures a simulation with bond dimension :code:`D=2` and boundary bond dimension :code:`chi=40`, using the model defined in :mod:`adpeps.ipeps.models.heisenberg`. + +Now the simulation can be started by calling the :code:`adpeps` module with the name of this configuration file: + + >>> python -m adpeps gs 'heis_D2' + WARNING:absl:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.) + Namespace(config_file='heis_D2', sim_mode='gs', version=False) + Running ground-state sim + ... + +The simulation will continue to run and you should see output similar to this: + +.. code-block:: + + ... + Performing CTM pre-steps without tracking + | CTM step 1 conv: 4.935e-03 time: 3.17 obj: -0.658758 + | CTM step 2 conv: 7.918e-04 time: 3.74 obj: -0.659550 + | CTM step 3 conv: 1.234e-05 time: 6.42 obj: -0.659562 + Performing CTM + | CTM step 1 conv: 3.171e-07 time: 8.8 obj: -0.659563 + | CTM step 2 conv: 2.108e-08 time: 4.07 obj: -0.659563 + | CTM step 3 conv: 8.173e-09 time: 2.93 obj: -0.659563 + Energy: -0.6595625579862193 + ... + +The first cycle of iterations are not taken into account in the gradient computation, but make sure that the CTM iterations with gradient tracking start from some reasonably converged boundary tensors in order to avoid instabilities with initial CTM steps. + +.. note:: + The convergence rate of the CTM depends on the variational parameters of the iPEPS and the settings of the simulation. + Generally the convergence improves as the simulation approaches the optimum. + +Whenever a step in the optimization has completed (this could take more than one cycle of CTM iterations depending on the type of optimizer), the module will output a summary of the steps so far: + +.. code-block:: + + ... + # ======================== # + # Step completed # + # ======================== # + + Step 0 E: -0.376468389894 |grad|: 1.2103482 + Step 1 E: -0.505252956403 |grad|: 0.19064889 + Step 2 E: -0.517432085607 |grad|: 0.10910666 + Step 3 E: -0.578045570568 |grad|: 0.081472534 + Step 4 E: -0.589074339197 |grad|: 0.089438567 + Step 5 E: -0.597590746400 |grad|: 0.15282526 + Step 6 E: -0.612205652457 |grad|: 0.076385807 + Step 7 E: -0.628079118387 |grad|: 0.0684857 + Step 8 E: -0.642200026835 |grad|: 0.097849544 + Step 9 E: -0.649553574703 |grad|: 0.066648727 + Step 10 E: -0.653909263824 |grad|: 0.0264237 + Step 11 E: -0.655389076620 |grad|: 0.016836624 + Step 12 E: -0.656585389308 |grad|: 0.016954703 + Step 13 E: -0.657797020335 |grad|: 0.020011479 + Step 14 E: -0.658174755217 |grad|: 0.033691114 + Step 15 E: -0.659083649568 |grad|: 0.012202327 + Step 16 E: -0.659365377610 |grad|: 0.0064214407 + Step 17 E: -0.659562557986 |grad|: 0.007503111 + ... + +The simulation will continue until :attr:`adpeps.ipeps.config.max_iterations` has been reached. +At any point the simulation can be stopped and continued later by restarting the module. + +.. note:: + In case you would like the simulation to continue from an earlier saved simulation with the same configuration file, make sure to set :attr:`adpeps.ipeps.config.resume` :code:`= True` diff --git a/docs/source/_build/html/_sources/notes/example2.rst.txt b/docs/source/_build/html/_sources/notes/example2.rst.txt new file mode 100644 index 0000000..d894348 --- /dev/null +++ b/docs/source/_build/html/_sources/notes/example2.rst.txt @@ -0,0 +1,96 @@ +.. _notes/example2: + +Example: excitations +========================================= + +.. note:: + This example continues from :ref:`the ground-state example` and requires an optimized ground state to start from. + +Here we demonstrates how to use the :mod:`adpeps` package for computing excited states of the 2D Heisenberg model. + +The configuration file `examples/heis_D2_exci.yaml` contains the following settings: + +.. literalinclude:: ../../../examples/heis_D2_exci.yaml + +Note that many of the options are the same as for the ground-state simulation, with the addition of the :attr:`adpeps.ipeps.config.momentum_path` setting, which controls which path through the Brillouin zone will be taken. + +In the configuration for excited states you do not explicitly set the momentum, but choose a preset path of points in momentum space and pass the index for each simulation. + +If we now start the simulation, we get the following response: + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=1 + ... + Running excited-state sim + ... + Base file .../exci/heisenberg_D2_X40.base.npz not found. + Prepare the simulation first by running with option '-i' + +What happened is that we first need to make some preparations for the simulation. +For excited-state simulations, we require the following: + + 1. Well-converged CTM boundary tensors + 2. A basis for the excited-state tensors, orthogonal to the ground state + +The preparations for the simulation need to be performed only once, resulting in a `base` simulation file that will be used by the simulations for every momentum. + + >>> python -m adpeps exci 'heis_D2_exci' -i + ... + Running excited-state sim + ... + | CTM step 1 conv: 1.036e-01 time: 3.64 obj: -0.665574 + | CTM step 2 conv: 3.137e-03 time: 4.23 obj: -0.662436 + | CTM step 3 conv: 7.887e-05 time: 4.0 obj: -0.662515 + | CTM step 4 conv: 1.388e-06 time: 2.2 obj: -0.662514 + | CTM step 5 conv: 2.455e-07 time: 1.35 obj: -0.662514 + | CTM step 6 conv: 3.044e-08 time: 1.35 obj: -0.662514 + | CTM step 7 conv: 4.673e-09 time: 1.37 obj: -0.662514 + | CTM step 8 conv: 4.467e-10 time: 1.36 obj: -0.662514 + | CTM step 9 conv: 5.029e-11 time: 1.35 obj: -0.662514 + | CTM step 10 conv: 5.612e-11 time: 1.38 obj: -0.662514 + | CTM step 11 conv: 2.801e-11 time: 1.36 obj: -0.662514 + | CTM step 12 conv: 1.204e-11 time: 1.65 obj: -0.662514 + | CTM step 13 conv: 4.936e-12 time: 1.42 obj: -0.662514 + | CTM step 14 conv: 1.989e-12 time: 1.39 obj: -0.662514 + GS norm 3.5890188873039093 + GS norm 1.0 + Substracting -0.33125703308289145 from Hamiltonian + +Several steps have been performed: first a full CTM contraction of the ground-state network, followed by a normalization of the ground-state tensors. +Then the Hamiltonian is shifted by the ground-state energy expectation value, in order for the excitations to have energies relative to the ground state. +Finally, the basis is prepared and we have everything to get started. + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=0 + ... + Running excited-state sim + ... + Starting simulation of basis vector 1/62 + Performing CTM + | CTM step 1 conv: 4.236e+00 time: 3.19 obj: 5.173629 + | CTM step 2 conv: 3.539e-02 time: 1.38 obj: 5.138237 + | CTM step 3 conv: 6.139e-03 time: 1.27 obj: 5.132099 + | CTM step 4 conv: 2.116e-04 time: 1.24 obj: 5.132310 + | CTM step 5 conv: 6.148e-05 time: 1.28 obj: 5.132249 + | CTM step 6 conv: 3.704e-04 time: 1.25 obj: 5.131879 + | CTM step 7 conv: 2.493e-04 time: 1.25 obj: 5.131629 + Energies: 0.04418993415167889 1.5450126399606245e-10 + Norm: 0.008611083119254162 + ========== + Finished basis vector 1/62 + - + Starting simulation of basis vector 2/62 + Performing CTM + | CTM step 1 conv: 2.004e+00 time: 0.99 obj: 3.335621 + | CTM step 2 conv: 6.150e-02 time: 1.26 obj: 3.397117 + | CTM step 3 conv: 2.017e-02 time: 1.28 obj: 3.376950 + | CTM step 4 conv: 3.838e-03 time: 1.26 obj: 3.380788 + | CTM step 5 conv: 3.650e-04 time: 1.3 obj: 3.380423 + | CTM step 6 conv: 1.246e-03 time: 1.29 obj: 3.381669 + | CTM step 7 conv: 1.288e-03 time: 1.31 obj: 3.380380 + Energies: 0.4285335373465171 1.5450126399606245e-10 + Norm: 0.12679967208649232 + ========== + Finished basis vector 2/62 + ... + +In this version of the algorithm, the full energy and norm overlap matrices will be computed. +Each of the basis vectors, as seen in the output above, is used as input in a separate CTM summation and the program will continue to run until all basis vectors have been used. diff --git a/docs/source/_build/html/_sources/notes/install.rst.txt b/docs/source/_build/html/_sources/notes/install.rst.txt new file mode 100644 index 0000000..b668de6 --- /dev/null +++ b/docs/source/_build/html/_sources/notes/install.rst.txt @@ -0,0 +1,36 @@ +Installation +=================================== + +The quickest way of installing the :code:`adpeps` package is to clone the repository + +.. code-block:: bash + + git clone + + +Method 1 (recommended): `conda` +------------------------------------------ + +The repository comes with an included :code:`environment.yml` file, which automatically installs a Python environment with all required packages, which can be used as follows + +.. code-block:: bash + + cd ad-peps + conda env create -f environment.yml + conda activate adpeps + +When the installation finishes, you can check that the package is working + +.. code-block:: bash + + python -m adpeps -v + +Method 2: `pip` +------------------------------------------ + +The package can also be installed via `pip`: + +.. code-block:: bash + + cd ad-peps + pip install -e . diff --git a/docs/source/_build/html/_sources/notes/start.rst.txt b/docs/source/_build/html/_sources/notes/start.rst.txt new file mode 100644 index 0000000..08534e4 --- /dev/null +++ b/docs/source/_build/html/_sources/notes/start.rst.txt @@ -0,0 +1,57 @@ +.. _notes/start: + +Getting Started +=================================== + +General +-------------- + +The main starting point for running simulations with the `adpeps` package is by loading the module directly via :code:`python -m adpeps`. + +For both ground-state and excited-state simulations the configuration can be set via configuration :code:`.yaml` files. +Each option in the configuration file corresponds to an attribute of the :mod:`adpeps.ipeps.config` module. + +The first argument for the module is the simulation mode (ground-state or excited-state): + +.. code-block:: bash + + python -m adpeps {gs,exci} ... + +.. note:: + The input configuration file location can be set via the + :envvar:`CONFIGDIR` variable. If it is not set, the default + input folder will be the `examples` subfolder of the package + root directory + +.. note:: + The output data location can be set via the :envvar:`DATADIR` + variable. If it is not set, the default output folder will be + in the `simulations` subfolder of the package root directory. + + +Ground states +-------------- + +For ground-state simulations, the only required argument is the configuration file. + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :path: gs + + +Excited states +-------------- + +For excited-state simulations, the first argument is again the name of a configuration file (note that the relevant options are different for excited-state simulations), and furthermore the `momentum index` :code:`-p` is required. + +The momentum index refers to a point in momentum space :math:`(k_x, k_y)` defined in a specific path through the Brillouin zone. +The corresponding momentum path can be set via the :attr:`adpeps.ipeps.config.momentum_path` option. +By default, the `'Bril1'` path is taken, which follows the cut along high symmetry points :math:`(\pi,0) - (\pi,\pi) - (\pi/2,\pi/2) - (0,0) - (\pi,0) - (\pi/2,\pi/2)` + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :nodefault: + :path: exci + diff --git a/docs/source/_build/html/_static/basic.css b/docs/source/_build/html/_static/basic.css new file mode 100644 index 0000000..5d8ae08 --- /dev/null +++ b/docs/source/_build/html/_static/basic.css @@ -0,0 +1,861 @@ +/* + * basic.css + * ~~~~~~~~~ + * + * Sphinx stylesheet -- basic theme. + * + * :copyright: Copyright 2007-2021 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/* -- main layout ----------------------------------------------------------- */ + +div.clearer { + clear: both; +} + +div.section::after { + display: block; + content: ''; + clear: left; +} + +/* -- relbar ---------------------------------------------------------------- */ + +div.related { + width: 100%; + font-size: 90%; +} + +div.related h3 { + display: none; +} + +div.related ul { + margin: 0; + padding: 0 0 0 10px; + list-style: none; +} + +div.related li { + display: inline; +} + +div.related li.right { + float: right; + margin-right: 5px; +} + +/* -- sidebar --------------------------------------------------------------- */ + +div.sphinxsidebarwrapper { + padding: 10px 5px 0 10px; +} + +div.sphinxsidebar { + float: left; + width: 270px; + margin-left: -100%; + font-size: 90%; + word-wrap: break-word; + overflow-wrap : break-word; +} + +div.sphinxsidebar ul { + list-style: none; +} + +div.sphinxsidebar ul ul, +div.sphinxsidebar ul.want-points { + margin-left: 20px; + list-style: square; +} + +div.sphinxsidebar ul ul { + margin-top: 0; + margin-bottom: 0; +} + +div.sphinxsidebar form { + margin-top: 10px; +} + +div.sphinxsidebar input { + border: 1px solid #98dbcc; + font-family: sans-serif; + font-size: 1em; +} + +div.sphinxsidebar #searchbox form.search { + overflow: hidden; +} + +div.sphinxsidebar #searchbox input[type="text"] { + float: left; + width: 80%; + padding: 0.25em; + box-sizing: border-box; +} + +div.sphinxsidebar #searchbox input[type="submit"] { + float: left; + width: 20%; + border-left: none; + padding: 0.25em; + box-sizing: border-box; +} + + +img { + border: 0; + max-width: 100%; +} + +/* -- search page ----------------------------------------------------------- */ + +ul.search { + margin: 10px 0 0 20px; + padding: 0; +} + +ul.search li { + padding: 5px 0 5px 20px; + background-image: url(file.png); + background-repeat: no-repeat; + background-position: 0 7px; +} + +ul.search li a { + font-weight: bold; +} + +ul.search li div.context { + color: #888; + margin: 2px 0 0 30px; + text-align: left; +} + +ul.keywordmatches li.goodmatch a { + font-weight: bold; +} + +/* -- index page ------------------------------------------------------------ */ + +table.contentstable { + width: 90%; + margin-left: auto; + margin-right: auto; +} + +table.contentstable p.biglink { + line-height: 150%; +} + +a.biglink { + font-size: 1.3em; +} + +span.linkdescr { + font-style: italic; + padding-top: 5px; + font-size: 90%; +} + +/* -- general index --------------------------------------------------------- */ + +table.indextable { + width: 100%; +} + +table.indextable td { + text-align: left; + vertical-align: top; +} + +table.indextable ul { + margin-top: 0; + margin-bottom: 0; + list-style-type: none; +} + +table.indextable > tbody > tr > td > ul { + padding-left: 0em; +} + +table.indextable tr.pcap { + height: 10px; +} + +table.indextable tr.cap { + margin-top: 10px; + background-color: #f2f2f2; +} + +img.toggler { + margin-right: 3px; + margin-top: 3px; + cursor: pointer; +} + +div.modindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +div.genindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +/* -- domain module index --------------------------------------------------- */ + +table.modindextable td { + padding: 2px; + border-collapse: collapse; +} + +/* -- general body styles --------------------------------------------------- */ + +div.body { + min-width: 450px; + max-width: 800px; +} + +div.body p, div.body dd, div.body li, div.body blockquote { + -moz-hyphens: auto; + -ms-hyphens: auto; + -webkit-hyphens: auto; + hyphens: auto; +} + +a.headerlink { + visibility: hidden; +} + +a.brackets:before, +span.brackets > a:before{ + content: "["; +} + +a.brackets:after, +span.brackets > a:after { + content: "]"; +} + +h1:hover > a.headerlink, +h2:hover > a.headerlink, +h3:hover > a.headerlink, +h4:hover > a.headerlink, +h5:hover > a.headerlink, +h6:hover > a.headerlink, +dt:hover > a.headerlink, +caption:hover > a.headerlink, +p.caption:hover > a.headerlink, +div.code-block-caption:hover > a.headerlink { + visibility: visible; +} + +div.body p.caption { + text-align: inherit; +} + +div.body td { + text-align: left; +} + +.first { + margin-top: 0 !important; +} + +p.rubric { + margin-top: 30px; + font-weight: bold; +} + +img.align-left, figure.align-left, .figure.align-left, object.align-left { + clear: left; + float: left; + margin-right: 1em; +} + +img.align-right, figure.align-right, .figure.align-right, object.align-right { + clear: right; + float: right; + margin-left: 1em; +} + +img.align-center, figure.align-center, .figure.align-center, object.align-center { + display: block; + margin-left: auto; + margin-right: auto; +} + +img.align-default, figure.align-default, .figure.align-default { + display: block; + margin-left: auto; + margin-right: auto; +} + +.align-left { + text-align: left; +} + +.align-center { + text-align: center; +} + +.align-default { + text-align: center; +} + +.align-right { + text-align: right; +} + +/* -- sidebars -------------------------------------------------------------- */ + +div.sidebar, +aside.sidebar { + margin: 0 0 0.5em 1em; + border: 1px solid #ddb; + padding: 7px; + background-color: #ffe; + width: 40%; + float: right; + clear: right; + overflow-x: auto; +} + +p.sidebar-title { + font-weight: bold; +} + +div.admonition, div.topic, blockquote { + clear: left; +} + +/* -- topics ---------------------------------------------------------------- */ + +div.topic { + border: 1px solid #ccc; + padding: 7px; + margin: 10px 0 10px 0; +} + +p.topic-title { + font-size: 1.1em; + font-weight: bold; + margin-top: 10px; +} + +/* -- admonitions ----------------------------------------------------------- */ + +div.admonition { + margin-top: 10px; + margin-bottom: 10px; + padding: 7px; +} + +div.admonition dt { + font-weight: bold; +} + +p.admonition-title { + margin: 0px 10px 5px 0px; + font-weight: bold; +} + +div.body p.centered { + text-align: center; + margin-top: 25px; +} + +/* -- content of sidebars/topics/admonitions -------------------------------- */ + +div.sidebar > :last-child, +aside.sidebar > :last-child, +div.topic > :last-child, +div.admonition > :last-child { + margin-bottom: 0; +} + +div.sidebar::after, +aside.sidebar::after, +div.topic::after, +div.admonition::after, +blockquote::after { + display: block; + content: ''; + clear: both; +} + +/* -- tables ---------------------------------------------------------------- */ + +table.docutils { + margin-top: 10px; + margin-bottom: 10px; + border: 0; + border-collapse: collapse; +} + +table.align-center { + margin-left: auto; + margin-right: auto; +} + +table.align-default { + margin-left: auto; + margin-right: auto; +} + +table caption span.caption-number { + font-style: italic; +} + +table caption span.caption-text { +} + +table.docutils td, table.docutils th { + padding: 1px 8px 1px 5px; + border-top: 0; + border-left: 0; + border-right: 0; + border-bottom: 1px solid #aaa; +} + +table.footnote td, table.footnote th { + border: 0 !important; +} + +th { + text-align: left; + padding-right: 5px; +} + +table.citation { + border-left: solid 1px gray; + margin-left: 1px; +} + +table.citation td { + border-bottom: none; +} + +th > :first-child, +td > :first-child { + margin-top: 0px; +} + +th > :last-child, +td > :last-child { + margin-bottom: 0px; +} + +/* -- figures --------------------------------------------------------------- */ + +div.figure, figure { + margin: 0.5em; + padding: 0.5em; +} + +div.figure p.caption, figcaption { + padding: 0.3em; +} + +div.figure p.caption span.caption-number, +figcaption span.caption-number { + font-style: italic; +} + +div.figure p.caption span.caption-text, +figcaption span.caption-text { +} + +/* -- field list styles ----------------------------------------------------- */ + +table.field-list td, table.field-list th { + border: 0 !important; +} + +.field-list ul { + margin: 0; + padding-left: 1em; +} + +.field-list p { + margin: 0; +} + +.field-name { + -moz-hyphens: manual; + -ms-hyphens: manual; + -webkit-hyphens: manual; + hyphens: manual; +} + +/* -- hlist styles ---------------------------------------------------------- */ + +table.hlist { + margin: 1em 0; +} + +table.hlist td { + vertical-align: top; +} + + +/* -- other body styles ----------------------------------------------------- */ + +ol.arabic { + list-style: decimal; +} + +ol.loweralpha { + list-style: lower-alpha; +} + +ol.upperalpha { + list-style: upper-alpha; +} + +ol.lowerroman { + list-style: lower-roman; +} + +ol.upperroman { + list-style: upper-roman; +} + +:not(li) > ol > li:first-child > :first-child, +:not(li) > ul > li:first-child > :first-child { + margin-top: 0px; +} + +:not(li) > ol > li:last-child > :last-child, +:not(li) > ul > li:last-child > :last-child { + margin-bottom: 0px; +} + +ol.simple ol p, +ol.simple ul p, +ul.simple ol p, +ul.simple ul p { + margin-top: 0; +} + +ol.simple > li:not(:first-child) > p, +ul.simple > li:not(:first-child) > p { + margin-top: 0; +} + +ol.simple p, +ul.simple p { + margin-bottom: 0; +} + +dl.footnote > dt, +dl.citation > dt { + float: left; + margin-right: 0.5em; +} + +dl.footnote > dd, +dl.citation > dd { + margin-bottom: 0em; +} + +dl.footnote > dd:after, +dl.citation > dd:after { + content: ""; + clear: both; +} + +dl.field-list { + display: grid; + grid-template-columns: fit-content(30%) auto; +} + +dl.field-list > dt { + font-weight: bold; + word-break: break-word; + padding-left: 0.5em; + padding-right: 5px; +} + +dl.field-list > dt:after { + content: ":"; +} + +dl.field-list > dd { + padding-left: 0.5em; + margin-top: 0em; + margin-left: 0em; + margin-bottom: 0em; +} + +dl { + margin-bottom: 15px; +} + +dd > :first-child { + margin-top: 0px; +} + +dd ul, dd table { + margin-bottom: 10px; +} + +dd { + margin-top: 3px; + margin-bottom: 10px; + margin-left: 30px; +} + +dl > dd:last-child, +dl > dd:last-child > :last-child { + margin-bottom: 0; +} + +dt:target, span.highlighted { + background-color: #fbe54e; +} + +rect.highlighted { + fill: #fbe54e; +} + +dl.glossary dt { + font-weight: bold; + font-size: 1.1em; +} + +.optional { + font-size: 1.3em; +} + +.sig-paren { + font-size: larger; +} + +.versionmodified { + font-style: italic; +} + +.system-message { + background-color: #fda; + padding: 5px; + border: 3px solid red; +} + +.footnote:target { + background-color: #ffa; +} + +.line-block { + display: block; + margin-top: 1em; + margin-bottom: 1em; +} + +.line-block .line-block { + margin-top: 0; + margin-bottom: 0; + margin-left: 1.5em; +} + +.guilabel, .menuselection { + font-family: sans-serif; +} + +.accelerator { + text-decoration: underline; +} + +.classifier { + font-style: oblique; +} + +.classifier:before { + font-style: normal; + margin: 0.5em; + content: ":"; +} + +abbr, acronym { + border-bottom: dotted 1px; + cursor: help; +} + +/* -- code displays --------------------------------------------------------- */ + +pre { + overflow: auto; + overflow-y: hidden; /* fixes display issues on Chrome browsers */ +} + +pre, div[class*="highlight-"] { + clear: both; +} + +span.pre { + -moz-hyphens: none; + -ms-hyphens: none; + -webkit-hyphens: none; + hyphens: none; +} + +div[class*="highlight-"] { + margin: 1em 0; +} + +td.linenos pre { + border: 0; + background-color: transparent; + color: #aaa; +} + +table.highlighttable { + display: block; +} + +table.highlighttable tbody { + display: block; +} + +table.highlighttable tr { + display: flex; +} + +table.highlighttable td { + margin: 0; + padding: 0; +} + +table.highlighttable td.linenos { + padding-right: 0.5em; +} + +table.highlighttable td.code { + flex: 1; + overflow: hidden; +} + +.highlight .hll { + display: block; +} + +div.highlight pre, +table.highlighttable pre { + margin: 0; +} + +div.code-block-caption + div { + margin-top: 0; +} + +div.code-block-caption { + margin-top: 1em; + padding: 2px 5px; + font-size: small; +} + +div.code-block-caption code { + background-color: transparent; +} + +table.highlighttable td.linenos, +span.linenos, +div.doctest > div.highlight span.gp { /* gp: Generic.Prompt */ + user-select: none; +} + +div.code-block-caption span.caption-number { + padding: 0.1em 0.3em; + font-style: italic; +} + +div.code-block-caption span.caption-text { +} + +div.literal-block-wrapper { + margin: 1em 0; +} + +code.descname { + background-color: transparent; + font-weight: bold; + font-size: 1.2em; +} + +code.descclassname { + background-color: transparent; +} + +code.xref, a code { + background-color: transparent; + font-weight: bold; +} + +h1 code, h2 code, h3 code, h4 code, h5 code, h6 code { + background-color: transparent; +} + +.viewcode-link { + float: right; +} + +.viewcode-back { + float: right; + font-family: sans-serif; +} + +div.viewcode-block:target { + margin: -1px -10px; + padding: 0 10px; +} + +/* -- math display ---------------------------------------------------------- */ + +img.math { + vertical-align: middle; +} + +div.body div.math p { + text-align: center; +} + +span.eqno { + float: right; +} + +span.eqno a.headerlink { + position: absolute; + z-index: 1; +} + +div.math:hover a.headerlink { + visibility: visible; +} + +/* -- printout stylesheet --------------------------------------------------- */ + +@media print { + div.document, + div.documentwrapper, + div.bodywrapper { + margin: 0 !important; + width: 100%; + } + + div.sphinxsidebar, + div.related, + div.footer, + #top-link { + display: none; + } +} \ No newline at end of file diff --git a/docs/source/_build/html/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css b/docs/source/_build/html/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css new file mode 100644 index 0000000..655656d --- /dev/null +++ b/docs/source/_build/html/_static/css/index.c5995385ac14fb8791e8eb36b4908be2.css @@ -0,0 +1,6 @@ +/*! + * Bootstrap v4.5.0 (https://getbootstrap.com/) + * Copyright 2011-2020 The Bootstrap Authors + * Copyright 2011-2020 Twitter, Inc. + * Licensed under MIT (https://github.com/twbs/bootstrap/blob/master/LICENSE) + */:root{--blue:#007bff;--indigo:#6610f2;--purple:#6f42c1;--pink:#e83e8c;--red:#dc3545;--orange:#fd7e14;--yellow:#ffc107;--green:#28a745;--teal:#20c997;--cyan:#17a2b8;--white:#fff;--gray:#6c757d;--gray-dark:#343a40;--primary:#007bff;--secondary:#6c757d;--success:#28a745;--info:#17a2b8;--warning:#ffc107;--danger:#dc3545;--light:#f8f9fa;--dark:#343a40;--breakpoint-xs:0;--breakpoint-sm:540px;--breakpoint-md:720px;--breakpoint-lg:960px;--breakpoint-xl:1200px;--font-family-sans-serif:-apple-system,BlinkMacSystemFont,"Segoe UI",Roboto,"Helvetica Neue",Arial,"Noto Sans",sans-serif,"Apple Color Emoji","Segoe UI Emoji","Segoe UI Symbol","Noto Color Emoji";--font-family-monospace:SFMono-Regular,Menlo,Monaco,Consolas,"Liberation Mono","Courier New",monospace}*,:after,:before{box-sizing:border-box}html{font-family:sans-serif;line-height:1.15;-webkit-text-size-adjust:100%;-webkit-tap-highlight-color:rgba(0,0,0,0)}article,aside,figcaption,figure,footer,header,hgroup,main,nav,section{display:block}body{margin:0;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-size:1rem;line-height:1.5;color:#212529;text-align:left}[tabindex="-1"]:focus:not(:focus-visible){outline:0!important}hr{box-sizing:content-box;height:0;overflow:visible}h1,h2,h3,h4,h5,h6{margin-top:0;margin-bottom:.5rem}p{margin-top:0;margin-bottom:1rem}abbr[data-original-title],abbr[title]{text-decoration:underline;text-decoration:underline dotted;cursor:help;border-bottom:0;text-decoration-skip-ink:none}address{font-style:normal;line-height:inherit}address,dl,ol,ul{margin-bottom:1rem}dl,ol,ul{margin-top:0}ol ol,ol ul,ul ol,ul ul{margin-bottom:0}dt{font-weight:700}dd{margin-bottom:.5rem;margin-left:0}blockquote{margin:0 0 1rem}b,strong{font-weight:bolder}small{font-size:80%}sub,sup{position:relative;font-size:75%;line-height:0;vertical-align:baseline}sub{bottom:-.25em}sup{top:-.5em}a{color:#007bff;background-color:transparent}a:hover{color:#0056b3}a:not([href]),a:not([href]):hover{color:inherit;text-decoration:none}code,kbd,pre,samp{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace;font-size:1em}pre{margin-top:0;margin-bottom:1rem;overflow:auto;-ms-overflow-style:scrollbar}figure{margin:0 0 1rem}img{border-style:none}img,svg{vertical-align:middle}svg{overflow:hidden}table{border-collapse:collapse}caption{padding-top:.75rem;padding-bottom:.75rem;color:#6c757d;text-align:left;caption-side:bottom}th{text-align:inherit}label{display:inline-block;margin-bottom:.5rem}button{border-radius:0}button:focus{outline:1px dotted;outline:5px auto -webkit-focus-ring-color}button,input,optgroup,select,textarea{margin:0;font-family:inherit;font-size:inherit;line-height:inherit}button,input{overflow:visible}button,select{text-transform:none}[role=button]{cursor:pointer}select{word-wrap:normal}[type=button],[type=reset],[type=submit],button{-webkit-appearance:button}[type=button]:not(:disabled),[type=reset]:not(:disabled),[type=submit]:not(:disabled),button:not(:disabled){cursor:pointer}[type=button]::-moz-focus-inner,[type=reset]::-moz-focus-inner,[type=submit]::-moz-focus-inner,button::-moz-focus-inner{padding:0;border-style:none}input[type=checkbox],input[type=radio]{box-sizing:border-box;padding:0}textarea{overflow:auto;resize:vertical}fieldset{min-width:0;padding:0;margin:0;border:0}legend{display:block;width:100%;max-width:100%;padding:0;margin-bottom:.5rem;font-size:1.5rem;line-height:inherit;color:inherit;white-space:normal}progress{vertical-align:baseline}[type=number]::-webkit-inner-spin-button,[type=number]::-webkit-outer-spin-button{height:auto}[type=search]{outline-offset:-2px;-webkit-appearance:none}[type=search]::-webkit-search-decoration{-webkit-appearance:none}::-webkit-file-upload-button{font:inherit;-webkit-appearance:button}output{display:inline-block}summary{display:list-item;cursor:pointer}template{display:none}[hidden]{display:none!important}.h1,.h2,.h3,.h4,.h5,.h6,h1,h2,h3,h4,h5,h6{margin-bottom:.5rem;font-weight:500;line-height:1.2}.h1,h1{font-size:2.5rem}.h2,h2{font-size:2rem}.h3,h3{font-size:1.75rem}.h4,h4{font-size:1.5rem}.h5,h5{font-size:1.25rem}.h6,h6{font-size:1rem}.lead{font-size:1.25rem;font-weight:300}.display-1{font-size:6rem}.display-1,.display-2{font-weight:300;line-height:1.2}.display-2{font-size:5.5rem}.display-3{font-size:4.5rem}.display-3,.display-4{font-weight:300;line-height:1.2}.display-4{font-size:3.5rem}hr{margin-top:1rem;margin-bottom:1rem;border-top:1px solid rgba(0,0,0,.1)}.small,small{font-size:80%;font-weight:400}.mark,mark{padding:.2em;background-color:#fcf8e3}.list-inline,.list-unstyled{padding-left:0;list-style:none}.list-inline-item{display:inline-block}.list-inline-item:not(:last-child){margin-right:.5rem}.initialism{font-size:90%;text-transform:uppercase}.blockquote{margin-bottom:1rem;font-size:1.25rem}.blockquote-footer{display:block;font-size:80%;color:#6c757d}.blockquote-footer:before{content:"\2014\00A0"}.img-fluid,.img-thumbnail{max-width:100%;height:auto}.img-thumbnail{padding:.25rem;background-color:#fff;border:1px solid #dee2e6;border-radius:.25rem}.figure{display:inline-block}.figure-img{margin-bottom:.5rem;line-height:1}.figure-caption{font-size:90%;color:#6c757d}code{font-size:87.5%;color:#e83e8c;word-wrap:break-word}a>code{color:inherit}kbd{padding:.2rem .4rem;font-size:87.5%;color:#fff;background-color:#212529;border-radius:.2rem}kbd kbd{padding:0;font-size:100%;font-weight:700}pre{display:block;font-size:87.5%;color:#212529}pre code{font-size:inherit;color:inherit;word-break:normal}.pre-scrollable{max-height:340px;overflow-y:scroll}.container{width:100%;padding-right:15px;padding-left:15px;margin-right:auto;margin-left:auto}@media (min-width:540px){.container{max-width:540px}}@media (min-width:720px){.container{max-width:720px}}@media (min-width:960px){.container{max-width:960px}}@media (min-width:1200px){.container{max-width:1400px}}.container-fluid,.container-lg,.container-md,.container-sm,.container-xl{width:100%;padding-right:15px;padding-left:15px;margin-right:auto;margin-left:auto}@media (min-width:540px){.container,.container-sm{max-width:540px}}@media (min-width:720px){.container,.container-md,.container-sm{max-width:720px}}@media (min-width:960px){.container,.container-lg,.container-md,.container-sm{max-width:960px}}@media (min-width:1200px){.container,.container-lg,.container-md,.container-sm,.container-xl{max-width:1400px}}.row{display:flex;flex-wrap:wrap;margin-right:-15px;margin-left:-15px}.no-gutters{margin-right:0;margin-left:0}.no-gutters>.col,.no-gutters>[class*=col-]{padding-right:0;padding-left:0}.col,.col-1,.col-2,.col-3,.col-4,.col-5,.col-6,.col-7,.col-8,.col-9,.col-10,.col-11,.col-12,.col-auto,.col-lg,.col-lg-1,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-auto,.col-md,.col-md-1,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9,.col-md-10,.col-md-11,.col-md-12,.col-md-auto,.col-sm,.col-sm-1,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-auto,.col-xl,.col-xl-1,.col-xl-2,.col-xl-3,.col-xl-4,.col-xl-5,.col-xl-6,.col-xl-7,.col-xl-8,.col-xl-9,.col-xl-10,.col-xl-11,.col-xl-12,.col-xl-auto{position:relative;width:100%;padding-right:15px;padding-left:15px}.col{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-1>*{flex:0 0 100%;max-width:100%}.row-cols-2>*{flex:0 0 50%;max-width:50%}.row-cols-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-4>*{flex:0 0 25%;max-width:25%}.row-cols-5>*{flex:0 0 20%;max-width:20%}.row-cols-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-auto{flex:0 0 auto;width:auto;max-width:100%}.col-1{flex:0 0 8.33333%;max-width:8.33333%}.col-2{flex:0 0 16.66667%;max-width:16.66667%}.col-3{flex:0 0 25%;max-width:25%}.col-4{flex:0 0 33.33333%;max-width:33.33333%}.col-5{flex:0 0 41.66667%;max-width:41.66667%}.col-6{flex:0 0 50%;max-width:50%}.col-7{flex:0 0 58.33333%;max-width:58.33333%}.col-8{flex:0 0 66.66667%;max-width:66.66667%}.col-9{flex:0 0 75%;max-width:75%}.col-10{flex:0 0 83.33333%;max-width:83.33333%}.col-11{flex:0 0 91.66667%;max-width:91.66667%}.col-12{flex:0 0 100%;max-width:100%}.order-first{order:-1}.order-last{order:13}.order-0{order:0}.order-1{order:1}.order-2{order:2}.order-3{order:3}.order-4{order:4}.order-5{order:5}.order-6{order:6}.order-7{order:7}.order-8{order:8}.order-9{order:9}.order-10{order:10}.order-11{order:11}.order-12{order:12}.offset-1{margin-left:8.33333%}.offset-2{margin-left:16.66667%}.offset-3{margin-left:25%}.offset-4{margin-left:33.33333%}.offset-5{margin-left:41.66667%}.offset-6{margin-left:50%}.offset-7{margin-left:58.33333%}.offset-8{margin-left:66.66667%}.offset-9{margin-left:75%}.offset-10{margin-left:83.33333%}.offset-11{margin-left:91.66667%}@media (min-width:540px){.col-sm{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-sm-1>*{flex:0 0 100%;max-width:100%}.row-cols-sm-2>*{flex:0 0 50%;max-width:50%}.row-cols-sm-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-sm-4>*{flex:0 0 25%;max-width:25%}.row-cols-sm-5>*{flex:0 0 20%;max-width:20%}.row-cols-sm-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-sm-auto{flex:0 0 auto;width:auto;max-width:100%}.col-sm-1{flex:0 0 8.33333%;max-width:8.33333%}.col-sm-2{flex:0 0 16.66667%;max-width:16.66667%}.col-sm-3{flex:0 0 25%;max-width:25%}.col-sm-4{flex:0 0 33.33333%;max-width:33.33333%}.col-sm-5{flex:0 0 41.66667%;max-width:41.66667%}.col-sm-6{flex:0 0 50%;max-width:50%}.col-sm-7{flex:0 0 58.33333%;max-width:58.33333%}.col-sm-8{flex:0 0 66.66667%;max-width:66.66667%}.col-sm-9{flex:0 0 75%;max-width:75%}.col-sm-10{flex:0 0 83.33333%;max-width:83.33333%}.col-sm-11{flex:0 0 91.66667%;max-width:91.66667%}.col-sm-12{flex:0 0 100%;max-width:100%}.order-sm-first{order:-1}.order-sm-last{order:13}.order-sm-0{order:0}.order-sm-1{order:1}.order-sm-2{order:2}.order-sm-3{order:3}.order-sm-4{order:4}.order-sm-5{order:5}.order-sm-6{order:6}.order-sm-7{order:7}.order-sm-8{order:8}.order-sm-9{order:9}.order-sm-10{order:10}.order-sm-11{order:11}.order-sm-12{order:12}.offset-sm-0{margin-left:0}.offset-sm-1{margin-left:8.33333%}.offset-sm-2{margin-left:16.66667%}.offset-sm-3{margin-left:25%}.offset-sm-4{margin-left:33.33333%}.offset-sm-5{margin-left:41.66667%}.offset-sm-6{margin-left:50%}.offset-sm-7{margin-left:58.33333%}.offset-sm-8{margin-left:66.66667%}.offset-sm-9{margin-left:75%}.offset-sm-10{margin-left:83.33333%}.offset-sm-11{margin-left:91.66667%}}@media (min-width:720px){.col-md{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-md-1>*{flex:0 0 100%;max-width:100%}.row-cols-md-2>*{flex:0 0 50%;max-width:50%}.row-cols-md-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-md-4>*{flex:0 0 25%;max-width:25%}.row-cols-md-5>*{flex:0 0 20%;max-width:20%}.row-cols-md-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-md-auto{flex:0 0 auto;width:auto;max-width:100%}.col-md-1{flex:0 0 8.33333%;max-width:8.33333%}.col-md-2{flex:0 0 16.66667%;max-width:16.66667%}.col-md-3{flex:0 0 25%;max-width:25%}.col-md-4{flex:0 0 33.33333%;max-width:33.33333%}.col-md-5{flex:0 0 41.66667%;max-width:41.66667%}.col-md-6{flex:0 0 50%;max-width:50%}.col-md-7{flex:0 0 58.33333%;max-width:58.33333%}.col-md-8{flex:0 0 66.66667%;max-width:66.66667%}.col-md-9{flex:0 0 75%;max-width:75%}.col-md-10{flex:0 0 83.33333%;max-width:83.33333%}.col-md-11{flex:0 0 91.66667%;max-width:91.66667%}.col-md-12{flex:0 0 100%;max-width:100%}.order-md-first{order:-1}.order-md-last{order:13}.order-md-0{order:0}.order-md-1{order:1}.order-md-2{order:2}.order-md-3{order:3}.order-md-4{order:4}.order-md-5{order:5}.order-md-6{order:6}.order-md-7{order:7}.order-md-8{order:8}.order-md-9{order:9}.order-md-10{order:10}.order-md-11{order:11}.order-md-12{order:12}.offset-md-0{margin-left:0}.offset-md-1{margin-left:8.33333%}.offset-md-2{margin-left:16.66667%}.offset-md-3{margin-left:25%}.offset-md-4{margin-left:33.33333%}.offset-md-5{margin-left:41.66667%}.offset-md-6{margin-left:50%}.offset-md-7{margin-left:58.33333%}.offset-md-8{margin-left:66.66667%}.offset-md-9{margin-left:75%}.offset-md-10{margin-left:83.33333%}.offset-md-11{margin-left:91.66667%}}@media (min-width:960px){.col-lg{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-lg-1>*{flex:0 0 100%;max-width:100%}.row-cols-lg-2>*{flex:0 0 50%;max-width:50%}.row-cols-lg-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-lg-4>*{flex:0 0 25%;max-width:25%}.row-cols-lg-5>*{flex:0 0 20%;max-width:20%}.row-cols-lg-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-lg-auto{flex:0 0 auto;width:auto;max-width:100%}.col-lg-1{flex:0 0 8.33333%;max-width:8.33333%}.col-lg-2{flex:0 0 16.66667%;max-width:16.66667%}.col-lg-3{flex:0 0 25%;max-width:25%}.col-lg-4{flex:0 0 33.33333%;max-width:33.33333%}.col-lg-5{flex:0 0 41.66667%;max-width:41.66667%}.col-lg-6{flex:0 0 50%;max-width:50%}.col-lg-7{flex:0 0 58.33333%;max-width:58.33333%}.col-lg-8{flex:0 0 66.66667%;max-width:66.66667%}.col-lg-9{flex:0 0 75%;max-width:75%}.col-lg-10{flex:0 0 83.33333%;max-width:83.33333%}.col-lg-11{flex:0 0 91.66667%;max-width:91.66667%}.col-lg-12{flex:0 0 100%;max-width:100%}.order-lg-first{order:-1}.order-lg-last{order:13}.order-lg-0{order:0}.order-lg-1{order:1}.order-lg-2{order:2}.order-lg-3{order:3}.order-lg-4{order:4}.order-lg-5{order:5}.order-lg-6{order:6}.order-lg-7{order:7}.order-lg-8{order:8}.order-lg-9{order:9}.order-lg-10{order:10}.order-lg-11{order:11}.order-lg-12{order:12}.offset-lg-0{margin-left:0}.offset-lg-1{margin-left:8.33333%}.offset-lg-2{margin-left:16.66667%}.offset-lg-3{margin-left:25%}.offset-lg-4{margin-left:33.33333%}.offset-lg-5{margin-left:41.66667%}.offset-lg-6{margin-left:50%}.offset-lg-7{margin-left:58.33333%}.offset-lg-8{margin-left:66.66667%}.offset-lg-9{margin-left:75%}.offset-lg-10{margin-left:83.33333%}.offset-lg-11{margin-left:91.66667%}}@media (min-width:1200px){.col-xl{flex-basis:0;flex-grow:1;min-width:0;max-width:100%}.row-cols-xl-1>*{flex:0 0 100%;max-width:100%}.row-cols-xl-2>*{flex:0 0 50%;max-width:50%}.row-cols-xl-3>*{flex:0 0 33.33333%;max-width:33.33333%}.row-cols-xl-4>*{flex:0 0 25%;max-width:25%}.row-cols-xl-5>*{flex:0 0 20%;max-width:20%}.row-cols-xl-6>*{flex:0 0 16.66667%;max-width:16.66667%}.col-xl-auto{flex:0 0 auto;width:auto;max-width:100%}.col-xl-1{flex:0 0 8.33333%;max-width:8.33333%}.col-xl-2{flex:0 0 16.66667%;max-width:16.66667%}.col-xl-3{flex:0 0 25%;max-width:25%}.col-xl-4{flex:0 0 33.33333%;max-width:33.33333%}.col-xl-5{flex:0 0 41.66667%;max-width:41.66667%}.col-xl-6{flex:0 0 50%;max-width:50%}.col-xl-7{flex:0 0 58.33333%;max-width:58.33333%}.col-xl-8{flex:0 0 66.66667%;max-width:66.66667%}.col-xl-9{flex:0 0 75%;max-width:75%}.col-xl-10{flex:0 0 83.33333%;max-width:83.33333%}.col-xl-11{flex:0 0 91.66667%;max-width:91.66667%}.col-xl-12{flex:0 0 100%;max-width:100%}.order-xl-first{order:-1}.order-xl-last{order:13}.order-xl-0{order:0}.order-xl-1{order:1}.order-xl-2{order:2}.order-xl-3{order:3}.order-xl-4{order:4}.order-xl-5{order:5}.order-xl-6{order:6}.order-xl-7{order:7}.order-xl-8{order:8}.order-xl-9{order:9}.order-xl-10{order:10}.order-xl-11{order:11}.order-xl-12{order:12}.offset-xl-0{margin-left:0}.offset-xl-1{margin-left:8.33333%}.offset-xl-2{margin-left:16.66667%}.offset-xl-3{margin-left:25%}.offset-xl-4{margin-left:33.33333%}.offset-xl-5{margin-left:41.66667%}.offset-xl-6{margin-left:50%}.offset-xl-7{margin-left:58.33333%}.offset-xl-8{margin-left:66.66667%}.offset-xl-9{margin-left:75%}.offset-xl-10{margin-left:83.33333%}.offset-xl-11{margin-left:91.66667%}}.table{width:100%;margin-bottom:1rem;color:#212529}.table td,.table th{padding:.75rem;vertical-align:top;border-top:1px solid #dee2e6}.table thead th{vertical-align:bottom;border-bottom:2px solid #dee2e6}.table tbody+tbody{border-top:2px solid #dee2e6}.table-sm td,.table-sm th{padding:.3rem}.table-bordered,.table-bordered td,.table-bordered th{border:1px solid #dee2e6}.table-bordered thead td,.table-bordered thead th{border-bottom-width:2px}.table-borderless tbody+tbody,.table-borderless td,.table-borderless th,.table-borderless thead th{border:0}.table-striped tbody tr:nth-of-type(odd){background-color:rgba(0,0,0,.05)}.table-hover tbody tr:hover{color:#212529;background-color:rgba(0,0,0,.075)}.table-primary,.table-primary>td,.table-primary>th{background-color:#b8daff}.table-primary tbody+tbody,.table-primary td,.table-primary th,.table-primary thead th{border-color:#7abaff}.table-hover .table-primary:hover,.table-hover .table-primary:hover>td,.table-hover .table-primary:hover>th{background-color:#9fcdff}.table-secondary,.table-secondary>td,.table-secondary>th{background-color:#d6d8db}.table-secondary tbody+tbody,.table-secondary td,.table-secondary th,.table-secondary thead th{border-color:#b3b7bb}.table-hover .table-secondary:hover,.table-hover .table-secondary:hover>td,.table-hover .table-secondary:hover>th{background-color:#c8cbcf}.table-success,.table-success>td,.table-success>th{background-color:#c3e6cb}.table-success tbody+tbody,.table-success td,.table-success th,.table-success thead th{border-color:#8fd19e}.table-hover .table-success:hover,.table-hover .table-success:hover>td,.table-hover .table-success:hover>th{background-color:#b1dfbb}.table-info,.table-info>td,.table-info>th{background-color:#bee5eb}.table-info tbody+tbody,.table-info td,.table-info th,.table-info thead th{border-color:#86cfda}.table-hover .table-info:hover,.table-hover .table-info:hover>td,.table-hover .table-info:hover>th{background-color:#abdde5}.table-warning,.table-warning>td,.table-warning>th{background-color:#ffeeba}.table-warning tbody+tbody,.table-warning td,.table-warning th,.table-warning thead th{border-color:#ffdf7e}.table-hover .table-warning:hover,.table-hover .table-warning:hover>td,.table-hover .table-warning:hover>th{background-color:#ffe8a1}.table-danger,.table-danger>td,.table-danger>th{background-color:#f5c6cb}.table-danger tbody+tbody,.table-danger td,.table-danger th,.table-danger thead th{border-color:#ed969e}.table-hover .table-danger:hover,.table-hover .table-danger:hover>td,.table-hover .table-danger:hover>th{background-color:#f1b0b7}.table-light,.table-light>td,.table-light>th{background-color:#fdfdfe}.table-light tbody+tbody,.table-light td,.table-light th,.table-light thead th{border-color:#fbfcfc}.table-hover .table-light:hover,.table-hover .table-light:hover>td,.table-hover .table-light:hover>th{background-color:#ececf6}.table-dark,.table-dark>td,.table-dark>th{background-color:#c6c8ca}.table-dark tbody+tbody,.table-dark td,.table-dark th,.table-dark thead th{border-color:#95999c}.table-hover .table-dark:hover,.table-hover .table-dark:hover>td,.table-hover .table-dark:hover>th{background-color:#b9bbbe}.table-active,.table-active>td,.table-active>th,.table-hover .table-active:hover,.table-hover .table-active:hover>td,.table-hover .table-active:hover>th{background-color:rgba(0,0,0,.075)}.table .thead-dark th{color:#fff;background-color:#343a40;border-color:#454d55}.table .thead-light th{color:#495057;background-color:#e9ecef;border-color:#dee2e6}.table-dark{color:#fff;background-color:#343a40}.table-dark td,.table-dark th,.table-dark thead th{border-color:#454d55}.table-dark.table-bordered{border:0}.table-dark.table-striped tbody tr:nth-of-type(odd){background-color:hsla(0,0%,100%,.05)}.table-dark.table-hover tbody tr:hover{color:#fff;background-color:hsla(0,0%,100%,.075)}@media (max-width:539.98px){.table-responsive-sm{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-sm>.table-bordered{border:0}}@media (max-width:719.98px){.table-responsive-md{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-md>.table-bordered{border:0}}@media (max-width:959.98px){.table-responsive-lg{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-lg>.table-bordered{border:0}}@media (max-width:1199.98px){.table-responsive-xl{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive-xl>.table-bordered{border:0}}.table-responsive{display:block;width:100%;overflow-x:auto;-webkit-overflow-scrolling:touch}.table-responsive>.table-bordered{border:0}.form-control{display:block;width:100%;height:calc(1.5em + .75rem + 2px);padding:.375rem .75rem;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;background-color:#fff;background-clip:padding-box;border:1px solid #ced4da;border-radius:.25rem;transition:border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.form-control{transition:none}}.form-control::-ms-expand{background-color:transparent;border:0}.form-control:-moz-focusring{color:transparent;text-shadow:0 0 0 #495057}.form-control:focus{color:#495057;background-color:#fff;border-color:#80bdff;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.form-control::placeholder{color:#6c757d;opacity:1}.form-control:disabled,.form-control[readonly]{background-color:#e9ecef;opacity:1}input[type=date].form-control,input[type=datetime-local].form-control,input[type=month].form-control,input[type=time].form-control{appearance:none}select.form-control:focus::-ms-value{color:#495057;background-color:#fff}.form-control-file,.form-control-range{display:block;width:100%}.col-form-label{padding-top:calc(.375rem + 1px);padding-bottom:calc(.375rem + 1px);margin-bottom:0;font-size:inherit;line-height:1.5}.col-form-label-lg{padding-top:calc(.5rem + 1px);padding-bottom:calc(.5rem + 1px);font-size:1.25rem;line-height:1.5}.col-form-label-sm{padding-top:calc(.25rem + 1px);padding-bottom:calc(.25rem + 1px);font-size:.875rem;line-height:1.5}.form-control-plaintext{display:block;width:100%;padding:.375rem 0;margin-bottom:0;font-size:1rem;line-height:1.5;color:#212529;background-color:transparent;border:solid transparent;border-width:1px 0}.form-control-plaintext.form-control-lg,.form-control-plaintext.form-control-sm{padding-right:0;padding-left:0}.form-control-sm{height:calc(1.5em + .5rem + 2px);padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.form-control-lg{height:calc(1.5em + 1rem + 2px);padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}select.form-control[multiple],select.form-control[size],textarea.form-control{height:auto}.form-group{margin-bottom:1rem}.form-text{display:block;margin-top:.25rem}.form-row{display:flex;flex-wrap:wrap;margin-right:-5px;margin-left:-5px}.form-row>.col,.form-row>[class*=col-]{padding-right:5px;padding-left:5px}.form-check{position:relative;display:block;padding-left:1.25rem}.form-check-input{position:absolute;margin-top:.3rem;margin-left:-1.25rem}.form-check-input:disabled~.form-check-label,.form-check-input[disabled]~.form-check-label{color:#6c757d}.form-check-label{margin-bottom:0}.form-check-inline{display:inline-flex;align-items:center;padding-left:0;margin-right:.75rem}.form-check-inline .form-check-input{position:static;margin-top:0;margin-right:.3125rem;margin-left:0}.valid-feedback{display:none;width:100%;margin-top:.25rem;font-size:80%;color:#28a745}.valid-tooltip{position:absolute;top:100%;z-index:5;display:none;max-width:100%;padding:.25rem .5rem;margin-top:.1rem;font-size:.875rem;line-height:1.5;color:#fff;background-color:rgba(40,167,69,.9);border-radius:.25rem}.is-valid~.valid-feedback,.is-valid~.valid-tooltip,.was-validated :valid~.valid-feedback,.was-validated :valid~.valid-tooltip{display:block}.form-control.is-valid,.was-validated .form-control:valid{border-color:#28a745;padding-right:calc(1.5em + .75rem);background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%2328a745' d='M2.3 6.73L.6 4.53c-.4-1.04.46-1.4 1.1-.8l1.1 1.4 3.4-3.8c.6-.63 1.6-.27 1.2.7l-4 4.6c-.43.5-.8.4-1.1.1z'/%3E%3C/svg%3E");background-repeat:no-repeat;background-position:right calc(.375em + .1875rem) center;background-size:calc(.75em + .375rem) calc(.75em + .375rem)}.form-control.is-valid:focus,.was-validated .form-control:valid:focus{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.was-validated textarea.form-control:valid,textarea.form-control.is-valid{padding-right:calc(1.5em + .75rem);background-position:top calc(.375em + .1875rem) right calc(.375em + .1875rem)}.custom-select.is-valid,.was-validated .custom-select:valid{border-color:#28a745;padding-right:calc(.75em + 2.3125rem);background:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px,url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%2328a745' d='M2.3 6.73L.6 4.53c-.4-1.04.46-1.4 1.1-.8l1.1 1.4 3.4-3.8c.6-.63 1.6-.27 1.2.7l-4 4.6c-.43.5-.8.4-1.1.1z'/%3E%3C/svg%3E") #fff no-repeat center right 1.75rem/calc(.75em + .375rem) calc(.75em + .375rem)}.custom-select.is-valid:focus,.was-validated .custom-select:valid:focus{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.form-check-input.is-valid~.form-check-label,.was-validated .form-check-input:valid~.form-check-label{color:#28a745}.form-check-input.is-valid~.valid-feedback,.form-check-input.is-valid~.valid-tooltip,.was-validated .form-check-input:valid~.valid-feedback,.was-validated .form-check-input:valid~.valid-tooltip{display:block}.custom-control-input.is-valid~.custom-control-label,.was-validated .custom-control-input:valid~.custom-control-label{color:#28a745}.custom-control-input.is-valid~.custom-control-label:before,.was-validated .custom-control-input:valid~.custom-control-label:before{border-color:#28a745}.custom-control-input.is-valid:checked~.custom-control-label:before,.was-validated .custom-control-input:valid:checked~.custom-control-label:before{border-color:#34ce57;background-color:#34ce57}.custom-control-input.is-valid:focus~.custom-control-label:before,.was-validated .custom-control-input:valid:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.custom-control-input.is-valid:focus:not(:checked)~.custom-control-label:before,.custom-file-input.is-valid~.custom-file-label,.was-validated .custom-control-input:valid:focus:not(:checked)~.custom-control-label:before,.was-validated .custom-file-input:valid~.custom-file-label{border-color:#28a745}.custom-file-input.is-valid:focus~.custom-file-label,.was-validated .custom-file-input:valid:focus~.custom-file-label{border-color:#28a745;box-shadow:0 0 0 .2rem rgba(40,167,69,.25)}.invalid-feedback{display:none;width:100%;margin-top:.25rem;font-size:80%;color:#dc3545}.invalid-tooltip{position:absolute;top:100%;z-index:5;display:none;max-width:100%;padding:.25rem .5rem;margin-top:.1rem;font-size:.875rem;line-height:1.5;color:#fff;background-color:rgba(220,53,69,.9);border-radius:.25rem}.is-invalid~.invalid-feedback,.is-invalid~.invalid-tooltip,.was-validated :invalid~.invalid-feedback,.was-validated :invalid~.invalid-tooltip{display:block}.form-control.is-invalid,.was-validated .form-control:invalid{border-color:#dc3545;padding-right:calc(1.5em + .75rem);background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' fill='none' stroke='%23dc3545'%3E%3Ccircle cx='6' cy='6' r='4.5'/%3E%3Cpath stroke-linejoin='round' d='M5.8 3.6h.4L6 6.5z'/%3E%3Ccircle cx='6' cy='8.2' r='.6' fill='%23dc3545' stroke='none'/%3E%3C/svg%3E");background-repeat:no-repeat;background-position:right calc(.375em + .1875rem) center;background-size:calc(.75em + .375rem) calc(.75em + .375rem)}.form-control.is-invalid:focus,.was-validated .form-control:invalid:focus{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.was-validated textarea.form-control:invalid,textarea.form-control.is-invalid{padding-right:calc(1.5em + .75rem);background-position:top calc(.375em + .1875rem) right calc(.375em + .1875rem)}.custom-select.is-invalid,.was-validated .custom-select:invalid{border-color:#dc3545;padding-right:calc(.75em + 2.3125rem);background:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px,url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' fill='none' stroke='%23dc3545'%3E%3Ccircle cx='6' cy='6' r='4.5'/%3E%3Cpath stroke-linejoin='round' d='M5.8 3.6h.4L6 6.5z'/%3E%3Ccircle cx='6' cy='8.2' r='.6' fill='%23dc3545' stroke='none'/%3E%3C/svg%3E") #fff no-repeat center right 1.75rem/calc(.75em + .375rem) calc(.75em + .375rem)}.custom-select.is-invalid:focus,.was-validated .custom-select:invalid:focus{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.form-check-input.is-invalid~.form-check-label,.was-validated .form-check-input:invalid~.form-check-label{color:#dc3545}.form-check-input.is-invalid~.invalid-feedback,.form-check-input.is-invalid~.invalid-tooltip,.was-validated .form-check-input:invalid~.invalid-feedback,.was-validated .form-check-input:invalid~.invalid-tooltip{display:block}.custom-control-input.is-invalid~.custom-control-label,.was-validated .custom-control-input:invalid~.custom-control-label{color:#dc3545}.custom-control-input.is-invalid~.custom-control-label:before,.was-validated .custom-control-input:invalid~.custom-control-label:before{border-color:#dc3545}.custom-control-input.is-invalid:checked~.custom-control-label:before,.was-validated .custom-control-input:invalid:checked~.custom-control-label:before{border-color:#e4606d;background-color:#e4606d}.custom-control-input.is-invalid:focus~.custom-control-label:before,.was-validated .custom-control-input:invalid:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.custom-control-input.is-invalid:focus:not(:checked)~.custom-control-label:before,.custom-file-input.is-invalid~.custom-file-label,.was-validated .custom-control-input:invalid:focus:not(:checked)~.custom-control-label:before,.was-validated .custom-file-input:invalid~.custom-file-label{border-color:#dc3545}.custom-file-input.is-invalid:focus~.custom-file-label,.was-validated .custom-file-input:invalid:focus~.custom-file-label{border-color:#dc3545;box-shadow:0 0 0 .2rem rgba(220,53,69,.25)}.form-inline{display:flex;flex-flow:row wrap;align-items:center}.form-inline .form-check{width:100%}@media (min-width:540px){.form-inline label{justify-content:center}.form-inline .form-group,.form-inline label{display:flex;align-items:center;margin-bottom:0}.form-inline .form-group{flex:0 0 auto;flex-flow:row wrap}.form-inline .form-control{display:inline-block;width:auto;vertical-align:middle}.form-inline .form-control-plaintext{display:inline-block}.form-inline .custom-select,.form-inline .input-group{width:auto}.form-inline .form-check{display:flex;align-items:center;justify-content:center;width:auto;padding-left:0}.form-inline .form-check-input{position:relative;flex-shrink:0;margin-top:0;margin-right:.25rem;margin-left:0}.form-inline .custom-control{align-items:center;justify-content:center}.form-inline .custom-control-label{margin-bottom:0}}.btn{display:inline-block;font-weight:400;color:#212529;text-align:center;vertical-align:middle;user-select:none;background-color:transparent;border:1px solid transparent;padding:.375rem .75rem;font-size:1rem;line-height:1.5;border-radius:.25rem;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.btn{transition:none}}.btn:hover{color:#212529;text-decoration:none}.btn.focus,.btn:focus{outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.btn.disabled,.btn:disabled{opacity:.65}.btn:not(:disabled):not(.disabled){cursor:pointer}a.btn.disabled,fieldset:disabled a.btn{pointer-events:none}.btn-primary{color:#fff;background-color:#007bff;border-color:#007bff}.btn-primary.focus,.btn-primary:focus,.btn-primary:hover{color:#fff;background-color:#0069d9;border-color:#0062cc}.btn-primary.focus,.btn-primary:focus{box-shadow:0 0 0 .2rem rgba(38,143,255,.5)}.btn-primary.disabled,.btn-primary:disabled{color:#fff;background-color:#007bff;border-color:#007bff}.btn-primary:not(:disabled):not(.disabled).active,.btn-primary:not(:disabled):not(.disabled):active,.show>.btn-primary.dropdown-toggle{color:#fff;background-color:#0062cc;border-color:#005cbf}.btn-primary:not(:disabled):not(.disabled).active:focus,.btn-primary:not(:disabled):not(.disabled):active:focus,.show>.btn-primary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(38,143,255,.5)}.btn-secondary{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-secondary.focus,.btn-secondary:focus,.btn-secondary:hover{color:#fff;background-color:#5a6268;border-color:#545b62}.btn-secondary.focus,.btn-secondary:focus{box-shadow:0 0 0 .2rem rgba(130,138,145,.5)}.btn-secondary.disabled,.btn-secondary:disabled{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-secondary:not(:disabled):not(.disabled).active,.btn-secondary:not(:disabled):not(.disabled):active,.show>.btn-secondary.dropdown-toggle{color:#fff;background-color:#545b62;border-color:#4e555b}.btn-secondary:not(:disabled):not(.disabled).active:focus,.btn-secondary:not(:disabled):not(.disabled):active:focus,.show>.btn-secondary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(130,138,145,.5)}.btn-success{color:#fff;background-color:#28a745;border-color:#28a745}.btn-success.focus,.btn-success:focus,.btn-success:hover{color:#fff;background-color:#218838;border-color:#1e7e34}.btn-success.focus,.btn-success:focus{box-shadow:0 0 0 .2rem rgba(72,180,97,.5)}.btn-success.disabled,.btn-success:disabled{color:#fff;background-color:#28a745;border-color:#28a745}.btn-success:not(:disabled):not(.disabled).active,.btn-success:not(:disabled):not(.disabled):active,.show>.btn-success.dropdown-toggle{color:#fff;background-color:#1e7e34;border-color:#1c7430}.btn-success:not(:disabled):not(.disabled).active:focus,.btn-success:not(:disabled):not(.disabled):active:focus,.show>.btn-success.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(72,180,97,.5)}.btn-info{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-info.focus,.btn-info:focus,.btn-info:hover{color:#fff;background-color:#138496;border-color:#117a8b}.btn-info.focus,.btn-info:focus{box-shadow:0 0 0 .2rem rgba(58,176,195,.5)}.btn-info.disabled,.btn-info:disabled{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-info:not(:disabled):not(.disabled).active,.btn-info:not(:disabled):not(.disabled):active,.show>.btn-info.dropdown-toggle{color:#fff;background-color:#117a8b;border-color:#10707f}.btn-info:not(:disabled):not(.disabled).active:focus,.btn-info:not(:disabled):not(.disabled):active:focus,.show>.btn-info.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(58,176,195,.5)}.btn-warning{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-warning.focus,.btn-warning:focus,.btn-warning:hover{color:#212529;background-color:#e0a800;border-color:#d39e00}.btn-warning.focus,.btn-warning:focus{box-shadow:0 0 0 .2rem rgba(222,170,12,.5)}.btn-warning.disabled,.btn-warning:disabled{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-warning:not(:disabled):not(.disabled).active,.btn-warning:not(:disabled):not(.disabled):active,.show>.btn-warning.dropdown-toggle{color:#212529;background-color:#d39e00;border-color:#c69500}.btn-warning:not(:disabled):not(.disabled).active:focus,.btn-warning:not(:disabled):not(.disabled):active:focus,.show>.btn-warning.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(222,170,12,.5)}.btn-danger{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-danger.focus,.btn-danger:focus,.btn-danger:hover{color:#fff;background-color:#c82333;border-color:#bd2130}.btn-danger.focus,.btn-danger:focus{box-shadow:0 0 0 .2rem rgba(225,83,97,.5)}.btn-danger.disabled,.btn-danger:disabled{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-danger:not(:disabled):not(.disabled).active,.btn-danger:not(:disabled):not(.disabled):active,.show>.btn-danger.dropdown-toggle{color:#fff;background-color:#bd2130;border-color:#b21f2d}.btn-danger:not(:disabled):not(.disabled).active:focus,.btn-danger:not(:disabled):not(.disabled):active:focus,.show>.btn-danger.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(225,83,97,.5)}.btn-light{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-light.focus,.btn-light:focus,.btn-light:hover{color:#212529;background-color:#e2e6ea;border-color:#dae0e5}.btn-light.focus,.btn-light:focus{box-shadow:0 0 0 .2rem rgba(216,217,219,.5)}.btn-light.disabled,.btn-light:disabled{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-light:not(:disabled):not(.disabled).active,.btn-light:not(:disabled):not(.disabled):active,.show>.btn-light.dropdown-toggle{color:#212529;background-color:#dae0e5;border-color:#d3d9df}.btn-light:not(:disabled):not(.disabled).active:focus,.btn-light:not(:disabled):not(.disabled):active:focus,.show>.btn-light.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(216,217,219,.5)}.btn-dark{color:#fff;background-color:#343a40;border-color:#343a40}.btn-dark.focus,.btn-dark:focus,.btn-dark:hover{color:#fff;background-color:#23272b;border-color:#1d2124}.btn-dark.focus,.btn-dark:focus{box-shadow:0 0 0 .2rem rgba(82,88,93,.5)}.btn-dark.disabled,.btn-dark:disabled{color:#fff;background-color:#343a40;border-color:#343a40}.btn-dark:not(:disabled):not(.disabled).active,.btn-dark:not(:disabled):not(.disabled):active,.show>.btn-dark.dropdown-toggle{color:#fff;background-color:#1d2124;border-color:#171a1d}.btn-dark:not(:disabled):not(.disabled).active:focus,.btn-dark:not(:disabled):not(.disabled):active:focus,.show>.btn-dark.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(82,88,93,.5)}.btn-outline-primary{color:#007bff;border-color:#007bff}.btn-outline-primary:hover{color:#fff;background-color:#007bff;border-color:#007bff}.btn-outline-primary.focus,.btn-outline-primary:focus{box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.btn-outline-primary.disabled,.btn-outline-primary:disabled{color:#007bff;background-color:transparent}.btn-outline-primary:not(:disabled):not(.disabled).active,.btn-outline-primary:not(:disabled):not(.disabled):active,.show>.btn-outline-primary.dropdown-toggle{color:#fff;background-color:#007bff;border-color:#007bff}.btn-outline-primary:not(:disabled):not(.disabled).active:focus,.btn-outline-primary:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-primary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.btn-outline-secondary{color:#6c757d;border-color:#6c757d}.btn-outline-secondary:hover{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-outline-secondary.focus,.btn-outline-secondary:focus{box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.btn-outline-secondary.disabled,.btn-outline-secondary:disabled{color:#6c757d;background-color:transparent}.btn-outline-secondary:not(:disabled):not(.disabled).active,.btn-outline-secondary:not(:disabled):not(.disabled):active,.show>.btn-outline-secondary.dropdown-toggle{color:#fff;background-color:#6c757d;border-color:#6c757d}.btn-outline-secondary:not(:disabled):not(.disabled).active:focus,.btn-outline-secondary:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-secondary.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.btn-outline-success{color:#28a745;border-color:#28a745}.btn-outline-success:hover{color:#fff;background-color:#28a745;border-color:#28a745}.btn-outline-success.focus,.btn-outline-success:focus{box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.btn-outline-success.disabled,.btn-outline-success:disabled{color:#28a745;background-color:transparent}.btn-outline-success:not(:disabled):not(.disabled).active,.btn-outline-success:not(:disabled):not(.disabled):active,.show>.btn-outline-success.dropdown-toggle{color:#fff;background-color:#28a745;border-color:#28a745}.btn-outline-success:not(:disabled):not(.disabled).active:focus,.btn-outline-success:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-success.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.btn-outline-info{color:#17a2b8;border-color:#17a2b8}.btn-outline-info:hover{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-outline-info.focus,.btn-outline-info:focus{box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.btn-outline-info.disabled,.btn-outline-info:disabled{color:#17a2b8;background-color:transparent}.btn-outline-info:not(:disabled):not(.disabled).active,.btn-outline-info:not(:disabled):not(.disabled):active,.show>.btn-outline-info.dropdown-toggle{color:#fff;background-color:#17a2b8;border-color:#17a2b8}.btn-outline-info:not(:disabled):not(.disabled).active:focus,.btn-outline-info:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-info.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.btn-outline-warning{color:#ffc107;border-color:#ffc107}.btn-outline-warning:hover{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-outline-warning.focus,.btn-outline-warning:focus{box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.btn-outline-warning.disabled,.btn-outline-warning:disabled{color:#ffc107;background-color:transparent}.btn-outline-warning:not(:disabled):not(.disabled).active,.btn-outline-warning:not(:disabled):not(.disabled):active,.show>.btn-outline-warning.dropdown-toggle{color:#212529;background-color:#ffc107;border-color:#ffc107}.btn-outline-warning:not(:disabled):not(.disabled).active:focus,.btn-outline-warning:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-warning.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.btn-outline-danger{color:#dc3545;border-color:#dc3545}.btn-outline-danger:hover{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-outline-danger.focus,.btn-outline-danger:focus{box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.btn-outline-danger.disabled,.btn-outline-danger:disabled{color:#dc3545;background-color:transparent}.btn-outline-danger:not(:disabled):not(.disabled).active,.btn-outline-danger:not(:disabled):not(.disabled):active,.show>.btn-outline-danger.dropdown-toggle{color:#fff;background-color:#dc3545;border-color:#dc3545}.btn-outline-danger:not(:disabled):not(.disabled).active:focus,.btn-outline-danger:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-danger.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.btn-outline-light{color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light:hover{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light.focus,.btn-outline-light:focus{box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.btn-outline-light.disabled,.btn-outline-light:disabled{color:#f8f9fa;background-color:transparent}.btn-outline-light:not(:disabled):not(.disabled).active,.btn-outline-light:not(:disabled):not(.disabled):active,.show>.btn-outline-light.dropdown-toggle{color:#212529;background-color:#f8f9fa;border-color:#f8f9fa}.btn-outline-light:not(:disabled):not(.disabled).active:focus,.btn-outline-light:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-light.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.btn-outline-dark{color:#343a40;border-color:#343a40}.btn-outline-dark:hover{color:#fff;background-color:#343a40;border-color:#343a40}.btn-outline-dark.focus,.btn-outline-dark:focus{box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.btn-outline-dark.disabled,.btn-outline-dark:disabled{color:#343a40;background-color:transparent}.btn-outline-dark:not(:disabled):not(.disabled).active,.btn-outline-dark:not(:disabled):not(.disabled):active,.show>.btn-outline-dark.dropdown-toggle{color:#fff;background-color:#343a40;border-color:#343a40}.btn-outline-dark:not(:disabled):not(.disabled).active:focus,.btn-outline-dark:not(:disabled):not(.disabled):active:focus,.show>.btn-outline-dark.dropdown-toggle:focus{box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.btn-link{font-weight:400;color:#007bff;text-decoration:none}.btn-link:hover{color:#0056b3}.btn-link.focus,.btn-link:focus,.btn-link:hover{text-decoration:underline}.btn-link.disabled,.btn-link:disabled{color:#6c757d;pointer-events:none}.btn-group-lg>.btn,.btn-lg{padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}.btn-group-sm>.btn,.btn-sm{padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.btn-block{display:block;width:100%}.btn-block+.btn-block{margin-top:.5rem}input[type=button].btn-block,input[type=reset].btn-block,input[type=submit].btn-block{width:100%}.fade{transition:opacity .15s linear}@media (prefers-reduced-motion:reduce){.fade{transition:none}}.fade:not(.show){opacity:0}.collapse:not(.show){display:none}.collapsing{position:relative;height:0;overflow:hidden;transition:height .35s ease}@media (prefers-reduced-motion:reduce){.collapsing{transition:none}}.dropdown,.dropleft,.dropright,.dropup{position:relative}.dropdown-toggle{white-space:nowrap}.dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:.3em solid;border-right:.3em solid transparent;border-bottom:0;border-left:.3em solid transparent}.dropdown-toggle:empty:after{margin-left:0}.dropdown-menu{position:absolute;top:100%;left:0;z-index:1000;display:none;float:left;min-width:10rem;padding:.5rem 0;margin:.125rem 0 0;font-size:1rem;color:#212529;text-align:left;list-style:none;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.15);border-radius:.25rem}.dropdown-menu-left{right:auto;left:0}.dropdown-menu-right{right:0;left:auto}@media (min-width:540px){.dropdown-menu-sm-left{right:auto;left:0}.dropdown-menu-sm-right{right:0;left:auto}}@media (min-width:720px){.dropdown-menu-md-left{right:auto;left:0}.dropdown-menu-md-right{right:0;left:auto}}@media (min-width:960px){.dropdown-menu-lg-left{right:auto;left:0}.dropdown-menu-lg-right{right:0;left:auto}}@media (min-width:1200px){.dropdown-menu-xl-left{right:auto;left:0}.dropdown-menu-xl-right{right:0;left:auto}}.dropup .dropdown-menu{top:auto;bottom:100%;margin-top:0;margin-bottom:.125rem}.dropup .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:0;border-right:.3em solid transparent;border-bottom:.3em solid;border-left:.3em solid transparent}.dropup .dropdown-toggle:empty:after{margin-left:0}.dropright .dropdown-menu{top:0;right:auto;left:100%;margin-top:0;margin-left:.125rem}.dropright .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";border-top:.3em solid transparent;border-right:0;border-bottom:.3em solid transparent;border-left:.3em solid}.dropright .dropdown-toggle:empty:after{margin-left:0}.dropright .dropdown-toggle:after{vertical-align:0}.dropleft .dropdown-menu{top:0;right:100%;left:auto;margin-top:0;margin-right:.125rem}.dropleft .dropdown-toggle:after{display:inline-block;margin-left:.255em;vertical-align:.255em;content:"";display:none}.dropleft .dropdown-toggle:before{display:inline-block;margin-right:.255em;vertical-align:.255em;content:"";border-top:.3em solid transparent;border-right:.3em solid;border-bottom:.3em solid transparent}.dropleft .dropdown-toggle:empty:after{margin-left:0}.dropleft .dropdown-toggle:before{vertical-align:0}.dropdown-menu[x-placement^=bottom],.dropdown-menu[x-placement^=left],.dropdown-menu[x-placement^=right],.dropdown-menu[x-placement^=top]{right:auto;bottom:auto}.dropdown-divider{height:0;margin:.5rem 0;overflow:hidden;border-top:1px solid #e9ecef}.dropdown-item{display:block;width:100%;padding:.25rem 1.5rem;clear:both;font-weight:400;color:#212529;text-align:inherit;white-space:nowrap;background-color:transparent;border:0}.dropdown-item:focus,.dropdown-item:hover{color:#16181b;text-decoration:none;background-color:#f8f9fa}.dropdown-item.active,.dropdown-item:active{color:#fff;text-decoration:none;background-color:#007bff}.dropdown-item.disabled,.dropdown-item:disabled{color:#6c757d;pointer-events:none;background-color:transparent}.dropdown-menu.show{display:block}.dropdown-header{display:block;padding:.5rem 1.5rem;margin-bottom:0;font-size:.875rem;color:#6c757d;white-space:nowrap}.dropdown-item-text{display:block;padding:.25rem 1.5rem;color:#212529}.btn-group,.btn-group-vertical{position:relative;display:inline-flex;vertical-align:middle}.btn-group-vertical>.btn,.btn-group>.btn{position:relative;flex:1 1 auto}.btn-group-vertical>.btn.active,.btn-group-vertical>.btn:active,.btn-group-vertical>.btn:focus,.btn-group-vertical>.btn:hover,.btn-group>.btn.active,.btn-group>.btn:active,.btn-group>.btn:focus,.btn-group>.btn:hover{z-index:1}.btn-toolbar{display:flex;flex-wrap:wrap;justify-content:flex-start}.btn-toolbar .input-group{width:auto}.btn-group>.btn-group:not(:first-child),.btn-group>.btn:not(:first-child){margin-left:-1px}.btn-group>.btn-group:not(:last-child)>.btn,.btn-group>.btn:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.btn-group>.btn-group:not(:first-child)>.btn,.btn-group>.btn:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.dropdown-toggle-split{padding-right:.5625rem;padding-left:.5625rem}.dropdown-toggle-split:after,.dropright .dropdown-toggle-split:after,.dropup .dropdown-toggle-split:after{margin-left:0}.dropleft .dropdown-toggle-split:before{margin-right:0}.btn-group-sm>.btn+.dropdown-toggle-split,.btn-sm+.dropdown-toggle-split{padding-right:.375rem;padding-left:.375rem}.btn-group-lg>.btn+.dropdown-toggle-split,.btn-lg+.dropdown-toggle-split{padding-right:.75rem;padding-left:.75rem}.btn-group-vertical{flex-direction:column;align-items:flex-start;justify-content:center}.btn-group-vertical>.btn,.btn-group-vertical>.btn-group{width:100%}.btn-group-vertical>.btn-group:not(:first-child),.btn-group-vertical>.btn:not(:first-child){margin-top:-1px}.btn-group-vertical>.btn-group:not(:last-child)>.btn,.btn-group-vertical>.btn:not(:last-child):not(.dropdown-toggle){border-bottom-right-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn-group:not(:first-child)>.btn,.btn-group-vertical>.btn:not(:first-child){border-top-left-radius:0;border-top-right-radius:0}.btn-group-toggle>.btn,.btn-group-toggle>.btn-group>.btn{margin-bottom:0}.btn-group-toggle>.btn-group>.btn input[type=checkbox],.btn-group-toggle>.btn-group>.btn input[type=radio],.btn-group-toggle>.btn input[type=checkbox],.btn-group-toggle>.btn input[type=radio]{position:absolute;clip:rect(0,0,0,0);pointer-events:none}.input-group{position:relative;display:flex;flex-wrap:wrap;align-items:stretch;width:100%}.input-group>.custom-file,.input-group>.custom-select,.input-group>.form-control,.input-group>.form-control-plaintext{position:relative;flex:1 1 auto;width:1%;min-width:0;margin-bottom:0}.input-group>.custom-file+.custom-file,.input-group>.custom-file+.custom-select,.input-group>.custom-file+.form-control,.input-group>.custom-select+.custom-file,.input-group>.custom-select+.custom-select,.input-group>.custom-select+.form-control,.input-group>.form-control+.custom-file,.input-group>.form-control+.custom-select,.input-group>.form-control+.form-control,.input-group>.form-control-plaintext+.custom-file,.input-group>.form-control-plaintext+.custom-select,.input-group>.form-control-plaintext+.form-control{margin-left:-1px}.input-group>.custom-file .custom-file-input:focus~.custom-file-label,.input-group>.custom-select:focus,.input-group>.form-control:focus{z-index:3}.input-group>.custom-file .custom-file-input:focus{z-index:4}.input-group>.custom-select:not(:last-child),.input-group>.form-control:not(:last-child){border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.custom-select:not(:first-child),.input-group>.form-control:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.input-group>.custom-file{display:flex;align-items:center}.input-group>.custom-file:not(:last-child) .custom-file-label,.input-group>.custom-file:not(:last-child) .custom-file-label:after{border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.custom-file:not(:first-child) .custom-file-label{border-top-left-radius:0;border-bottom-left-radius:0}.input-group-append,.input-group-prepend{display:flex}.input-group-append .btn,.input-group-prepend .btn{position:relative;z-index:2}.input-group-append .btn:focus,.input-group-prepend .btn:focus{z-index:3}.input-group-append .btn+.btn,.input-group-append .btn+.input-group-text,.input-group-append .input-group-text+.btn,.input-group-append .input-group-text+.input-group-text,.input-group-prepend .btn+.btn,.input-group-prepend .btn+.input-group-text,.input-group-prepend .input-group-text+.btn,.input-group-prepend .input-group-text+.input-group-text{margin-left:-1px}.input-group-prepend{margin-right:-1px}.input-group-append{margin-left:-1px}.input-group-text{display:flex;align-items:center;padding:.375rem .75rem;margin-bottom:0;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;text-align:center;white-space:nowrap;background-color:#e9ecef;border:1px solid #ced4da;border-radius:.25rem}.input-group-text input[type=checkbox],.input-group-text input[type=radio]{margin-top:0}.input-group-lg>.custom-select,.input-group-lg>.form-control:not(textarea){height:calc(1.5em + 1rem + 2px)}.input-group-lg>.custom-select,.input-group-lg>.form-control,.input-group-lg>.input-group-append>.btn,.input-group-lg>.input-group-append>.input-group-text,.input-group-lg>.input-group-prepend>.btn,.input-group-lg>.input-group-prepend>.input-group-text{padding:.5rem 1rem;font-size:1.25rem;line-height:1.5;border-radius:.3rem}.input-group-sm>.custom-select,.input-group-sm>.form-control:not(textarea){height:calc(1.5em + .5rem + 2px)}.input-group-sm>.custom-select,.input-group-sm>.form-control,.input-group-sm>.input-group-append>.btn,.input-group-sm>.input-group-append>.input-group-text,.input-group-sm>.input-group-prepend>.btn,.input-group-sm>.input-group-prepend>.input-group-text{padding:.25rem .5rem;font-size:.875rem;line-height:1.5;border-radius:.2rem}.input-group-lg>.custom-select,.input-group-sm>.custom-select{padding-right:1.75rem}.input-group>.input-group-append:last-child>.btn:not(:last-child):not(.dropdown-toggle),.input-group>.input-group-append:last-child>.input-group-text:not(:last-child),.input-group>.input-group-append:not(:last-child)>.btn,.input-group>.input-group-append:not(:last-child)>.input-group-text,.input-group>.input-group-prepend>.btn,.input-group>.input-group-prepend>.input-group-text{border-top-right-radius:0;border-bottom-right-radius:0}.input-group>.input-group-append>.btn,.input-group>.input-group-append>.input-group-text,.input-group>.input-group-prepend:first-child>.btn:not(:first-child),.input-group>.input-group-prepend:first-child>.input-group-text:not(:first-child),.input-group>.input-group-prepend:not(:first-child)>.btn,.input-group>.input-group-prepend:not(:first-child)>.input-group-text{border-top-left-radius:0;border-bottom-left-radius:0}.custom-control{position:relative;display:block;min-height:1.5rem;padding-left:1.5rem}.custom-control-inline{display:inline-flex;margin-right:1rem}.custom-control-input{position:absolute;left:0;z-index:-1;width:1rem;height:1.25rem;opacity:0}.custom-control-input:checked~.custom-control-label:before{color:#fff;border-color:#007bff;background-color:#007bff}.custom-control-input:focus~.custom-control-label:before{box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-control-input:focus:not(:checked)~.custom-control-label:before{border-color:#80bdff}.custom-control-input:not(:disabled):active~.custom-control-label:before{color:#fff;background-color:#b3d7ff;border-color:#b3d7ff}.custom-control-input:disabled~.custom-control-label,.custom-control-input[disabled]~.custom-control-label{color:#6c757d}.custom-control-input:disabled~.custom-control-label:before,.custom-control-input[disabled]~.custom-control-label:before{background-color:#e9ecef}.custom-control-label{position:relative;margin-bottom:0;vertical-align:top}.custom-control-label:before{pointer-events:none;background-color:#fff;border:1px solid #adb5bd}.custom-control-label:after,.custom-control-label:before{position:absolute;top:.25rem;left:-1.5rem;display:block;width:1rem;height:1rem;content:""}.custom-control-label:after{background:no-repeat 50%/50% 50%}.custom-checkbox .custom-control-label:before{border-radius:.25rem}.custom-checkbox .custom-control-input:checked~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='8' height='8'%3E%3Cpath fill='%23fff' d='M6.564.75l-3.59 3.612-1.538-1.55L0 4.26l2.974 2.99L8 2.193z'/%3E%3C/svg%3E")}.custom-checkbox .custom-control-input:indeterminate~.custom-control-label:before{border-color:#007bff;background-color:#007bff}.custom-checkbox .custom-control-input:indeterminate~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='4'%3E%3Cpath stroke='%23fff' d='M0 2h4'/%3E%3C/svg%3E")}.custom-checkbox .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-checkbox .custom-control-input:disabled:indeterminate~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-radio .custom-control-label:before{border-radius:50%}.custom-radio .custom-control-input:checked~.custom-control-label:after{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='12' height='12' viewBox='-4 -4 8 8'%3E%3Ccircle r='3' fill='%23fff'/%3E%3C/svg%3E")}.custom-radio .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-switch{padding-left:2.25rem}.custom-switch .custom-control-label:before{left:-2.25rem;width:1.75rem;pointer-events:all;border-radius:.5rem}.custom-switch .custom-control-label:after{top:calc(.25rem + 2px);left:calc(-2.25rem + 2px);width:calc(1rem - 4px);height:calc(1rem - 4px);background-color:#adb5bd;border-radius:.5rem;transition:transform .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.custom-switch .custom-control-label:after{transition:none}}.custom-switch .custom-control-input:checked~.custom-control-label:after{background-color:#fff;transform:translateX(.75rem)}.custom-switch .custom-control-input:disabled:checked~.custom-control-label:before{background-color:rgba(0,123,255,.5)}.custom-select{display:inline-block;width:100%;height:calc(1.5em + .75rem + 2px);padding:.375rem 1.75rem .375rem .75rem;font-size:1rem;font-weight:400;line-height:1.5;color:#495057;vertical-align:middle;background:#fff url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='4' height='5'%3E%3Cpath fill='%23343a40' d='M2 0L0 2h4zm0 5L0 3h4z'/%3E%3C/svg%3E") no-repeat right .75rem center/8px 10px;border:1px solid #ced4da;border-radius:.25rem;appearance:none}.custom-select:focus{border-color:#80bdff;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-select:focus::-ms-value{color:#495057;background-color:#fff}.custom-select[multiple],.custom-select[size]:not([size="1"]){height:auto;padding-right:.75rem;background-image:none}.custom-select:disabled{color:#6c757d;background-color:#e9ecef}.custom-select::-ms-expand{display:none}.custom-select:-moz-focusring{color:transparent;text-shadow:0 0 0 #495057}.custom-select-sm{height:calc(1.5em + .5rem + 2px);padding-top:.25rem;padding-bottom:.25rem;padding-left:.5rem;font-size:.875rem}.custom-select-lg{height:calc(1.5em + 1rem + 2px);padding-top:.5rem;padding-bottom:.5rem;padding-left:1rem;font-size:1.25rem}.custom-file{display:inline-block;margin-bottom:0}.custom-file,.custom-file-input{position:relative;width:100%;height:calc(1.5em + .75rem + 2px)}.custom-file-input{z-index:2;margin:0;opacity:0}.custom-file-input:focus~.custom-file-label{border-color:#80bdff;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.custom-file-input:disabled~.custom-file-label,.custom-file-input[disabled]~.custom-file-label{background-color:#e9ecef}.custom-file-input:lang(en)~.custom-file-label:after{content:"Browse"}.custom-file-input~.custom-file-label[data-browse]:after{content:attr(data-browse)}.custom-file-label{left:0;z-index:1;height:calc(1.5em + .75rem + 2px);font-weight:400;background-color:#fff;border:1px solid #ced4da;border-radius:.25rem}.custom-file-label,.custom-file-label:after{position:absolute;top:0;right:0;padding:.375rem .75rem;line-height:1.5;color:#495057}.custom-file-label:after{bottom:0;z-index:3;display:block;height:calc(1.5em + .75rem);content:"Browse";background-color:#e9ecef;border-left:inherit;border-radius:0 .25rem .25rem 0}.custom-range{width:100%;height:1.4rem;padding:0;background-color:transparent;appearance:none}.custom-range:focus{outline:none}.custom-range:focus::-webkit-slider-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range:focus::-moz-range-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range:focus::-ms-thumb{box-shadow:0 0 0 1px #fff,0 0 0 .2rem rgba(0,123,255,.25)}.custom-range::-moz-focus-outer{border:0}.custom-range::-webkit-slider-thumb{width:1rem;height:1rem;margin-top:-.25rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-webkit-slider-thumb{transition:none}}.custom-range::-webkit-slider-thumb:active{background-color:#b3d7ff}.custom-range::-webkit-slider-runnable-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:#dee2e6;border-color:transparent;border-radius:1rem}.custom-range::-moz-range-thumb{width:1rem;height:1rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-moz-range-thumb{transition:none}}.custom-range::-moz-range-thumb:active{background-color:#b3d7ff}.custom-range::-moz-range-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:#dee2e6;border-color:transparent;border-radius:1rem}.custom-range::-ms-thumb{width:1rem;height:1rem;margin-top:0;margin-right:.2rem;margin-left:.2rem;background-color:#007bff;border:0;border-radius:1rem;transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;appearance:none}@media (prefers-reduced-motion:reduce){.custom-range::-ms-thumb{transition:none}}.custom-range::-ms-thumb:active{background-color:#b3d7ff}.custom-range::-ms-track{width:100%;height:.5rem;color:transparent;cursor:pointer;background-color:transparent;border-color:transparent;border-width:.5rem}.custom-range::-ms-fill-lower,.custom-range::-ms-fill-upper{background-color:#dee2e6;border-radius:1rem}.custom-range::-ms-fill-upper{margin-right:15px}.custom-range:disabled::-webkit-slider-thumb{background-color:#adb5bd}.custom-range:disabled::-webkit-slider-runnable-track{cursor:default}.custom-range:disabled::-moz-range-thumb{background-color:#adb5bd}.custom-range:disabled::-moz-range-track{cursor:default}.custom-range:disabled::-ms-thumb{background-color:#adb5bd}.custom-control-label:before,.custom-file-label,.custom-select{transition:background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.custom-control-label:before,.custom-file-label,.custom-select{transition:none}}.nav{display:flex;flex-wrap:wrap;padding-left:0;margin-bottom:0;list-style:none}.nav-link{display:block;padding:.5rem 1rem}.nav-link:focus,.nav-link:hover{text-decoration:none}.nav-link.disabled{color:#6c757d;pointer-events:none;cursor:default}.nav-tabs{border-bottom:1px solid #dee2e6}.nav-tabs .nav-item{margin-bottom:-1px}.nav-tabs .nav-link{border:1px solid transparent;border-top-left-radius:.25rem;border-top-right-radius:.25rem}.nav-tabs .nav-link:focus,.nav-tabs .nav-link:hover{border-color:#e9ecef #e9ecef #dee2e6}.nav-tabs .nav-link.disabled{color:#6c757d;background-color:transparent;border-color:transparent}.nav-tabs .nav-item.show .nav-link,.nav-tabs .nav-link.active{color:#495057;background-color:#fff;border-color:#dee2e6 #dee2e6 #fff}.nav-tabs .dropdown-menu{margin-top:-1px;border-top-left-radius:0;border-top-right-radius:0}.nav-pills .nav-link{border-radius:.25rem}.nav-pills .nav-link.active,.nav-pills .show>.nav-link{color:#fff;background-color:#007bff}.nav-fill .nav-item{flex:1 1 auto;text-align:center}.nav-justified .nav-item{flex-basis:0;flex-grow:1;text-align:center}.tab-content>.tab-pane{display:none}.tab-content>.active{display:block}.navbar{position:relative;padding:.5rem 1rem}.navbar,.navbar .container,.navbar .container-fluid,.navbar .container-lg,.navbar .container-md,.navbar .container-sm,.navbar .container-xl{display:flex;flex-wrap:wrap;align-items:center;justify-content:space-between}.navbar-brand{display:inline-block;padding-top:.3125rem;padding-bottom:.3125rem;margin-right:1rem;font-size:1.25rem;line-height:inherit;white-space:nowrap}.navbar-brand:focus,.navbar-brand:hover{text-decoration:none}.navbar-nav{display:flex;flex-direction:column;padding-left:0;margin-bottom:0;list-style:none}.navbar-nav .nav-link{padding-right:0;padding-left:0}.navbar-nav .dropdown-menu{position:static;float:none}.navbar-text{display:inline-block;padding-top:.5rem;padding-bottom:.5rem}.navbar-collapse{flex-basis:100%;flex-grow:1;align-items:center}.navbar-toggler{padding:.25rem .75rem;font-size:1.25rem;line-height:1;background-color:transparent;border:1px solid transparent;border-radius:.25rem}.navbar-toggler:focus,.navbar-toggler:hover{text-decoration:none}.navbar-toggler-icon{display:inline-block;width:1.5em;height:1.5em;vertical-align:middle;content:"";background:no-repeat 50%;background-size:100% 100%}@media (max-width:539.98px){.navbar-expand-sm>.container,.navbar-expand-sm>.container-fluid,.navbar-expand-sm>.container-lg,.navbar-expand-sm>.container-md,.navbar-expand-sm>.container-sm,.navbar-expand-sm>.container-xl{padding-right:0;padding-left:0}}@media (min-width:540px){.navbar-expand-sm{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-sm .navbar-nav{flex-direction:row}.navbar-expand-sm .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-sm .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-sm>.container,.navbar-expand-sm>.container-fluid,.navbar-expand-sm>.container-lg,.navbar-expand-sm>.container-md,.navbar-expand-sm>.container-sm,.navbar-expand-sm>.container-xl{flex-wrap:nowrap}.navbar-expand-sm .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-sm .navbar-toggler{display:none}}@media (max-width:719.98px){.navbar-expand-md>.container,.navbar-expand-md>.container-fluid,.navbar-expand-md>.container-lg,.navbar-expand-md>.container-md,.navbar-expand-md>.container-sm,.navbar-expand-md>.container-xl{padding-right:0;padding-left:0}}@media (min-width:720px){.navbar-expand-md{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-md .navbar-nav{flex-direction:row}.navbar-expand-md .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-md .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-md>.container,.navbar-expand-md>.container-fluid,.navbar-expand-md>.container-lg,.navbar-expand-md>.container-md,.navbar-expand-md>.container-sm,.navbar-expand-md>.container-xl{flex-wrap:nowrap}.navbar-expand-md .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-md .navbar-toggler{display:none}}@media (max-width:959.98px){.navbar-expand-lg>.container,.navbar-expand-lg>.container-fluid,.navbar-expand-lg>.container-lg,.navbar-expand-lg>.container-md,.navbar-expand-lg>.container-sm,.navbar-expand-lg>.container-xl{padding-right:0;padding-left:0}}@media (min-width:960px){.navbar-expand-lg{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-lg .navbar-nav{flex-direction:row}.navbar-expand-lg .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-lg .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-lg>.container,.navbar-expand-lg>.container-fluid,.navbar-expand-lg>.container-lg,.navbar-expand-lg>.container-md,.navbar-expand-lg>.container-sm,.navbar-expand-lg>.container-xl{flex-wrap:nowrap}.navbar-expand-lg .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-lg .navbar-toggler{display:none}}@media (max-width:1199.98px){.navbar-expand-xl>.container,.navbar-expand-xl>.container-fluid,.navbar-expand-xl>.container-lg,.navbar-expand-xl>.container-md,.navbar-expand-xl>.container-sm,.navbar-expand-xl>.container-xl{padding-right:0;padding-left:0}}@media (min-width:1200px){.navbar-expand-xl{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand-xl .navbar-nav{flex-direction:row}.navbar-expand-xl .navbar-nav .dropdown-menu{position:absolute}.navbar-expand-xl .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand-xl>.container,.navbar-expand-xl>.container-fluid,.navbar-expand-xl>.container-lg,.navbar-expand-xl>.container-md,.navbar-expand-xl>.container-sm,.navbar-expand-xl>.container-xl{flex-wrap:nowrap}.navbar-expand-xl .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand-xl .navbar-toggler{display:none}}.navbar-expand{flex-flow:row nowrap;justify-content:flex-start}.navbar-expand>.container,.navbar-expand>.container-fluid,.navbar-expand>.container-lg,.navbar-expand>.container-md,.navbar-expand>.container-sm,.navbar-expand>.container-xl{padding-right:0;padding-left:0}.navbar-expand .navbar-nav{flex-direction:row}.navbar-expand .navbar-nav .dropdown-menu{position:absolute}.navbar-expand .navbar-nav .nav-link{padding-right:.5rem;padding-left:.5rem}.navbar-expand>.container,.navbar-expand>.container-fluid,.navbar-expand>.container-lg,.navbar-expand>.container-md,.navbar-expand>.container-sm,.navbar-expand>.container-xl{flex-wrap:nowrap}.navbar-expand .navbar-collapse{display:flex!important;flex-basis:auto}.navbar-expand .navbar-toggler{display:none}.navbar-light .navbar-brand,.navbar-light .navbar-brand:focus,.navbar-light .navbar-brand:hover{color:rgba(0,0,0,.9)}.navbar-light .navbar-nav .nav-link{color:rgba(0,0,0,.5)}.navbar-light .navbar-nav .nav-link:focus,.navbar-light .navbar-nav .nav-link:hover{color:rgba(0,0,0,.7)}.navbar-light .navbar-nav .nav-link.disabled{color:rgba(0,0,0,.3)}.navbar-light .navbar-nav .active>.nav-link,.navbar-light .navbar-nav .nav-link.active,.navbar-light .navbar-nav .nav-link.show,.navbar-light .navbar-nav .show>.nav-link{color:rgba(0,0,0,.9)}.navbar-light .navbar-toggler{color:rgba(0,0,0,.5);border-color:rgba(0,0,0,.1)}.navbar-light .navbar-toggler-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='30' height='30'%3E%3Cpath stroke='rgba(0,0,0,0.5)' stroke-linecap='round' stroke-miterlimit='10' stroke-width='2' d='M4 7h22M4 15h22M4 23h22'/%3E%3C/svg%3E")}.navbar-light .navbar-text{color:rgba(0,0,0,.5)}.navbar-light .navbar-text a,.navbar-light .navbar-text a:focus,.navbar-light .navbar-text a:hover{color:rgba(0,0,0,.9)}.navbar-dark .navbar-brand,.navbar-dark .navbar-brand:focus,.navbar-dark .navbar-brand:hover{color:#fff}.navbar-dark .navbar-nav .nav-link{color:hsla(0,0%,100%,.5)}.navbar-dark .navbar-nav .nav-link:focus,.navbar-dark .navbar-nav .nav-link:hover{color:hsla(0,0%,100%,.75)}.navbar-dark .navbar-nav .nav-link.disabled{color:hsla(0,0%,100%,.25)}.navbar-dark .navbar-nav .active>.nav-link,.navbar-dark .navbar-nav .nav-link.active,.navbar-dark .navbar-nav .nav-link.show,.navbar-dark .navbar-nav .show>.nav-link{color:#fff}.navbar-dark .navbar-toggler{color:hsla(0,0%,100%,.5);border-color:hsla(0,0%,100%,.1)}.navbar-dark .navbar-toggler-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' width='30' height='30'%3E%3Cpath stroke='rgba(255,255,255,0.5)' stroke-linecap='round' stroke-miterlimit='10' stroke-width='2' d='M4 7h22M4 15h22M4 23h22'/%3E%3C/svg%3E")}.navbar-dark .navbar-text{color:hsla(0,0%,100%,.5)}.navbar-dark .navbar-text a,.navbar-dark .navbar-text a:focus,.navbar-dark .navbar-text a:hover{color:#fff}.card{position:relative;display:flex;flex-direction:column;min-width:0;word-wrap:break-word;background-color:#fff;background-clip:border-box;border:1px solid rgba(0,0,0,.125);border-radius:.25rem}.card>hr{margin-right:0;margin-left:0}.card>.list-group{border-top:inherit;border-bottom:inherit}.card>.list-group:first-child{border-top-width:0;border-top-left-radius:calc(.25rem - 1px);border-top-right-radius:calc(.25rem - 1px)}.card>.list-group:last-child{border-bottom-width:0;border-bottom-right-radius:calc(.25rem - 1px);border-bottom-left-radius:calc(.25rem - 1px)}.card-body{flex:1 1 auto;min-height:1px;padding:1.25rem}.card-title{margin-bottom:.75rem}.card-subtitle{margin-top:-.375rem}.card-subtitle,.card-text:last-child{margin-bottom:0}.card-link:hover{text-decoration:none}.card-link+.card-link{margin-left:1.25rem}.card-header{padding:.75rem 1.25rem;margin-bottom:0;background-color:rgba(0,0,0,.03);border-bottom:1px solid rgba(0,0,0,.125)}.card-header:first-child{border-radius:calc(.25rem - 1px) calc(.25rem - 1px) 0 0}.card-header+.list-group .list-group-item:first-child{border-top:0}.card-footer{padding:.75rem 1.25rem;background-color:rgba(0,0,0,.03);border-top:1px solid rgba(0,0,0,.125)}.card-footer:last-child{border-radius:0 0 calc(.25rem - 1px) calc(.25rem - 1px)}.card-header-tabs{margin-bottom:-.75rem;border-bottom:0}.card-header-pills,.card-header-tabs{margin-right:-.625rem;margin-left:-.625rem}.card-img-overlay{position:absolute;top:0;right:0;bottom:0;left:0;padding:1.25rem}.card-img,.card-img-bottom,.card-img-top{flex-shrink:0;width:100%}.card-img,.card-img-top{border-top-left-radius:calc(.25rem - 1px);border-top-right-radius:calc(.25rem - 1px)}.card-img,.card-img-bottom{border-bottom-right-radius:calc(.25rem - 1px);border-bottom-left-radius:calc(.25rem - 1px)}.card-deck .card{margin-bottom:15px}@media (min-width:540px){.card-deck{display:flex;flex-flow:row wrap;margin-right:-15px;margin-left:-15px}.card-deck .card{flex:1 0 0%;margin-right:15px;margin-bottom:0;margin-left:15px}}.card-group>.card{margin-bottom:15px}@media (min-width:540px){.card-group{display:flex;flex-flow:row wrap}.card-group>.card{flex:1 0 0%;margin-bottom:0}.card-group>.card+.card{margin-left:0;border-left:0}.card-group>.card:not(:last-child){border-top-right-radius:0;border-bottom-right-radius:0}.card-group>.card:not(:last-child) .card-header,.card-group>.card:not(:last-child) .card-img-top{border-top-right-radius:0}.card-group>.card:not(:last-child) .card-footer,.card-group>.card:not(:last-child) .card-img-bottom{border-bottom-right-radius:0}.card-group>.card:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.card-group>.card:not(:first-child) .card-header,.card-group>.card:not(:first-child) .card-img-top{border-top-left-radius:0}.card-group>.card:not(:first-child) .card-footer,.card-group>.card:not(:first-child) .card-img-bottom{border-bottom-left-radius:0}}.card-columns .card{margin-bottom:.75rem}@media (min-width:540px){.card-columns{column-count:3;column-gap:1.25rem;orphans:1;widows:1}.card-columns .card{display:inline-block;width:100%}}.accordion>.card{overflow:hidden}.accordion>.card:not(:last-of-type){border-bottom:0;border-bottom-right-radius:0;border-bottom-left-radius:0}.accordion>.card:not(:first-of-type){border-top-left-radius:0;border-top-right-radius:0}.accordion>.card>.card-header{border-radius:0;margin-bottom:-1px}.breadcrumb{flex-wrap:wrap;padding:.75rem 1rem;margin-bottom:1rem;list-style:none;background-color:#e9ecef;border-radius:.25rem}.breadcrumb,.breadcrumb-item{display:flex}.breadcrumb-item+.breadcrumb-item{padding-left:.5rem}.breadcrumb-item+.breadcrumb-item:before{display:inline-block;padding-right:.5rem;color:#6c757d;content:"/"}.breadcrumb-item+.breadcrumb-item:hover:before{text-decoration:underline;text-decoration:none}.breadcrumb-item.active{color:#6c757d}.pagination{display:flex;padding-left:0;list-style:none;border-radius:.25rem}.page-link{position:relative;display:block;padding:.5rem .75rem;margin-left:-1px;line-height:1.25;color:#007bff;background-color:#fff;border:1px solid #dee2e6}.page-link:hover{z-index:2;color:#0056b3;text-decoration:none;background-color:#e9ecef;border-color:#dee2e6}.page-link:focus{z-index:3;outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.25)}.page-item:first-child .page-link{margin-left:0;border-top-left-radius:.25rem;border-bottom-left-radius:.25rem}.page-item:last-child .page-link{border-top-right-radius:.25rem;border-bottom-right-radius:.25rem}.page-item.active .page-link{z-index:3;color:#fff;background-color:#007bff;border-color:#007bff}.page-item.disabled .page-link{color:#6c757d;pointer-events:none;cursor:auto;background-color:#fff;border-color:#dee2e6}.pagination-lg .page-link{padding:.75rem 1.5rem;font-size:1.25rem;line-height:1.5}.pagination-lg .page-item:first-child .page-link{border-top-left-radius:.3rem;border-bottom-left-radius:.3rem}.pagination-lg .page-item:last-child .page-link{border-top-right-radius:.3rem;border-bottom-right-radius:.3rem}.pagination-sm .page-link{padding:.25rem .5rem;font-size:.875rem;line-height:1.5}.pagination-sm .page-item:first-child .page-link{border-top-left-radius:.2rem;border-bottom-left-radius:.2rem}.pagination-sm .page-item:last-child .page-link{border-top-right-radius:.2rem;border-bottom-right-radius:.2rem}.badge{display:inline-block;padding:.25em .4em;font-size:75%;font-weight:700;line-height:1;text-align:center;white-space:nowrap;vertical-align:baseline;border-radius:.25rem;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out}@media (prefers-reduced-motion:reduce){.badge{transition:none}}a.badge:focus,a.badge:hover{text-decoration:none}.badge:empty{display:none}.btn .badge{position:relative;top:-1px}.badge-pill{padding-right:.6em;padding-left:.6em;border-radius:10rem}.badge-primary{color:#fff;background-color:#007bff}a.badge-primary:focus,a.badge-primary:hover{color:#fff;background-color:#0062cc}a.badge-primary.focus,a.badge-primary:focus{outline:0;box-shadow:0 0 0 .2rem rgba(0,123,255,.5)}.badge-secondary{color:#fff;background-color:#6c757d}a.badge-secondary:focus,a.badge-secondary:hover{color:#fff;background-color:#545b62}a.badge-secondary.focus,a.badge-secondary:focus{outline:0;box-shadow:0 0 0 .2rem rgba(108,117,125,.5)}.badge-success{color:#fff;background-color:#28a745}a.badge-success:focus,a.badge-success:hover{color:#fff;background-color:#1e7e34}a.badge-success.focus,a.badge-success:focus{outline:0;box-shadow:0 0 0 .2rem rgba(40,167,69,.5)}.badge-info{color:#fff;background-color:#17a2b8}a.badge-info:focus,a.badge-info:hover{color:#fff;background-color:#117a8b}a.badge-info.focus,a.badge-info:focus{outline:0;box-shadow:0 0 0 .2rem rgba(23,162,184,.5)}.badge-warning{color:#212529;background-color:#ffc107}a.badge-warning:focus,a.badge-warning:hover{color:#212529;background-color:#d39e00}a.badge-warning.focus,a.badge-warning:focus{outline:0;box-shadow:0 0 0 .2rem rgba(255,193,7,.5)}.badge-danger{color:#fff;background-color:#dc3545}a.badge-danger:focus,a.badge-danger:hover{color:#fff;background-color:#bd2130}a.badge-danger.focus,a.badge-danger:focus{outline:0;box-shadow:0 0 0 .2rem rgba(220,53,69,.5)}.badge-light{color:#212529;background-color:#f8f9fa}a.badge-light:focus,a.badge-light:hover{color:#212529;background-color:#dae0e5}a.badge-light.focus,a.badge-light:focus{outline:0;box-shadow:0 0 0 .2rem rgba(248,249,250,.5)}.badge-dark{color:#fff;background-color:#343a40}a.badge-dark:focus,a.badge-dark:hover{color:#fff;background-color:#1d2124}a.badge-dark.focus,a.badge-dark:focus{outline:0;box-shadow:0 0 0 .2rem rgba(52,58,64,.5)}.jumbotron{padding:2rem 1rem;margin-bottom:2rem;background-color:#e9ecef;border-radius:.3rem}@media (min-width:540px){.jumbotron{padding:4rem 2rem}}.jumbotron-fluid{padding-right:0;padding-left:0;border-radius:0}.alert{position:relative;padding:.75rem 1.25rem;margin-bottom:1rem;border:1px solid transparent;border-radius:.25rem}.alert-heading{color:inherit}.alert-link{font-weight:700}.alert-dismissible{padding-right:4rem}.alert-dismissible .close{position:absolute;top:0;right:0;padding:.75rem 1.25rem;color:inherit}.alert-primary{color:#004085;background-color:#cce5ff;border-color:#b8daff}.alert-primary hr{border-top-color:#9fcdff}.alert-primary .alert-link{color:#002752}.alert-secondary{color:#383d41;background-color:#e2e3e5;border-color:#d6d8db}.alert-secondary hr{border-top-color:#c8cbcf}.alert-secondary .alert-link{color:#202326}.alert-success{color:#155724;background-color:#d4edda;border-color:#c3e6cb}.alert-success hr{border-top-color:#b1dfbb}.alert-success .alert-link{color:#0b2e13}.alert-info{color:#0c5460;background-color:#d1ecf1;border-color:#bee5eb}.alert-info hr{border-top-color:#abdde5}.alert-info .alert-link{color:#062c33}.alert-warning{color:#856404;background-color:#fff3cd;border-color:#ffeeba}.alert-warning hr{border-top-color:#ffe8a1}.alert-warning .alert-link{color:#533f03}.alert-danger{color:#721c24;background-color:#f8d7da;border-color:#f5c6cb}.alert-danger hr{border-top-color:#f1b0b7}.alert-danger .alert-link{color:#491217}.alert-light{color:#818182;background-color:#fefefe;border-color:#fdfdfe}.alert-light hr{border-top-color:#ececf6}.alert-light .alert-link{color:#686868}.alert-dark{color:#1b1e21;background-color:#d6d8d9;border-color:#c6c8ca}.alert-dark hr{border-top-color:#b9bbbe}.alert-dark .alert-link{color:#040505}@keyframes progress-bar-stripes{0%{background-position:1rem 0}to{background-position:0 0}}.progress{height:1rem;line-height:0;font-size:.75rem;background-color:#e9ecef;border-radius:.25rem}.progress,.progress-bar{display:flex;overflow:hidden}.progress-bar{flex-direction:column;justify-content:center;color:#fff;text-align:center;white-space:nowrap;background-color:#007bff;transition:width .6s ease}@media (prefers-reduced-motion:reduce){.progress-bar{transition:none}}.progress-bar-striped{background-image:linear-gradient(45deg,hsla(0,0%,100%,.15) 25%,transparent 0,transparent 50%,hsla(0,0%,100%,.15) 0,hsla(0,0%,100%,.15) 75%,transparent 0,transparent);background-size:1rem 1rem}.progress-bar-animated{animation:progress-bar-stripes 1s linear infinite}@media (prefers-reduced-motion:reduce){.progress-bar-animated{animation:none}}.media{display:flex;align-items:flex-start}.media-body{flex:1}.list-group{display:flex;flex-direction:column;padding-left:0;margin-bottom:0;border-radius:.25rem}.list-group-item-action{width:100%;color:#495057;text-align:inherit}.list-group-item-action:focus,.list-group-item-action:hover{z-index:1;color:#495057;text-decoration:none;background-color:#f8f9fa}.list-group-item-action:active{color:#212529;background-color:#e9ecef}.list-group-item{position:relative;display:block;padding:.75rem 1.25rem;background-color:#fff;border:1px solid rgba(0,0,0,.125)}.list-group-item:first-child{border-top-left-radius:inherit;border-top-right-radius:inherit}.list-group-item:last-child{border-bottom-right-radius:inherit;border-bottom-left-radius:inherit}.list-group-item.disabled,.list-group-item:disabled{color:#6c757d;pointer-events:none;background-color:#fff}.list-group-item.active{z-index:2;color:#fff;background-color:#007bff;border-color:#007bff}.list-group-item+.list-group-item{border-top-width:0}.list-group-item+.list-group-item.active{margin-top:-1px;border-top-width:1px}.list-group-horizontal{flex-direction:row}.list-group-horizontal>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal>.list-group-item.active{margin-top:0}.list-group-horizontal>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}@media (min-width:540px){.list-group-horizontal-sm{flex-direction:row}.list-group-horizontal-sm>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-sm>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-sm>.list-group-item.active{margin-top:0}.list-group-horizontal-sm>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-sm>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:720px){.list-group-horizontal-md{flex-direction:row}.list-group-horizontal-md>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-md>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-md>.list-group-item.active{margin-top:0}.list-group-horizontal-md>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-md>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:960px){.list-group-horizontal-lg{flex-direction:row}.list-group-horizontal-lg>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-lg>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-lg>.list-group-item.active{margin-top:0}.list-group-horizontal-lg>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-lg>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}@media (min-width:1200px){.list-group-horizontal-xl{flex-direction:row}.list-group-horizontal-xl>.list-group-item:first-child{border-bottom-left-radius:.25rem;border-top-right-radius:0}.list-group-horizontal-xl>.list-group-item:last-child{border-top-right-radius:.25rem;border-bottom-left-radius:0}.list-group-horizontal-xl>.list-group-item.active{margin-top:0}.list-group-horizontal-xl>.list-group-item+.list-group-item{border-top-width:1px;border-left-width:0}.list-group-horizontal-xl>.list-group-item+.list-group-item.active{margin-left:-1px;border-left-width:1px}}.list-group-flush{border-radius:0}.list-group-flush>.list-group-item{border-width:0 0 1px}.list-group-flush>.list-group-item:last-child{border-bottom-width:0}.list-group-item-primary{color:#004085;background-color:#b8daff}.list-group-item-primary.list-group-item-action:focus,.list-group-item-primary.list-group-item-action:hover{color:#004085;background-color:#9fcdff}.list-group-item-primary.list-group-item-action.active{color:#fff;background-color:#004085;border-color:#004085}.list-group-item-secondary{color:#383d41;background-color:#d6d8db}.list-group-item-secondary.list-group-item-action:focus,.list-group-item-secondary.list-group-item-action:hover{color:#383d41;background-color:#c8cbcf}.list-group-item-secondary.list-group-item-action.active{color:#fff;background-color:#383d41;border-color:#383d41}.list-group-item-success{color:#155724;background-color:#c3e6cb}.list-group-item-success.list-group-item-action:focus,.list-group-item-success.list-group-item-action:hover{color:#155724;background-color:#b1dfbb}.list-group-item-success.list-group-item-action.active{color:#fff;background-color:#155724;border-color:#155724}.list-group-item-info{color:#0c5460;background-color:#bee5eb}.list-group-item-info.list-group-item-action:focus,.list-group-item-info.list-group-item-action:hover{color:#0c5460;background-color:#abdde5}.list-group-item-info.list-group-item-action.active{color:#fff;background-color:#0c5460;border-color:#0c5460}.list-group-item-warning{color:#856404;background-color:#ffeeba}.list-group-item-warning.list-group-item-action:focus,.list-group-item-warning.list-group-item-action:hover{color:#856404;background-color:#ffe8a1}.list-group-item-warning.list-group-item-action.active{color:#fff;background-color:#856404;border-color:#856404}.list-group-item-danger{color:#721c24;background-color:#f5c6cb}.list-group-item-danger.list-group-item-action:focus,.list-group-item-danger.list-group-item-action:hover{color:#721c24;background-color:#f1b0b7}.list-group-item-danger.list-group-item-action.active{color:#fff;background-color:#721c24;border-color:#721c24}.list-group-item-light{color:#818182;background-color:#fdfdfe}.list-group-item-light.list-group-item-action:focus,.list-group-item-light.list-group-item-action:hover{color:#818182;background-color:#ececf6}.list-group-item-light.list-group-item-action.active{color:#fff;background-color:#818182;border-color:#818182}.list-group-item-dark{color:#1b1e21;background-color:#c6c8ca}.list-group-item-dark.list-group-item-action:focus,.list-group-item-dark.list-group-item-action:hover{color:#1b1e21;background-color:#b9bbbe}.list-group-item-dark.list-group-item-action.active{color:#fff;background-color:#1b1e21;border-color:#1b1e21}.close{float:right;font-size:1.5rem;font-weight:700;line-height:1;color:#000;text-shadow:0 1px 0 #fff;opacity:.5}.close:hover{color:#000;text-decoration:none}.close:not(:disabled):not(.disabled):focus,.close:not(:disabled):not(.disabled):hover{opacity:.75}button.close{padding:0;background-color:transparent;border:0}a.close.disabled{pointer-events:none}.toast{max-width:350px;overflow:hidden;font-size:.875rem;background-color:hsla(0,0%,100%,.85);background-clip:padding-box;border:1px solid rgba(0,0,0,.1);box-shadow:0 .25rem .75rem rgba(0,0,0,.1);backdrop-filter:blur(10px);opacity:0;border-radius:.25rem}.toast:not(:last-child){margin-bottom:.75rem}.toast.showing{opacity:1}.toast.show{display:block;opacity:1}.toast.hide{display:none}.toast-header{display:flex;align-items:center;padding:.25rem .75rem;color:#6c757d;background-color:hsla(0,0%,100%,.85);background-clip:padding-box;border-bottom:1px solid rgba(0,0,0,.05)}.toast-body{padding:.75rem}.modal-open{overflow:hidden}.modal-open .modal{overflow-x:hidden;overflow-y:auto}.modal{position:fixed;top:0;left:0;z-index:1050;display:none;width:100%;height:100%;overflow:hidden;outline:0}.modal-dialog{position:relative;width:auto;margin:.5rem;pointer-events:none}.modal.fade .modal-dialog{transition:transform .3s ease-out;transform:translateY(-50px)}@media (prefers-reduced-motion:reduce){.modal.fade .modal-dialog{transition:none}}.modal.show .modal-dialog{transform:none}.modal.modal-static .modal-dialog{transform:scale(1.02)}.modal-dialog-scrollable{display:flex;max-height:calc(100% - 1rem)}.modal-dialog-scrollable .modal-content{max-height:calc(100vh - 1rem);overflow:hidden}.modal-dialog-scrollable .modal-footer,.modal-dialog-scrollable .modal-header{flex-shrink:0}.modal-dialog-scrollable .modal-body{overflow-y:auto}.modal-dialog-centered{display:flex;align-items:center;min-height:calc(100% - 1rem)}.modal-dialog-centered:before{display:block;height:calc(100vh - 1rem);height:min-content;content:""}.modal-dialog-centered.modal-dialog-scrollable{flex-direction:column;justify-content:center;height:100%}.modal-dialog-centered.modal-dialog-scrollable .modal-content{max-height:none}.modal-dialog-centered.modal-dialog-scrollable:before{content:none}.modal-content{position:relative;display:flex;flex-direction:column;width:100%;pointer-events:auto;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.2);border-radius:.3rem;outline:0}.modal-backdrop{position:fixed;top:0;left:0;z-index:1040;width:100vw;height:100vh;background-color:#000}.modal-backdrop.fade{opacity:0}.modal-backdrop.show{opacity:.5}.modal-header{display:flex;align-items:flex-start;justify-content:space-between;padding:1rem;border-bottom:1px solid #dee2e6;border-top-left-radius:calc(.3rem - 1px);border-top-right-radius:calc(.3rem - 1px)}.modal-header .close{padding:1rem;margin:-1rem -1rem -1rem auto}.modal-title{margin-bottom:0;line-height:1.5}.modal-body{position:relative;flex:1 1 auto;padding:1rem}.modal-footer{display:flex;flex-wrap:wrap;align-items:center;justify-content:flex-end;padding:.75rem;border-top:1px solid #dee2e6;border-bottom-right-radius:calc(.3rem - 1px);border-bottom-left-radius:calc(.3rem - 1px)}.modal-footer>*{margin:.25rem}.modal-scrollbar-measure{position:absolute;top:-9999px;width:50px;height:50px;overflow:scroll}@media (min-width:540px){.modal-dialog{max-width:500px;margin:1.75rem auto}.modal-dialog-scrollable{max-height:calc(100% - 3.5rem)}.modal-dialog-scrollable .modal-content{max-height:calc(100vh - 3.5rem)}.modal-dialog-centered{min-height:calc(100% - 3.5rem)}.modal-dialog-centered:before{height:calc(100vh - 3.5rem);height:min-content}.modal-sm{max-width:300px}}@media (min-width:960px){.modal-lg,.modal-xl{max-width:800px}}@media (min-width:1200px){.modal-xl{max-width:1140px}}.tooltip{position:absolute;z-index:1070;display:block;margin:0;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-style:normal;font-weight:400;line-height:1.5;text-align:left;text-align:start;text-decoration:none;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;white-space:normal;line-break:auto;font-size:.875rem;word-wrap:break-word;opacity:0}.tooltip.show{opacity:.9}.tooltip .arrow{position:absolute;display:block;width:.8rem;height:.4rem}.tooltip .arrow:before{position:absolute;content:"";border-color:transparent;border-style:solid}.bs-tooltip-auto[x-placement^=top],.bs-tooltip-top{padding:.4rem 0}.bs-tooltip-auto[x-placement^=top] .arrow,.bs-tooltip-top .arrow{bottom:0}.bs-tooltip-auto[x-placement^=top] .arrow:before,.bs-tooltip-top .arrow:before{top:0;border-width:.4rem .4rem 0;border-top-color:#000}.bs-tooltip-auto[x-placement^=right],.bs-tooltip-right{padding:0 .4rem}.bs-tooltip-auto[x-placement^=right] .arrow,.bs-tooltip-right .arrow{left:0;width:.4rem;height:.8rem}.bs-tooltip-auto[x-placement^=right] .arrow:before,.bs-tooltip-right .arrow:before{right:0;border-width:.4rem .4rem .4rem 0;border-right-color:#000}.bs-tooltip-auto[x-placement^=bottom],.bs-tooltip-bottom{padding:.4rem 0}.bs-tooltip-auto[x-placement^=bottom] .arrow,.bs-tooltip-bottom .arrow{top:0}.bs-tooltip-auto[x-placement^=bottom] .arrow:before,.bs-tooltip-bottom .arrow:before{bottom:0;border-width:0 .4rem .4rem;border-bottom-color:#000}.bs-tooltip-auto[x-placement^=left],.bs-tooltip-left{padding:0 .4rem}.bs-tooltip-auto[x-placement^=left] .arrow,.bs-tooltip-left .arrow{right:0;width:.4rem;height:.8rem}.bs-tooltip-auto[x-placement^=left] .arrow:before,.bs-tooltip-left .arrow:before{left:0;border-width:.4rem 0 .4rem .4rem;border-left-color:#000}.tooltip-inner{max-width:200px;padding:.25rem .5rem;color:#fff;text-align:center;background-color:#000;border-radius:.25rem}.popover{top:0;left:0;z-index:1060;max-width:276px;font-family:-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Helvetica Neue,Arial,Noto Sans,sans-serif,Apple Color Emoji,Segoe UI Emoji,Segoe UI Symbol,Noto Color Emoji;font-style:normal;font-weight:400;line-height:1.5;text-align:left;text-align:start;text-decoration:none;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;white-space:normal;line-break:auto;font-size:.875rem;word-wrap:break-word;background-color:#fff;background-clip:padding-box;border:1px solid rgba(0,0,0,.2);border-radius:.3rem}.popover,.popover .arrow{position:absolute;display:block}.popover .arrow{width:1rem;height:.5rem;margin:0 .3rem}.popover .arrow:after,.popover .arrow:before{position:absolute;display:block;content:"";border-color:transparent;border-style:solid}.bs-popover-auto[x-placement^=top],.bs-popover-top{margin-bottom:.5rem}.bs-popover-auto[x-placement^=top]>.arrow,.bs-popover-top>.arrow{bottom:calc(-.5rem - 1px)}.bs-popover-auto[x-placement^=top]>.arrow:before,.bs-popover-top>.arrow:before{bottom:0;border-width:.5rem .5rem 0;border-top-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=top]>.arrow:after,.bs-popover-top>.arrow:after{bottom:1px;border-width:.5rem .5rem 0;border-top-color:#fff}.bs-popover-auto[x-placement^=right],.bs-popover-right{margin-left:.5rem}.bs-popover-auto[x-placement^=right]>.arrow,.bs-popover-right>.arrow{left:calc(-.5rem - 1px);width:.5rem;height:1rem;margin:.3rem 0}.bs-popover-auto[x-placement^=right]>.arrow:before,.bs-popover-right>.arrow:before{left:0;border-width:.5rem .5rem .5rem 0;border-right-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=right]>.arrow:after,.bs-popover-right>.arrow:after{left:1px;border-width:.5rem .5rem .5rem 0;border-right-color:#fff}.bs-popover-auto[x-placement^=bottom],.bs-popover-bottom{margin-top:.5rem}.bs-popover-auto[x-placement^=bottom]>.arrow,.bs-popover-bottom>.arrow{top:calc(-.5rem - 1px)}.bs-popover-auto[x-placement^=bottom]>.arrow:before,.bs-popover-bottom>.arrow:before{top:0;border-width:0 .5rem .5rem;border-bottom-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=bottom]>.arrow:after,.bs-popover-bottom>.arrow:after{top:1px;border-width:0 .5rem .5rem;border-bottom-color:#fff}.bs-popover-auto[x-placement^=bottom] .popover-header:before,.bs-popover-bottom .popover-header:before{position:absolute;top:0;left:50%;display:block;width:1rem;margin-left:-.5rem;content:"";border-bottom:1px solid #f7f7f7}.bs-popover-auto[x-placement^=left],.bs-popover-left{margin-right:.5rem}.bs-popover-auto[x-placement^=left]>.arrow,.bs-popover-left>.arrow{right:calc(-.5rem - 1px);width:.5rem;height:1rem;margin:.3rem 0}.bs-popover-auto[x-placement^=left]>.arrow:before,.bs-popover-left>.arrow:before{right:0;border-width:.5rem 0 .5rem .5rem;border-left-color:rgba(0,0,0,.25)}.bs-popover-auto[x-placement^=left]>.arrow:after,.bs-popover-left>.arrow:after{right:1px;border-width:.5rem 0 .5rem .5rem;border-left-color:#fff}.popover-header{padding:.5rem .75rem;margin-bottom:0;font-size:1rem;background-color:#f7f7f7;border-bottom:1px solid #ebebeb;border-top-left-radius:calc(.3rem - 1px);border-top-right-radius:calc(.3rem - 1px)}.popover-header:empty{display:none}.popover-body{padding:.5rem .75rem;color:#212529}.carousel{position:relative}.carousel.pointer-event{touch-action:pan-y}.carousel-inner{position:relative;width:100%;overflow:hidden}.carousel-inner:after{display:block;clear:both;content:""}.carousel-item{position:relative;display:none;float:left;width:100%;margin-right:-100%;backface-visibility:hidden;transition:transform .6s ease-in-out}@media (prefers-reduced-motion:reduce){.carousel-item{transition:none}}.carousel-item-next,.carousel-item-prev,.carousel-item.active{display:block}.active.carousel-item-right,.carousel-item-next:not(.carousel-item-left){transform:translateX(100%)}.active.carousel-item-left,.carousel-item-prev:not(.carousel-item-right){transform:translateX(-100%)}.carousel-fade .carousel-item{opacity:0;transition-property:opacity;transform:none}.carousel-fade .carousel-item-next.carousel-item-left,.carousel-fade .carousel-item-prev.carousel-item-right,.carousel-fade .carousel-item.active{z-index:1;opacity:1}.carousel-fade .active.carousel-item-left,.carousel-fade .active.carousel-item-right{z-index:0;opacity:0;transition:opacity 0s .6s}@media (prefers-reduced-motion:reduce){.carousel-fade .active.carousel-item-left,.carousel-fade .active.carousel-item-right{transition:none}}.carousel-control-next,.carousel-control-prev{position:absolute;top:0;bottom:0;z-index:1;display:flex;align-items:center;justify-content:center;width:15%;color:#fff;text-align:center;opacity:.5;transition:opacity .15s ease}@media (prefers-reduced-motion:reduce){.carousel-control-next,.carousel-control-prev{transition:none}}.carousel-control-next:focus,.carousel-control-next:hover,.carousel-control-prev:focus,.carousel-control-prev:hover{color:#fff;text-decoration:none;outline:0;opacity:.9}.carousel-control-prev{left:0}.carousel-control-next{right:0}.carousel-control-next-icon,.carousel-control-prev-icon{display:inline-block;width:20px;height:20px;background:no-repeat 50%/100% 100%}.carousel-control-prev-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='%23fff' width='8' height='8'%3E%3Cpath d='M5.25 0l-4 4 4 4 1.5-1.5L4.25 4l2.5-2.5L5.25 0z'/%3E%3C/svg%3E")}.carousel-control-next-icon{background-image:url("data:image/svg+xml;charset=utf-8,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='%23fff' width='8' height='8'%3E%3Cpath d='M2.75 0l-1.5 1.5L3.75 4l-2.5 2.5L2.75 8l4-4-4-4z'/%3E%3C/svg%3E")}.carousel-indicators{position:absolute;right:0;bottom:0;left:0;z-index:15;display:flex;justify-content:center;padding-left:0;margin-right:15%;margin-left:15%;list-style:none}.carousel-indicators li{box-sizing:content-box;flex:0 1 auto;width:30px;height:3px;margin-right:3px;margin-left:3px;text-indent:-999px;cursor:pointer;background-color:#fff;background-clip:padding-box;border-top:10px solid transparent;border-bottom:10px solid transparent;opacity:.5;transition:opacity .6s ease}@media (prefers-reduced-motion:reduce){.carousel-indicators li{transition:none}}.carousel-indicators .active{opacity:1}.carousel-caption{position:absolute;right:15%;bottom:20px;left:15%;z-index:10;padding-top:20px;padding-bottom:20px;color:#fff;text-align:center}@keyframes spinner-border{to{transform:rotate(1turn)}}.spinner-border{display:inline-block;width:2rem;height:2rem;vertical-align:text-bottom;border:.25em solid;border-right:.25em solid transparent;border-radius:50%;animation:spinner-border .75s linear infinite}.spinner-border-sm{width:1rem;height:1rem;border-width:.2em}@keyframes spinner-grow{0%{transform:scale(0)}50%{opacity:1;transform:none}}.spinner-grow{display:inline-block;width:2rem;height:2rem;vertical-align:text-bottom;background-color:currentColor;border-radius:50%;opacity:0;animation:spinner-grow .75s linear infinite}.spinner-grow-sm{width:1rem;height:1rem}.align-baseline{vertical-align:baseline!important}.align-top{vertical-align:top!important}.align-middle{vertical-align:middle!important}.align-bottom{vertical-align:bottom!important}.align-text-bottom{vertical-align:text-bottom!important}.align-text-top{vertical-align:text-top!important}.bg-primary{background-color:#007bff!important}a.bg-primary:focus,a.bg-primary:hover,button.bg-primary:focus,button.bg-primary:hover{background-color:#0062cc!important}.bg-secondary{background-color:#6c757d!important}a.bg-secondary:focus,a.bg-secondary:hover,button.bg-secondary:focus,button.bg-secondary:hover{background-color:#545b62!important}.bg-success{background-color:#28a745!important}a.bg-success:focus,a.bg-success:hover,button.bg-success:focus,button.bg-success:hover{background-color:#1e7e34!important}.bg-info{background-color:#17a2b8!important}a.bg-info:focus,a.bg-info:hover,button.bg-info:focus,button.bg-info:hover{background-color:#117a8b!important}.bg-warning{background-color:#ffc107!important}a.bg-warning:focus,a.bg-warning:hover,button.bg-warning:focus,button.bg-warning:hover{background-color:#d39e00!important}.bg-danger{background-color:#dc3545!important}a.bg-danger:focus,a.bg-danger:hover,button.bg-danger:focus,button.bg-danger:hover{background-color:#bd2130!important}.bg-light{background-color:#f8f9fa!important}a.bg-light:focus,a.bg-light:hover,button.bg-light:focus,button.bg-light:hover{background-color:#dae0e5!important}.bg-dark{background-color:#343a40!important}a.bg-dark:focus,a.bg-dark:hover,button.bg-dark:focus,button.bg-dark:hover{background-color:#1d2124!important}.bg-white{background-color:#fff!important}.bg-transparent{background-color:transparent!important}.border{border:1px solid #dee2e6!important}.border-top{border-top:1px solid #dee2e6!important}.border-right{border-right:1px solid #dee2e6!important}.border-bottom{border-bottom:1px solid #dee2e6!important}.border-left{border-left:1px solid #dee2e6!important}.border-0{border:0!important}.border-top-0{border-top:0!important}.border-right-0{border-right:0!important}.border-bottom-0{border-bottom:0!important}.border-left-0{border-left:0!important}.border-primary{border-color:#007bff!important}.border-secondary{border-color:#6c757d!important}.border-success{border-color:#28a745!important}.border-info{border-color:#17a2b8!important}.border-warning{border-color:#ffc107!important}.border-danger{border-color:#dc3545!important}.border-light{border-color:#f8f9fa!important}.border-dark{border-color:#343a40!important}.border-white{border-color:#fff!important}.rounded-sm{border-radius:.2rem!important}.rounded{border-radius:.25rem!important}.rounded-top{border-top-left-radius:.25rem!important}.rounded-right,.rounded-top{border-top-right-radius:.25rem!important}.rounded-bottom,.rounded-right{border-bottom-right-radius:.25rem!important}.rounded-bottom,.rounded-left{border-bottom-left-radius:.25rem!important}.rounded-left{border-top-left-radius:.25rem!important}.rounded-lg{border-radius:.3rem!important}.rounded-circle{border-radius:50%!important}.rounded-pill{border-radius:50rem!important}.rounded-0{border-radius:0!important}.clearfix:after{display:block;clear:both;content:""}.d-none{display:none!important}.d-inline{display:inline!important}.d-inline-block{display:inline-block!important}.d-block{display:block!important}.d-table{display:table!important}.d-table-row{display:table-row!important}.d-table-cell{display:table-cell!important}.d-flex{display:flex!important}.d-inline-flex{display:inline-flex!important}@media (min-width:540px){.d-sm-none{display:none!important}.d-sm-inline{display:inline!important}.d-sm-inline-block{display:inline-block!important}.d-sm-block{display:block!important}.d-sm-table{display:table!important}.d-sm-table-row{display:table-row!important}.d-sm-table-cell{display:table-cell!important}.d-sm-flex{display:flex!important}.d-sm-inline-flex{display:inline-flex!important}}@media (min-width:720px){.d-md-none{display:none!important}.d-md-inline{display:inline!important}.d-md-inline-block{display:inline-block!important}.d-md-block{display:block!important}.d-md-table{display:table!important}.d-md-table-row{display:table-row!important}.d-md-table-cell{display:table-cell!important}.d-md-flex{display:flex!important}.d-md-inline-flex{display:inline-flex!important}}@media (min-width:960px){.d-lg-none{display:none!important}.d-lg-inline{display:inline!important}.d-lg-inline-block{display:inline-block!important}.d-lg-block{display:block!important}.d-lg-table{display:table!important}.d-lg-table-row{display:table-row!important}.d-lg-table-cell{display:table-cell!important}.d-lg-flex{display:flex!important}.d-lg-inline-flex{display:inline-flex!important}}@media (min-width:1200px){.d-xl-none{display:none!important}.d-xl-inline{display:inline!important}.d-xl-inline-block{display:inline-block!important}.d-xl-block{display:block!important}.d-xl-table{display:table!important}.d-xl-table-row{display:table-row!important}.d-xl-table-cell{display:table-cell!important}.d-xl-flex{display:flex!important}.d-xl-inline-flex{display:inline-flex!important}}@media print{.d-print-none{display:none!important}.d-print-inline{display:inline!important}.d-print-inline-block{display:inline-block!important}.d-print-block{display:block!important}.d-print-table{display:table!important}.d-print-table-row{display:table-row!important}.d-print-table-cell{display:table-cell!important}.d-print-flex{display:flex!important}.d-print-inline-flex{display:inline-flex!important}}.embed-responsive{position:relative;display:block;width:100%;padding:0;overflow:hidden}.embed-responsive:before{display:block;content:""}.embed-responsive .embed-responsive-item,.embed-responsive embed,.embed-responsive iframe,.embed-responsive object,.embed-responsive video{position:absolute;top:0;bottom:0;left:0;width:100%;height:100%;border:0}.embed-responsive-21by9:before{padding-top:42.85714%}.embed-responsive-16by9:before{padding-top:56.25%}.embed-responsive-4by3:before{padding-top:75%}.embed-responsive-1by1:before{padding-top:100%}.flex-row{flex-direction:row!important}.flex-column{flex-direction:column!important}.flex-row-reverse{flex-direction:row-reverse!important}.flex-column-reverse{flex-direction:column-reverse!important}.flex-wrap{flex-wrap:wrap!important}.flex-nowrap{flex-wrap:nowrap!important}.flex-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-fill{flex:1 1 auto!important}.flex-grow-0{flex-grow:0!important}.flex-grow-1{flex-grow:1!important}.flex-shrink-0{flex-shrink:0!important}.flex-shrink-1{flex-shrink:1!important}.justify-content-start{justify-content:flex-start!important}.justify-content-end{justify-content:flex-end!important}.justify-content-center{justify-content:center!important}.justify-content-between{justify-content:space-between!important}.justify-content-around{justify-content:space-around!important}.align-items-start{align-items:flex-start!important}.align-items-end{align-items:flex-end!important}.align-items-center{align-items:center!important}.align-items-baseline{align-items:baseline!important}.align-items-stretch{align-items:stretch!important}.align-content-start{align-content:flex-start!important}.align-content-end{align-content:flex-end!important}.align-content-center{align-content:center!important}.align-content-between{align-content:space-between!important}.align-content-around{align-content:space-around!important}.align-content-stretch{align-content:stretch!important}.align-self-auto{align-self:auto!important}.align-self-start{align-self:flex-start!important}.align-self-end{align-self:flex-end!important}.align-self-center{align-self:center!important}.align-self-baseline{align-self:baseline!important}.align-self-stretch{align-self:stretch!important}@media (min-width:540px){.flex-sm-row{flex-direction:row!important}.flex-sm-column{flex-direction:column!important}.flex-sm-row-reverse{flex-direction:row-reverse!important}.flex-sm-column-reverse{flex-direction:column-reverse!important}.flex-sm-wrap{flex-wrap:wrap!important}.flex-sm-nowrap{flex-wrap:nowrap!important}.flex-sm-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-sm-fill{flex:1 1 auto!important}.flex-sm-grow-0{flex-grow:0!important}.flex-sm-grow-1{flex-grow:1!important}.flex-sm-shrink-0{flex-shrink:0!important}.flex-sm-shrink-1{flex-shrink:1!important}.justify-content-sm-start{justify-content:flex-start!important}.justify-content-sm-end{justify-content:flex-end!important}.justify-content-sm-center{justify-content:center!important}.justify-content-sm-between{justify-content:space-between!important}.justify-content-sm-around{justify-content:space-around!important}.align-items-sm-start{align-items:flex-start!important}.align-items-sm-end{align-items:flex-end!important}.align-items-sm-center{align-items:center!important}.align-items-sm-baseline{align-items:baseline!important}.align-items-sm-stretch{align-items:stretch!important}.align-content-sm-start{align-content:flex-start!important}.align-content-sm-end{align-content:flex-end!important}.align-content-sm-center{align-content:center!important}.align-content-sm-between{align-content:space-between!important}.align-content-sm-around{align-content:space-around!important}.align-content-sm-stretch{align-content:stretch!important}.align-self-sm-auto{align-self:auto!important}.align-self-sm-start{align-self:flex-start!important}.align-self-sm-end{align-self:flex-end!important}.align-self-sm-center{align-self:center!important}.align-self-sm-baseline{align-self:baseline!important}.align-self-sm-stretch{align-self:stretch!important}}@media (min-width:720px){.flex-md-row{flex-direction:row!important}.flex-md-column{flex-direction:column!important}.flex-md-row-reverse{flex-direction:row-reverse!important}.flex-md-column-reverse{flex-direction:column-reverse!important}.flex-md-wrap{flex-wrap:wrap!important}.flex-md-nowrap{flex-wrap:nowrap!important}.flex-md-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-md-fill{flex:1 1 auto!important}.flex-md-grow-0{flex-grow:0!important}.flex-md-grow-1{flex-grow:1!important}.flex-md-shrink-0{flex-shrink:0!important}.flex-md-shrink-1{flex-shrink:1!important}.justify-content-md-start{justify-content:flex-start!important}.justify-content-md-end{justify-content:flex-end!important}.justify-content-md-center{justify-content:center!important}.justify-content-md-between{justify-content:space-between!important}.justify-content-md-around{justify-content:space-around!important}.align-items-md-start{align-items:flex-start!important}.align-items-md-end{align-items:flex-end!important}.align-items-md-center{align-items:center!important}.align-items-md-baseline{align-items:baseline!important}.align-items-md-stretch{align-items:stretch!important}.align-content-md-start{align-content:flex-start!important}.align-content-md-end{align-content:flex-end!important}.align-content-md-center{align-content:center!important}.align-content-md-between{align-content:space-between!important}.align-content-md-around{align-content:space-around!important}.align-content-md-stretch{align-content:stretch!important}.align-self-md-auto{align-self:auto!important}.align-self-md-start{align-self:flex-start!important}.align-self-md-end{align-self:flex-end!important}.align-self-md-center{align-self:center!important}.align-self-md-baseline{align-self:baseline!important}.align-self-md-stretch{align-self:stretch!important}}@media (min-width:960px){.flex-lg-row{flex-direction:row!important}.flex-lg-column{flex-direction:column!important}.flex-lg-row-reverse{flex-direction:row-reverse!important}.flex-lg-column-reverse{flex-direction:column-reverse!important}.flex-lg-wrap{flex-wrap:wrap!important}.flex-lg-nowrap{flex-wrap:nowrap!important}.flex-lg-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-lg-fill{flex:1 1 auto!important}.flex-lg-grow-0{flex-grow:0!important}.flex-lg-grow-1{flex-grow:1!important}.flex-lg-shrink-0{flex-shrink:0!important}.flex-lg-shrink-1{flex-shrink:1!important}.justify-content-lg-start{justify-content:flex-start!important}.justify-content-lg-end{justify-content:flex-end!important}.justify-content-lg-center{justify-content:center!important}.justify-content-lg-between{justify-content:space-between!important}.justify-content-lg-around{justify-content:space-around!important}.align-items-lg-start{align-items:flex-start!important}.align-items-lg-end{align-items:flex-end!important}.align-items-lg-center{align-items:center!important}.align-items-lg-baseline{align-items:baseline!important}.align-items-lg-stretch{align-items:stretch!important}.align-content-lg-start{align-content:flex-start!important}.align-content-lg-end{align-content:flex-end!important}.align-content-lg-center{align-content:center!important}.align-content-lg-between{align-content:space-between!important}.align-content-lg-around{align-content:space-around!important}.align-content-lg-stretch{align-content:stretch!important}.align-self-lg-auto{align-self:auto!important}.align-self-lg-start{align-self:flex-start!important}.align-self-lg-end{align-self:flex-end!important}.align-self-lg-center{align-self:center!important}.align-self-lg-baseline{align-self:baseline!important}.align-self-lg-stretch{align-self:stretch!important}}@media (min-width:1200px){.flex-xl-row{flex-direction:row!important}.flex-xl-column{flex-direction:column!important}.flex-xl-row-reverse{flex-direction:row-reverse!important}.flex-xl-column-reverse{flex-direction:column-reverse!important}.flex-xl-wrap{flex-wrap:wrap!important}.flex-xl-nowrap{flex-wrap:nowrap!important}.flex-xl-wrap-reverse{flex-wrap:wrap-reverse!important}.flex-xl-fill{flex:1 1 auto!important}.flex-xl-grow-0{flex-grow:0!important}.flex-xl-grow-1{flex-grow:1!important}.flex-xl-shrink-0{flex-shrink:0!important}.flex-xl-shrink-1{flex-shrink:1!important}.justify-content-xl-start{justify-content:flex-start!important}.justify-content-xl-end{justify-content:flex-end!important}.justify-content-xl-center{justify-content:center!important}.justify-content-xl-between{justify-content:space-between!important}.justify-content-xl-around{justify-content:space-around!important}.align-items-xl-start{align-items:flex-start!important}.align-items-xl-end{align-items:flex-end!important}.align-items-xl-center{align-items:center!important}.align-items-xl-baseline{align-items:baseline!important}.align-items-xl-stretch{align-items:stretch!important}.align-content-xl-start{align-content:flex-start!important}.align-content-xl-end{align-content:flex-end!important}.align-content-xl-center{align-content:center!important}.align-content-xl-between{align-content:space-between!important}.align-content-xl-around{align-content:space-around!important}.align-content-xl-stretch{align-content:stretch!important}.align-self-xl-auto{align-self:auto!important}.align-self-xl-start{align-self:flex-start!important}.align-self-xl-end{align-self:flex-end!important}.align-self-xl-center{align-self:center!important}.align-self-xl-baseline{align-self:baseline!important}.align-self-xl-stretch{align-self:stretch!important}}.float-left{float:left!important}.float-right{float:right!important}.float-none{float:none!important}@media (min-width:540px){.float-sm-left{float:left!important}.float-sm-right{float:right!important}.float-sm-none{float:none!important}}@media (min-width:720px){.float-md-left{float:left!important}.float-md-right{float:right!important}.float-md-none{float:none!important}}@media (min-width:960px){.float-lg-left{float:left!important}.float-lg-right{float:right!important}.float-lg-none{float:none!important}}@media (min-width:1200px){.float-xl-left{float:left!important}.float-xl-right{float:right!important}.float-xl-none{float:none!important}}.user-select-all{user-select:all!important}.user-select-auto{user-select:auto!important}.user-select-none{user-select:none!important}.overflow-auto{overflow:auto!important}.overflow-hidden{overflow:hidden!important}.position-static{position:static!important}.position-relative{position:relative!important}.position-absolute{position:absolute!important}.position-fixed{position:fixed!important}.position-sticky{position:sticky!important}.fixed-top{top:0}.fixed-bottom,.fixed-top{position:fixed;right:0;left:0;z-index:1030}.fixed-bottom{bottom:0}@supports (position:sticky){.sticky-top{position:sticky;top:0;z-index:1020}}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);white-space:nowrap;border:0}.sr-only-focusable:active,.sr-only-focusable:focus{position:static;width:auto;height:auto;overflow:visible;clip:auto;white-space:normal}.shadow-sm{box-shadow:0 .125rem .25rem rgba(0,0,0,.075)!important}.shadow{box-shadow:0 .5rem 1rem rgba(0,0,0,.15)!important}.shadow-lg{box-shadow:0 1rem 3rem rgba(0,0,0,.175)!important}.shadow-none{box-shadow:none!important}.w-25{width:25%!important}.w-50{width:50%!important}.w-75{width:75%!important}.w-100{width:100%!important}.w-auto{width:auto!important}.h-25{height:25%!important}.h-50{height:50%!important}.h-75{height:75%!important}.h-100{height:100%!important}.h-auto{height:auto!important}.mw-100{max-width:100%!important}.mh-100{max-height:100%!important}.min-vw-100{min-width:100vw!important}.min-vh-100{min-height:100vh!important}.vw-100{width:100vw!important}.vh-100{height:100vh!important}.m-0{margin:0!important}.mt-0,.my-0{margin-top:0!important}.mr-0,.mx-0{margin-right:0!important}.mb-0,.my-0{margin-bottom:0!important}.ml-0,.mx-0{margin-left:0!important}.m-1{margin:.25rem!important}.mt-1,.my-1{margin-top:.25rem!important}.mr-1,.mx-1{margin-right:.25rem!important}.mb-1,.my-1{margin-bottom:.25rem!important}.ml-1,.mx-1{margin-left:.25rem!important}.m-2{margin:.5rem!important}.mt-2,.my-2{margin-top:.5rem!important}.mr-2,.mx-2{margin-right:.5rem!important}.mb-2,.my-2{margin-bottom:.5rem!important}.ml-2,.mx-2{margin-left:.5rem!important}.m-3{margin:1rem!important}.mt-3,.my-3{margin-top:1rem!important}.mr-3,.mx-3{margin-right:1rem!important}.mb-3,.my-3{margin-bottom:1rem!important}.ml-3,.mx-3{margin-left:1rem!important}.m-4{margin:1.5rem!important}.mt-4,.my-4{margin-top:1.5rem!important}.mr-4,.mx-4{margin-right:1.5rem!important}.mb-4,.my-4{margin-bottom:1.5rem!important}.ml-4,.mx-4{margin-left:1.5rem!important}.m-5{margin:3rem!important}.mt-5,.my-5{margin-top:3rem!important}.mr-5,.mx-5{margin-right:3rem!important}.mb-5,.my-5{margin-bottom:3rem!important}.ml-5,.mx-5{margin-left:3rem!important}.p-0{padding:0!important}.pt-0,.py-0{padding-top:0!important}.pr-0,.px-0{padding-right:0!important}.pb-0,.py-0{padding-bottom:0!important}.pl-0,.px-0{padding-left:0!important}.p-1{padding:.25rem!important}.pt-1,.py-1{padding-top:.25rem!important}.pr-1,.px-1{padding-right:.25rem!important}.pb-1,.py-1{padding-bottom:.25rem!important}.pl-1,.px-1{padding-left:.25rem!important}.p-2{padding:.5rem!important}.pt-2,.py-2{padding-top:.5rem!important}.pr-2,.px-2{padding-right:.5rem!important}.pb-2,.py-2{padding-bottom:.5rem!important}.pl-2,.px-2{padding-left:.5rem!important}.p-3{padding:1rem!important}.pt-3,.py-3{padding-top:1rem!important}.pr-3,.px-3{padding-right:1rem!important}.pb-3,.py-3{padding-bottom:1rem!important}.pl-3,.px-3{padding-left:1rem!important}.p-4{padding:1.5rem!important}.pt-4,.py-4{padding-top:1.5rem!important}.pr-4,.px-4{padding-right:1.5rem!important}.pb-4,.py-4{padding-bottom:1.5rem!important}.pl-4,.px-4{padding-left:1.5rem!important}.p-5{padding:3rem!important}.pt-5,.py-5{padding-top:3rem!important}.pr-5,.px-5{padding-right:3rem!important}.pb-5,.py-5{padding-bottom:3rem!important}.pl-5,.px-5{padding-left:3rem!important}.m-n1{margin:-.25rem!important}.mt-n1,.my-n1{margin-top:-.25rem!important}.mr-n1,.mx-n1{margin-right:-.25rem!important}.mb-n1,.my-n1{margin-bottom:-.25rem!important}.ml-n1,.mx-n1{margin-left:-.25rem!important}.m-n2{margin:-.5rem!important}.mt-n2,.my-n2{margin-top:-.5rem!important}.mr-n2,.mx-n2{margin-right:-.5rem!important}.mb-n2,.my-n2{margin-bottom:-.5rem!important}.ml-n2,.mx-n2{margin-left:-.5rem!important}.m-n3{margin:-1rem!important}.mt-n3,.my-n3{margin-top:-1rem!important}.mr-n3,.mx-n3{margin-right:-1rem!important}.mb-n3,.my-n3{margin-bottom:-1rem!important}.ml-n3,.mx-n3{margin-left:-1rem!important}.m-n4{margin:-1.5rem!important}.mt-n4,.my-n4{margin-top:-1.5rem!important}.mr-n4,.mx-n4{margin-right:-1.5rem!important}.mb-n4,.my-n4{margin-bottom:-1.5rem!important}.ml-n4,.mx-n4{margin-left:-1.5rem!important}.m-n5{margin:-3rem!important}.mt-n5,.my-n5{margin-top:-3rem!important}.mr-n5,.mx-n5{margin-right:-3rem!important}.mb-n5,.my-n5{margin-bottom:-3rem!important}.ml-n5,.mx-n5{margin-left:-3rem!important}.m-auto{margin:auto!important}.mt-auto,.my-auto{margin-top:auto!important}.mr-auto,.mx-auto{margin-right:auto!important}.mb-auto,.my-auto{margin-bottom:auto!important}.ml-auto,.mx-auto{margin-left:auto!important}@media (min-width:540px){.m-sm-0{margin:0!important}.mt-sm-0,.my-sm-0{margin-top:0!important}.mr-sm-0,.mx-sm-0{margin-right:0!important}.mb-sm-0,.my-sm-0{margin-bottom:0!important}.ml-sm-0,.mx-sm-0{margin-left:0!important}.m-sm-1{margin:.25rem!important}.mt-sm-1,.my-sm-1{margin-top:.25rem!important}.mr-sm-1,.mx-sm-1{margin-right:.25rem!important}.mb-sm-1,.my-sm-1{margin-bottom:.25rem!important}.ml-sm-1,.mx-sm-1{margin-left:.25rem!important}.m-sm-2{margin:.5rem!important}.mt-sm-2,.my-sm-2{margin-top:.5rem!important}.mr-sm-2,.mx-sm-2{margin-right:.5rem!important}.mb-sm-2,.my-sm-2{margin-bottom:.5rem!important}.ml-sm-2,.mx-sm-2{margin-left:.5rem!important}.m-sm-3{margin:1rem!important}.mt-sm-3,.my-sm-3{margin-top:1rem!important}.mr-sm-3,.mx-sm-3{margin-right:1rem!important}.mb-sm-3,.my-sm-3{margin-bottom:1rem!important}.ml-sm-3,.mx-sm-3{margin-left:1rem!important}.m-sm-4{margin:1.5rem!important}.mt-sm-4,.my-sm-4{margin-top:1.5rem!important}.mr-sm-4,.mx-sm-4{margin-right:1.5rem!important}.mb-sm-4,.my-sm-4{margin-bottom:1.5rem!important}.ml-sm-4,.mx-sm-4{margin-left:1.5rem!important}.m-sm-5{margin:3rem!important}.mt-sm-5,.my-sm-5{margin-top:3rem!important}.mr-sm-5,.mx-sm-5{margin-right:3rem!important}.mb-sm-5,.my-sm-5{margin-bottom:3rem!important}.ml-sm-5,.mx-sm-5{margin-left:3rem!important}.p-sm-0{padding:0!important}.pt-sm-0,.py-sm-0{padding-top:0!important}.pr-sm-0,.px-sm-0{padding-right:0!important}.pb-sm-0,.py-sm-0{padding-bottom:0!important}.pl-sm-0,.px-sm-0{padding-left:0!important}.p-sm-1{padding:.25rem!important}.pt-sm-1,.py-sm-1{padding-top:.25rem!important}.pr-sm-1,.px-sm-1{padding-right:.25rem!important}.pb-sm-1,.py-sm-1{padding-bottom:.25rem!important}.pl-sm-1,.px-sm-1{padding-left:.25rem!important}.p-sm-2{padding:.5rem!important}.pt-sm-2,.py-sm-2{padding-top:.5rem!important}.pr-sm-2,.px-sm-2{padding-right:.5rem!important}.pb-sm-2,.py-sm-2{padding-bottom:.5rem!important}.pl-sm-2,.px-sm-2{padding-left:.5rem!important}.p-sm-3{padding:1rem!important}.pt-sm-3,.py-sm-3{padding-top:1rem!important}.pr-sm-3,.px-sm-3{padding-right:1rem!important}.pb-sm-3,.py-sm-3{padding-bottom:1rem!important}.pl-sm-3,.px-sm-3{padding-left:1rem!important}.p-sm-4{padding:1.5rem!important}.pt-sm-4,.py-sm-4{padding-top:1.5rem!important}.pr-sm-4,.px-sm-4{padding-right:1.5rem!important}.pb-sm-4,.py-sm-4{padding-bottom:1.5rem!important}.pl-sm-4,.px-sm-4{padding-left:1.5rem!important}.p-sm-5{padding:3rem!important}.pt-sm-5,.py-sm-5{padding-top:3rem!important}.pr-sm-5,.px-sm-5{padding-right:3rem!important}.pb-sm-5,.py-sm-5{padding-bottom:3rem!important}.pl-sm-5,.px-sm-5{padding-left:3rem!important}.m-sm-n1{margin:-.25rem!important}.mt-sm-n1,.my-sm-n1{margin-top:-.25rem!important}.mr-sm-n1,.mx-sm-n1{margin-right:-.25rem!important}.mb-sm-n1,.my-sm-n1{margin-bottom:-.25rem!important}.ml-sm-n1,.mx-sm-n1{margin-left:-.25rem!important}.m-sm-n2{margin:-.5rem!important}.mt-sm-n2,.my-sm-n2{margin-top:-.5rem!important}.mr-sm-n2,.mx-sm-n2{margin-right:-.5rem!important}.mb-sm-n2,.my-sm-n2{margin-bottom:-.5rem!important}.ml-sm-n2,.mx-sm-n2{margin-left:-.5rem!important}.m-sm-n3{margin:-1rem!important}.mt-sm-n3,.my-sm-n3{margin-top:-1rem!important}.mr-sm-n3,.mx-sm-n3{margin-right:-1rem!important}.mb-sm-n3,.my-sm-n3{margin-bottom:-1rem!important}.ml-sm-n3,.mx-sm-n3{margin-left:-1rem!important}.m-sm-n4{margin:-1.5rem!important}.mt-sm-n4,.my-sm-n4{margin-top:-1.5rem!important}.mr-sm-n4,.mx-sm-n4{margin-right:-1.5rem!important}.mb-sm-n4,.my-sm-n4{margin-bottom:-1.5rem!important}.ml-sm-n4,.mx-sm-n4{margin-left:-1.5rem!important}.m-sm-n5{margin:-3rem!important}.mt-sm-n5,.my-sm-n5{margin-top:-3rem!important}.mr-sm-n5,.mx-sm-n5{margin-right:-3rem!important}.mb-sm-n5,.my-sm-n5{margin-bottom:-3rem!important}.ml-sm-n5,.mx-sm-n5{margin-left:-3rem!important}.m-sm-auto{margin:auto!important}.mt-sm-auto,.my-sm-auto{margin-top:auto!important}.mr-sm-auto,.mx-sm-auto{margin-right:auto!important}.mb-sm-auto,.my-sm-auto{margin-bottom:auto!important}.ml-sm-auto,.mx-sm-auto{margin-left:auto!important}}@media (min-width:720px){.m-md-0{margin:0!important}.mt-md-0,.my-md-0{margin-top:0!important}.mr-md-0,.mx-md-0{margin-right:0!important}.mb-md-0,.my-md-0{margin-bottom:0!important}.ml-md-0,.mx-md-0{margin-left:0!important}.m-md-1{margin:.25rem!important}.mt-md-1,.my-md-1{margin-top:.25rem!important}.mr-md-1,.mx-md-1{margin-right:.25rem!important}.mb-md-1,.my-md-1{margin-bottom:.25rem!important}.ml-md-1,.mx-md-1{margin-left:.25rem!important}.m-md-2{margin:.5rem!important}.mt-md-2,.my-md-2{margin-top:.5rem!important}.mr-md-2,.mx-md-2{margin-right:.5rem!important}.mb-md-2,.my-md-2{margin-bottom:.5rem!important}.ml-md-2,.mx-md-2{margin-left:.5rem!important}.m-md-3{margin:1rem!important}.mt-md-3,.my-md-3{margin-top:1rem!important}.mr-md-3,.mx-md-3{margin-right:1rem!important}.mb-md-3,.my-md-3{margin-bottom:1rem!important}.ml-md-3,.mx-md-3{margin-left:1rem!important}.m-md-4{margin:1.5rem!important}.mt-md-4,.my-md-4{margin-top:1.5rem!important}.mr-md-4,.mx-md-4{margin-right:1.5rem!important}.mb-md-4,.my-md-4{margin-bottom:1.5rem!important}.ml-md-4,.mx-md-4{margin-left:1.5rem!important}.m-md-5{margin:3rem!important}.mt-md-5,.my-md-5{margin-top:3rem!important}.mr-md-5,.mx-md-5{margin-right:3rem!important}.mb-md-5,.my-md-5{margin-bottom:3rem!important}.ml-md-5,.mx-md-5{margin-left:3rem!important}.p-md-0{padding:0!important}.pt-md-0,.py-md-0{padding-top:0!important}.pr-md-0,.px-md-0{padding-right:0!important}.pb-md-0,.py-md-0{padding-bottom:0!important}.pl-md-0,.px-md-0{padding-left:0!important}.p-md-1{padding:.25rem!important}.pt-md-1,.py-md-1{padding-top:.25rem!important}.pr-md-1,.px-md-1{padding-right:.25rem!important}.pb-md-1,.py-md-1{padding-bottom:.25rem!important}.pl-md-1,.px-md-1{padding-left:.25rem!important}.p-md-2{padding:.5rem!important}.pt-md-2,.py-md-2{padding-top:.5rem!important}.pr-md-2,.px-md-2{padding-right:.5rem!important}.pb-md-2,.py-md-2{padding-bottom:.5rem!important}.pl-md-2,.px-md-2{padding-left:.5rem!important}.p-md-3{padding:1rem!important}.pt-md-3,.py-md-3{padding-top:1rem!important}.pr-md-3,.px-md-3{padding-right:1rem!important}.pb-md-3,.py-md-3{padding-bottom:1rem!important}.pl-md-3,.px-md-3{padding-left:1rem!important}.p-md-4{padding:1.5rem!important}.pt-md-4,.py-md-4{padding-top:1.5rem!important}.pr-md-4,.px-md-4{padding-right:1.5rem!important}.pb-md-4,.py-md-4{padding-bottom:1.5rem!important}.pl-md-4,.px-md-4{padding-left:1.5rem!important}.p-md-5{padding:3rem!important}.pt-md-5,.py-md-5{padding-top:3rem!important}.pr-md-5,.px-md-5{padding-right:3rem!important}.pb-md-5,.py-md-5{padding-bottom:3rem!important}.pl-md-5,.px-md-5{padding-left:3rem!important}.m-md-n1{margin:-.25rem!important}.mt-md-n1,.my-md-n1{margin-top:-.25rem!important}.mr-md-n1,.mx-md-n1{margin-right:-.25rem!important}.mb-md-n1,.my-md-n1{margin-bottom:-.25rem!important}.ml-md-n1,.mx-md-n1{margin-left:-.25rem!important}.m-md-n2{margin:-.5rem!important}.mt-md-n2,.my-md-n2{margin-top:-.5rem!important}.mr-md-n2,.mx-md-n2{margin-right:-.5rem!important}.mb-md-n2,.my-md-n2{margin-bottom:-.5rem!important}.ml-md-n2,.mx-md-n2{margin-left:-.5rem!important}.m-md-n3{margin:-1rem!important}.mt-md-n3,.my-md-n3{margin-top:-1rem!important}.mr-md-n3,.mx-md-n3{margin-right:-1rem!important}.mb-md-n3,.my-md-n3{margin-bottom:-1rem!important}.ml-md-n3,.mx-md-n3{margin-left:-1rem!important}.m-md-n4{margin:-1.5rem!important}.mt-md-n4,.my-md-n4{margin-top:-1.5rem!important}.mr-md-n4,.mx-md-n4{margin-right:-1.5rem!important}.mb-md-n4,.my-md-n4{margin-bottom:-1.5rem!important}.ml-md-n4,.mx-md-n4{margin-left:-1.5rem!important}.m-md-n5{margin:-3rem!important}.mt-md-n5,.my-md-n5{margin-top:-3rem!important}.mr-md-n5,.mx-md-n5{margin-right:-3rem!important}.mb-md-n5,.my-md-n5{margin-bottom:-3rem!important}.ml-md-n5,.mx-md-n5{margin-left:-3rem!important}.m-md-auto{margin:auto!important}.mt-md-auto,.my-md-auto{margin-top:auto!important}.mr-md-auto,.mx-md-auto{margin-right:auto!important}.mb-md-auto,.my-md-auto{margin-bottom:auto!important}.ml-md-auto,.mx-md-auto{margin-left:auto!important}}@media (min-width:960px){.m-lg-0{margin:0!important}.mt-lg-0,.my-lg-0{margin-top:0!important}.mr-lg-0,.mx-lg-0{margin-right:0!important}.mb-lg-0,.my-lg-0{margin-bottom:0!important}.ml-lg-0,.mx-lg-0{margin-left:0!important}.m-lg-1{margin:.25rem!important}.mt-lg-1,.my-lg-1{margin-top:.25rem!important}.mr-lg-1,.mx-lg-1{margin-right:.25rem!important}.mb-lg-1,.my-lg-1{margin-bottom:.25rem!important}.ml-lg-1,.mx-lg-1{margin-left:.25rem!important}.m-lg-2{margin:.5rem!important}.mt-lg-2,.my-lg-2{margin-top:.5rem!important}.mr-lg-2,.mx-lg-2{margin-right:.5rem!important}.mb-lg-2,.my-lg-2{margin-bottom:.5rem!important}.ml-lg-2,.mx-lg-2{margin-left:.5rem!important}.m-lg-3{margin:1rem!important}.mt-lg-3,.my-lg-3{margin-top:1rem!important}.mr-lg-3,.mx-lg-3{margin-right:1rem!important}.mb-lg-3,.my-lg-3{margin-bottom:1rem!important}.ml-lg-3,.mx-lg-3{margin-left:1rem!important}.m-lg-4{margin:1.5rem!important}.mt-lg-4,.my-lg-4{margin-top:1.5rem!important}.mr-lg-4,.mx-lg-4{margin-right:1.5rem!important}.mb-lg-4,.my-lg-4{margin-bottom:1.5rem!important}.ml-lg-4,.mx-lg-4{margin-left:1.5rem!important}.m-lg-5{margin:3rem!important}.mt-lg-5,.my-lg-5{margin-top:3rem!important}.mr-lg-5,.mx-lg-5{margin-right:3rem!important}.mb-lg-5,.my-lg-5{margin-bottom:3rem!important}.ml-lg-5,.mx-lg-5{margin-left:3rem!important}.p-lg-0{padding:0!important}.pt-lg-0,.py-lg-0{padding-top:0!important}.pr-lg-0,.px-lg-0{padding-right:0!important}.pb-lg-0,.py-lg-0{padding-bottom:0!important}.pl-lg-0,.px-lg-0{padding-left:0!important}.p-lg-1{padding:.25rem!important}.pt-lg-1,.py-lg-1{padding-top:.25rem!important}.pr-lg-1,.px-lg-1{padding-right:.25rem!important}.pb-lg-1,.py-lg-1{padding-bottom:.25rem!important}.pl-lg-1,.px-lg-1{padding-left:.25rem!important}.p-lg-2{padding:.5rem!important}.pt-lg-2,.py-lg-2{padding-top:.5rem!important}.pr-lg-2,.px-lg-2{padding-right:.5rem!important}.pb-lg-2,.py-lg-2{padding-bottom:.5rem!important}.pl-lg-2,.px-lg-2{padding-left:.5rem!important}.p-lg-3{padding:1rem!important}.pt-lg-3,.py-lg-3{padding-top:1rem!important}.pr-lg-3,.px-lg-3{padding-right:1rem!important}.pb-lg-3,.py-lg-3{padding-bottom:1rem!important}.pl-lg-3,.px-lg-3{padding-left:1rem!important}.p-lg-4{padding:1.5rem!important}.pt-lg-4,.py-lg-4{padding-top:1.5rem!important}.pr-lg-4,.px-lg-4{padding-right:1.5rem!important}.pb-lg-4,.py-lg-4{padding-bottom:1.5rem!important}.pl-lg-4,.px-lg-4{padding-left:1.5rem!important}.p-lg-5{padding:3rem!important}.pt-lg-5,.py-lg-5{padding-top:3rem!important}.pr-lg-5,.px-lg-5{padding-right:3rem!important}.pb-lg-5,.py-lg-5{padding-bottom:3rem!important}.pl-lg-5,.px-lg-5{padding-left:3rem!important}.m-lg-n1{margin:-.25rem!important}.mt-lg-n1,.my-lg-n1{margin-top:-.25rem!important}.mr-lg-n1,.mx-lg-n1{margin-right:-.25rem!important}.mb-lg-n1,.my-lg-n1{margin-bottom:-.25rem!important}.ml-lg-n1,.mx-lg-n1{margin-left:-.25rem!important}.m-lg-n2{margin:-.5rem!important}.mt-lg-n2,.my-lg-n2{margin-top:-.5rem!important}.mr-lg-n2,.mx-lg-n2{margin-right:-.5rem!important}.mb-lg-n2,.my-lg-n2{margin-bottom:-.5rem!important}.ml-lg-n2,.mx-lg-n2{margin-left:-.5rem!important}.m-lg-n3{margin:-1rem!important}.mt-lg-n3,.my-lg-n3{margin-top:-1rem!important}.mr-lg-n3,.mx-lg-n3{margin-right:-1rem!important}.mb-lg-n3,.my-lg-n3{margin-bottom:-1rem!important}.ml-lg-n3,.mx-lg-n3{margin-left:-1rem!important}.m-lg-n4{margin:-1.5rem!important}.mt-lg-n4,.my-lg-n4{margin-top:-1.5rem!important}.mr-lg-n4,.mx-lg-n4{margin-right:-1.5rem!important}.mb-lg-n4,.my-lg-n4{margin-bottom:-1.5rem!important}.ml-lg-n4,.mx-lg-n4{margin-left:-1.5rem!important}.m-lg-n5{margin:-3rem!important}.mt-lg-n5,.my-lg-n5{margin-top:-3rem!important}.mr-lg-n5,.mx-lg-n5{margin-right:-3rem!important}.mb-lg-n5,.my-lg-n5{margin-bottom:-3rem!important}.ml-lg-n5,.mx-lg-n5{margin-left:-3rem!important}.m-lg-auto{margin:auto!important}.mt-lg-auto,.my-lg-auto{margin-top:auto!important}.mr-lg-auto,.mx-lg-auto{margin-right:auto!important}.mb-lg-auto,.my-lg-auto{margin-bottom:auto!important}.ml-lg-auto,.mx-lg-auto{margin-left:auto!important}}@media (min-width:1200px){.m-xl-0{margin:0!important}.mt-xl-0,.my-xl-0{margin-top:0!important}.mr-xl-0,.mx-xl-0{margin-right:0!important}.mb-xl-0,.my-xl-0{margin-bottom:0!important}.ml-xl-0,.mx-xl-0{margin-left:0!important}.m-xl-1{margin:.25rem!important}.mt-xl-1,.my-xl-1{margin-top:.25rem!important}.mr-xl-1,.mx-xl-1{margin-right:.25rem!important}.mb-xl-1,.my-xl-1{margin-bottom:.25rem!important}.ml-xl-1,.mx-xl-1{margin-left:.25rem!important}.m-xl-2{margin:.5rem!important}.mt-xl-2,.my-xl-2{margin-top:.5rem!important}.mr-xl-2,.mx-xl-2{margin-right:.5rem!important}.mb-xl-2,.my-xl-2{margin-bottom:.5rem!important}.ml-xl-2,.mx-xl-2{margin-left:.5rem!important}.m-xl-3{margin:1rem!important}.mt-xl-3,.my-xl-3{margin-top:1rem!important}.mr-xl-3,.mx-xl-3{margin-right:1rem!important}.mb-xl-3,.my-xl-3{margin-bottom:1rem!important}.ml-xl-3,.mx-xl-3{margin-left:1rem!important}.m-xl-4{margin:1.5rem!important}.mt-xl-4,.my-xl-4{margin-top:1.5rem!important}.mr-xl-4,.mx-xl-4{margin-right:1.5rem!important}.mb-xl-4,.my-xl-4{margin-bottom:1.5rem!important}.ml-xl-4,.mx-xl-4{margin-left:1.5rem!important}.m-xl-5{margin:3rem!important}.mt-xl-5,.my-xl-5{margin-top:3rem!important}.mr-xl-5,.mx-xl-5{margin-right:3rem!important}.mb-xl-5,.my-xl-5{margin-bottom:3rem!important}.ml-xl-5,.mx-xl-5{margin-left:3rem!important}.p-xl-0{padding:0!important}.pt-xl-0,.py-xl-0{padding-top:0!important}.pr-xl-0,.px-xl-0{padding-right:0!important}.pb-xl-0,.py-xl-0{padding-bottom:0!important}.pl-xl-0,.px-xl-0{padding-left:0!important}.p-xl-1{padding:.25rem!important}.pt-xl-1,.py-xl-1{padding-top:.25rem!important}.pr-xl-1,.px-xl-1{padding-right:.25rem!important}.pb-xl-1,.py-xl-1{padding-bottom:.25rem!important}.pl-xl-1,.px-xl-1{padding-left:.25rem!important}.p-xl-2{padding:.5rem!important}.pt-xl-2,.py-xl-2{padding-top:.5rem!important}.pr-xl-2,.px-xl-2{padding-right:.5rem!important}.pb-xl-2,.py-xl-2{padding-bottom:.5rem!important}.pl-xl-2,.px-xl-2{padding-left:.5rem!important}.p-xl-3{padding:1rem!important}.pt-xl-3,.py-xl-3{padding-top:1rem!important}.pr-xl-3,.px-xl-3{padding-right:1rem!important}.pb-xl-3,.py-xl-3{padding-bottom:1rem!important}.pl-xl-3,.px-xl-3{padding-left:1rem!important}.p-xl-4{padding:1.5rem!important}.pt-xl-4,.py-xl-4{padding-top:1.5rem!important}.pr-xl-4,.px-xl-4{padding-right:1.5rem!important}.pb-xl-4,.py-xl-4{padding-bottom:1.5rem!important}.pl-xl-4,.px-xl-4{padding-left:1.5rem!important}.p-xl-5{padding:3rem!important}.pt-xl-5,.py-xl-5{padding-top:3rem!important}.pr-xl-5,.px-xl-5{padding-right:3rem!important}.pb-xl-5,.py-xl-5{padding-bottom:3rem!important}.pl-xl-5,.px-xl-5{padding-left:3rem!important}.m-xl-n1{margin:-.25rem!important}.mt-xl-n1,.my-xl-n1{margin-top:-.25rem!important}.mr-xl-n1,.mx-xl-n1{margin-right:-.25rem!important}.mb-xl-n1,.my-xl-n1{margin-bottom:-.25rem!important}.ml-xl-n1,.mx-xl-n1{margin-left:-.25rem!important}.m-xl-n2{margin:-.5rem!important}.mt-xl-n2,.my-xl-n2{margin-top:-.5rem!important}.mr-xl-n2,.mx-xl-n2{margin-right:-.5rem!important}.mb-xl-n2,.my-xl-n2{margin-bottom:-.5rem!important}.ml-xl-n2,.mx-xl-n2{margin-left:-.5rem!important}.m-xl-n3{margin:-1rem!important}.mt-xl-n3,.my-xl-n3{margin-top:-1rem!important}.mr-xl-n3,.mx-xl-n3{margin-right:-1rem!important}.mb-xl-n3,.my-xl-n3{margin-bottom:-1rem!important}.ml-xl-n3,.mx-xl-n3{margin-left:-1rem!important}.m-xl-n4{margin:-1.5rem!important}.mt-xl-n4,.my-xl-n4{margin-top:-1.5rem!important}.mr-xl-n4,.mx-xl-n4{margin-right:-1.5rem!important}.mb-xl-n4,.my-xl-n4{margin-bottom:-1.5rem!important}.ml-xl-n4,.mx-xl-n4{margin-left:-1.5rem!important}.m-xl-n5{margin:-3rem!important}.mt-xl-n5,.my-xl-n5{margin-top:-3rem!important}.mr-xl-n5,.mx-xl-n5{margin-right:-3rem!important}.mb-xl-n5,.my-xl-n5{margin-bottom:-3rem!important}.ml-xl-n5,.mx-xl-n5{margin-left:-3rem!important}.m-xl-auto{margin:auto!important}.mt-xl-auto,.my-xl-auto{margin-top:auto!important}.mr-xl-auto,.mx-xl-auto{margin-right:auto!important}.mb-xl-auto,.my-xl-auto{margin-bottom:auto!important}.ml-xl-auto,.mx-xl-auto{margin-left:auto!important}}.stretched-link:after{position:absolute;top:0;right:0;bottom:0;left:0;z-index:1;pointer-events:auto;content:"";background-color:transparent}.text-monospace{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,monospace!important}.text-justify{text-align:justify!important}.text-wrap{white-space:normal!important}.text-nowrap{white-space:nowrap!important}.text-truncate{overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.text-left{text-align:left!important}.text-right{text-align:right!important}.text-center{text-align:center!important}@media (min-width:540px){.text-sm-left{text-align:left!important}.text-sm-right{text-align:right!important}.text-sm-center{text-align:center!important}}@media (min-width:720px){.text-md-left{text-align:left!important}.text-md-right{text-align:right!important}.text-md-center{text-align:center!important}}@media (min-width:960px){.text-lg-left{text-align:left!important}.text-lg-right{text-align:right!important}.text-lg-center{text-align:center!important}}@media (min-width:1200px){.text-xl-left{text-align:left!important}.text-xl-right{text-align:right!important}.text-xl-center{text-align:center!important}}.text-lowercase{text-transform:lowercase!important}.text-uppercase{text-transform:uppercase!important}.text-capitalize{text-transform:capitalize!important}.font-weight-light{font-weight:300!important}.font-weight-lighter{font-weight:lighter!important}.font-weight-normal{font-weight:400!important}.font-weight-bold{font-weight:700!important}.font-weight-bolder{font-weight:bolder!important}.font-italic{font-style:italic!important}.text-white{color:#fff!important}.text-primary{color:#007bff!important}a.text-primary:focus,a.text-primary:hover{color:#0056b3!important}.text-secondary{color:#6c757d!important}a.text-secondary:focus,a.text-secondary:hover{color:#494f54!important}.text-success{color:#28a745!important}a.text-success:focus,a.text-success:hover{color:#19692c!important}.text-info{color:#17a2b8!important}a.text-info:focus,a.text-info:hover{color:#0f6674!important}.text-warning{color:#ffc107!important}a.text-warning:focus,a.text-warning:hover{color:#ba8b00!important}.text-danger{color:#dc3545!important}a.text-danger:focus,a.text-danger:hover{color:#a71d2a!important}.text-light{color:#f8f9fa!important}a.text-light:focus,a.text-light:hover{color:#cbd3da!important}.text-dark{color:#343a40!important}a.text-dark:focus,a.text-dark:hover{color:#121416!important}.text-body{color:#212529!important}.text-muted{color:#6c757d!important}.text-black-50{color:rgba(0,0,0,.5)!important}.text-white-50{color:hsla(0,0%,100%,.5)!important}.text-hide{font:0/0 a;color:transparent;text-shadow:none;background-color:transparent;border:0}.text-decoration-none{text-decoration:none!important}.text-break{word-wrap:break-word!important}.text-reset{color:inherit!important}.visible{visibility:visible!important}.invisible{visibility:hidden!important}@media print{*,:after,:before{text-shadow:none!important;box-shadow:none!important}a:not(.btn){text-decoration:underline}abbr[title]:after{content:" (" attr(title) ")"}pre{white-space:pre-wrap!important}blockquote,pre{border:1px solid #adb5bd;page-break-inside:avoid}thead{display:table-header-group}img,tr{page-break-inside:avoid}h2,h3,p{orphans:3;widows:3}h2,h3{page-break-after:avoid}@page{size:a3}.container,body{min-width:960px!important}.navbar{display:none}.badge{border:1px solid #000}.table{border-collapse:collapse!important}.table td,.table th{background-color:#fff!important}.table-bordered td,.table-bordered th{border:1px solid #dee2e6!important}.table-dark{color:inherit}.table-dark tbody+tbody,.table-dark td,.table-dark th,.table-dark thead th{border-color:#dee2e6}.table .thead-dark th{color:inherit;border-color:#dee2e6}}html{font-size:var(--pst-font-size-base);scroll-padding-top:calc(var(--pst-header-height) + 12px)}body{padding-top:calc(var(--pst-header-height) + 20px);background-color:#fff;font-family:var(--pst-font-family-base);font-weight:400;line-height:1.65;color:rgba(var(--pst-color-text-base),1)}p{margin-bottom:1.15rem;font-size:1em;color:rgba(var(--pst-color-paragraph),1)}p.rubric{border-bottom:1px solid #c9c9c9}a{color:rgba(var(--pst-color-link),1);text-decoration:none}a:hover{color:rgba(var(--pst-color-link-hover),1);text-decoration:underline}a.headerlink{color:rgba(var(--pst-color-headerlink),1);font-size:.8em;padding:0 4px;text-decoration:none}a.headerlink:hover{background-color:rgba(var(--pst-color-headerlink),1);color:rgba(var(--pst-color-headerlink-hover),1)}.heading-style,h1,h2,h3,h4,h5,h6{margin:2.75rem 0 1.05rem;font-family:var(--pst-font-family-heading);font-weight:400;line-height:1.15}h1{margin-top:0;font-size:var(--pst-font-size-h1);color:rgba(var(--pst-color-h1),1)}h2{font-size:var(--pst-font-size-h2);color:rgba(var(--pst-color-h2),1)}h3{font-size:var(--pst-font-size-h3);color:rgba(var(--pst-color-h3),1)}h4{font-size:var(--pst-font-size-h4);color:rgba(var(--pst-color-h4),1)}h5{font-size:var(--pst-font-size-h5);color:rgba(var(--pst-color-h5),1)}h6{font-size:var(--pst-font-size-h6);color:rgba(var(--pst-color-h6),1)}.text_small,small{font-size:var(--pst-font-size-milli)}hr{border:0;border-top:1px solid #e5e5e5}code,kbd,pre,samp{font-family:var(--pst-font-family-monospace)}code{color:rgba(var(--pst-color-inline-code),1)}pre{margin:1.5em 0;padding:10px;background-color:rgba(var(--pst-color-preformatted-background),1);color:rgba(var(--pst-color-preformatted-text),1);line-height:1.2em;border:1px solid #c9c9c9;box-shadow:1px 1px 1px #d8d8d8}.navbar{position:fixed;min-height:var(--pst-header-height);width:100%;padding:0}.navbar .container-xl{height:100%}@media (min-width:960px){.navbar #navbar-end>.navbar-end-item{display:inline-block}}.navbar-brand{position:relative;height:var(--pst-header-height);width:auto;padding:.5rem 0}.navbar-brand img{max-width:100%;height:100%;width:auto}.navbar-light{background:#fff!important;box-shadow:0 .125rem .25rem 0 rgba(0,0,0,.11)}.navbar-light .navbar-nav li a.nav-link{padding:0 .5rem;color:rgba(var(--pst-color-navbar-link),1)}.navbar-light .navbar-nav li a.nav-link:hover{color:rgba(var(--pst-color-navbar-link-hover),1)}.navbar-light .navbar-nav>.active>.nav-link{font-weight:600;color:rgba(var(--pst-color-navbar-link-active),1)}.navbar-header a{padding:0 15px}.admonition{margin:1.5625em auto;padding:0 .6rem .8rem!important;overflow:hidden;page-break-inside:avoid;border-left:.2rem solid;border-left-color:rgba(var(--pst-color-admonition-default),1);border-bottom-color:rgba(var(--pst-color-admonition-default),1);border-right-color:rgba(var(--pst-color-admonition-default),1);border-top-color:rgba(var(--pst-color-admonition-default),1);border-radius:.1rem;box-shadow:0 .2rem .5rem rgba(0,0,0,.05),0 0 .05rem rgba(0,0,0,.1);transition:color .25s,background-color .25s,border-color .25s}.admonition :last-child{margin-bottom:0}.admonition p.admonition-title~*{padding:0 1.4rem}.admonition>ol,.admonition>ul{margin-left:1em}.admonition .admonition-title{position:relative;margin:0 -.6rem!important;padding:.4rem .6rem .4rem 2rem;font-weight:700;background-color:rgba(var(--pst-color-admonition-default),.1)}.admonition .admonition-title:before{position:absolute;left:.6rem;width:1rem;height:1rem;color:rgba(var(--pst-color-admonition-default),1);font-family:Font Awesome\ 5 Free;font-weight:900;content:var(--pst-icon-admonition-default)}.admonition .admonition-title+*{margin-top:.4em}.admonition.attention{border-color:rgba(var(--pst-color-admonition-attention),1)}.admonition.attention .admonition-title{background-color:rgba(var(--pst-color-admonition-attention),.1)}.admonition.attention .admonition-title:before{color:rgba(var(--pst-color-admonition-attention),1);content:var(--pst-icon-admonition-attention)}.admonition.caution{border-color:rgba(var(--pst-color-admonition-caution),1)}.admonition.caution .admonition-title{background-color:rgba(var(--pst-color-admonition-caution),.1)}.admonition.caution .admonition-title:before{color:rgba(var(--pst-color-admonition-caution),1);content:var(--pst-icon-admonition-caution)}.admonition.warning{border-color:rgba(var(--pst-color-admonition-warning),1)}.admonition.warning .admonition-title{background-color:rgba(var(--pst-color-admonition-warning),.1)}.admonition.warning .admonition-title:before{color:rgba(var(--pst-color-admonition-warning),1);content:var(--pst-icon-admonition-warning)}.admonition.danger{border-color:rgba(var(--pst-color-admonition-danger),1)}.admonition.danger .admonition-title{background-color:rgba(var(--pst-color-admonition-danger),.1)}.admonition.danger .admonition-title:before{color:rgba(var(--pst-color-admonition-danger),1);content:var(--pst-icon-admonition-danger)}.admonition.error{border-color:rgba(var(--pst-color-admonition-error),1)}.admonition.error .admonition-title{background-color:rgba(var(--pst-color-admonition-error),.1)}.admonition.error .admonition-title:before{color:rgba(var(--pst-color-admonition-error),1);content:var(--pst-icon-admonition-error)}.admonition.hint{border-color:rgba(var(--pst-color-admonition-hint),1)}.admonition.hint .admonition-title{background-color:rgba(var(--pst-color-admonition-hint),.1)}.admonition.hint .admonition-title:before{color:rgba(var(--pst-color-admonition-hint),1);content:var(--pst-icon-admonition-hint)}.admonition.tip{border-color:rgba(var(--pst-color-admonition-tip),1)}.admonition.tip .admonition-title{background-color:rgba(var(--pst-color-admonition-tip),.1)}.admonition.tip .admonition-title:before{color:rgba(var(--pst-color-admonition-tip),1);content:var(--pst-icon-admonition-tip)}.admonition.important{border-color:rgba(var(--pst-color-admonition-important),1)}.admonition.important .admonition-title{background-color:rgba(var(--pst-color-admonition-important),.1)}.admonition.important .admonition-title:before{color:rgba(var(--pst-color-admonition-important),1);content:var(--pst-icon-admonition-important)}.admonition.note{border-color:rgba(var(--pst-color-admonition-note),1)}.admonition.note .admonition-title{background-color:rgba(var(--pst-color-admonition-note),.1)}.admonition.note .admonition-title:before{color:rgba(var(--pst-color-admonition-note),1);content:var(--pst-icon-admonition-note)}div.deprecated{margin-bottom:10px;margin-top:10px;padding:7px;background-color:#f3e5e5;border:1px solid #eed3d7;border-radius:.5rem}div.deprecated p{color:#b94a48;display:inline}.topic{background-color:#eee}.seealso dd{margin-top:0;margin-bottom:0}.viewcode-back{font-family:var(--pst-font-family-base)}.viewcode-block:target{background-color:#f4debf;border-top:1px solid #ac9;border-bottom:1px solid #ac9}span.guilabel{border:1px solid #7fbbe3;background:#e7f2fa;font-size:80%;font-weight:700;border-radius:4px;padding:2.4px 6px;margin:auto 2px}table.field-list{border-collapse:separate;border-spacing:10px;margin-left:1px}table.field-list th.field-name{padding:1px 8px 1px 5px;white-space:nowrap;background-color:#eee}table.field-list td.field-body p{font-style:italic}table.field-list td.field-body p>strong{font-style:normal}table.field-list td.field-body blockquote{border-left:none;margin:0 0 .3em;padding-left:30px}.table.autosummary td:first-child{white-space:nowrap}footer{width:100%;border-top:1px solid #ccc;padding:10px}footer .footer-item p{margin-bottom:0}.bd-search{position:relative;padding:1rem 15px;margin-right:-15px;margin-left:-15px}.bd-search .icon{position:absolute;color:#a4a6a7;left:25px;top:25px}.bd-search input{border-radius:0;border:0;border-bottom:1px solid #e5e5e5;padding-left:35px}.bd-toc{-ms-flex-order:2;order:2;height:calc(100vh - 2rem);overflow-y:auto}@supports (position:-webkit-sticky) or (position:sticky){.bd-toc{position:-webkit-sticky;position:sticky;top:calc(var(--pst-header-height) + 20px);height:calc(100vh - 5rem);overflow-y:auto}}.bd-toc .onthispage{color:#a4a6a7}.section-nav{padding-left:0;border-left:1px solid #eee;border-bottom:none}.section-nav ul{padding-left:1rem}.toc-entry,.toc-entry a{display:block}.toc-entry a{padding:.125rem 1.5rem;color:rgba(var(--pst-color-toc-link),1)}@media (min-width:1200px){.toc-entry a{padding-right:0}}.toc-entry a:hover{color:rgba(var(--pst-color-toc-link-hover),1);text-decoration:none}.bd-sidebar{padding-top:1em}@media (min-width:720px){.bd-sidebar{border-right:1px solid rgba(0,0,0,.1)}@supports (position:-webkit-sticky) or (position:sticky){.bd-sidebar{position:-webkit-sticky;position:sticky;top:calc(var(--pst-header-height) + 20px);z-index:1000;height:calc(100vh - var(--pst-header-height) - 20px)}}}.bd-sidebar.no-sidebar{border-right:0}.bd-links{padding-top:1rem;padding-bottom:1rem;margin-right:-15px;margin-left:-15px}@media (min-width:720px){.bd-links{display:block!important}@supports (position:-webkit-sticky) or (position:sticky){.bd-links{max-height:calc(100vh - 11rem);overflow-y:auto}}}.bd-sidenav{display:none}.bd-content{padding-top:20px}.bd-content .section{max-width:100%}.bd-content .section table{display:block;overflow:auto}.bd-toc-link{display:block;padding:.25rem 1.5rem;font-weight:600;color:rgba(0,0,0,.65)}.bd-toc-link:hover{color:rgba(0,0,0,.85);text-decoration:none}.bd-toc-item.active{margin-bottom:1rem}.bd-toc-item.active:not(:first-child){margin-top:1rem}.bd-toc-item.active>.bd-toc-link{color:rgba(0,0,0,.85)}.bd-toc-item.active>.bd-toc-link:hover{background-color:transparent}.bd-toc-item.active>.bd-sidenav{display:block}nav.bd-links p.caption{font-size:var(--pst-sidebar-caption-font-size);text-transform:uppercase;font-weight:700;position:relative;margin-top:1.25em;margin-bottom:.5em;padding:0 1.5rem;color:rgba(var(--pst-color-sidebar-caption),1)}nav.bd-links p.caption:first-child{margin-top:0}.bd-sidebar .nav{font-size:var(--pst-sidebar-font-size)}.bd-sidebar .nav ul{list-style:none;padding:0 0 0 1.5rem}.bd-sidebar .nav li>a{display:block;padding:.25rem 1.5rem;color:rgba(var(--pst-color-sidebar-link),1)}.bd-sidebar .nav li>a:hover{color:rgba(var(--pst-color-sidebar-link-hover),1);text-decoration:none;background-color:transparent}.bd-sidebar .nav li>a.reference.external:after{font-family:Font Awesome\ 5 Free;font-weight:900;content:"\f35d";font-size:.75em;margin-left:.3em}.bd-sidebar .nav .active:hover>a,.bd-sidebar .nav .active>a{font-weight:600;color:rgba(var(--pst-color-sidebar-link-active),1)}.toc-h2{font-size:.85rem}.toc-h3{font-size:.75rem}.toc-h4{font-size:.65rem}.toc-entry>.nav-link.active{font-weight:600;color:#130654;color:rgba(var(--pst-color-toc-link-active),1);background-color:transparent;border-left:2px solid rgba(var(--pst-color-toc-link-active),1)}.nav-link:hover{border-style:none}#navbar-main-elements li.nav-item i{font-size:.7rem;padding-left:2px;vertical-align:middle}.bd-toc .nav .nav{display:none}.bd-toc .nav .nav.visible,.bd-toc .nav>.active>ul{display:block}.prev-next-bottom{margin:20px 0}.prev-next-bottom a.left-prev,.prev-next-bottom a.right-next{padding:10px;border:1px solid rgba(0,0,0,.2);max-width:45%;overflow-x:hidden;color:rgba(0,0,0,.65)}.prev-next-bottom a.left-prev{float:left}.prev-next-bottom a.left-prev:before{content:"<< "}.prev-next-bottom a.right-next{float:right}.prev-next-bottom a.right-next:after{content:" >>"}.alert{padding-bottom:0}.alert-info a{color:#e83e8c}#navbar-icon-links i.fa,#navbar-icon-links i.fab,#navbar-icon-links i.far,#navbar-icon-links i.fas{vertical-align:middle;font-style:normal;font-size:1.5rem;line-height:1.25}#navbar-icon-links i.fa-github-square:before{color:#333}#navbar-icon-links i.fa-twitter-square:before{color:#55acee}#navbar-icon-links i.fa-gitlab:before{color:#548}#navbar-icon-links i.fa-bitbucket:before{color:#0052cc}.tocsection{border-left:1px solid #eee;padding:.3rem 1.5rem}.tocsection i{padding-right:.5rem}.editthispage{padding-top:2rem}.editthispage a{color:#130754}.xr-wrap[hidden]{display:block!important}.toctree-checkbox{position:absolute;display:none}.toctree-checkbox~ul{display:none}.toctree-checkbox~label i{transform:rotate(0deg)}.toctree-checkbox:checked~ul{display:block}.toctree-checkbox:checked~label i{transform:rotate(180deg)}.bd-sidebar li{position:relative}.bd-sidebar label{position:absolute;top:0;right:0;height:30px;width:30px;cursor:pointer;display:flex;justify-content:center;align-items:center}.bd-sidebar label:hover{background:rgba(var(--pst-color-sidebar-expander-background-hover),1)}.bd-sidebar label i{display:inline-block;font-size:.75rem;text-align:center}.bd-sidebar label i:hover{color:rgba(var(--pst-color-sidebar-link-hover),1)}.bd-sidebar li.has-children>.reference{padding-right:30px}div.doctest>div.highlight span.gp,span.linenos,table.highlighttable td.linenos{user-select:none!important;-webkit-user-select:text!important;-webkit-user-select:none!important;-moz-user-select:none!important;-ms-user-select:none!important} \ No newline at end of file diff --git a/docs/source/_build/html/_static/css/theme.css b/docs/source/_build/html/_static/css/theme.css new file mode 100644 index 0000000..3f6e79d --- /dev/null +++ b/docs/source/_build/html/_static/css/theme.css @@ -0,0 +1,117 @@ +:root { + /***************************************************************************** + * Theme config + **/ + --pst-header-height: 60px; + + /***************************************************************************** + * Font size + **/ + --pst-font-size-base: 15px; /* base font size - applied at body / html level */ + + /* heading font sizes */ + --pst-font-size-h1: 36px; + --pst-font-size-h2: 32px; + --pst-font-size-h3: 26px; + --pst-font-size-h4: 21px; + --pst-font-size-h5: 18px; + --pst-font-size-h6: 16px; + + /* smaller then heading font sizes*/ + --pst-font-size-milli: 12px; + + --pst-sidebar-font-size: .9em; + --pst-sidebar-caption-font-size: .9em; + + /***************************************************************************** + * Font family + **/ + /* These are adapted from https://systemfontstack.com/ */ + --pst-font-family-base-system: -apple-system, BlinkMacSystemFont, Segoe UI, "Helvetica Neue", + Arial, sans-serif, Apple Color Emoji, Segoe UI Emoji, Segoe UI Symbol; + --pst-font-family-monospace-system: "SFMono-Regular", Menlo, Consolas, Monaco, + Liberation Mono, Lucida Console, monospace; + + --pst-font-family-base: var(--pst-font-family-base-system); + --pst-font-family-heading: var(--pst-font-family-base); + --pst-font-family-monospace: var(--pst-font-family-monospace-system); + + /***************************************************************************** + * Color + * + * Colors are defined in rgb string way, "red, green, blue" + **/ + --pst-color-primary: 19, 6, 84; + --pst-color-success: 40, 167, 69; + --pst-color-info: 0, 123, 255; /*23, 162, 184;*/ + --pst-color-warning: 255, 193, 7; + --pst-color-danger: 220, 53, 69; + --pst-color-text-base: 51, 51, 51; + + --pst-color-h1: var(--pst-color-primary); + --pst-color-h2: var(--pst-color-primary); + --pst-color-h3: var(--pst-color-text-base); + --pst-color-h4: var(--pst-color-text-base); + --pst-color-h5: var(--pst-color-text-base); + --pst-color-h6: var(--pst-color-text-base); + --pst-color-paragraph: var(--pst-color-text-base); + --pst-color-link: 0, 91, 129; + --pst-color-link-hover: 227, 46, 0; + --pst-color-headerlink: 198, 15, 15; + --pst-color-headerlink-hover: 255, 255, 255; + --pst-color-preformatted-text: 34, 34, 34; + --pst-color-preformatted-background: 250, 250, 250; + --pst-color-inline-code: 232, 62, 140; + + --pst-color-active-navigation: 19, 6, 84; + --pst-color-navbar-link: 77, 77, 77; + --pst-color-navbar-link-hover: var(--pst-color-active-navigation); + --pst-color-navbar-link-active: var(--pst-color-active-navigation); + --pst-color-sidebar-link: 77, 77, 77; + --pst-color-sidebar-link-hover: var(--pst-color-active-navigation); + --pst-color-sidebar-link-active: var(--pst-color-active-navigation); + --pst-color-sidebar-expander-background-hover: 244, 244, 244; + --pst-color-sidebar-caption: 77, 77, 77; + --pst-color-toc-link: 119, 117, 122; + --pst-color-toc-link-hover: var(--pst-color-active-navigation); + --pst-color-toc-link-active: var(--pst-color-active-navigation); + + /***************************************************************************** + * Icon + **/ + + /* font awesome icons*/ + --pst-icon-check-circle: '\f058'; + --pst-icon-info-circle: '\f05a'; + --pst-icon-exclamation-triangle: '\f071'; + --pst-icon-exclamation-circle: '\f06a'; + --pst-icon-times-circle: '\f057'; + --pst-icon-lightbulb: '\f0eb'; + + /***************************************************************************** + * Admonitions + **/ + + --pst-color-admonition-default: var(--pst-color-info); + --pst-color-admonition-note: var(--pst-color-info); + --pst-color-admonition-attention: var(--pst-color-warning); + --pst-color-admonition-caution: var(--pst-color-warning); + --pst-color-admonition-warning: var(--pst-color-warning); + --pst-color-admonition-danger: var(--pst-color-danger); + --pst-color-admonition-error: var(--pst-color-danger); + --pst-color-admonition-hint: var(--pst-color-success); + --pst-color-admonition-tip: var(--pst-color-success); + --pst-color-admonition-important: var(--pst-color-success); + + --pst-icon-admonition-default: var(--pst-icon-info-circle); + --pst-icon-admonition-note: var(--pst-icon-info-circle); + --pst-icon-admonition-attention: var(--pst-icon-exclamation-circle); + --pst-icon-admonition-caution: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-warning: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-danger: var(--pst-icon-exclamation-triangle); + --pst-icon-admonition-error: var(--pst-icon-times-circle); + --pst-icon-admonition-hint: var(--pst-icon-lightbulb); + --pst-icon-admonition-tip: var(--pst-icon-lightbulb); + --pst-icon-admonition-important: var(--pst-icon-exclamation-circle); + +} diff --git a/docs/source/_build/html/_static/doctools.js b/docs/source/_build/html/_static/doctools.js new file mode 100644 index 0000000..61ac9d2 --- /dev/null +++ b/docs/source/_build/html/_static/doctools.js @@ -0,0 +1,321 @@ +/* + * doctools.js + * ~~~~~~~~~~~ + * + * Sphinx JavaScript utilities for all documentation. + * + * :copyright: Copyright 2007-2021 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/** + * select a different prefix for underscore + */ +$u = _.noConflict(); + +/** + * make the code below compatible with browsers without + * an installed firebug like debugger +if (!window.console || !console.firebug) { + var names = ["log", "debug", "info", "warn", "error", "assert", "dir", + "dirxml", "group", "groupEnd", "time", "timeEnd", "count", "trace", + "profile", "profileEnd"]; + window.console = {}; + for (var i = 0; i < names.length; ++i) + window.console[names[i]] = function() {}; +} + */ + +/** + * small helper function to urldecode strings + * + * See https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/decodeURIComponent#Decoding_query_parameters_from_a_URL + */ +jQuery.urldecode = function(x) { + if (!x) { + return x + } + return decodeURIComponent(x.replace(/\+/g, ' ')); +}; + +/** + * small helper function to urlencode strings + */ +jQuery.urlencode = encodeURIComponent; + +/** + * This function returns the parsed url parameters of the + * current request. Multiple values per key are supported, + * it will always return arrays of strings for the value parts. + */ +jQuery.getQueryParameters = function(s) { + if (typeof s === 'undefined') + s = document.location.search; + var parts = s.substr(s.indexOf('?') + 1).split('&'); + var result = {}; + for (var i = 0; i < parts.length; i++) { + var tmp = parts[i].split('=', 2); + var key = jQuery.urldecode(tmp[0]); + var value = jQuery.urldecode(tmp[1]); + if (key in result) + result[key].push(value); + else + result[key] = [value]; + } + return result; +}; + +/** + * highlight a given string on a jquery object by wrapping it in + * span elements with the given class name. + */ +jQuery.fn.highlightText = function(text, className) { + function highlight(node, addItems) { + if (node.nodeType === 3) { + var val = node.nodeValue; + var pos = val.toLowerCase().indexOf(text); + if (pos >= 0 && + !jQuery(node.parentNode).hasClass(className) && + !jQuery(node.parentNode).hasClass("nohighlight")) { + var span; + var isInSVG = jQuery(node).closest("body, svg, foreignObject").is("svg"); + if (isInSVG) { + span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); + } else { + span = document.createElement("span"); + span.className = className; + } + span.appendChild(document.createTextNode(val.substr(pos, text.length))); + node.parentNode.insertBefore(span, node.parentNode.insertBefore( + document.createTextNode(val.substr(pos + text.length)), + node.nextSibling)); + node.nodeValue = val.substr(0, pos); + if (isInSVG) { + var rect = document.createElementNS("http://www.w3.org/2000/svg", "rect"); + var bbox = node.parentElement.getBBox(); + rect.x.baseVal.value = bbox.x; + rect.y.baseVal.value = bbox.y; + rect.width.baseVal.value = bbox.width; + rect.height.baseVal.value = bbox.height; + rect.setAttribute('class', className); + addItems.push({ + "parent": node.parentNode, + "target": rect}); + } + } + } + else if (!jQuery(node).is("button, select, textarea")) { + jQuery.each(node.childNodes, function() { + highlight(this, addItems); + }); + } + } + var addItems = []; + var result = this.each(function() { + highlight(this, addItems); + }); + for (var i = 0; i < addItems.length; ++i) { + jQuery(addItems[i].parent).before(addItems[i].target); + } + return result; +}; + +/* + * backward compatibility for jQuery.browser + * This will be supported until firefox bug is fixed. + */ +if (!jQuery.browser) { + jQuery.uaMatch = function(ua) { + ua = ua.toLowerCase(); + + var match = /(chrome)[ \/]([\w.]+)/.exec(ua) || + /(webkit)[ \/]([\w.]+)/.exec(ua) || + /(opera)(?:.*version|)[ \/]([\w.]+)/.exec(ua) || + /(msie) ([\w.]+)/.exec(ua) || + ua.indexOf("compatible") < 0 && /(mozilla)(?:.*? rv:([\w.]+)|)/.exec(ua) || + []; + + return { + browser: match[ 1 ] || "", + version: match[ 2 ] || "0" + }; + }; + jQuery.browser = {}; + jQuery.browser[jQuery.uaMatch(navigator.userAgent).browser] = true; +} + +/** + * Small JavaScript module for the documentation. + */ +var Documentation = { + + init : function() { + this.fixFirefoxAnchorBug(); + this.highlightSearchWords(); + this.initIndexTable(); + if (DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) { + this.initOnKeyListeners(); + } + }, + + /** + * i18n support + */ + TRANSLATIONS : {}, + PLURAL_EXPR : function(n) { return n === 1 ? 0 : 1; }, + LOCALE : 'unknown', + + // gettext and ngettext don't access this so that the functions + // can safely bound to a different name (_ = Documentation.gettext) + gettext : function(string) { + var translated = Documentation.TRANSLATIONS[string]; + if (typeof translated === 'undefined') + return string; + return (typeof translated === 'string') ? translated : translated[0]; + }, + + ngettext : function(singular, plural, n) { + var translated = Documentation.TRANSLATIONS[singular]; + if (typeof translated === 'undefined') + return (n == 1) ? singular : plural; + return translated[Documentation.PLURALEXPR(n)]; + }, + + addTranslations : function(catalog) { + for (var key in catalog.messages) + this.TRANSLATIONS[key] = catalog.messages[key]; + this.PLURAL_EXPR = new Function('n', 'return +(' + catalog.plural_expr + ')'); + this.LOCALE = catalog.locale; + }, + + /** + * add context elements like header anchor links + */ + addContextElements : function() { + $('div[id] > :header:first').each(function() { + $('\u00B6'). + attr('href', '#' + this.id). + attr('title', _('Permalink to this headline')). + appendTo(this); + }); + $('dt[id]').each(function() { + $('\u00B6'). + attr('href', '#' + this.id). + attr('title', _('Permalink to this definition')). + appendTo(this); + }); + }, + + /** + * workaround a firefox stupidity + * see: https://bugzilla.mozilla.org/show_bug.cgi?id=645075 + */ + fixFirefoxAnchorBug : function() { + if (document.location.hash && $.browser.mozilla) + window.setTimeout(function() { + document.location.href += ''; + }, 10); + }, + + /** + * highlight the search words provided in the url in the text + */ + highlightSearchWords : function() { + var params = $.getQueryParameters(); + var terms = (params.highlight) ? params.highlight[0].split(/\s+/) : []; + if (terms.length) { + var body = $('div.body'); + if (!body.length) { + body = $('body'); + } + window.setTimeout(function() { + $.each(terms, function() { + body.highlightText(this.toLowerCase(), 'highlighted'); + }); + }, 10); + $('') + .appendTo($('#searchbox')); + } + }, + + /** + * init the domain index toggle buttons + */ + initIndexTable : function() { + var togglers = $('img.toggler').click(function() { + var src = $(this).attr('src'); + var idnum = $(this).attr('id').substr(7); + $('tr.cg-' + idnum).toggle(); + if (src.substr(-9) === 'minus.png') + $(this).attr('src', src.substr(0, src.length-9) + 'plus.png'); + else + $(this).attr('src', src.substr(0, src.length-8) + 'minus.png'); + }).css('display', ''); + if (DOCUMENTATION_OPTIONS.COLLAPSE_INDEX) { + togglers.click(); + } + }, + + /** + * helper function to hide the search marks again + */ + hideSearchWords : function() { + $('#searchbox .highlight-link').fadeOut(300); + $('span.highlighted').removeClass('highlighted'); + }, + + /** + * make the url absolute + */ + makeURL : function(relativeURL) { + return DOCUMENTATION_OPTIONS.URL_ROOT + '/' + relativeURL; + }, + + /** + * get the current relative url + */ + getCurrentURL : function() { + var path = document.location.pathname; + var parts = path.split(/\//); + $.each(DOCUMENTATION_OPTIONS.URL_ROOT.split(/\//), function() { + if (this === '..') + parts.pop(); + }); + var url = parts.join('/'); + return path.substring(url.lastIndexOf('/') + 1, path.length - 1); + }, + + initOnKeyListeners: function() { + $(document).keydown(function(event) { + var activeElementType = document.activeElement.tagName; + // don't navigate when in search box, textarea, dropdown or button + if (activeElementType !== 'TEXTAREA' && activeElementType !== 'INPUT' && activeElementType !== 'SELECT' + && activeElementType !== 'BUTTON' && !event.altKey && !event.ctrlKey && !event.metaKey + && !event.shiftKey) { + switch (event.keyCode) { + case 37: // left + var prevHref = $('link[rel="prev"]').prop('href'); + if (prevHref) { + window.location.href = prevHref; + return false; + } + case 39: // right + var nextHref = $('link[rel="next"]').prop('href'); + if (nextHref) { + window.location.href = nextHref; + return false; + } + } + } + }); + } +}; + +// quick alias for translations +_ = Documentation.gettext; + +$(document).ready(function() { + Documentation.init(); +}); diff --git a/docs/source/_build/html/_static/documentation_options.js b/docs/source/_build/html/_static/documentation_options.js new file mode 100644 index 0000000..75b5cf1 --- /dev/null +++ b/docs/source/_build/html/_static/documentation_options.js @@ -0,0 +1,12 @@ +var DOCUMENTATION_OPTIONS = { + URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), + VERSION: '', + LANGUAGE: 'None', + COLLAPSE_INDEX: false, + BUILDER: 'html', + FILE_SUFFIX: '.html', + LINK_SUFFIX: '.html', + HAS_SOURCE: true, + SOURCELINK_SUFFIX: '.txt', + NAVIGATION_WITH_KEYS: true +}; \ No newline at end of file diff --git a/docs/source/_build/html/_static/file.png b/docs/source/_build/html/_static/file.png new file mode 100644 index 0000000..a858a41 Binary files /dev/null and b/docs/source/_build/html/_static/file.png differ diff --git a/docs/source/_build/html/_static/jquery-3.5.1.js b/docs/source/_build/html/_static/jquery-3.5.1.js new file mode 100644 index 0000000..5093733 --- /dev/null +++ b/docs/source/_build/html/_static/jquery-3.5.1.js @@ -0,0 +1,10872 @@ +/*! + * jQuery JavaScript Library v3.5.1 + * https://jquery.com/ + * + * Includes Sizzle.js + * https://sizzlejs.com/ + * + * Copyright JS Foundation and other contributors + * Released under the MIT license + * https://jquery.org/license + * + * Date: 2020-05-04T22:49Z + */ +( function( global, factory ) { + + "use strict"; + + if ( typeof module === "object" && typeof module.exports === "object" ) { + + // For CommonJS and CommonJS-like environments where a proper `window` + // is present, execute the factory and get jQuery. + // For environments that do not have a `window` with a `document` + // (such as Node.js), expose a factory as module.exports. + // This accentuates the need for the creation of a real `window`. + // e.g. var jQuery = require("jquery")(window); + // See ticket #14549 for more info. + module.exports = global.document ? + factory( global, true ) : + function( w ) { + if ( !w.document ) { + throw new Error( "jQuery requires a window with a document" ); + } + return factory( w ); + }; + } else { + factory( global ); + } + +// Pass this if window is not defined yet +} )( typeof window !== "undefined" ? window : this, function( window, noGlobal ) { + +// Edge <= 12 - 13+, Firefox <=18 - 45+, IE 10 - 11, Safari 5.1 - 9+, iOS 6 - 9.1 +// throw exceptions when non-strict code (e.g., ASP.NET 4.5) accesses strict mode +// arguments.callee.caller (trac-13335). But as of jQuery 3.0 (2016), strict mode should be common +// enough that all such attempts are guarded in a try block. +"use strict"; + +var arr = []; + +var getProto = Object.getPrototypeOf; + +var slice = arr.slice; + +var flat = arr.flat ? function( array ) { + return arr.flat.call( array ); +} : function( array ) { + return arr.concat.apply( [], array ); +}; + + +var push = arr.push; + +var indexOf = arr.indexOf; + +var class2type = {}; + +var toString = class2type.toString; + +var hasOwn = class2type.hasOwnProperty; + +var fnToString = hasOwn.toString; + +var ObjectFunctionString = fnToString.call( Object ); + +var support = {}; + +var isFunction = function isFunction( obj ) { + + // Support: Chrome <=57, Firefox <=52 + // In some browsers, typeof returns "function" for HTML elements + // (i.e., `typeof document.createElement( "object" ) === "function"`). + // We don't want to classify *any* DOM node as a function. + return typeof obj === "function" && typeof obj.nodeType !== "number"; + }; + + +var isWindow = function isWindow( obj ) { + return obj != null && obj === obj.window; + }; + + +var document = window.document; + + + + var preservedScriptAttributes = { + type: true, + src: true, + nonce: true, + noModule: true + }; + + function DOMEval( code, node, doc ) { + doc = doc || document; + + var i, val, + script = doc.createElement( "script" ); + + script.text = code; + if ( node ) { + for ( i in preservedScriptAttributes ) { + + // Support: Firefox 64+, Edge 18+ + // Some browsers don't support the "nonce" property on scripts. + // On the other hand, just using `getAttribute` is not enough as + // the `nonce` attribute is reset to an empty string whenever it + // becomes browsing-context connected. + // See https://github.com/whatwg/html/issues/2369 + // See https://html.spec.whatwg.org/#nonce-attributes + // The `node.getAttribute` check was added for the sake of + // `jQuery.globalEval` so that it can fake a nonce-containing node + // via an object. + val = node[ i ] || node.getAttribute && node.getAttribute( i ); + if ( val ) { + script.setAttribute( i, val ); + } + } + } + doc.head.appendChild( script ).parentNode.removeChild( script ); + } + + +function toType( obj ) { + if ( obj == null ) { + return obj + ""; + } + + // Support: Android <=2.3 only (functionish RegExp) + return typeof obj === "object" || typeof obj === "function" ? + class2type[ toString.call( obj ) ] || "object" : + typeof obj; +} +/* global Symbol */ +// Defining this global in .eslintrc.json would create a danger of using the global +// unguarded in another place, it seems safer to define global only for this module + + + +var + version = "3.5.1", + + // Define a local copy of jQuery + jQuery = function( selector, context ) { + + // The jQuery object is actually just the init constructor 'enhanced' + // Need init if jQuery is called (just allow error to be thrown if not included) + return new jQuery.fn.init( selector, context ); + }; + +jQuery.fn = jQuery.prototype = { + + // The current version of jQuery being used + jquery: version, + + constructor: jQuery, + + // The default length of a jQuery object is 0 + length: 0, + + toArray: function() { + return slice.call( this ); + }, + + // Get the Nth element in the matched element set OR + // Get the whole matched element set as a clean array + get: function( num ) { + + // Return all the elements in a clean array + if ( num == null ) { + return slice.call( this ); + } + + // Return just the one element from the set + return num < 0 ? this[ num + this.length ] : this[ num ]; + }, + + // Take an array of elements and push it onto the stack + // (returning the new matched element set) + pushStack: function( elems ) { + + // Build a new jQuery matched element set + var ret = jQuery.merge( this.constructor(), elems ); + + // Add the old object onto the stack (as a reference) + ret.prevObject = this; + + // Return the newly-formed element set + return ret; + }, + + // Execute a callback for every element in the matched set. + each: function( callback ) { + return jQuery.each( this, callback ); + }, + + map: function( callback ) { + return this.pushStack( jQuery.map( this, function( elem, i ) { + return callback.call( elem, i, elem ); + } ) ); + }, + + slice: function() { + return this.pushStack( slice.apply( this, arguments ) ); + }, + + first: function() { + return this.eq( 0 ); + }, + + last: function() { + return this.eq( -1 ); + }, + + even: function() { + return this.pushStack( jQuery.grep( this, function( _elem, i ) { + return ( i + 1 ) % 2; + } ) ); + }, + + odd: function() { + return this.pushStack( jQuery.grep( this, function( _elem, i ) { + return i % 2; + } ) ); + }, + + eq: function( i ) { + var len = this.length, + j = +i + ( i < 0 ? len : 0 ); + return this.pushStack( j >= 0 && j < len ? [ this[ j ] ] : [] ); + }, + + end: function() { + return this.prevObject || this.constructor(); + }, + + // For internal use only. + // Behaves like an Array's method, not like a jQuery method. + push: push, + sort: arr.sort, + splice: arr.splice +}; + +jQuery.extend = jQuery.fn.extend = function() { + var options, name, src, copy, copyIsArray, clone, + target = arguments[ 0 ] || {}, + i = 1, + length = arguments.length, + deep = false; + + // Handle a deep copy situation + if ( typeof target === "boolean" ) { + deep = target; + + // Skip the boolean and the target + target = arguments[ i ] || {}; + i++; + } + + // Handle case when target is a string or something (possible in deep copy) + if ( typeof target !== "object" && !isFunction( target ) ) { + target = {}; + } + + // Extend jQuery itself if only one argument is passed + if ( i === length ) { + target = this; + i--; + } + + for ( ; i < length; i++ ) { + + // Only deal with non-null/undefined values + if ( ( options = arguments[ i ] ) != null ) { + + // Extend the base object + for ( name in options ) { + copy = options[ name ]; + + // Prevent Object.prototype pollution + // Prevent never-ending loop + if ( name === "__proto__" || target === copy ) { + continue; + } + + // Recurse if we're merging plain objects or arrays + if ( deep && copy && ( jQuery.isPlainObject( copy ) || + ( copyIsArray = Array.isArray( copy ) ) ) ) { + src = target[ name ]; + + // Ensure proper type for the source value + if ( copyIsArray && !Array.isArray( src ) ) { + clone = []; + } else if ( !copyIsArray && !jQuery.isPlainObject( src ) ) { + clone = {}; + } else { + clone = src; + } + copyIsArray = false; + + // Never move original objects, clone them + target[ name ] = jQuery.extend( deep, clone, copy ); + + // Don't bring in undefined values + } else if ( copy !== undefined ) { + target[ name ] = copy; + } + } + } + } + + // Return the modified object + return target; +}; + +jQuery.extend( { + + // Unique for each copy of jQuery on the page + expando: "jQuery" + ( version + Math.random() ).replace( /\D/g, "" ), + + // Assume jQuery is ready without the ready module + isReady: true, + + error: function( msg ) { + throw new Error( msg ); + }, + + noop: function() {}, + + isPlainObject: function( obj ) { + var proto, Ctor; + + // Detect obvious negatives + // Use toString instead of jQuery.type to catch host objects + if ( !obj || toString.call( obj ) !== "[object Object]" ) { + return false; + } + + proto = getProto( obj ); + + // Objects with no prototype (e.g., `Object.create( null )`) are plain + if ( !proto ) { + return true; + } + + // Objects with prototype are plain iff they were constructed by a global Object function + Ctor = hasOwn.call( proto, "constructor" ) && proto.constructor; + return typeof Ctor === "function" && fnToString.call( Ctor ) === ObjectFunctionString; + }, + + isEmptyObject: function( obj ) { + var name; + + for ( name in obj ) { + return false; + } + return true; + }, + + // Evaluates a script in a provided context; falls back to the global one + // if not specified. + globalEval: function( code, options, doc ) { + DOMEval( code, { nonce: options && options.nonce }, doc ); + }, + + each: function( obj, callback ) { + var length, i = 0; + + if ( isArrayLike( obj ) ) { + length = obj.length; + for ( ; i < length; i++ ) { + if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { + break; + } + } + } else { + for ( i in obj ) { + if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { + break; + } + } + } + + return obj; + }, + + // results is for internal usage only + makeArray: function( arr, results ) { + var ret = results || []; + + if ( arr != null ) { + if ( isArrayLike( Object( arr ) ) ) { + jQuery.merge( ret, + typeof arr === "string" ? + [ arr ] : arr + ); + } else { + push.call( ret, arr ); + } + } + + return ret; + }, + + inArray: function( elem, arr, i ) { + return arr == null ? -1 : indexOf.call( arr, elem, i ); + }, + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + merge: function( first, second ) { + var len = +second.length, + j = 0, + i = first.length; + + for ( ; j < len; j++ ) { + first[ i++ ] = second[ j ]; + } + + first.length = i; + + return first; + }, + + grep: function( elems, callback, invert ) { + var callbackInverse, + matches = [], + i = 0, + length = elems.length, + callbackExpect = !invert; + + // Go through the array, only saving the items + // that pass the validator function + for ( ; i < length; i++ ) { + callbackInverse = !callback( elems[ i ], i ); + if ( callbackInverse !== callbackExpect ) { + matches.push( elems[ i ] ); + } + } + + return matches; + }, + + // arg is for internal usage only + map: function( elems, callback, arg ) { + var length, value, + i = 0, + ret = []; + + // Go through the array, translating each of the items to their new values + if ( isArrayLike( elems ) ) { + length = elems.length; + for ( ; i < length; i++ ) { + value = callback( elems[ i ], i, arg ); + + if ( value != null ) { + ret.push( value ); + } + } + + // Go through every key on the object, + } else { + for ( i in elems ) { + value = callback( elems[ i ], i, arg ); + + if ( value != null ) { + ret.push( value ); + } + } + } + + // Flatten any nested arrays + return flat( ret ); + }, + + // A global GUID counter for objects + guid: 1, + + // jQuery.support is not used in Core but other projects attach their + // properties to it so it needs to exist. + support: support +} ); + +if ( typeof Symbol === "function" ) { + jQuery.fn[ Symbol.iterator ] = arr[ Symbol.iterator ]; +} + +// Populate the class2type map +jQuery.each( "Boolean Number String Function Array Date RegExp Object Error Symbol".split( " " ), +function( _i, name ) { + class2type[ "[object " + name + "]" ] = name.toLowerCase(); +} ); + +function isArrayLike( obj ) { + + // Support: real iOS 8.2 only (not reproducible in simulator) + // `in` check used to prevent JIT error (gh-2145) + // hasOwn isn't used here due to false negatives + // regarding Nodelist length in IE + var length = !!obj && "length" in obj && obj.length, + type = toType( obj ); + + if ( isFunction( obj ) || isWindow( obj ) ) { + return false; + } + + return type === "array" || length === 0 || + typeof length === "number" && length > 0 && ( length - 1 ) in obj; +} +var Sizzle = +/*! + * Sizzle CSS Selector Engine v2.3.5 + * https://sizzlejs.com/ + * + * Copyright JS Foundation and other contributors + * Released under the MIT license + * https://js.foundation/ + * + * Date: 2020-03-14 + */ +( function( window ) { +var i, + support, + Expr, + getText, + isXML, + tokenize, + compile, + select, + outermostContext, + sortInput, + hasDuplicate, + + // Local document vars + setDocument, + document, + docElem, + documentIsHTML, + rbuggyQSA, + rbuggyMatches, + matches, + contains, + + // Instance-specific data + expando = "sizzle" + 1 * new Date(), + preferredDoc = window.document, + dirruns = 0, + done = 0, + classCache = createCache(), + tokenCache = createCache(), + compilerCache = createCache(), + nonnativeSelectorCache = createCache(), + sortOrder = function( a, b ) { + if ( a === b ) { + hasDuplicate = true; + } + return 0; + }, + + // Instance methods + hasOwn = ( {} ).hasOwnProperty, + arr = [], + pop = arr.pop, + pushNative = arr.push, + push = arr.push, + slice = arr.slice, + + // Use a stripped-down indexOf as it's faster than native + // https://jsperf.com/thor-indexof-vs-for/5 + indexOf = function( list, elem ) { + var i = 0, + len = list.length; + for ( ; i < len; i++ ) { + if ( list[ i ] === elem ) { + return i; + } + } + return -1; + }, + + booleans = "checked|selected|async|autofocus|autoplay|controls|defer|disabled|hidden|" + + "ismap|loop|multiple|open|readonly|required|scoped", + + // Regular expressions + + // http://www.w3.org/TR/css3-selectors/#whitespace + whitespace = "[\\x20\\t\\r\\n\\f]", + + // https://www.w3.org/TR/css-syntax-3/#ident-token-diagram + identifier = "(?:\\\\[\\da-fA-F]{1,6}" + whitespace + + "?|\\\\[^\\r\\n\\f]|[\\w-]|[^\0-\\x7f])+", + + // Attribute selectors: http://www.w3.org/TR/selectors/#attribute-selectors + attributes = "\\[" + whitespace + "*(" + identifier + ")(?:" + whitespace + + + // Operator (capture 2) + "*([*^$|!~]?=)" + whitespace + + + // "Attribute values must be CSS identifiers [capture 5] + // or strings [capture 3 or capture 4]" + "*(?:'((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\"|(" + identifier + "))|)" + + whitespace + "*\\]", + + pseudos = ":(" + identifier + ")(?:\\((" + + + // To reduce the number of selectors needing tokenize in the preFilter, prefer arguments: + // 1. quoted (capture 3; capture 4 or capture 5) + "('((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\")|" + + + // 2. simple (capture 6) + "((?:\\\\.|[^\\\\()[\\]]|" + attributes + ")*)|" + + + // 3. anything else (capture 2) + ".*" + + ")\\)|)", + + // Leading and non-escaped trailing whitespace, capturing some non-whitespace characters preceding the latter + rwhitespace = new RegExp( whitespace + "+", "g" ), + rtrim = new RegExp( "^" + whitespace + "+|((?:^|[^\\\\])(?:\\\\.)*)" + + whitespace + "+$", "g" ), + + rcomma = new RegExp( "^" + whitespace + "*," + whitespace + "*" ), + rcombinators = new RegExp( "^" + whitespace + "*([>+~]|" + whitespace + ")" + whitespace + + "*" ), + rdescend = new RegExp( whitespace + "|>" ), + + rpseudo = new RegExp( pseudos ), + ridentifier = new RegExp( "^" + identifier + "$" ), + + matchExpr = { + "ID": new RegExp( "^#(" + identifier + ")" ), + "CLASS": new RegExp( "^\\.(" + identifier + ")" ), + "TAG": new RegExp( "^(" + identifier + "|[*])" ), + "ATTR": new RegExp( "^" + attributes ), + "PSEUDO": new RegExp( "^" + pseudos ), + "CHILD": new RegExp( "^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\(" + + whitespace + "*(even|odd|(([+-]|)(\\d*)n|)" + whitespace + "*(?:([+-]|)" + + whitespace + "*(\\d+)|))" + whitespace + "*\\)|)", "i" ), + "bool": new RegExp( "^(?:" + booleans + ")$", "i" ), + + // For use in libraries implementing .is() + // We use this for POS matching in `select` + "needsContext": new RegExp( "^" + whitespace + + "*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\(" + whitespace + + "*((?:-\\d)?\\d*)" + whitespace + "*\\)|)(?=[^-]|$)", "i" ) + }, + + rhtml = /HTML$/i, + rinputs = /^(?:input|select|textarea|button)$/i, + rheader = /^h\d$/i, + + rnative = /^[^{]+\{\s*\[native \w/, + + // Easily-parseable/retrievable ID or TAG or CLASS selectors + rquickExpr = /^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/, + + rsibling = /[+~]/, + + // CSS escapes + // http://www.w3.org/TR/CSS21/syndata.html#escaped-characters + runescape = new RegExp( "\\\\[\\da-fA-F]{1,6}" + whitespace + "?|\\\\([^\\r\\n\\f])", "g" ), + funescape = function( escape, nonHex ) { + var high = "0x" + escape.slice( 1 ) - 0x10000; + + return nonHex ? + + // Strip the backslash prefix from a non-hex escape sequence + nonHex : + + // Replace a hexadecimal escape sequence with the encoded Unicode code point + // Support: IE <=11+ + // For values outside the Basic Multilingual Plane (BMP), manually construct a + // surrogate pair + high < 0 ? + String.fromCharCode( high + 0x10000 ) : + String.fromCharCode( high >> 10 | 0xD800, high & 0x3FF | 0xDC00 ); + }, + + // CSS string/identifier serialization + // https://drafts.csswg.org/cssom/#common-serializing-idioms + rcssescape = /([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g, + fcssescape = function( ch, asCodePoint ) { + if ( asCodePoint ) { + + // U+0000 NULL becomes U+FFFD REPLACEMENT CHARACTER + if ( ch === "\0" ) { + return "\uFFFD"; + } + + // Control characters and (dependent upon position) numbers get escaped as code points + return ch.slice( 0, -1 ) + "\\" + + ch.charCodeAt( ch.length - 1 ).toString( 16 ) + " "; + } + + // Other potentially-special ASCII characters get backslash-escaped + return "\\" + ch; + }, + + // Used for iframes + // See setDocument() + // Removing the function wrapper causes a "Permission Denied" + // error in IE + unloadHandler = function() { + setDocument(); + }, + + inDisabledFieldset = addCombinator( + function( elem ) { + return elem.disabled === true && elem.nodeName.toLowerCase() === "fieldset"; + }, + { dir: "parentNode", next: "legend" } + ); + +// Optimize for push.apply( _, NodeList ) +try { + push.apply( + ( arr = slice.call( preferredDoc.childNodes ) ), + preferredDoc.childNodes + ); + + // Support: Android<4.0 + // Detect silently failing push.apply + // eslint-disable-next-line no-unused-expressions + arr[ preferredDoc.childNodes.length ].nodeType; +} catch ( e ) { + push = { apply: arr.length ? + + // Leverage slice if possible + function( target, els ) { + pushNative.apply( target, slice.call( els ) ); + } : + + // Support: IE<9 + // Otherwise append directly + function( target, els ) { + var j = target.length, + i = 0; + + // Can't trust NodeList.length + while ( ( target[ j++ ] = els[ i++ ] ) ) {} + target.length = j - 1; + } + }; +} + +function Sizzle( selector, context, results, seed ) { + var m, i, elem, nid, match, groups, newSelector, + newContext = context && context.ownerDocument, + + // nodeType defaults to 9, since context defaults to document + nodeType = context ? context.nodeType : 9; + + results = results || []; + + // Return early from calls with invalid selector or context + if ( typeof selector !== "string" || !selector || + nodeType !== 1 && nodeType !== 9 && nodeType !== 11 ) { + + return results; + } + + // Try to shortcut find operations (as opposed to filters) in HTML documents + if ( !seed ) { + setDocument( context ); + context = context || document; + + if ( documentIsHTML ) { + + // If the selector is sufficiently simple, try using a "get*By*" DOM method + // (excepting DocumentFragment context, where the methods don't exist) + if ( nodeType !== 11 && ( match = rquickExpr.exec( selector ) ) ) { + + // ID selector + if ( ( m = match[ 1 ] ) ) { + + // Document context + if ( nodeType === 9 ) { + if ( ( elem = context.getElementById( m ) ) ) { + + // Support: IE, Opera, Webkit + // TODO: identify versions + // getElementById can match elements by name instead of ID + if ( elem.id === m ) { + results.push( elem ); + return results; + } + } else { + return results; + } + + // Element context + } else { + + // Support: IE, Opera, Webkit + // TODO: identify versions + // getElementById can match elements by name instead of ID + if ( newContext && ( elem = newContext.getElementById( m ) ) && + contains( context, elem ) && + elem.id === m ) { + + results.push( elem ); + return results; + } + } + + // Type selector + } else if ( match[ 2 ] ) { + push.apply( results, context.getElementsByTagName( selector ) ); + return results; + + // Class selector + } else if ( ( m = match[ 3 ] ) && support.getElementsByClassName && + context.getElementsByClassName ) { + + push.apply( results, context.getElementsByClassName( m ) ); + return results; + } + } + + // Take advantage of querySelectorAll + if ( support.qsa && + !nonnativeSelectorCache[ selector + " " ] && + ( !rbuggyQSA || !rbuggyQSA.test( selector ) ) && + + // Support: IE 8 only + // Exclude object elements + ( nodeType !== 1 || context.nodeName.toLowerCase() !== "object" ) ) { + + newSelector = selector; + newContext = context; + + // qSA considers elements outside a scoping root when evaluating child or + // descendant combinators, which is not what we want. + // In such cases, we work around the behavior by prefixing every selector in the + // list with an ID selector referencing the scope context. + // The technique has to be used as well when a leading combinator is used + // as such selectors are not recognized by querySelectorAll. + // Thanks to Andrew Dupont for this technique. + if ( nodeType === 1 && + ( rdescend.test( selector ) || rcombinators.test( selector ) ) ) { + + // Expand context for sibling selectors + newContext = rsibling.test( selector ) && testContext( context.parentNode ) || + context; + + // We can use :scope instead of the ID hack if the browser + // supports it & if we're not changing the context. + if ( newContext !== context || !support.scope ) { + + // Capture the context ID, setting it first if necessary + if ( ( nid = context.getAttribute( "id" ) ) ) { + nid = nid.replace( rcssescape, fcssescape ); + } else { + context.setAttribute( "id", ( nid = expando ) ); + } + } + + // Prefix every selector in the list + groups = tokenize( selector ); + i = groups.length; + while ( i-- ) { + groups[ i ] = ( nid ? "#" + nid : ":scope" ) + " " + + toSelector( groups[ i ] ); + } + newSelector = groups.join( "," ); + } + + try { + push.apply( results, + newContext.querySelectorAll( newSelector ) + ); + return results; + } catch ( qsaError ) { + nonnativeSelectorCache( selector, true ); + } finally { + if ( nid === expando ) { + context.removeAttribute( "id" ); + } + } + } + } + } + + // All others + return select( selector.replace( rtrim, "$1" ), context, results, seed ); +} + +/** + * Create key-value caches of limited size + * @returns {function(string, object)} Returns the Object data after storing it on itself with + * property name the (space-suffixed) string and (if the cache is larger than Expr.cacheLength) + * deleting the oldest entry + */ +function createCache() { + var keys = []; + + function cache( key, value ) { + + // Use (key + " ") to avoid collision with native prototype properties (see Issue #157) + if ( keys.push( key + " " ) > Expr.cacheLength ) { + + // Only keep the most recent entries + delete cache[ keys.shift() ]; + } + return ( cache[ key + " " ] = value ); + } + return cache; +} + +/** + * Mark a function for special use by Sizzle + * @param {Function} fn The function to mark + */ +function markFunction( fn ) { + fn[ expando ] = true; + return fn; +} + +/** + * Support testing using an element + * @param {Function} fn Passed the created element and returns a boolean result + */ +function assert( fn ) { + var el = document.createElement( "fieldset" ); + + try { + return !!fn( el ); + } catch ( e ) { + return false; + } finally { + + // Remove from its parent by default + if ( el.parentNode ) { + el.parentNode.removeChild( el ); + } + + // release memory in IE + el = null; + } +} + +/** + * Adds the same handler for all of the specified attrs + * @param {String} attrs Pipe-separated list of attributes + * @param {Function} handler The method that will be applied + */ +function addHandle( attrs, handler ) { + var arr = attrs.split( "|" ), + i = arr.length; + + while ( i-- ) { + Expr.attrHandle[ arr[ i ] ] = handler; + } +} + +/** + * Checks document order of two siblings + * @param {Element} a + * @param {Element} b + * @returns {Number} Returns less than 0 if a precedes b, greater than 0 if a follows b + */ +function siblingCheck( a, b ) { + var cur = b && a, + diff = cur && a.nodeType === 1 && b.nodeType === 1 && + a.sourceIndex - b.sourceIndex; + + // Use IE sourceIndex if available on both nodes + if ( diff ) { + return diff; + } + + // Check if b follows a + if ( cur ) { + while ( ( cur = cur.nextSibling ) ) { + if ( cur === b ) { + return -1; + } + } + } + + return a ? 1 : -1; +} + +/** + * Returns a function to use in pseudos for input types + * @param {String} type + */ +function createInputPseudo( type ) { + return function( elem ) { + var name = elem.nodeName.toLowerCase(); + return name === "input" && elem.type === type; + }; +} + +/** + * Returns a function to use in pseudos for buttons + * @param {String} type + */ +function createButtonPseudo( type ) { + return function( elem ) { + var name = elem.nodeName.toLowerCase(); + return ( name === "input" || name === "button" ) && elem.type === type; + }; +} + +/** + * Returns a function to use in pseudos for :enabled/:disabled + * @param {Boolean} disabled true for :disabled; false for :enabled + */ +function createDisabledPseudo( disabled ) { + + // Known :disabled false positives: fieldset[disabled] > legend:nth-of-type(n+2) :can-disable + return function( elem ) { + + // Only certain elements can match :enabled or :disabled + // https://html.spec.whatwg.org/multipage/scripting.html#selector-enabled + // https://html.spec.whatwg.org/multipage/scripting.html#selector-disabled + if ( "form" in elem ) { + + // Check for inherited disabledness on relevant non-disabled elements: + // * listed form-associated elements in a disabled fieldset + // https://html.spec.whatwg.org/multipage/forms.html#category-listed + // https://html.spec.whatwg.org/multipage/forms.html#concept-fe-disabled + // * option elements in a disabled optgroup + // https://html.spec.whatwg.org/multipage/forms.html#concept-option-disabled + // All such elements have a "form" property. + if ( elem.parentNode && elem.disabled === false ) { + + // Option elements defer to a parent optgroup if present + if ( "label" in elem ) { + if ( "label" in elem.parentNode ) { + return elem.parentNode.disabled === disabled; + } else { + return elem.disabled === disabled; + } + } + + // Support: IE 6 - 11 + // Use the isDisabled shortcut property to check for disabled fieldset ancestors + return elem.isDisabled === disabled || + + // Where there is no isDisabled, check manually + /* jshint -W018 */ + elem.isDisabled !== !disabled && + inDisabledFieldset( elem ) === disabled; + } + + return elem.disabled === disabled; + + // Try to winnow out elements that can't be disabled before trusting the disabled property. + // Some victims get caught in our net (label, legend, menu, track), but it shouldn't + // even exist on them, let alone have a boolean value. + } else if ( "label" in elem ) { + return elem.disabled === disabled; + } + + // Remaining elements are neither :enabled nor :disabled + return false; + }; +} + +/** + * Returns a function to use in pseudos for positionals + * @param {Function} fn + */ +function createPositionalPseudo( fn ) { + return markFunction( function( argument ) { + argument = +argument; + return markFunction( function( seed, matches ) { + var j, + matchIndexes = fn( [], seed.length, argument ), + i = matchIndexes.length; + + // Match elements found at the specified indexes + while ( i-- ) { + if ( seed[ ( j = matchIndexes[ i ] ) ] ) { + seed[ j ] = !( matches[ j ] = seed[ j ] ); + } + } + } ); + } ); +} + +/** + * Checks a node for validity as a Sizzle context + * @param {Element|Object=} context + * @returns {Element|Object|Boolean} The input node if acceptable, otherwise a falsy value + */ +function testContext( context ) { + return context && typeof context.getElementsByTagName !== "undefined" && context; +} + +// Expose support vars for convenience +support = Sizzle.support = {}; + +/** + * Detects XML nodes + * @param {Element|Object} elem An element or a document + * @returns {Boolean} True iff elem is a non-HTML XML node + */ +isXML = Sizzle.isXML = function( elem ) { + var namespace = elem.namespaceURI, + docElem = ( elem.ownerDocument || elem ).documentElement; + + // Support: IE <=8 + // Assume HTML when documentElement doesn't yet exist, such as inside loading iframes + // https://bugs.jquery.com/ticket/4833 + return !rhtml.test( namespace || docElem && docElem.nodeName || "HTML" ); +}; + +/** + * Sets document-related variables once based on the current document + * @param {Element|Object} [doc] An element or document object to use to set the document + * @returns {Object} Returns the current document + */ +setDocument = Sizzle.setDocument = function( node ) { + var hasCompare, subWindow, + doc = node ? node.ownerDocument || node : preferredDoc; + + // Return early if doc is invalid or already selected + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( doc == document || doc.nodeType !== 9 || !doc.documentElement ) { + return document; + } + + // Update global variables + document = doc; + docElem = document.documentElement; + documentIsHTML = !isXML( document ); + + // Support: IE 9 - 11+, Edge 12 - 18+ + // Accessing iframe documents after unload throws "permission denied" errors (jQuery #13936) + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( preferredDoc != document && + ( subWindow = document.defaultView ) && subWindow.top !== subWindow ) { + + // Support: IE 11, Edge + if ( subWindow.addEventListener ) { + subWindow.addEventListener( "unload", unloadHandler, false ); + + // Support: IE 9 - 10 only + } else if ( subWindow.attachEvent ) { + subWindow.attachEvent( "onunload", unloadHandler ); + } + } + + // Support: IE 8 - 11+, Edge 12 - 18+, Chrome <=16 - 25 only, Firefox <=3.6 - 31 only, + // Safari 4 - 5 only, Opera <=11.6 - 12.x only + // IE/Edge & older browsers don't support the :scope pseudo-class. + // Support: Safari 6.0 only + // Safari 6.0 supports :scope but it's an alias of :root there. + support.scope = assert( function( el ) { + docElem.appendChild( el ).appendChild( document.createElement( "div" ) ); + return typeof el.querySelectorAll !== "undefined" && + !el.querySelectorAll( ":scope fieldset div" ).length; + } ); + + /* Attributes + ---------------------------------------------------------------------- */ + + // Support: IE<8 + // Verify that getAttribute really returns attributes and not properties + // (excepting IE8 booleans) + support.attributes = assert( function( el ) { + el.className = "i"; + return !el.getAttribute( "className" ); + } ); + + /* getElement(s)By* + ---------------------------------------------------------------------- */ + + // Check if getElementsByTagName("*") returns only elements + support.getElementsByTagName = assert( function( el ) { + el.appendChild( document.createComment( "" ) ); + return !el.getElementsByTagName( "*" ).length; + } ); + + // Support: IE<9 + support.getElementsByClassName = rnative.test( document.getElementsByClassName ); + + // Support: IE<10 + // Check if getElementById returns elements by name + // The broken getElementById methods don't pick up programmatically-set names, + // so use a roundabout getElementsByName test + support.getById = assert( function( el ) { + docElem.appendChild( el ).id = expando; + return !document.getElementsByName || !document.getElementsByName( expando ).length; + } ); + + // ID filter and find + if ( support.getById ) { + Expr.filter[ "ID" ] = function( id ) { + var attrId = id.replace( runescape, funescape ); + return function( elem ) { + return elem.getAttribute( "id" ) === attrId; + }; + }; + Expr.find[ "ID" ] = function( id, context ) { + if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { + var elem = context.getElementById( id ); + return elem ? [ elem ] : []; + } + }; + } else { + Expr.filter[ "ID" ] = function( id ) { + var attrId = id.replace( runescape, funescape ); + return function( elem ) { + var node = typeof elem.getAttributeNode !== "undefined" && + elem.getAttributeNode( "id" ); + return node && node.value === attrId; + }; + }; + + // Support: IE 6 - 7 only + // getElementById is not reliable as a find shortcut + Expr.find[ "ID" ] = function( id, context ) { + if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { + var node, i, elems, + elem = context.getElementById( id ); + + if ( elem ) { + + // Verify the id attribute + node = elem.getAttributeNode( "id" ); + if ( node && node.value === id ) { + return [ elem ]; + } + + // Fall back on getElementsByName + elems = context.getElementsByName( id ); + i = 0; + while ( ( elem = elems[ i++ ] ) ) { + node = elem.getAttributeNode( "id" ); + if ( node && node.value === id ) { + return [ elem ]; + } + } + } + + return []; + } + }; + } + + // Tag + Expr.find[ "TAG" ] = support.getElementsByTagName ? + function( tag, context ) { + if ( typeof context.getElementsByTagName !== "undefined" ) { + return context.getElementsByTagName( tag ); + + // DocumentFragment nodes don't have gEBTN + } else if ( support.qsa ) { + return context.querySelectorAll( tag ); + } + } : + + function( tag, context ) { + var elem, + tmp = [], + i = 0, + + // By happy coincidence, a (broken) gEBTN appears on DocumentFragment nodes too + results = context.getElementsByTagName( tag ); + + // Filter out possible comments + if ( tag === "*" ) { + while ( ( elem = results[ i++ ] ) ) { + if ( elem.nodeType === 1 ) { + tmp.push( elem ); + } + } + + return tmp; + } + return results; + }; + + // Class + Expr.find[ "CLASS" ] = support.getElementsByClassName && function( className, context ) { + if ( typeof context.getElementsByClassName !== "undefined" && documentIsHTML ) { + return context.getElementsByClassName( className ); + } + }; + + /* QSA/matchesSelector + ---------------------------------------------------------------------- */ + + // QSA and matchesSelector support + + // matchesSelector(:active) reports false when true (IE9/Opera 11.5) + rbuggyMatches = []; + + // qSa(:focus) reports false when true (Chrome 21) + // We allow this because of a bug in IE8/9 that throws an error + // whenever `document.activeElement` is accessed on an iframe + // So, we allow :focus to pass through QSA all the time to avoid the IE error + // See https://bugs.jquery.com/ticket/13378 + rbuggyQSA = []; + + if ( ( support.qsa = rnative.test( document.querySelectorAll ) ) ) { + + // Build QSA regex + // Regex strategy adopted from Diego Perini + assert( function( el ) { + + var input; + + // Select is set to empty string on purpose + // This is to test IE's treatment of not explicitly + // setting a boolean content attribute, + // since its presence should be enough + // https://bugs.jquery.com/ticket/12359 + docElem.appendChild( el ).innerHTML = "" + + ""; + + // Support: IE8, Opera 11-12.16 + // Nothing should be selected when empty strings follow ^= or $= or *= + // The test attribute must be unknown in Opera but "safe" for WinRT + // https://msdn.microsoft.com/en-us/library/ie/hh465388.aspx#attribute_section + if ( el.querySelectorAll( "[msallowcapture^='']" ).length ) { + rbuggyQSA.push( "[*^$]=" + whitespace + "*(?:''|\"\")" ); + } + + // Support: IE8 + // Boolean attributes and "value" are not treated correctly + if ( !el.querySelectorAll( "[selected]" ).length ) { + rbuggyQSA.push( "\\[" + whitespace + "*(?:value|" + booleans + ")" ); + } + + // Support: Chrome<29, Android<4.4, Safari<7.0+, iOS<7.0+, PhantomJS<1.9.8+ + if ( !el.querySelectorAll( "[id~=" + expando + "-]" ).length ) { + rbuggyQSA.push( "~=" ); + } + + // Support: IE 11+, Edge 15 - 18+ + // IE 11/Edge don't find elements on a `[name='']` query in some cases. + // Adding a temporary attribute to the document before the selection works + // around the issue. + // Interestingly, IE 10 & older don't seem to have the issue. + input = document.createElement( "input" ); + input.setAttribute( "name", "" ); + el.appendChild( input ); + if ( !el.querySelectorAll( "[name='']" ).length ) { + rbuggyQSA.push( "\\[" + whitespace + "*name" + whitespace + "*=" + + whitespace + "*(?:''|\"\")" ); + } + + // Webkit/Opera - :checked should return selected option elements + // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked + // IE8 throws error here and will not see later tests + if ( !el.querySelectorAll( ":checked" ).length ) { + rbuggyQSA.push( ":checked" ); + } + + // Support: Safari 8+, iOS 8+ + // https://bugs.webkit.org/show_bug.cgi?id=136851 + // In-page `selector#id sibling-combinator selector` fails + if ( !el.querySelectorAll( "a#" + expando + "+*" ).length ) { + rbuggyQSA.push( ".#.+[+~]" ); + } + + // Support: Firefox <=3.6 - 5 only + // Old Firefox doesn't throw on a badly-escaped identifier. + el.querySelectorAll( "\\\f" ); + rbuggyQSA.push( "[\\r\\n\\f]" ); + } ); + + assert( function( el ) { + el.innerHTML = "" + + ""; + + // Support: Windows 8 Native Apps + // The type and name attributes are restricted during .innerHTML assignment + var input = document.createElement( "input" ); + input.setAttribute( "type", "hidden" ); + el.appendChild( input ).setAttribute( "name", "D" ); + + // Support: IE8 + // Enforce case-sensitivity of name attribute + if ( el.querySelectorAll( "[name=d]" ).length ) { + rbuggyQSA.push( "name" + whitespace + "*[*^$|!~]?=" ); + } + + // FF 3.5 - :enabled/:disabled and hidden elements (hidden elements are still enabled) + // IE8 throws error here and will not see later tests + if ( el.querySelectorAll( ":enabled" ).length !== 2 ) { + rbuggyQSA.push( ":enabled", ":disabled" ); + } + + // Support: IE9-11+ + // IE's :disabled selector does not pick up the children of disabled fieldsets + docElem.appendChild( el ).disabled = true; + if ( el.querySelectorAll( ":disabled" ).length !== 2 ) { + rbuggyQSA.push( ":enabled", ":disabled" ); + } + + // Support: Opera 10 - 11 only + // Opera 10-11 does not throw on post-comma invalid pseudos + el.querySelectorAll( "*,:x" ); + rbuggyQSA.push( ",.*:" ); + } ); + } + + if ( ( support.matchesSelector = rnative.test( ( matches = docElem.matches || + docElem.webkitMatchesSelector || + docElem.mozMatchesSelector || + docElem.oMatchesSelector || + docElem.msMatchesSelector ) ) ) ) { + + assert( function( el ) { + + // Check to see if it's possible to do matchesSelector + // on a disconnected node (IE 9) + support.disconnectedMatch = matches.call( el, "*" ); + + // This should fail with an exception + // Gecko does not error, returns false instead + matches.call( el, "[s!='']:x" ); + rbuggyMatches.push( "!=", pseudos ); + } ); + } + + rbuggyQSA = rbuggyQSA.length && new RegExp( rbuggyQSA.join( "|" ) ); + rbuggyMatches = rbuggyMatches.length && new RegExp( rbuggyMatches.join( "|" ) ); + + /* Contains + ---------------------------------------------------------------------- */ + hasCompare = rnative.test( docElem.compareDocumentPosition ); + + // Element contains another + // Purposefully self-exclusive + // As in, an element does not contain itself + contains = hasCompare || rnative.test( docElem.contains ) ? + function( a, b ) { + var adown = a.nodeType === 9 ? a.documentElement : a, + bup = b && b.parentNode; + return a === bup || !!( bup && bup.nodeType === 1 && ( + adown.contains ? + adown.contains( bup ) : + a.compareDocumentPosition && a.compareDocumentPosition( bup ) & 16 + ) ); + } : + function( a, b ) { + if ( b ) { + while ( ( b = b.parentNode ) ) { + if ( b === a ) { + return true; + } + } + } + return false; + }; + + /* Sorting + ---------------------------------------------------------------------- */ + + // Document order sorting + sortOrder = hasCompare ? + function( a, b ) { + + // Flag for duplicate removal + if ( a === b ) { + hasDuplicate = true; + return 0; + } + + // Sort on method existence if only one input has compareDocumentPosition + var compare = !a.compareDocumentPosition - !b.compareDocumentPosition; + if ( compare ) { + return compare; + } + + // Calculate position if both inputs belong to the same document + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + compare = ( a.ownerDocument || a ) == ( b.ownerDocument || b ) ? + a.compareDocumentPosition( b ) : + + // Otherwise we know they are disconnected + 1; + + // Disconnected nodes + if ( compare & 1 || + ( !support.sortDetached && b.compareDocumentPosition( a ) === compare ) ) { + + // Choose the first element that is related to our preferred document + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( a == document || a.ownerDocument == preferredDoc && + contains( preferredDoc, a ) ) { + return -1; + } + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( b == document || b.ownerDocument == preferredDoc && + contains( preferredDoc, b ) ) { + return 1; + } + + // Maintain original order + return sortInput ? + ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : + 0; + } + + return compare & 4 ? -1 : 1; + } : + function( a, b ) { + + // Exit early if the nodes are identical + if ( a === b ) { + hasDuplicate = true; + return 0; + } + + var cur, + i = 0, + aup = a.parentNode, + bup = b.parentNode, + ap = [ a ], + bp = [ b ]; + + // Parentless nodes are either documents or disconnected + if ( !aup || !bup ) { + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + /* eslint-disable eqeqeq */ + return a == document ? -1 : + b == document ? 1 : + /* eslint-enable eqeqeq */ + aup ? -1 : + bup ? 1 : + sortInput ? + ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : + 0; + + // If the nodes are siblings, we can do a quick check + } else if ( aup === bup ) { + return siblingCheck( a, b ); + } + + // Otherwise we need full lists of their ancestors for comparison + cur = a; + while ( ( cur = cur.parentNode ) ) { + ap.unshift( cur ); + } + cur = b; + while ( ( cur = cur.parentNode ) ) { + bp.unshift( cur ); + } + + // Walk down the tree looking for a discrepancy + while ( ap[ i ] === bp[ i ] ) { + i++; + } + + return i ? + + // Do a sibling check if the nodes have a common ancestor + siblingCheck( ap[ i ], bp[ i ] ) : + + // Otherwise nodes in our document sort first + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + /* eslint-disable eqeqeq */ + ap[ i ] == preferredDoc ? -1 : + bp[ i ] == preferredDoc ? 1 : + /* eslint-enable eqeqeq */ + 0; + }; + + return document; +}; + +Sizzle.matches = function( expr, elements ) { + return Sizzle( expr, null, null, elements ); +}; + +Sizzle.matchesSelector = function( elem, expr ) { + setDocument( elem ); + + if ( support.matchesSelector && documentIsHTML && + !nonnativeSelectorCache[ expr + " " ] && + ( !rbuggyMatches || !rbuggyMatches.test( expr ) ) && + ( !rbuggyQSA || !rbuggyQSA.test( expr ) ) ) { + + try { + var ret = matches.call( elem, expr ); + + // IE 9's matchesSelector returns false on disconnected nodes + if ( ret || support.disconnectedMatch || + + // As well, disconnected nodes are said to be in a document + // fragment in IE 9 + elem.document && elem.document.nodeType !== 11 ) { + return ret; + } + } catch ( e ) { + nonnativeSelectorCache( expr, true ); + } + } + + return Sizzle( expr, document, null, [ elem ] ).length > 0; +}; + +Sizzle.contains = function( context, elem ) { + + // Set document vars if needed + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( ( context.ownerDocument || context ) != document ) { + setDocument( context ); + } + return contains( context, elem ); +}; + +Sizzle.attr = function( elem, name ) { + + // Set document vars if needed + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( ( elem.ownerDocument || elem ) != document ) { + setDocument( elem ); + } + + var fn = Expr.attrHandle[ name.toLowerCase() ], + + // Don't get fooled by Object.prototype properties (jQuery #13807) + val = fn && hasOwn.call( Expr.attrHandle, name.toLowerCase() ) ? + fn( elem, name, !documentIsHTML ) : + undefined; + + return val !== undefined ? + val : + support.attributes || !documentIsHTML ? + elem.getAttribute( name ) : + ( val = elem.getAttributeNode( name ) ) && val.specified ? + val.value : + null; +}; + +Sizzle.escape = function( sel ) { + return ( sel + "" ).replace( rcssescape, fcssescape ); +}; + +Sizzle.error = function( msg ) { + throw new Error( "Syntax error, unrecognized expression: " + msg ); +}; + +/** + * Document sorting and removing duplicates + * @param {ArrayLike} results + */ +Sizzle.uniqueSort = function( results ) { + var elem, + duplicates = [], + j = 0, + i = 0; + + // Unless we *know* we can detect duplicates, assume their presence + hasDuplicate = !support.detectDuplicates; + sortInput = !support.sortStable && results.slice( 0 ); + results.sort( sortOrder ); + + if ( hasDuplicate ) { + while ( ( elem = results[ i++ ] ) ) { + if ( elem === results[ i ] ) { + j = duplicates.push( i ); + } + } + while ( j-- ) { + results.splice( duplicates[ j ], 1 ); + } + } + + // Clear input after sorting to release objects + // See https://github.com/jquery/sizzle/pull/225 + sortInput = null; + + return results; +}; + +/** + * Utility function for retrieving the text value of an array of DOM nodes + * @param {Array|Element} elem + */ +getText = Sizzle.getText = function( elem ) { + var node, + ret = "", + i = 0, + nodeType = elem.nodeType; + + if ( !nodeType ) { + + // If no nodeType, this is expected to be an array + while ( ( node = elem[ i++ ] ) ) { + + // Do not traverse comment nodes + ret += getText( node ); + } + } else if ( nodeType === 1 || nodeType === 9 || nodeType === 11 ) { + + // Use textContent for elements + // innerText usage removed for consistency of new lines (jQuery #11153) + if ( typeof elem.textContent === "string" ) { + return elem.textContent; + } else { + + // Traverse its children + for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { + ret += getText( elem ); + } + } + } else if ( nodeType === 3 || nodeType === 4 ) { + return elem.nodeValue; + } + + // Do not include comment or processing instruction nodes + + return ret; +}; + +Expr = Sizzle.selectors = { + + // Can be adjusted by the user + cacheLength: 50, + + createPseudo: markFunction, + + match: matchExpr, + + attrHandle: {}, + + find: {}, + + relative: { + ">": { dir: "parentNode", first: true }, + " ": { dir: "parentNode" }, + "+": { dir: "previousSibling", first: true }, + "~": { dir: "previousSibling" } + }, + + preFilter: { + "ATTR": function( match ) { + match[ 1 ] = match[ 1 ].replace( runescape, funescape ); + + // Move the given value to match[3] whether quoted or unquoted + match[ 3 ] = ( match[ 3 ] || match[ 4 ] || + match[ 5 ] || "" ).replace( runescape, funescape ); + + if ( match[ 2 ] === "~=" ) { + match[ 3 ] = " " + match[ 3 ] + " "; + } + + return match.slice( 0, 4 ); + }, + + "CHILD": function( match ) { + + /* matches from matchExpr["CHILD"] + 1 type (only|nth|...) + 2 what (child|of-type) + 3 argument (even|odd|\d*|\d*n([+-]\d+)?|...) + 4 xn-component of xn+y argument ([+-]?\d*n|) + 5 sign of xn-component + 6 x of xn-component + 7 sign of y-component + 8 y of y-component + */ + match[ 1 ] = match[ 1 ].toLowerCase(); + + if ( match[ 1 ].slice( 0, 3 ) === "nth" ) { + + // nth-* requires argument + if ( !match[ 3 ] ) { + Sizzle.error( match[ 0 ] ); + } + + // numeric x and y parameters for Expr.filter.CHILD + // remember that false/true cast respectively to 0/1 + match[ 4 ] = +( match[ 4 ] ? + match[ 5 ] + ( match[ 6 ] || 1 ) : + 2 * ( match[ 3 ] === "even" || match[ 3 ] === "odd" ) ); + match[ 5 ] = +( ( match[ 7 ] + match[ 8 ] ) || match[ 3 ] === "odd" ); + + // other types prohibit arguments + } else if ( match[ 3 ] ) { + Sizzle.error( match[ 0 ] ); + } + + return match; + }, + + "PSEUDO": function( match ) { + var excess, + unquoted = !match[ 6 ] && match[ 2 ]; + + if ( matchExpr[ "CHILD" ].test( match[ 0 ] ) ) { + return null; + } + + // Accept quoted arguments as-is + if ( match[ 3 ] ) { + match[ 2 ] = match[ 4 ] || match[ 5 ] || ""; + + // Strip excess characters from unquoted arguments + } else if ( unquoted && rpseudo.test( unquoted ) && + + // Get excess from tokenize (recursively) + ( excess = tokenize( unquoted, true ) ) && + + // advance to the next closing parenthesis + ( excess = unquoted.indexOf( ")", unquoted.length - excess ) - unquoted.length ) ) { + + // excess is a negative index + match[ 0 ] = match[ 0 ].slice( 0, excess ); + match[ 2 ] = unquoted.slice( 0, excess ); + } + + // Return only captures needed by the pseudo filter method (type and argument) + return match.slice( 0, 3 ); + } + }, + + filter: { + + "TAG": function( nodeNameSelector ) { + var nodeName = nodeNameSelector.replace( runescape, funescape ).toLowerCase(); + return nodeNameSelector === "*" ? + function() { + return true; + } : + function( elem ) { + return elem.nodeName && elem.nodeName.toLowerCase() === nodeName; + }; + }, + + "CLASS": function( className ) { + var pattern = classCache[ className + " " ]; + + return pattern || + ( pattern = new RegExp( "(^|" + whitespace + + ")" + className + "(" + whitespace + "|$)" ) ) && classCache( + className, function( elem ) { + return pattern.test( + typeof elem.className === "string" && elem.className || + typeof elem.getAttribute !== "undefined" && + elem.getAttribute( "class" ) || + "" + ); + } ); + }, + + "ATTR": function( name, operator, check ) { + return function( elem ) { + var result = Sizzle.attr( elem, name ); + + if ( result == null ) { + return operator === "!="; + } + if ( !operator ) { + return true; + } + + result += ""; + + /* eslint-disable max-len */ + + return operator === "=" ? result === check : + operator === "!=" ? result !== check : + operator === "^=" ? check && result.indexOf( check ) === 0 : + operator === "*=" ? check && result.indexOf( check ) > -1 : + operator === "$=" ? check && result.slice( -check.length ) === check : + operator === "~=" ? ( " " + result.replace( rwhitespace, " " ) + " " ).indexOf( check ) > -1 : + operator === "|=" ? result === check || result.slice( 0, check.length + 1 ) === check + "-" : + false; + /* eslint-enable max-len */ + + }; + }, + + "CHILD": function( type, what, _argument, first, last ) { + var simple = type.slice( 0, 3 ) !== "nth", + forward = type.slice( -4 ) !== "last", + ofType = what === "of-type"; + + return first === 1 && last === 0 ? + + // Shortcut for :nth-*(n) + function( elem ) { + return !!elem.parentNode; + } : + + function( elem, _context, xml ) { + var cache, uniqueCache, outerCache, node, nodeIndex, start, + dir = simple !== forward ? "nextSibling" : "previousSibling", + parent = elem.parentNode, + name = ofType && elem.nodeName.toLowerCase(), + useCache = !xml && !ofType, + diff = false; + + if ( parent ) { + + // :(first|last|only)-(child|of-type) + if ( simple ) { + while ( dir ) { + node = elem; + while ( ( node = node[ dir ] ) ) { + if ( ofType ? + node.nodeName.toLowerCase() === name : + node.nodeType === 1 ) { + + return false; + } + } + + // Reverse direction for :only-* (if we haven't yet done so) + start = dir = type === "only" && !start && "nextSibling"; + } + return true; + } + + start = [ forward ? parent.firstChild : parent.lastChild ]; + + // non-xml :nth-child(...) stores cache data on `parent` + if ( forward && useCache ) { + + // Seek `elem` from a previously-cached index + + // ...in a gzip-friendly way + node = parent; + outerCache = node[ expando ] || ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + cache = uniqueCache[ type ] || []; + nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; + diff = nodeIndex && cache[ 2 ]; + node = nodeIndex && parent.childNodes[ nodeIndex ]; + + while ( ( node = ++nodeIndex && node && node[ dir ] || + + // Fallback to seeking `elem` from the start + ( diff = nodeIndex = 0 ) || start.pop() ) ) { + + // When found, cache indexes on `parent` and break + if ( node.nodeType === 1 && ++diff && node === elem ) { + uniqueCache[ type ] = [ dirruns, nodeIndex, diff ]; + break; + } + } + + } else { + + // Use previously-cached element index if available + if ( useCache ) { + + // ...in a gzip-friendly way + node = elem; + outerCache = node[ expando ] || ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + cache = uniqueCache[ type ] || []; + nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; + diff = nodeIndex; + } + + // xml :nth-child(...) + // or :nth-last-child(...) or :nth(-last)?-of-type(...) + if ( diff === false ) { + + // Use the same loop as above to seek `elem` from the start + while ( ( node = ++nodeIndex && node && node[ dir ] || + ( diff = nodeIndex = 0 ) || start.pop() ) ) { + + if ( ( ofType ? + node.nodeName.toLowerCase() === name : + node.nodeType === 1 ) && + ++diff ) { + + // Cache the index of each encountered element + if ( useCache ) { + outerCache = node[ expando ] || + ( node[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ node.uniqueID ] || + ( outerCache[ node.uniqueID ] = {} ); + + uniqueCache[ type ] = [ dirruns, diff ]; + } + + if ( node === elem ) { + break; + } + } + } + } + } + + // Incorporate the offset, then check against cycle size + diff -= last; + return diff === first || ( diff % first === 0 && diff / first >= 0 ); + } + }; + }, + + "PSEUDO": function( pseudo, argument ) { + + // pseudo-class names are case-insensitive + // http://www.w3.org/TR/selectors/#pseudo-classes + // Prioritize by case sensitivity in case custom pseudos are added with uppercase letters + // Remember that setFilters inherits from pseudos + var args, + fn = Expr.pseudos[ pseudo ] || Expr.setFilters[ pseudo.toLowerCase() ] || + Sizzle.error( "unsupported pseudo: " + pseudo ); + + // The user may use createPseudo to indicate that + // arguments are needed to create the filter function + // just as Sizzle does + if ( fn[ expando ] ) { + return fn( argument ); + } + + // But maintain support for old signatures + if ( fn.length > 1 ) { + args = [ pseudo, pseudo, "", argument ]; + return Expr.setFilters.hasOwnProperty( pseudo.toLowerCase() ) ? + markFunction( function( seed, matches ) { + var idx, + matched = fn( seed, argument ), + i = matched.length; + while ( i-- ) { + idx = indexOf( seed, matched[ i ] ); + seed[ idx ] = !( matches[ idx ] = matched[ i ] ); + } + } ) : + function( elem ) { + return fn( elem, 0, args ); + }; + } + + return fn; + } + }, + + pseudos: { + + // Potentially complex pseudos + "not": markFunction( function( selector ) { + + // Trim the selector passed to compile + // to avoid treating leading and trailing + // spaces as combinators + var input = [], + results = [], + matcher = compile( selector.replace( rtrim, "$1" ) ); + + return matcher[ expando ] ? + markFunction( function( seed, matches, _context, xml ) { + var elem, + unmatched = matcher( seed, null, xml, [] ), + i = seed.length; + + // Match elements unmatched by `matcher` + while ( i-- ) { + if ( ( elem = unmatched[ i ] ) ) { + seed[ i ] = !( matches[ i ] = elem ); + } + } + } ) : + function( elem, _context, xml ) { + input[ 0 ] = elem; + matcher( input, null, xml, results ); + + // Don't keep the element (issue #299) + input[ 0 ] = null; + return !results.pop(); + }; + } ), + + "has": markFunction( function( selector ) { + return function( elem ) { + return Sizzle( selector, elem ).length > 0; + }; + } ), + + "contains": markFunction( function( text ) { + text = text.replace( runescape, funescape ); + return function( elem ) { + return ( elem.textContent || getText( elem ) ).indexOf( text ) > -1; + }; + } ), + + // "Whether an element is represented by a :lang() selector + // is based solely on the element's language value + // being equal to the identifier C, + // or beginning with the identifier C immediately followed by "-". + // The matching of C against the element's language value is performed case-insensitively. + // The identifier C does not have to be a valid language name." + // http://www.w3.org/TR/selectors/#lang-pseudo + "lang": markFunction( function( lang ) { + + // lang value must be a valid identifier + if ( !ridentifier.test( lang || "" ) ) { + Sizzle.error( "unsupported lang: " + lang ); + } + lang = lang.replace( runescape, funescape ).toLowerCase(); + return function( elem ) { + var elemLang; + do { + if ( ( elemLang = documentIsHTML ? + elem.lang : + elem.getAttribute( "xml:lang" ) || elem.getAttribute( "lang" ) ) ) { + + elemLang = elemLang.toLowerCase(); + return elemLang === lang || elemLang.indexOf( lang + "-" ) === 0; + } + } while ( ( elem = elem.parentNode ) && elem.nodeType === 1 ); + return false; + }; + } ), + + // Miscellaneous + "target": function( elem ) { + var hash = window.location && window.location.hash; + return hash && hash.slice( 1 ) === elem.id; + }, + + "root": function( elem ) { + return elem === docElem; + }, + + "focus": function( elem ) { + return elem === document.activeElement && + ( !document.hasFocus || document.hasFocus() ) && + !!( elem.type || elem.href || ~elem.tabIndex ); + }, + + // Boolean properties + "enabled": createDisabledPseudo( false ), + "disabled": createDisabledPseudo( true ), + + "checked": function( elem ) { + + // In CSS3, :checked should return both checked and selected elements + // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked + var nodeName = elem.nodeName.toLowerCase(); + return ( nodeName === "input" && !!elem.checked ) || + ( nodeName === "option" && !!elem.selected ); + }, + + "selected": function( elem ) { + + // Accessing this property makes selected-by-default + // options in Safari work properly + if ( elem.parentNode ) { + // eslint-disable-next-line no-unused-expressions + elem.parentNode.selectedIndex; + } + + return elem.selected === true; + }, + + // Contents + "empty": function( elem ) { + + // http://www.w3.org/TR/selectors/#empty-pseudo + // :empty is negated by element (1) or content nodes (text: 3; cdata: 4; entity ref: 5), + // but not by others (comment: 8; processing instruction: 7; etc.) + // nodeType < 6 works because attributes (2) do not appear as children + for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { + if ( elem.nodeType < 6 ) { + return false; + } + } + return true; + }, + + "parent": function( elem ) { + return !Expr.pseudos[ "empty" ]( elem ); + }, + + // Element/input types + "header": function( elem ) { + return rheader.test( elem.nodeName ); + }, + + "input": function( elem ) { + return rinputs.test( elem.nodeName ); + }, + + "button": function( elem ) { + var name = elem.nodeName.toLowerCase(); + return name === "input" && elem.type === "button" || name === "button"; + }, + + "text": function( elem ) { + var attr; + return elem.nodeName.toLowerCase() === "input" && + elem.type === "text" && + + // Support: IE<8 + // New HTML5 attribute values (e.g., "search") appear with elem.type === "text" + ( ( attr = elem.getAttribute( "type" ) ) == null || + attr.toLowerCase() === "text" ); + }, + + // Position-in-collection + "first": createPositionalPseudo( function() { + return [ 0 ]; + } ), + + "last": createPositionalPseudo( function( _matchIndexes, length ) { + return [ length - 1 ]; + } ), + + "eq": createPositionalPseudo( function( _matchIndexes, length, argument ) { + return [ argument < 0 ? argument + length : argument ]; + } ), + + "even": createPositionalPseudo( function( matchIndexes, length ) { + var i = 0; + for ( ; i < length; i += 2 ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "odd": createPositionalPseudo( function( matchIndexes, length ) { + var i = 1; + for ( ; i < length; i += 2 ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "lt": createPositionalPseudo( function( matchIndexes, length, argument ) { + var i = argument < 0 ? + argument + length : + argument > length ? + length : + argument; + for ( ; --i >= 0; ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ), + + "gt": createPositionalPseudo( function( matchIndexes, length, argument ) { + var i = argument < 0 ? argument + length : argument; + for ( ; ++i < length; ) { + matchIndexes.push( i ); + } + return matchIndexes; + } ) + } +}; + +Expr.pseudos[ "nth" ] = Expr.pseudos[ "eq" ]; + +// Add button/input type pseudos +for ( i in { radio: true, checkbox: true, file: true, password: true, image: true } ) { + Expr.pseudos[ i ] = createInputPseudo( i ); +} +for ( i in { submit: true, reset: true } ) { + Expr.pseudos[ i ] = createButtonPseudo( i ); +} + +// Easy API for creating new setFilters +function setFilters() {} +setFilters.prototype = Expr.filters = Expr.pseudos; +Expr.setFilters = new setFilters(); + +tokenize = Sizzle.tokenize = function( selector, parseOnly ) { + var matched, match, tokens, type, + soFar, groups, preFilters, + cached = tokenCache[ selector + " " ]; + + if ( cached ) { + return parseOnly ? 0 : cached.slice( 0 ); + } + + soFar = selector; + groups = []; + preFilters = Expr.preFilter; + + while ( soFar ) { + + // Comma and first run + if ( !matched || ( match = rcomma.exec( soFar ) ) ) { + if ( match ) { + + // Don't consume trailing commas as valid + soFar = soFar.slice( match[ 0 ].length ) || soFar; + } + groups.push( ( tokens = [] ) ); + } + + matched = false; + + // Combinators + if ( ( match = rcombinators.exec( soFar ) ) ) { + matched = match.shift(); + tokens.push( { + value: matched, + + // Cast descendant combinators to space + type: match[ 0 ].replace( rtrim, " " ) + } ); + soFar = soFar.slice( matched.length ); + } + + // Filters + for ( type in Expr.filter ) { + if ( ( match = matchExpr[ type ].exec( soFar ) ) && ( !preFilters[ type ] || + ( match = preFilters[ type ]( match ) ) ) ) { + matched = match.shift(); + tokens.push( { + value: matched, + type: type, + matches: match + } ); + soFar = soFar.slice( matched.length ); + } + } + + if ( !matched ) { + break; + } + } + + // Return the length of the invalid excess + // if we're just parsing + // Otherwise, throw an error or return tokens + return parseOnly ? + soFar.length : + soFar ? + Sizzle.error( selector ) : + + // Cache the tokens + tokenCache( selector, groups ).slice( 0 ); +}; + +function toSelector( tokens ) { + var i = 0, + len = tokens.length, + selector = ""; + for ( ; i < len; i++ ) { + selector += tokens[ i ].value; + } + return selector; +} + +function addCombinator( matcher, combinator, base ) { + var dir = combinator.dir, + skip = combinator.next, + key = skip || dir, + checkNonElements = base && key === "parentNode", + doneName = done++; + + return combinator.first ? + + // Check against closest ancestor/preceding element + function( elem, context, xml ) { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + return matcher( elem, context, xml ); + } + } + return false; + } : + + // Check against all ancestor/preceding elements + function( elem, context, xml ) { + var oldCache, uniqueCache, outerCache, + newCache = [ dirruns, doneName ]; + + // We can't set arbitrary data on XML nodes, so they don't benefit from combinator caching + if ( xml ) { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + if ( matcher( elem, context, xml ) ) { + return true; + } + } + } + } else { + while ( ( elem = elem[ dir ] ) ) { + if ( elem.nodeType === 1 || checkNonElements ) { + outerCache = elem[ expando ] || ( elem[ expando ] = {} ); + + // Support: IE <9 only + // Defend against cloned attroperties (jQuery gh-1709) + uniqueCache = outerCache[ elem.uniqueID ] || + ( outerCache[ elem.uniqueID ] = {} ); + + if ( skip && skip === elem.nodeName.toLowerCase() ) { + elem = elem[ dir ] || elem; + } else if ( ( oldCache = uniqueCache[ key ] ) && + oldCache[ 0 ] === dirruns && oldCache[ 1 ] === doneName ) { + + // Assign to newCache so results back-propagate to previous elements + return ( newCache[ 2 ] = oldCache[ 2 ] ); + } else { + + // Reuse newcache so results back-propagate to previous elements + uniqueCache[ key ] = newCache; + + // A match means we're done; a fail means we have to keep checking + if ( ( newCache[ 2 ] = matcher( elem, context, xml ) ) ) { + return true; + } + } + } + } + } + return false; + }; +} + +function elementMatcher( matchers ) { + return matchers.length > 1 ? + function( elem, context, xml ) { + var i = matchers.length; + while ( i-- ) { + if ( !matchers[ i ]( elem, context, xml ) ) { + return false; + } + } + return true; + } : + matchers[ 0 ]; +} + +function multipleContexts( selector, contexts, results ) { + var i = 0, + len = contexts.length; + for ( ; i < len; i++ ) { + Sizzle( selector, contexts[ i ], results ); + } + return results; +} + +function condense( unmatched, map, filter, context, xml ) { + var elem, + newUnmatched = [], + i = 0, + len = unmatched.length, + mapped = map != null; + + for ( ; i < len; i++ ) { + if ( ( elem = unmatched[ i ] ) ) { + if ( !filter || filter( elem, context, xml ) ) { + newUnmatched.push( elem ); + if ( mapped ) { + map.push( i ); + } + } + } + } + + return newUnmatched; +} + +function setMatcher( preFilter, selector, matcher, postFilter, postFinder, postSelector ) { + if ( postFilter && !postFilter[ expando ] ) { + postFilter = setMatcher( postFilter ); + } + if ( postFinder && !postFinder[ expando ] ) { + postFinder = setMatcher( postFinder, postSelector ); + } + return markFunction( function( seed, results, context, xml ) { + var temp, i, elem, + preMap = [], + postMap = [], + preexisting = results.length, + + // Get initial elements from seed or context + elems = seed || multipleContexts( + selector || "*", + context.nodeType ? [ context ] : context, + [] + ), + + // Prefilter to get matcher input, preserving a map for seed-results synchronization + matcherIn = preFilter && ( seed || !selector ) ? + condense( elems, preMap, preFilter, context, xml ) : + elems, + + matcherOut = matcher ? + + // If we have a postFinder, or filtered seed, or non-seed postFilter or preexisting results, + postFinder || ( seed ? preFilter : preexisting || postFilter ) ? + + // ...intermediate processing is necessary + [] : + + // ...otherwise use results directly + results : + matcherIn; + + // Find primary matches + if ( matcher ) { + matcher( matcherIn, matcherOut, context, xml ); + } + + // Apply postFilter + if ( postFilter ) { + temp = condense( matcherOut, postMap ); + postFilter( temp, [], context, xml ); + + // Un-match failing elements by moving them back to matcherIn + i = temp.length; + while ( i-- ) { + if ( ( elem = temp[ i ] ) ) { + matcherOut[ postMap[ i ] ] = !( matcherIn[ postMap[ i ] ] = elem ); + } + } + } + + if ( seed ) { + if ( postFinder || preFilter ) { + if ( postFinder ) { + + // Get the final matcherOut by condensing this intermediate into postFinder contexts + temp = []; + i = matcherOut.length; + while ( i-- ) { + if ( ( elem = matcherOut[ i ] ) ) { + + // Restore matcherIn since elem is not yet a final match + temp.push( ( matcherIn[ i ] = elem ) ); + } + } + postFinder( null, ( matcherOut = [] ), temp, xml ); + } + + // Move matched elements from seed to results to keep them synchronized + i = matcherOut.length; + while ( i-- ) { + if ( ( elem = matcherOut[ i ] ) && + ( temp = postFinder ? indexOf( seed, elem ) : preMap[ i ] ) > -1 ) { + + seed[ temp ] = !( results[ temp ] = elem ); + } + } + } + + // Add elements to results, through postFinder if defined + } else { + matcherOut = condense( + matcherOut === results ? + matcherOut.splice( preexisting, matcherOut.length ) : + matcherOut + ); + if ( postFinder ) { + postFinder( null, results, matcherOut, xml ); + } else { + push.apply( results, matcherOut ); + } + } + } ); +} + +function matcherFromTokens( tokens ) { + var checkContext, matcher, j, + len = tokens.length, + leadingRelative = Expr.relative[ tokens[ 0 ].type ], + implicitRelative = leadingRelative || Expr.relative[ " " ], + i = leadingRelative ? 1 : 0, + + // The foundational matcher ensures that elements are reachable from top-level context(s) + matchContext = addCombinator( function( elem ) { + return elem === checkContext; + }, implicitRelative, true ), + matchAnyContext = addCombinator( function( elem ) { + return indexOf( checkContext, elem ) > -1; + }, implicitRelative, true ), + matchers = [ function( elem, context, xml ) { + var ret = ( !leadingRelative && ( xml || context !== outermostContext ) ) || ( + ( checkContext = context ).nodeType ? + matchContext( elem, context, xml ) : + matchAnyContext( elem, context, xml ) ); + + // Avoid hanging onto element (issue #299) + checkContext = null; + return ret; + } ]; + + for ( ; i < len; i++ ) { + if ( ( matcher = Expr.relative[ tokens[ i ].type ] ) ) { + matchers = [ addCombinator( elementMatcher( matchers ), matcher ) ]; + } else { + matcher = Expr.filter[ tokens[ i ].type ].apply( null, tokens[ i ].matches ); + + // Return special upon seeing a positional matcher + if ( matcher[ expando ] ) { + + // Find the next relative operator (if any) for proper handling + j = ++i; + for ( ; j < len; j++ ) { + if ( Expr.relative[ tokens[ j ].type ] ) { + break; + } + } + return setMatcher( + i > 1 && elementMatcher( matchers ), + i > 1 && toSelector( + + // If the preceding token was a descendant combinator, insert an implicit any-element `*` + tokens + .slice( 0, i - 1 ) + .concat( { value: tokens[ i - 2 ].type === " " ? "*" : "" } ) + ).replace( rtrim, "$1" ), + matcher, + i < j && matcherFromTokens( tokens.slice( i, j ) ), + j < len && matcherFromTokens( ( tokens = tokens.slice( j ) ) ), + j < len && toSelector( tokens ) + ); + } + matchers.push( matcher ); + } + } + + return elementMatcher( matchers ); +} + +function matcherFromGroupMatchers( elementMatchers, setMatchers ) { + var bySet = setMatchers.length > 0, + byElement = elementMatchers.length > 0, + superMatcher = function( seed, context, xml, results, outermost ) { + var elem, j, matcher, + matchedCount = 0, + i = "0", + unmatched = seed && [], + setMatched = [], + contextBackup = outermostContext, + + // We must always have either seed elements or outermost context + elems = seed || byElement && Expr.find[ "TAG" ]( "*", outermost ), + + // Use integer dirruns iff this is the outermost matcher + dirrunsUnique = ( dirruns += contextBackup == null ? 1 : Math.random() || 0.1 ), + len = elems.length; + + if ( outermost ) { + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + outermostContext = context == document || context || outermost; + } + + // Add elements passing elementMatchers directly to results + // Support: IE<9, Safari + // Tolerate NodeList properties (IE: "length"; Safari: ) matching elements by id + for ( ; i !== len && ( elem = elems[ i ] ) != null; i++ ) { + if ( byElement && elem ) { + j = 0; + + // Support: IE 11+, Edge 17 - 18+ + // IE/Edge sometimes throw a "Permission denied" error when strict-comparing + // two documents; shallow comparisons work. + // eslint-disable-next-line eqeqeq + if ( !context && elem.ownerDocument != document ) { + setDocument( elem ); + xml = !documentIsHTML; + } + while ( ( matcher = elementMatchers[ j++ ] ) ) { + if ( matcher( elem, context || document, xml ) ) { + results.push( elem ); + break; + } + } + if ( outermost ) { + dirruns = dirrunsUnique; + } + } + + // Track unmatched elements for set filters + if ( bySet ) { + + // They will have gone through all possible matchers + if ( ( elem = !matcher && elem ) ) { + matchedCount--; + } + + // Lengthen the array for every element, matched or not + if ( seed ) { + unmatched.push( elem ); + } + } + } + + // `i` is now the count of elements visited above, and adding it to `matchedCount` + // makes the latter nonnegative. + matchedCount += i; + + // Apply set filters to unmatched elements + // NOTE: This can be skipped if there are no unmatched elements (i.e., `matchedCount` + // equals `i`), unless we didn't visit _any_ elements in the above loop because we have + // no element matchers and no seed. + // Incrementing an initially-string "0" `i` allows `i` to remain a string only in that + // case, which will result in a "00" `matchedCount` that differs from `i` but is also + // numerically zero. + if ( bySet && i !== matchedCount ) { + j = 0; + while ( ( matcher = setMatchers[ j++ ] ) ) { + matcher( unmatched, setMatched, context, xml ); + } + + if ( seed ) { + + // Reintegrate element matches to eliminate the need for sorting + if ( matchedCount > 0 ) { + while ( i-- ) { + if ( !( unmatched[ i ] || setMatched[ i ] ) ) { + setMatched[ i ] = pop.call( results ); + } + } + } + + // Discard index placeholder values to get only actual matches + setMatched = condense( setMatched ); + } + + // Add matches to results + push.apply( results, setMatched ); + + // Seedless set matches succeeding multiple successful matchers stipulate sorting + if ( outermost && !seed && setMatched.length > 0 && + ( matchedCount + setMatchers.length ) > 1 ) { + + Sizzle.uniqueSort( results ); + } + } + + // Override manipulation of globals by nested matchers + if ( outermost ) { + dirruns = dirrunsUnique; + outermostContext = contextBackup; + } + + return unmatched; + }; + + return bySet ? + markFunction( superMatcher ) : + superMatcher; +} + +compile = Sizzle.compile = function( selector, match /* Internal Use Only */ ) { + var i, + setMatchers = [], + elementMatchers = [], + cached = compilerCache[ selector + " " ]; + + if ( !cached ) { + + // Generate a function of recursive functions that can be used to check each element + if ( !match ) { + match = tokenize( selector ); + } + i = match.length; + while ( i-- ) { + cached = matcherFromTokens( match[ i ] ); + if ( cached[ expando ] ) { + setMatchers.push( cached ); + } else { + elementMatchers.push( cached ); + } + } + + // Cache the compiled function + cached = compilerCache( + selector, + matcherFromGroupMatchers( elementMatchers, setMatchers ) + ); + + // Save selector and tokenization + cached.selector = selector; + } + return cached; +}; + +/** + * A low-level selection function that works with Sizzle's compiled + * selector functions + * @param {String|Function} selector A selector or a pre-compiled + * selector function built with Sizzle.compile + * @param {Element} context + * @param {Array} [results] + * @param {Array} [seed] A set of elements to match against + */ +select = Sizzle.select = function( selector, context, results, seed ) { + var i, tokens, token, type, find, + compiled = typeof selector === "function" && selector, + match = !seed && tokenize( ( selector = compiled.selector || selector ) ); + + results = results || []; + + // Try to minimize operations if there is only one selector in the list and no seed + // (the latter of which guarantees us context) + if ( match.length === 1 ) { + + // Reduce context if the leading compound selector is an ID + tokens = match[ 0 ] = match[ 0 ].slice( 0 ); + if ( tokens.length > 2 && ( token = tokens[ 0 ] ).type === "ID" && + context.nodeType === 9 && documentIsHTML && Expr.relative[ tokens[ 1 ].type ] ) { + + context = ( Expr.find[ "ID" ]( token.matches[ 0 ] + .replace( runescape, funescape ), context ) || [] )[ 0 ]; + if ( !context ) { + return results; + + // Precompiled matchers will still verify ancestry, so step up a level + } else if ( compiled ) { + context = context.parentNode; + } + + selector = selector.slice( tokens.shift().value.length ); + } + + // Fetch a seed set for right-to-left matching + i = matchExpr[ "needsContext" ].test( selector ) ? 0 : tokens.length; + while ( i-- ) { + token = tokens[ i ]; + + // Abort if we hit a combinator + if ( Expr.relative[ ( type = token.type ) ] ) { + break; + } + if ( ( find = Expr.find[ type ] ) ) { + + // Search, expanding context for leading sibling combinators + if ( ( seed = find( + token.matches[ 0 ].replace( runescape, funescape ), + rsibling.test( tokens[ 0 ].type ) && testContext( context.parentNode ) || + context + ) ) ) { + + // If seed is empty or no tokens remain, we can return early + tokens.splice( i, 1 ); + selector = seed.length && toSelector( tokens ); + if ( !selector ) { + push.apply( results, seed ); + return results; + } + + break; + } + } + } + } + + // Compile and execute a filtering function if one is not provided + // Provide `match` to avoid retokenization if we modified the selector above + ( compiled || compile( selector, match ) )( + seed, + context, + !documentIsHTML, + results, + !context || rsibling.test( selector ) && testContext( context.parentNode ) || context + ); + return results; +}; + +// One-time assignments + +// Sort stability +support.sortStable = expando.split( "" ).sort( sortOrder ).join( "" ) === expando; + +// Support: Chrome 14-35+ +// Always assume duplicates if they aren't passed to the comparison function +support.detectDuplicates = !!hasDuplicate; + +// Initialize against the default document +setDocument(); + +// Support: Webkit<537.32 - Safari 6.0.3/Chrome 25 (fixed in Chrome 27) +// Detached nodes confoundingly follow *each other* +support.sortDetached = assert( function( el ) { + + // Should return 1, but returns 4 (following) + return el.compareDocumentPosition( document.createElement( "fieldset" ) ) & 1; +} ); + +// Support: IE<8 +// Prevent attribute/property "interpolation" +// https://msdn.microsoft.com/en-us/library/ms536429%28VS.85%29.aspx +if ( !assert( function( el ) { + el.innerHTML = ""; + return el.firstChild.getAttribute( "href" ) === "#"; +} ) ) { + addHandle( "type|href|height|width", function( elem, name, isXML ) { + if ( !isXML ) { + return elem.getAttribute( name, name.toLowerCase() === "type" ? 1 : 2 ); + } + } ); +} + +// Support: IE<9 +// Use defaultValue in place of getAttribute("value") +if ( !support.attributes || !assert( function( el ) { + el.innerHTML = ""; + el.firstChild.setAttribute( "value", "" ); + return el.firstChild.getAttribute( "value" ) === ""; +} ) ) { + addHandle( "value", function( elem, _name, isXML ) { + if ( !isXML && elem.nodeName.toLowerCase() === "input" ) { + return elem.defaultValue; + } + } ); +} + +// Support: IE<9 +// Use getAttributeNode to fetch booleans when getAttribute lies +if ( !assert( function( el ) { + return el.getAttribute( "disabled" ) == null; +} ) ) { + addHandle( booleans, function( elem, name, isXML ) { + var val; + if ( !isXML ) { + return elem[ name ] === true ? name.toLowerCase() : + ( val = elem.getAttributeNode( name ) ) && val.specified ? + val.value : + null; + } + } ); +} + +return Sizzle; + +} )( window ); + + + +jQuery.find = Sizzle; +jQuery.expr = Sizzle.selectors; + +// Deprecated +jQuery.expr[ ":" ] = jQuery.expr.pseudos; +jQuery.uniqueSort = jQuery.unique = Sizzle.uniqueSort; +jQuery.text = Sizzle.getText; +jQuery.isXMLDoc = Sizzle.isXML; +jQuery.contains = Sizzle.contains; +jQuery.escapeSelector = Sizzle.escape; + + + + +var dir = function( elem, dir, until ) { + var matched = [], + truncate = until !== undefined; + + while ( ( elem = elem[ dir ] ) && elem.nodeType !== 9 ) { + if ( elem.nodeType === 1 ) { + if ( truncate && jQuery( elem ).is( until ) ) { + break; + } + matched.push( elem ); + } + } + return matched; +}; + + +var siblings = function( n, elem ) { + var matched = []; + + for ( ; n; n = n.nextSibling ) { + if ( n.nodeType === 1 && n !== elem ) { + matched.push( n ); + } + } + + return matched; +}; + + +var rneedsContext = jQuery.expr.match.needsContext; + + + +function nodeName( elem, name ) { + + return elem.nodeName && elem.nodeName.toLowerCase() === name.toLowerCase(); + +}; +var rsingleTag = ( /^<([a-z][^\/\0>:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i ); + + + +// Implement the identical functionality for filter and not +function winnow( elements, qualifier, not ) { + if ( isFunction( qualifier ) ) { + return jQuery.grep( elements, function( elem, i ) { + return !!qualifier.call( elem, i, elem ) !== not; + } ); + } + + // Single element + if ( qualifier.nodeType ) { + return jQuery.grep( elements, function( elem ) { + return ( elem === qualifier ) !== not; + } ); + } + + // Arraylike of elements (jQuery, arguments, Array) + if ( typeof qualifier !== "string" ) { + return jQuery.grep( elements, function( elem ) { + return ( indexOf.call( qualifier, elem ) > -1 ) !== not; + } ); + } + + // Filtered directly for both simple and complex selectors + return jQuery.filter( qualifier, elements, not ); +} + +jQuery.filter = function( expr, elems, not ) { + var elem = elems[ 0 ]; + + if ( not ) { + expr = ":not(" + expr + ")"; + } + + if ( elems.length === 1 && elem.nodeType === 1 ) { + return jQuery.find.matchesSelector( elem, expr ) ? [ elem ] : []; + } + + return jQuery.find.matches( expr, jQuery.grep( elems, function( elem ) { + return elem.nodeType === 1; + } ) ); +}; + +jQuery.fn.extend( { + find: function( selector ) { + var i, ret, + len = this.length, + self = this; + + if ( typeof selector !== "string" ) { + return this.pushStack( jQuery( selector ).filter( function() { + for ( i = 0; i < len; i++ ) { + if ( jQuery.contains( self[ i ], this ) ) { + return true; + } + } + } ) ); + } + + ret = this.pushStack( [] ); + + for ( i = 0; i < len; i++ ) { + jQuery.find( selector, self[ i ], ret ); + } + + return len > 1 ? jQuery.uniqueSort( ret ) : ret; + }, + filter: function( selector ) { + return this.pushStack( winnow( this, selector || [], false ) ); + }, + not: function( selector ) { + return this.pushStack( winnow( this, selector || [], true ) ); + }, + is: function( selector ) { + return !!winnow( + this, + + // If this is a positional/relative selector, check membership in the returned set + // so $("p:first").is("p:last") won't return true for a doc with two "p". + typeof selector === "string" && rneedsContext.test( selector ) ? + jQuery( selector ) : + selector || [], + false + ).length; + } +} ); + + +// Initialize a jQuery object + + +// A central reference to the root jQuery(document) +var rootjQuery, + + // A simple way to check for HTML strings + // Prioritize #id over to avoid XSS via location.hash (#9521) + // Strict HTML recognition (#11290: must start with <) + // Shortcut simple #id case for speed + rquickExpr = /^(?:\s*(<[\w\W]+>)[^>]*|#([\w-]+))$/, + + init = jQuery.fn.init = function( selector, context, root ) { + var match, elem; + + // HANDLE: $(""), $(null), $(undefined), $(false) + if ( !selector ) { + return this; + } + + // Method init() accepts an alternate rootjQuery + // so migrate can support jQuery.sub (gh-2101) + root = root || rootjQuery; + + // Handle HTML strings + if ( typeof selector === "string" ) { + if ( selector[ 0 ] === "<" && + selector[ selector.length - 1 ] === ">" && + selector.length >= 3 ) { + + // Assume that strings that start and end with <> are HTML and skip the regex check + match = [ null, selector, null ]; + + } else { + match = rquickExpr.exec( selector ); + } + + // Match html or make sure no context is specified for #id + if ( match && ( match[ 1 ] || !context ) ) { + + // HANDLE: $(html) -> $(array) + if ( match[ 1 ] ) { + context = context instanceof jQuery ? context[ 0 ] : context; + + // Option to run scripts is true for back-compat + // Intentionally let the error be thrown if parseHTML is not present + jQuery.merge( this, jQuery.parseHTML( + match[ 1 ], + context && context.nodeType ? context.ownerDocument || context : document, + true + ) ); + + // HANDLE: $(html, props) + if ( rsingleTag.test( match[ 1 ] ) && jQuery.isPlainObject( context ) ) { + for ( match in context ) { + + // Properties of context are called as methods if possible + if ( isFunction( this[ match ] ) ) { + this[ match ]( context[ match ] ); + + // ...and otherwise set as attributes + } else { + this.attr( match, context[ match ] ); + } + } + } + + return this; + + // HANDLE: $(#id) + } else { + elem = document.getElementById( match[ 2 ] ); + + if ( elem ) { + + // Inject the element directly into the jQuery object + this[ 0 ] = elem; + this.length = 1; + } + return this; + } + + // HANDLE: $(expr, $(...)) + } else if ( !context || context.jquery ) { + return ( context || root ).find( selector ); + + // HANDLE: $(expr, context) + // (which is just equivalent to: $(context).find(expr) + } else { + return this.constructor( context ).find( selector ); + } + + // HANDLE: $(DOMElement) + } else if ( selector.nodeType ) { + this[ 0 ] = selector; + this.length = 1; + return this; + + // HANDLE: $(function) + // Shortcut for document ready + } else if ( isFunction( selector ) ) { + return root.ready !== undefined ? + root.ready( selector ) : + + // Execute immediately if ready is not present + selector( jQuery ); + } + + return jQuery.makeArray( selector, this ); + }; + +// Give the init function the jQuery prototype for later instantiation +init.prototype = jQuery.fn; + +// Initialize central reference +rootjQuery = jQuery( document ); + + +var rparentsprev = /^(?:parents|prev(?:Until|All))/, + + // Methods guaranteed to produce a unique set when starting from a unique set + guaranteedUnique = { + children: true, + contents: true, + next: true, + prev: true + }; + +jQuery.fn.extend( { + has: function( target ) { + var targets = jQuery( target, this ), + l = targets.length; + + return this.filter( function() { + var i = 0; + for ( ; i < l; i++ ) { + if ( jQuery.contains( this, targets[ i ] ) ) { + return true; + } + } + } ); + }, + + closest: function( selectors, context ) { + var cur, + i = 0, + l = this.length, + matched = [], + targets = typeof selectors !== "string" && jQuery( selectors ); + + // Positional selectors never match, since there's no _selection_ context + if ( !rneedsContext.test( selectors ) ) { + for ( ; i < l; i++ ) { + for ( cur = this[ i ]; cur && cur !== context; cur = cur.parentNode ) { + + // Always skip document fragments + if ( cur.nodeType < 11 && ( targets ? + targets.index( cur ) > -1 : + + // Don't pass non-elements to Sizzle + cur.nodeType === 1 && + jQuery.find.matchesSelector( cur, selectors ) ) ) { + + matched.push( cur ); + break; + } + } + } + } + + return this.pushStack( matched.length > 1 ? jQuery.uniqueSort( matched ) : matched ); + }, + + // Determine the position of an element within the set + index: function( elem ) { + + // No argument, return index in parent + if ( !elem ) { + return ( this[ 0 ] && this[ 0 ].parentNode ) ? this.first().prevAll().length : -1; + } + + // Index in selector + if ( typeof elem === "string" ) { + return indexOf.call( jQuery( elem ), this[ 0 ] ); + } + + // Locate the position of the desired element + return indexOf.call( this, + + // If it receives a jQuery object, the first element is used + elem.jquery ? elem[ 0 ] : elem + ); + }, + + add: function( selector, context ) { + return this.pushStack( + jQuery.uniqueSort( + jQuery.merge( this.get(), jQuery( selector, context ) ) + ) + ); + }, + + addBack: function( selector ) { + return this.add( selector == null ? + this.prevObject : this.prevObject.filter( selector ) + ); + } +} ); + +function sibling( cur, dir ) { + while ( ( cur = cur[ dir ] ) && cur.nodeType !== 1 ) {} + return cur; +} + +jQuery.each( { + parent: function( elem ) { + var parent = elem.parentNode; + return parent && parent.nodeType !== 11 ? parent : null; + }, + parents: function( elem ) { + return dir( elem, "parentNode" ); + }, + parentsUntil: function( elem, _i, until ) { + return dir( elem, "parentNode", until ); + }, + next: function( elem ) { + return sibling( elem, "nextSibling" ); + }, + prev: function( elem ) { + return sibling( elem, "previousSibling" ); + }, + nextAll: function( elem ) { + return dir( elem, "nextSibling" ); + }, + prevAll: function( elem ) { + return dir( elem, "previousSibling" ); + }, + nextUntil: function( elem, _i, until ) { + return dir( elem, "nextSibling", until ); + }, + prevUntil: function( elem, _i, until ) { + return dir( elem, "previousSibling", until ); + }, + siblings: function( elem ) { + return siblings( ( elem.parentNode || {} ).firstChild, elem ); + }, + children: function( elem ) { + return siblings( elem.firstChild ); + }, + contents: function( elem ) { + if ( elem.contentDocument != null && + + // Support: IE 11+ + // elements with no `data` attribute has an object + // `contentDocument` with a `null` prototype. + getProto( elem.contentDocument ) ) { + + return elem.contentDocument; + } + + // Support: IE 9 - 11 only, iOS 7 only, Android Browser <=4.3 only + // Treat the template element as a regular one in browsers that + // don't support it. + if ( nodeName( elem, "template" ) ) { + elem = elem.content || elem; + } + + return jQuery.merge( [], elem.childNodes ); + } +}, function( name, fn ) { + jQuery.fn[ name ] = function( until, selector ) { + var matched = jQuery.map( this, fn, until ); + + if ( name.slice( -5 ) !== "Until" ) { + selector = until; + } + + if ( selector && typeof selector === "string" ) { + matched = jQuery.filter( selector, matched ); + } + + if ( this.length > 1 ) { + + // Remove duplicates + if ( !guaranteedUnique[ name ] ) { + jQuery.uniqueSort( matched ); + } + + // Reverse order for parents* and prev-derivatives + if ( rparentsprev.test( name ) ) { + matched.reverse(); + } + } + + return this.pushStack( matched ); + }; +} ); +var rnothtmlwhite = ( /[^\x20\t\r\n\f]+/g ); + + + +// Convert String-formatted options into Object-formatted ones +function createOptions( options ) { + var object = {}; + jQuery.each( options.match( rnothtmlwhite ) || [], function( _, flag ) { + object[ flag ] = true; + } ); + return object; +} + +/* + * Create a callback list using the following parameters: + * + * options: an optional list of space-separated options that will change how + * the callback list behaves or a more traditional option object + * + * By default a callback list will act like an event callback list and can be + * "fired" multiple times. + * + * Possible options: + * + * once: will ensure the callback list can only be fired once (like a Deferred) + * + * memory: will keep track of previous values and will call any callback added + * after the list has been fired right away with the latest "memorized" + * values (like a Deferred) + * + * unique: will ensure a callback can only be added once (no duplicate in the list) + * + * stopOnFalse: interrupt callings when a callback returns false + * + */ +jQuery.Callbacks = function( options ) { + + // Convert options from String-formatted to Object-formatted if needed + // (we check in cache first) + options = typeof options === "string" ? + createOptions( options ) : + jQuery.extend( {}, options ); + + var // Flag to know if list is currently firing + firing, + + // Last fire value for non-forgettable lists + memory, + + // Flag to know if list was already fired + fired, + + // Flag to prevent firing + locked, + + // Actual callback list + list = [], + + // Queue of execution data for repeatable lists + queue = [], + + // Index of currently firing callback (modified by add/remove as needed) + firingIndex = -1, + + // Fire callbacks + fire = function() { + + // Enforce single-firing + locked = locked || options.once; + + // Execute callbacks for all pending executions, + // respecting firingIndex overrides and runtime changes + fired = firing = true; + for ( ; queue.length; firingIndex = -1 ) { + memory = queue.shift(); + while ( ++firingIndex < list.length ) { + + // Run callback and check for early termination + if ( list[ firingIndex ].apply( memory[ 0 ], memory[ 1 ] ) === false && + options.stopOnFalse ) { + + // Jump to end and forget the data so .add doesn't re-fire + firingIndex = list.length; + memory = false; + } + } + } + + // Forget the data if we're done with it + if ( !options.memory ) { + memory = false; + } + + firing = false; + + // Clean up if we're done firing for good + if ( locked ) { + + // Keep an empty list if we have data for future add calls + if ( memory ) { + list = []; + + // Otherwise, this object is spent + } else { + list = ""; + } + } + }, + + // Actual Callbacks object + self = { + + // Add a callback or a collection of callbacks to the list + add: function() { + if ( list ) { + + // If we have memory from a past run, we should fire after adding + if ( memory && !firing ) { + firingIndex = list.length - 1; + queue.push( memory ); + } + + ( function add( args ) { + jQuery.each( args, function( _, arg ) { + if ( isFunction( arg ) ) { + if ( !options.unique || !self.has( arg ) ) { + list.push( arg ); + } + } else if ( arg && arg.length && toType( arg ) !== "string" ) { + + // Inspect recursively + add( arg ); + } + } ); + } )( arguments ); + + if ( memory && !firing ) { + fire(); + } + } + return this; + }, + + // Remove a callback from the list + remove: function() { + jQuery.each( arguments, function( _, arg ) { + var index; + while ( ( index = jQuery.inArray( arg, list, index ) ) > -1 ) { + list.splice( index, 1 ); + + // Handle firing indexes + if ( index <= firingIndex ) { + firingIndex--; + } + } + } ); + return this; + }, + + // Check if a given callback is in the list. + // If no argument is given, return whether or not list has callbacks attached. + has: function( fn ) { + return fn ? + jQuery.inArray( fn, list ) > -1 : + list.length > 0; + }, + + // Remove all callbacks from the list + empty: function() { + if ( list ) { + list = []; + } + return this; + }, + + // Disable .fire and .add + // Abort any current/pending executions + // Clear all callbacks and values + disable: function() { + locked = queue = []; + list = memory = ""; + return this; + }, + disabled: function() { + return !list; + }, + + // Disable .fire + // Also disable .add unless we have memory (since it would have no effect) + // Abort any pending executions + lock: function() { + locked = queue = []; + if ( !memory && !firing ) { + list = memory = ""; + } + return this; + }, + locked: function() { + return !!locked; + }, + + // Call all callbacks with the given context and arguments + fireWith: function( context, args ) { + if ( !locked ) { + args = args || []; + args = [ context, args.slice ? args.slice() : args ]; + queue.push( args ); + if ( !firing ) { + fire(); + } + } + return this; + }, + + // Call all the callbacks with the given arguments + fire: function() { + self.fireWith( this, arguments ); + return this; + }, + + // To know if the callbacks have already been called at least once + fired: function() { + return !!fired; + } + }; + + return self; +}; + + +function Identity( v ) { + return v; +} +function Thrower( ex ) { + throw ex; +} + +function adoptValue( value, resolve, reject, noValue ) { + var method; + + try { + + // Check for promise aspect first to privilege synchronous behavior + if ( value && isFunction( ( method = value.promise ) ) ) { + method.call( value ).done( resolve ).fail( reject ); + + // Other thenables + } else if ( value && isFunction( ( method = value.then ) ) ) { + method.call( value, resolve, reject ); + + // Other non-thenables + } else { + + // Control `resolve` arguments by letting Array#slice cast boolean `noValue` to integer: + // * false: [ value ].slice( 0 ) => resolve( value ) + // * true: [ value ].slice( 1 ) => resolve() + resolve.apply( undefined, [ value ].slice( noValue ) ); + } + + // For Promises/A+, convert exceptions into rejections + // Since jQuery.when doesn't unwrap thenables, we can skip the extra checks appearing in + // Deferred#then to conditionally suppress rejection. + } catch ( value ) { + + // Support: Android 4.0 only + // Strict mode functions invoked without .call/.apply get global-object context + reject.apply( undefined, [ value ] ); + } +} + +jQuery.extend( { + + Deferred: function( func ) { + var tuples = [ + + // action, add listener, callbacks, + // ... .then handlers, argument index, [final state] + [ "notify", "progress", jQuery.Callbacks( "memory" ), + jQuery.Callbacks( "memory" ), 2 ], + [ "resolve", "done", jQuery.Callbacks( "once memory" ), + jQuery.Callbacks( "once memory" ), 0, "resolved" ], + [ "reject", "fail", jQuery.Callbacks( "once memory" ), + jQuery.Callbacks( "once memory" ), 1, "rejected" ] + ], + state = "pending", + promise = { + state: function() { + return state; + }, + always: function() { + deferred.done( arguments ).fail( arguments ); + return this; + }, + "catch": function( fn ) { + return promise.then( null, fn ); + }, + + // Keep pipe for back-compat + pipe: function( /* fnDone, fnFail, fnProgress */ ) { + var fns = arguments; + + return jQuery.Deferred( function( newDefer ) { + jQuery.each( tuples, function( _i, tuple ) { + + // Map tuples (progress, done, fail) to arguments (done, fail, progress) + var fn = isFunction( fns[ tuple[ 4 ] ] ) && fns[ tuple[ 4 ] ]; + + // deferred.progress(function() { bind to newDefer or newDefer.notify }) + // deferred.done(function() { bind to newDefer or newDefer.resolve }) + // deferred.fail(function() { bind to newDefer or newDefer.reject }) + deferred[ tuple[ 1 ] ]( function() { + var returned = fn && fn.apply( this, arguments ); + if ( returned && isFunction( returned.promise ) ) { + returned.promise() + .progress( newDefer.notify ) + .done( newDefer.resolve ) + .fail( newDefer.reject ); + } else { + newDefer[ tuple[ 0 ] + "With" ]( + this, + fn ? [ returned ] : arguments + ); + } + } ); + } ); + fns = null; + } ).promise(); + }, + then: function( onFulfilled, onRejected, onProgress ) { + var maxDepth = 0; + function resolve( depth, deferred, handler, special ) { + return function() { + var that = this, + args = arguments, + mightThrow = function() { + var returned, then; + + // Support: Promises/A+ section 2.3.3.3.3 + // https://promisesaplus.com/#point-59 + // Ignore double-resolution attempts + if ( depth < maxDepth ) { + return; + } + + returned = handler.apply( that, args ); + + // Support: Promises/A+ section 2.3.1 + // https://promisesaplus.com/#point-48 + if ( returned === deferred.promise() ) { + throw new TypeError( "Thenable self-resolution" ); + } + + // Support: Promises/A+ sections 2.3.3.1, 3.5 + // https://promisesaplus.com/#point-54 + // https://promisesaplus.com/#point-75 + // Retrieve `then` only once + then = returned && + + // Support: Promises/A+ section 2.3.4 + // https://promisesaplus.com/#point-64 + // Only check objects and functions for thenability + ( typeof returned === "object" || + typeof returned === "function" ) && + returned.then; + + // Handle a returned thenable + if ( isFunction( then ) ) { + + // Special processors (notify) just wait for resolution + if ( special ) { + then.call( + returned, + resolve( maxDepth, deferred, Identity, special ), + resolve( maxDepth, deferred, Thrower, special ) + ); + + // Normal processors (resolve) also hook into progress + } else { + + // ...and disregard older resolution values + maxDepth++; + + then.call( + returned, + resolve( maxDepth, deferred, Identity, special ), + resolve( maxDepth, deferred, Thrower, special ), + resolve( maxDepth, deferred, Identity, + deferred.notifyWith ) + ); + } + + // Handle all other returned values + } else { + + // Only substitute handlers pass on context + // and multiple values (non-spec behavior) + if ( handler !== Identity ) { + that = undefined; + args = [ returned ]; + } + + // Process the value(s) + // Default process is resolve + ( special || deferred.resolveWith )( that, args ); + } + }, + + // Only normal processors (resolve) catch and reject exceptions + process = special ? + mightThrow : + function() { + try { + mightThrow(); + } catch ( e ) { + + if ( jQuery.Deferred.exceptionHook ) { + jQuery.Deferred.exceptionHook( e, + process.stackTrace ); + } + + // Support: Promises/A+ section 2.3.3.3.4.1 + // https://promisesaplus.com/#point-61 + // Ignore post-resolution exceptions + if ( depth + 1 >= maxDepth ) { + + // Only substitute handlers pass on context + // and multiple values (non-spec behavior) + if ( handler !== Thrower ) { + that = undefined; + args = [ e ]; + } + + deferred.rejectWith( that, args ); + } + } + }; + + // Support: Promises/A+ section 2.3.3.3.1 + // https://promisesaplus.com/#point-57 + // Re-resolve promises immediately to dodge false rejection from + // subsequent errors + if ( depth ) { + process(); + } else { + + // Call an optional hook to record the stack, in case of exception + // since it's otherwise lost when execution goes async + if ( jQuery.Deferred.getStackHook ) { + process.stackTrace = jQuery.Deferred.getStackHook(); + } + window.setTimeout( process ); + } + }; + } + + return jQuery.Deferred( function( newDefer ) { + + // progress_handlers.add( ... ) + tuples[ 0 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onProgress ) ? + onProgress : + Identity, + newDefer.notifyWith + ) + ); + + // fulfilled_handlers.add( ... ) + tuples[ 1 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onFulfilled ) ? + onFulfilled : + Identity + ) + ); + + // rejected_handlers.add( ... ) + tuples[ 2 ][ 3 ].add( + resolve( + 0, + newDefer, + isFunction( onRejected ) ? + onRejected : + Thrower + ) + ); + } ).promise(); + }, + + // Get a promise for this deferred + // If obj is provided, the promise aspect is added to the object + promise: function( obj ) { + return obj != null ? jQuery.extend( obj, promise ) : promise; + } + }, + deferred = {}; + + // Add list-specific methods + jQuery.each( tuples, function( i, tuple ) { + var list = tuple[ 2 ], + stateString = tuple[ 5 ]; + + // promise.progress = list.add + // promise.done = list.add + // promise.fail = list.add + promise[ tuple[ 1 ] ] = list.add; + + // Handle state + if ( stateString ) { + list.add( + function() { + + // state = "resolved" (i.e., fulfilled) + // state = "rejected" + state = stateString; + }, + + // rejected_callbacks.disable + // fulfilled_callbacks.disable + tuples[ 3 - i ][ 2 ].disable, + + // rejected_handlers.disable + // fulfilled_handlers.disable + tuples[ 3 - i ][ 3 ].disable, + + // progress_callbacks.lock + tuples[ 0 ][ 2 ].lock, + + // progress_handlers.lock + tuples[ 0 ][ 3 ].lock + ); + } + + // progress_handlers.fire + // fulfilled_handlers.fire + // rejected_handlers.fire + list.add( tuple[ 3 ].fire ); + + // deferred.notify = function() { deferred.notifyWith(...) } + // deferred.resolve = function() { deferred.resolveWith(...) } + // deferred.reject = function() { deferred.rejectWith(...) } + deferred[ tuple[ 0 ] ] = function() { + deferred[ tuple[ 0 ] + "With" ]( this === deferred ? undefined : this, arguments ); + return this; + }; + + // deferred.notifyWith = list.fireWith + // deferred.resolveWith = list.fireWith + // deferred.rejectWith = list.fireWith + deferred[ tuple[ 0 ] + "With" ] = list.fireWith; + } ); + + // Make the deferred a promise + promise.promise( deferred ); + + // Call given func if any + if ( func ) { + func.call( deferred, deferred ); + } + + // All done! + return deferred; + }, + + // Deferred helper + when: function( singleValue ) { + var + + // count of uncompleted subordinates + remaining = arguments.length, + + // count of unprocessed arguments + i = remaining, + + // subordinate fulfillment data + resolveContexts = Array( i ), + resolveValues = slice.call( arguments ), + + // the master Deferred + master = jQuery.Deferred(), + + // subordinate callback factory + updateFunc = function( i ) { + return function( value ) { + resolveContexts[ i ] = this; + resolveValues[ i ] = arguments.length > 1 ? slice.call( arguments ) : value; + if ( !( --remaining ) ) { + master.resolveWith( resolveContexts, resolveValues ); + } + }; + }; + + // Single- and empty arguments are adopted like Promise.resolve + if ( remaining <= 1 ) { + adoptValue( singleValue, master.done( updateFunc( i ) ).resolve, master.reject, + !remaining ); + + // Use .then() to unwrap secondary thenables (cf. gh-3000) + if ( master.state() === "pending" || + isFunction( resolveValues[ i ] && resolveValues[ i ].then ) ) { + + return master.then(); + } + } + + // Multiple arguments are aggregated like Promise.all array elements + while ( i-- ) { + adoptValue( resolveValues[ i ], updateFunc( i ), master.reject ); + } + + return master.promise(); + } +} ); + + +// These usually indicate a programmer mistake during development, +// warn about them ASAP rather than swallowing them by default. +var rerrorNames = /^(Eval|Internal|Range|Reference|Syntax|Type|URI)Error$/; + +jQuery.Deferred.exceptionHook = function( error, stack ) { + + // Support: IE 8 - 9 only + // Console exists when dev tools are open, which can happen at any time + if ( window.console && window.console.warn && error && rerrorNames.test( error.name ) ) { + window.console.warn( "jQuery.Deferred exception: " + error.message, error.stack, stack ); + } +}; + + + + +jQuery.readyException = function( error ) { + window.setTimeout( function() { + throw error; + } ); +}; + + + + +// The deferred used on DOM ready +var readyList = jQuery.Deferred(); + +jQuery.fn.ready = function( fn ) { + + readyList + .then( fn ) + + // Wrap jQuery.readyException in a function so that the lookup + // happens at the time of error handling instead of callback + // registration. + .catch( function( error ) { + jQuery.readyException( error ); + } ); + + return this; +}; + +jQuery.extend( { + + // Is the DOM ready to be used? Set to true once it occurs. + isReady: false, + + // A counter to track how many items to wait for before + // the ready event fires. See #6781 + readyWait: 1, + + // Handle when the DOM is ready + ready: function( wait ) { + + // Abort if there are pending holds or we're already ready + if ( wait === true ? --jQuery.readyWait : jQuery.isReady ) { + return; + } + + // Remember that the DOM is ready + jQuery.isReady = true; + + // If a normal DOM Ready event fired, decrement, and wait if need be + if ( wait !== true && --jQuery.readyWait > 0 ) { + return; + } + + // If there are functions bound, to execute + readyList.resolveWith( document, [ jQuery ] ); + } +} ); + +jQuery.ready.then = readyList.then; + +// The ready event handler and self cleanup method +function completed() { + document.removeEventListener( "DOMContentLoaded", completed ); + window.removeEventListener( "load", completed ); + jQuery.ready(); +} + +// Catch cases where $(document).ready() is called +// after the browser event has already occurred. +// Support: IE <=9 - 10 only +// Older IE sometimes signals "interactive" too soon +if ( document.readyState === "complete" || + ( document.readyState !== "loading" && !document.documentElement.doScroll ) ) { + + // Handle it asynchronously to allow scripts the opportunity to delay ready + window.setTimeout( jQuery.ready ); + +} else { + + // Use the handy event callback + document.addEventListener( "DOMContentLoaded", completed ); + + // A fallback to window.onload, that will always work + window.addEventListener( "load", completed ); +} + + + + +// Multifunctional method to get and set values of a collection +// The value/s can optionally be executed if it's a function +var access = function( elems, fn, key, value, chainable, emptyGet, raw ) { + var i = 0, + len = elems.length, + bulk = key == null; + + // Sets many values + if ( toType( key ) === "object" ) { + chainable = true; + for ( i in key ) { + access( elems, fn, i, key[ i ], true, emptyGet, raw ); + } + + // Sets one value + } else if ( value !== undefined ) { + chainable = true; + + if ( !isFunction( value ) ) { + raw = true; + } + + if ( bulk ) { + + // Bulk operations run against the entire set + if ( raw ) { + fn.call( elems, value ); + fn = null; + + // ...except when executing function values + } else { + bulk = fn; + fn = function( elem, _key, value ) { + return bulk.call( jQuery( elem ), value ); + }; + } + } + + if ( fn ) { + for ( ; i < len; i++ ) { + fn( + elems[ i ], key, raw ? + value : + value.call( elems[ i ], i, fn( elems[ i ], key ) ) + ); + } + } + } + + if ( chainable ) { + return elems; + } + + // Gets + if ( bulk ) { + return fn.call( elems ); + } + + return len ? fn( elems[ 0 ], key ) : emptyGet; +}; + + +// Matches dashed string for camelizing +var rmsPrefix = /^-ms-/, + rdashAlpha = /-([a-z])/g; + +// Used by camelCase as callback to replace() +function fcamelCase( _all, letter ) { + return letter.toUpperCase(); +} + +// Convert dashed to camelCase; used by the css and data modules +// Support: IE <=9 - 11, Edge 12 - 15 +// Microsoft forgot to hump their vendor prefix (#9572) +function camelCase( string ) { + return string.replace( rmsPrefix, "ms-" ).replace( rdashAlpha, fcamelCase ); +} +var acceptData = function( owner ) { + + // Accepts only: + // - Node + // - Node.ELEMENT_NODE + // - Node.DOCUMENT_NODE + // - Object + // - Any + return owner.nodeType === 1 || owner.nodeType === 9 || !( +owner.nodeType ); +}; + + + + +function Data() { + this.expando = jQuery.expando + Data.uid++; +} + +Data.uid = 1; + +Data.prototype = { + + cache: function( owner ) { + + // Check if the owner object already has a cache + var value = owner[ this.expando ]; + + // If not, create one + if ( !value ) { + value = {}; + + // We can accept data for non-element nodes in modern browsers, + // but we should not, see #8335. + // Always return an empty object. + if ( acceptData( owner ) ) { + + // If it is a node unlikely to be stringify-ed or looped over + // use plain assignment + if ( owner.nodeType ) { + owner[ this.expando ] = value; + + // Otherwise secure it in a non-enumerable property + // configurable must be true to allow the property to be + // deleted when data is removed + } else { + Object.defineProperty( owner, this.expando, { + value: value, + configurable: true + } ); + } + } + } + + return value; + }, + set: function( owner, data, value ) { + var prop, + cache = this.cache( owner ); + + // Handle: [ owner, key, value ] args + // Always use camelCase key (gh-2257) + if ( typeof data === "string" ) { + cache[ camelCase( data ) ] = value; + + // Handle: [ owner, { properties } ] args + } else { + + // Copy the properties one-by-one to the cache object + for ( prop in data ) { + cache[ camelCase( prop ) ] = data[ prop ]; + } + } + return cache; + }, + get: function( owner, key ) { + return key === undefined ? + this.cache( owner ) : + + // Always use camelCase key (gh-2257) + owner[ this.expando ] && owner[ this.expando ][ camelCase( key ) ]; + }, + access: function( owner, key, value ) { + + // In cases where either: + // + // 1. No key was specified + // 2. A string key was specified, but no value provided + // + // Take the "read" path and allow the get method to determine + // which value to return, respectively either: + // + // 1. The entire cache object + // 2. The data stored at the key + // + if ( key === undefined || + ( ( key && typeof key === "string" ) && value === undefined ) ) { + + return this.get( owner, key ); + } + + // When the key is not a string, or both a key and value + // are specified, set or extend (existing objects) with either: + // + // 1. An object of properties + // 2. A key and value + // + this.set( owner, key, value ); + + // Since the "set" path can have two possible entry points + // return the expected data based on which path was taken[*] + return value !== undefined ? value : key; + }, + remove: function( owner, key ) { + var i, + cache = owner[ this.expando ]; + + if ( cache === undefined ) { + return; + } + + if ( key !== undefined ) { + + // Support array or space separated string of keys + if ( Array.isArray( key ) ) { + + // If key is an array of keys... + // We always set camelCase keys, so remove that. + key = key.map( camelCase ); + } else { + key = camelCase( key ); + + // If a key with the spaces exists, use it. + // Otherwise, create an array by matching non-whitespace + key = key in cache ? + [ key ] : + ( key.match( rnothtmlwhite ) || [] ); + } + + i = key.length; + + while ( i-- ) { + delete cache[ key[ i ] ]; + } + } + + // Remove the expando if there's no more data + if ( key === undefined || jQuery.isEmptyObject( cache ) ) { + + // Support: Chrome <=35 - 45 + // Webkit & Blink performance suffers when deleting properties + // from DOM nodes, so set to undefined instead + // https://bugs.chromium.org/p/chromium/issues/detail?id=378607 (bug restricted) + if ( owner.nodeType ) { + owner[ this.expando ] = undefined; + } else { + delete owner[ this.expando ]; + } + } + }, + hasData: function( owner ) { + var cache = owner[ this.expando ]; + return cache !== undefined && !jQuery.isEmptyObject( cache ); + } +}; +var dataPriv = new Data(); + +var dataUser = new Data(); + + + +// Implementation Summary +// +// 1. Enforce API surface and semantic compatibility with 1.9.x branch +// 2. Improve the module's maintainability by reducing the storage +// paths to a single mechanism. +// 3. Use the same single mechanism to support "private" and "user" data. +// 4. _Never_ expose "private" data to user code (TODO: Drop _data, _removeData) +// 5. Avoid exposing implementation details on user objects (eg. expando properties) +// 6. Provide a clear path for implementation upgrade to WeakMap in 2014 + +var rbrace = /^(?:\{[\w\W]*\}|\[[\w\W]*\])$/, + rmultiDash = /[A-Z]/g; + +function getData( data ) { + if ( data === "true" ) { + return true; + } + + if ( data === "false" ) { + return false; + } + + if ( data === "null" ) { + return null; + } + + // Only convert to a number if it doesn't change the string + if ( data === +data + "" ) { + return +data; + } + + if ( rbrace.test( data ) ) { + return JSON.parse( data ); + } + + return data; +} + +function dataAttr( elem, key, data ) { + var name; + + // If nothing was found internally, try to fetch any + // data from the HTML5 data-* attribute + if ( data === undefined && elem.nodeType === 1 ) { + name = "data-" + key.replace( rmultiDash, "-$&" ).toLowerCase(); + data = elem.getAttribute( name ); + + if ( typeof data === "string" ) { + try { + data = getData( data ); + } catch ( e ) {} + + // Make sure we set the data so it isn't changed later + dataUser.set( elem, key, data ); + } else { + data = undefined; + } + } + return data; +} + +jQuery.extend( { + hasData: function( elem ) { + return dataUser.hasData( elem ) || dataPriv.hasData( elem ); + }, + + data: function( elem, name, data ) { + return dataUser.access( elem, name, data ); + }, + + removeData: function( elem, name ) { + dataUser.remove( elem, name ); + }, + + // TODO: Now that all calls to _data and _removeData have been replaced + // with direct calls to dataPriv methods, these can be deprecated. + _data: function( elem, name, data ) { + return dataPriv.access( elem, name, data ); + }, + + _removeData: function( elem, name ) { + dataPriv.remove( elem, name ); + } +} ); + +jQuery.fn.extend( { + data: function( key, value ) { + var i, name, data, + elem = this[ 0 ], + attrs = elem && elem.attributes; + + // Gets all values + if ( key === undefined ) { + if ( this.length ) { + data = dataUser.get( elem ); + + if ( elem.nodeType === 1 && !dataPriv.get( elem, "hasDataAttrs" ) ) { + i = attrs.length; + while ( i-- ) { + + // Support: IE 11 only + // The attrs elements can be null (#14894) + if ( attrs[ i ] ) { + name = attrs[ i ].name; + if ( name.indexOf( "data-" ) === 0 ) { + name = camelCase( name.slice( 5 ) ); + dataAttr( elem, name, data[ name ] ); + } + } + } + dataPriv.set( elem, "hasDataAttrs", true ); + } + } + + return data; + } + + // Sets multiple values + if ( typeof key === "object" ) { + return this.each( function() { + dataUser.set( this, key ); + } ); + } + + return access( this, function( value ) { + var data; + + // The calling jQuery object (element matches) is not empty + // (and therefore has an element appears at this[ 0 ]) and the + // `value` parameter was not undefined. An empty jQuery object + // will result in `undefined` for elem = this[ 0 ] which will + // throw an exception if an attempt to read a data cache is made. + if ( elem && value === undefined ) { + + // Attempt to get data from the cache + // The key will always be camelCased in Data + data = dataUser.get( elem, key ); + if ( data !== undefined ) { + return data; + } + + // Attempt to "discover" the data in + // HTML5 custom data-* attrs + data = dataAttr( elem, key ); + if ( data !== undefined ) { + return data; + } + + // We tried really hard, but the data doesn't exist. + return; + } + + // Set the data... + this.each( function() { + + // We always store the camelCased key + dataUser.set( this, key, value ); + } ); + }, null, value, arguments.length > 1, null, true ); + }, + + removeData: function( key ) { + return this.each( function() { + dataUser.remove( this, key ); + } ); + } +} ); + + +jQuery.extend( { + queue: function( elem, type, data ) { + var queue; + + if ( elem ) { + type = ( type || "fx" ) + "queue"; + queue = dataPriv.get( elem, type ); + + // Speed up dequeue by getting out quickly if this is just a lookup + if ( data ) { + if ( !queue || Array.isArray( data ) ) { + queue = dataPriv.access( elem, type, jQuery.makeArray( data ) ); + } else { + queue.push( data ); + } + } + return queue || []; + } + }, + + dequeue: function( elem, type ) { + type = type || "fx"; + + var queue = jQuery.queue( elem, type ), + startLength = queue.length, + fn = queue.shift(), + hooks = jQuery._queueHooks( elem, type ), + next = function() { + jQuery.dequeue( elem, type ); + }; + + // If the fx queue is dequeued, always remove the progress sentinel + if ( fn === "inprogress" ) { + fn = queue.shift(); + startLength--; + } + + if ( fn ) { + + // Add a progress sentinel to prevent the fx queue from being + // automatically dequeued + if ( type === "fx" ) { + queue.unshift( "inprogress" ); + } + + // Clear up the last queue stop function + delete hooks.stop; + fn.call( elem, next, hooks ); + } + + if ( !startLength && hooks ) { + hooks.empty.fire(); + } + }, + + // Not public - generate a queueHooks object, or return the current one + _queueHooks: function( elem, type ) { + var key = type + "queueHooks"; + return dataPriv.get( elem, key ) || dataPriv.access( elem, key, { + empty: jQuery.Callbacks( "once memory" ).add( function() { + dataPriv.remove( elem, [ type + "queue", key ] ); + } ) + } ); + } +} ); + +jQuery.fn.extend( { + queue: function( type, data ) { + var setter = 2; + + if ( typeof type !== "string" ) { + data = type; + type = "fx"; + setter--; + } + + if ( arguments.length < setter ) { + return jQuery.queue( this[ 0 ], type ); + } + + return data === undefined ? + this : + this.each( function() { + var queue = jQuery.queue( this, type, data ); + + // Ensure a hooks for this queue + jQuery._queueHooks( this, type ); + + if ( type === "fx" && queue[ 0 ] !== "inprogress" ) { + jQuery.dequeue( this, type ); + } + } ); + }, + dequeue: function( type ) { + return this.each( function() { + jQuery.dequeue( this, type ); + } ); + }, + clearQueue: function( type ) { + return this.queue( type || "fx", [] ); + }, + + // Get a promise resolved when queues of a certain type + // are emptied (fx is the type by default) + promise: function( type, obj ) { + var tmp, + count = 1, + defer = jQuery.Deferred(), + elements = this, + i = this.length, + resolve = function() { + if ( !( --count ) ) { + defer.resolveWith( elements, [ elements ] ); + } + }; + + if ( typeof type !== "string" ) { + obj = type; + type = undefined; + } + type = type || "fx"; + + while ( i-- ) { + tmp = dataPriv.get( elements[ i ], type + "queueHooks" ); + if ( tmp && tmp.empty ) { + count++; + tmp.empty.add( resolve ); + } + } + resolve(); + return defer.promise( obj ); + } +} ); +var pnum = ( /[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/ ).source; + +var rcssNum = new RegExp( "^(?:([+-])=|)(" + pnum + ")([a-z%]*)$", "i" ); + + +var cssExpand = [ "Top", "Right", "Bottom", "Left" ]; + +var documentElement = document.documentElement; + + + + var isAttached = function( elem ) { + return jQuery.contains( elem.ownerDocument, elem ); + }, + composed = { composed: true }; + + // Support: IE 9 - 11+, Edge 12 - 18+, iOS 10.0 - 10.2 only + // Check attachment across shadow DOM boundaries when possible (gh-3504) + // Support: iOS 10.0-10.2 only + // Early iOS 10 versions support `attachShadow` but not `getRootNode`, + // leading to errors. We need to check for `getRootNode`. + if ( documentElement.getRootNode ) { + isAttached = function( elem ) { + return jQuery.contains( elem.ownerDocument, elem ) || + elem.getRootNode( composed ) === elem.ownerDocument; + }; + } +var isHiddenWithinTree = function( elem, el ) { + + // isHiddenWithinTree might be called from jQuery#filter function; + // in that case, element will be second argument + elem = el || elem; + + // Inline style trumps all + return elem.style.display === "none" || + elem.style.display === "" && + + // Otherwise, check computed style + // Support: Firefox <=43 - 45 + // Disconnected elements can have computed display: none, so first confirm that elem is + // in the document. + isAttached( elem ) && + + jQuery.css( elem, "display" ) === "none"; + }; + + + +function adjustCSS( elem, prop, valueParts, tween ) { + var adjusted, scale, + maxIterations = 20, + currentValue = tween ? + function() { + return tween.cur(); + } : + function() { + return jQuery.css( elem, prop, "" ); + }, + initial = currentValue(), + unit = valueParts && valueParts[ 3 ] || ( jQuery.cssNumber[ prop ] ? "" : "px" ), + + // Starting value computation is required for potential unit mismatches + initialInUnit = elem.nodeType && + ( jQuery.cssNumber[ prop ] || unit !== "px" && +initial ) && + rcssNum.exec( jQuery.css( elem, prop ) ); + + if ( initialInUnit && initialInUnit[ 3 ] !== unit ) { + + // Support: Firefox <=54 + // Halve the iteration target value to prevent interference from CSS upper bounds (gh-2144) + initial = initial / 2; + + // Trust units reported by jQuery.css + unit = unit || initialInUnit[ 3 ]; + + // Iteratively approximate from a nonzero starting point + initialInUnit = +initial || 1; + + while ( maxIterations-- ) { + + // Evaluate and update our best guess (doubling guesses that zero out). + // Finish if the scale equals or crosses 1 (making the old*new product non-positive). + jQuery.style( elem, prop, initialInUnit + unit ); + if ( ( 1 - scale ) * ( 1 - ( scale = currentValue() / initial || 0.5 ) ) <= 0 ) { + maxIterations = 0; + } + initialInUnit = initialInUnit / scale; + + } + + initialInUnit = initialInUnit * 2; + jQuery.style( elem, prop, initialInUnit + unit ); + + // Make sure we update the tween properties later on + valueParts = valueParts || []; + } + + if ( valueParts ) { + initialInUnit = +initialInUnit || +initial || 0; + + // Apply relative offset (+=/-=) if specified + adjusted = valueParts[ 1 ] ? + initialInUnit + ( valueParts[ 1 ] + 1 ) * valueParts[ 2 ] : + +valueParts[ 2 ]; + if ( tween ) { + tween.unit = unit; + tween.start = initialInUnit; + tween.end = adjusted; + } + } + return adjusted; +} + + +var defaultDisplayMap = {}; + +function getDefaultDisplay( elem ) { + var temp, + doc = elem.ownerDocument, + nodeName = elem.nodeName, + display = defaultDisplayMap[ nodeName ]; + + if ( display ) { + return display; + } + + temp = doc.body.appendChild( doc.createElement( nodeName ) ); + display = jQuery.css( temp, "display" ); + + temp.parentNode.removeChild( temp ); + + if ( display === "none" ) { + display = "block"; + } + defaultDisplayMap[ nodeName ] = display; + + return display; +} + +function showHide( elements, show ) { + var display, elem, + values = [], + index = 0, + length = elements.length; + + // Determine new display value for elements that need to change + for ( ; index < length; index++ ) { + elem = elements[ index ]; + if ( !elem.style ) { + continue; + } + + display = elem.style.display; + if ( show ) { + + // Since we force visibility upon cascade-hidden elements, an immediate (and slow) + // check is required in this first loop unless we have a nonempty display value (either + // inline or about-to-be-restored) + if ( display === "none" ) { + values[ index ] = dataPriv.get( elem, "display" ) || null; + if ( !values[ index ] ) { + elem.style.display = ""; + } + } + if ( elem.style.display === "" && isHiddenWithinTree( elem ) ) { + values[ index ] = getDefaultDisplay( elem ); + } + } else { + if ( display !== "none" ) { + values[ index ] = "none"; + + // Remember what we're overwriting + dataPriv.set( elem, "display", display ); + } + } + } + + // Set the display of the elements in a second loop to avoid constant reflow + for ( index = 0; index < length; index++ ) { + if ( values[ index ] != null ) { + elements[ index ].style.display = values[ index ]; + } + } + + return elements; +} + +jQuery.fn.extend( { + show: function() { + return showHide( this, true ); + }, + hide: function() { + return showHide( this ); + }, + toggle: function( state ) { + if ( typeof state === "boolean" ) { + return state ? this.show() : this.hide(); + } + + return this.each( function() { + if ( isHiddenWithinTree( this ) ) { + jQuery( this ).show(); + } else { + jQuery( this ).hide(); + } + } ); + } +} ); +var rcheckableType = ( /^(?:checkbox|radio)$/i ); + +var rtagName = ( /<([a-z][^\/\0>\x20\t\r\n\f]*)/i ); + +var rscriptType = ( /^$|^module$|\/(?:java|ecma)script/i ); + + + +( function() { + var fragment = document.createDocumentFragment(), + div = fragment.appendChild( document.createElement( "div" ) ), + input = document.createElement( "input" ); + + // Support: Android 4.0 - 4.3 only + // Check state lost if the name is set (#11217) + // Support: Windows Web Apps (WWA) + // `name` and `type` must use .setAttribute for WWA (#14901) + input.setAttribute( "type", "radio" ); + input.setAttribute( "checked", "checked" ); + input.setAttribute( "name", "t" ); + + div.appendChild( input ); + + // Support: Android <=4.1 only + // Older WebKit doesn't clone checked state correctly in fragments + support.checkClone = div.cloneNode( true ).cloneNode( true ).lastChild.checked; + + // Support: IE <=11 only + // Make sure textarea (and checkbox) defaultValue is properly cloned + div.innerHTML = ""; + support.noCloneChecked = !!div.cloneNode( true ).lastChild.defaultValue; + + // Support: IE <=9 only + // IE <=9 replaces "; + support.option = !!div.lastChild; +} )(); + + +// We have to close these tags to support XHTML (#13200) +var wrapMap = { + + // XHTML parsers do not magically insert elements in the + // same way that tag soup parsers do. So we cannot shorten + // this by omitting or other required elements. + thead: [ 1, "", "
" ], + col: [ 2, "", "
" ], + tr: [ 2, "", "
" ], + td: [ 3, "", "
" ], + + _default: [ 0, "", "" ] +}; + +wrapMap.tbody = wrapMap.tfoot = wrapMap.colgroup = wrapMap.caption = wrapMap.thead; +wrapMap.th = wrapMap.td; + +// Support: IE <=9 only +if ( !support.option ) { + wrapMap.optgroup = wrapMap.option = [ 1, "" ]; +} + + +function getAll( context, tag ) { + + // Support: IE <=9 - 11 only + // Use typeof to avoid zero-argument method invocation on host objects (#15151) + var ret; + + if ( typeof context.getElementsByTagName !== "undefined" ) { + ret = context.getElementsByTagName( tag || "*" ); + + } else if ( typeof context.querySelectorAll !== "undefined" ) { + ret = context.querySelectorAll( tag || "*" ); + + } else { + ret = []; + } + + if ( tag === undefined || tag && nodeName( context, tag ) ) { + return jQuery.merge( [ context ], ret ); + } + + return ret; +} + + +// Mark scripts as having already been evaluated +function setGlobalEval( elems, refElements ) { + var i = 0, + l = elems.length; + + for ( ; i < l; i++ ) { + dataPriv.set( + elems[ i ], + "globalEval", + !refElements || dataPriv.get( refElements[ i ], "globalEval" ) + ); + } +} + + +var rhtml = /<|&#?\w+;/; + +function buildFragment( elems, context, scripts, selection, ignored ) { + var elem, tmp, tag, wrap, attached, j, + fragment = context.createDocumentFragment(), + nodes = [], + i = 0, + l = elems.length; + + for ( ; i < l; i++ ) { + elem = elems[ i ]; + + if ( elem || elem === 0 ) { + + // Add nodes directly + if ( toType( elem ) === "object" ) { + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( nodes, elem.nodeType ? [ elem ] : elem ); + + // Convert non-html into a text node + } else if ( !rhtml.test( elem ) ) { + nodes.push( context.createTextNode( elem ) ); + + // Convert html into DOM nodes + } else { + tmp = tmp || fragment.appendChild( context.createElement( "div" ) ); + + // Deserialize a standard representation + tag = ( rtagName.exec( elem ) || [ "", "" ] )[ 1 ].toLowerCase(); + wrap = wrapMap[ tag ] || wrapMap._default; + tmp.innerHTML = wrap[ 1 ] + jQuery.htmlPrefilter( elem ) + wrap[ 2 ]; + + // Descend through wrappers to the right content + j = wrap[ 0 ]; + while ( j-- ) { + tmp = tmp.lastChild; + } + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( nodes, tmp.childNodes ); + + // Remember the top-level container + tmp = fragment.firstChild; + + // Ensure the created nodes are orphaned (#12392) + tmp.textContent = ""; + } + } + } + + // Remove wrapper from fragment + fragment.textContent = ""; + + i = 0; + while ( ( elem = nodes[ i++ ] ) ) { + + // Skip elements already in the context collection (trac-4087) + if ( selection && jQuery.inArray( elem, selection ) > -1 ) { + if ( ignored ) { + ignored.push( elem ); + } + continue; + } + + attached = isAttached( elem ); + + // Append to fragment + tmp = getAll( fragment.appendChild( elem ), "script" ); + + // Preserve script evaluation history + if ( attached ) { + setGlobalEval( tmp ); + } + + // Capture executables + if ( scripts ) { + j = 0; + while ( ( elem = tmp[ j++ ] ) ) { + if ( rscriptType.test( elem.type || "" ) ) { + scripts.push( elem ); + } + } + } + } + + return fragment; +} + + +var + rkeyEvent = /^key/, + rmouseEvent = /^(?:mouse|pointer|contextmenu|drag|drop)|click/, + rtypenamespace = /^([^.]*)(?:\.(.+)|)/; + +function returnTrue() { + return true; +} + +function returnFalse() { + return false; +} + +// Support: IE <=9 - 11+ +// focus() and blur() are asynchronous, except when they are no-op. +// So expect focus to be synchronous when the element is already active, +// and blur to be synchronous when the element is not already active. +// (focus and blur are always synchronous in other supported browsers, +// this just defines when we can count on it). +function expectSync( elem, type ) { + return ( elem === safeActiveElement() ) === ( type === "focus" ); +} + +// Support: IE <=9 only +// Accessing document.activeElement can throw unexpectedly +// https://bugs.jquery.com/ticket/13393 +function safeActiveElement() { + try { + return document.activeElement; + } catch ( err ) { } +} + +function on( elem, types, selector, data, fn, one ) { + var origFn, type; + + // Types can be a map of types/handlers + if ( typeof types === "object" ) { + + // ( types-Object, selector, data ) + if ( typeof selector !== "string" ) { + + // ( types-Object, data ) + data = data || selector; + selector = undefined; + } + for ( type in types ) { + on( elem, type, selector, data, types[ type ], one ); + } + return elem; + } + + if ( data == null && fn == null ) { + + // ( types, fn ) + fn = selector; + data = selector = undefined; + } else if ( fn == null ) { + if ( typeof selector === "string" ) { + + // ( types, selector, fn ) + fn = data; + data = undefined; + } else { + + // ( types, data, fn ) + fn = data; + data = selector; + selector = undefined; + } + } + if ( fn === false ) { + fn = returnFalse; + } else if ( !fn ) { + return elem; + } + + if ( one === 1 ) { + origFn = fn; + fn = function( event ) { + + // Can use an empty set, since event contains the info + jQuery().off( event ); + return origFn.apply( this, arguments ); + }; + + // Use same guid so caller can remove using origFn + fn.guid = origFn.guid || ( origFn.guid = jQuery.guid++ ); + } + return elem.each( function() { + jQuery.event.add( this, types, fn, data, selector ); + } ); +} + +/* + * Helper functions for managing events -- not part of the public interface. + * Props to Dean Edwards' addEvent library for many of the ideas. + */ +jQuery.event = { + + global: {}, + + add: function( elem, types, handler, data, selector ) { + + var handleObjIn, eventHandle, tmp, + events, t, handleObj, + special, handlers, type, namespaces, origType, + elemData = dataPriv.get( elem ); + + // Only attach events to objects that accept data + if ( !acceptData( elem ) ) { + return; + } + + // Caller can pass in an object of custom data in lieu of the handler + if ( handler.handler ) { + handleObjIn = handler; + handler = handleObjIn.handler; + selector = handleObjIn.selector; + } + + // Ensure that invalid selectors throw exceptions at attach time + // Evaluate against documentElement in case elem is a non-element node (e.g., document) + if ( selector ) { + jQuery.find.matchesSelector( documentElement, selector ); + } + + // Make sure that the handler has a unique ID, used to find/remove it later + if ( !handler.guid ) { + handler.guid = jQuery.guid++; + } + + // Init the element's event structure and main handler, if this is the first + if ( !( events = elemData.events ) ) { + events = elemData.events = Object.create( null ); + } + if ( !( eventHandle = elemData.handle ) ) { + eventHandle = elemData.handle = function( e ) { + + // Discard the second event of a jQuery.event.trigger() and + // when an event is called after a page has unloaded + return typeof jQuery !== "undefined" && jQuery.event.triggered !== e.type ? + jQuery.event.dispatch.apply( elem, arguments ) : undefined; + }; + } + + // Handle multiple events separated by a space + types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; + t = types.length; + while ( t-- ) { + tmp = rtypenamespace.exec( types[ t ] ) || []; + type = origType = tmp[ 1 ]; + namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); + + // There *must* be a type, no attaching namespace-only handlers + if ( !type ) { + continue; + } + + // If event changes its type, use the special event handlers for the changed type + special = jQuery.event.special[ type ] || {}; + + // If selector defined, determine special event api type, otherwise given type + type = ( selector ? special.delegateType : special.bindType ) || type; + + // Update special based on newly reset type + special = jQuery.event.special[ type ] || {}; + + // handleObj is passed to all event handlers + handleObj = jQuery.extend( { + type: type, + origType: origType, + data: data, + handler: handler, + guid: handler.guid, + selector: selector, + needsContext: selector && jQuery.expr.match.needsContext.test( selector ), + namespace: namespaces.join( "." ) + }, handleObjIn ); + + // Init the event handler queue if we're the first + if ( !( handlers = events[ type ] ) ) { + handlers = events[ type ] = []; + handlers.delegateCount = 0; + + // Only use addEventListener if the special events handler returns false + if ( !special.setup || + special.setup.call( elem, data, namespaces, eventHandle ) === false ) { + + if ( elem.addEventListener ) { + elem.addEventListener( type, eventHandle ); + } + } + } + + if ( special.add ) { + special.add.call( elem, handleObj ); + + if ( !handleObj.handler.guid ) { + handleObj.handler.guid = handler.guid; + } + } + + // Add to the element's handler list, delegates in front + if ( selector ) { + handlers.splice( handlers.delegateCount++, 0, handleObj ); + } else { + handlers.push( handleObj ); + } + + // Keep track of which events have ever been used, for event optimization + jQuery.event.global[ type ] = true; + } + + }, + + // Detach an event or set of events from an element + remove: function( elem, types, handler, selector, mappedTypes ) { + + var j, origCount, tmp, + events, t, handleObj, + special, handlers, type, namespaces, origType, + elemData = dataPriv.hasData( elem ) && dataPriv.get( elem ); + + if ( !elemData || !( events = elemData.events ) ) { + return; + } + + // Once for each type.namespace in types; type may be omitted + types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; + t = types.length; + while ( t-- ) { + tmp = rtypenamespace.exec( types[ t ] ) || []; + type = origType = tmp[ 1 ]; + namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); + + // Unbind all events (on this namespace, if provided) for the element + if ( !type ) { + for ( type in events ) { + jQuery.event.remove( elem, type + types[ t ], handler, selector, true ); + } + continue; + } + + special = jQuery.event.special[ type ] || {}; + type = ( selector ? special.delegateType : special.bindType ) || type; + handlers = events[ type ] || []; + tmp = tmp[ 2 ] && + new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ); + + // Remove matching events + origCount = j = handlers.length; + while ( j-- ) { + handleObj = handlers[ j ]; + + if ( ( mappedTypes || origType === handleObj.origType ) && + ( !handler || handler.guid === handleObj.guid ) && + ( !tmp || tmp.test( handleObj.namespace ) ) && + ( !selector || selector === handleObj.selector || + selector === "**" && handleObj.selector ) ) { + handlers.splice( j, 1 ); + + if ( handleObj.selector ) { + handlers.delegateCount--; + } + if ( special.remove ) { + special.remove.call( elem, handleObj ); + } + } + } + + // Remove generic event handler if we removed something and no more handlers exist + // (avoids potential for endless recursion during removal of special event handlers) + if ( origCount && !handlers.length ) { + if ( !special.teardown || + special.teardown.call( elem, namespaces, elemData.handle ) === false ) { + + jQuery.removeEvent( elem, type, elemData.handle ); + } + + delete events[ type ]; + } + } + + // Remove data and the expando if it's no longer used + if ( jQuery.isEmptyObject( events ) ) { + dataPriv.remove( elem, "handle events" ); + } + }, + + dispatch: function( nativeEvent ) { + + var i, j, ret, matched, handleObj, handlerQueue, + args = new Array( arguments.length ), + + // Make a writable jQuery.Event from the native event object + event = jQuery.event.fix( nativeEvent ), + + handlers = ( + dataPriv.get( this, "events" ) || Object.create( null ) + )[ event.type ] || [], + special = jQuery.event.special[ event.type ] || {}; + + // Use the fix-ed jQuery.Event rather than the (read-only) native event + args[ 0 ] = event; + + for ( i = 1; i < arguments.length; i++ ) { + args[ i ] = arguments[ i ]; + } + + event.delegateTarget = this; + + // Call the preDispatch hook for the mapped type, and let it bail if desired + if ( special.preDispatch && special.preDispatch.call( this, event ) === false ) { + return; + } + + // Determine handlers + handlerQueue = jQuery.event.handlers.call( this, event, handlers ); + + // Run delegates first; they may want to stop propagation beneath us + i = 0; + while ( ( matched = handlerQueue[ i++ ] ) && !event.isPropagationStopped() ) { + event.currentTarget = matched.elem; + + j = 0; + while ( ( handleObj = matched.handlers[ j++ ] ) && + !event.isImmediatePropagationStopped() ) { + + // If the event is namespaced, then each handler is only invoked if it is + // specially universal or its namespaces are a superset of the event's. + if ( !event.rnamespace || handleObj.namespace === false || + event.rnamespace.test( handleObj.namespace ) ) { + + event.handleObj = handleObj; + event.data = handleObj.data; + + ret = ( ( jQuery.event.special[ handleObj.origType ] || {} ).handle || + handleObj.handler ).apply( matched.elem, args ); + + if ( ret !== undefined ) { + if ( ( event.result = ret ) === false ) { + event.preventDefault(); + event.stopPropagation(); + } + } + } + } + } + + // Call the postDispatch hook for the mapped type + if ( special.postDispatch ) { + special.postDispatch.call( this, event ); + } + + return event.result; + }, + + handlers: function( event, handlers ) { + var i, handleObj, sel, matchedHandlers, matchedSelectors, + handlerQueue = [], + delegateCount = handlers.delegateCount, + cur = event.target; + + // Find delegate handlers + if ( delegateCount && + + // Support: IE <=9 + // Black-hole SVG instance trees (trac-13180) + cur.nodeType && + + // Support: Firefox <=42 + // Suppress spec-violating clicks indicating a non-primary pointer button (trac-3861) + // https://www.w3.org/TR/DOM-Level-3-Events/#event-type-click + // Support: IE 11 only + // ...but not arrow key "clicks" of radio inputs, which can have `button` -1 (gh-2343) + !( event.type === "click" && event.button >= 1 ) ) { + + for ( ; cur !== this; cur = cur.parentNode || this ) { + + // Don't check non-elements (#13208) + // Don't process clicks on disabled elements (#6911, #8165, #11382, #11764) + if ( cur.nodeType === 1 && !( event.type === "click" && cur.disabled === true ) ) { + matchedHandlers = []; + matchedSelectors = {}; + for ( i = 0; i < delegateCount; i++ ) { + handleObj = handlers[ i ]; + + // Don't conflict with Object.prototype properties (#13203) + sel = handleObj.selector + " "; + + if ( matchedSelectors[ sel ] === undefined ) { + matchedSelectors[ sel ] = handleObj.needsContext ? + jQuery( sel, this ).index( cur ) > -1 : + jQuery.find( sel, this, null, [ cur ] ).length; + } + if ( matchedSelectors[ sel ] ) { + matchedHandlers.push( handleObj ); + } + } + if ( matchedHandlers.length ) { + handlerQueue.push( { elem: cur, handlers: matchedHandlers } ); + } + } + } + } + + // Add the remaining (directly-bound) handlers + cur = this; + if ( delegateCount < handlers.length ) { + handlerQueue.push( { elem: cur, handlers: handlers.slice( delegateCount ) } ); + } + + return handlerQueue; + }, + + addProp: function( name, hook ) { + Object.defineProperty( jQuery.Event.prototype, name, { + enumerable: true, + configurable: true, + + get: isFunction( hook ) ? + function() { + if ( this.originalEvent ) { + return hook( this.originalEvent ); + } + } : + function() { + if ( this.originalEvent ) { + return this.originalEvent[ name ]; + } + }, + + set: function( value ) { + Object.defineProperty( this, name, { + enumerable: true, + configurable: true, + writable: true, + value: value + } ); + } + } ); + }, + + fix: function( originalEvent ) { + return originalEvent[ jQuery.expando ] ? + originalEvent : + new jQuery.Event( originalEvent ); + }, + + special: { + load: { + + // Prevent triggered image.load events from bubbling to window.load + noBubble: true + }, + click: { + + // Utilize native event to ensure correct state for checkable inputs + setup: function( data ) { + + // For mutual compressibility with _default, replace `this` access with a local var. + // `|| data` is dead code meant only to preserve the variable through minification. + var el = this || data; + + // Claim the first handler + if ( rcheckableType.test( el.type ) && + el.click && nodeName( el, "input" ) ) { + + // dataPriv.set( el, "click", ... ) + leverageNative( el, "click", returnTrue ); + } + + // Return false to allow normal processing in the caller + return false; + }, + trigger: function( data ) { + + // For mutual compressibility with _default, replace `this` access with a local var. + // `|| data` is dead code meant only to preserve the variable through minification. + var el = this || data; + + // Force setup before triggering a click + if ( rcheckableType.test( el.type ) && + el.click && nodeName( el, "input" ) ) { + + leverageNative( el, "click" ); + } + + // Return non-false to allow normal event-path propagation + return true; + }, + + // For cross-browser consistency, suppress native .click() on links + // Also prevent it if we're currently inside a leveraged native-event stack + _default: function( event ) { + var target = event.target; + return rcheckableType.test( target.type ) && + target.click && nodeName( target, "input" ) && + dataPriv.get( target, "click" ) || + nodeName( target, "a" ); + } + }, + + beforeunload: { + postDispatch: function( event ) { + + // Support: Firefox 20+ + // Firefox doesn't alert if the returnValue field is not set. + if ( event.result !== undefined && event.originalEvent ) { + event.originalEvent.returnValue = event.result; + } + } + } + } +}; + +// Ensure the presence of an event listener that handles manually-triggered +// synthetic events by interrupting progress until reinvoked in response to +// *native* events that it fires directly, ensuring that state changes have +// already occurred before other listeners are invoked. +function leverageNative( el, type, expectSync ) { + + // Missing expectSync indicates a trigger call, which must force setup through jQuery.event.add + if ( !expectSync ) { + if ( dataPriv.get( el, type ) === undefined ) { + jQuery.event.add( el, type, returnTrue ); + } + return; + } + + // Register the controller as a special universal handler for all event namespaces + dataPriv.set( el, type, false ); + jQuery.event.add( el, type, { + namespace: false, + handler: function( event ) { + var notAsync, result, + saved = dataPriv.get( this, type ); + + if ( ( event.isTrigger & 1 ) && this[ type ] ) { + + // Interrupt processing of the outer synthetic .trigger()ed event + // Saved data should be false in such cases, but might be a leftover capture object + // from an async native handler (gh-4350) + if ( !saved.length ) { + + // Store arguments for use when handling the inner native event + // There will always be at least one argument (an event object), so this array + // will not be confused with a leftover capture object. + saved = slice.call( arguments ); + dataPriv.set( this, type, saved ); + + // Trigger the native event and capture its result + // Support: IE <=9 - 11+ + // focus() and blur() are asynchronous + notAsync = expectSync( this, type ); + this[ type ](); + result = dataPriv.get( this, type ); + if ( saved !== result || notAsync ) { + dataPriv.set( this, type, false ); + } else { + result = {}; + } + if ( saved !== result ) { + + // Cancel the outer synthetic event + event.stopImmediatePropagation(); + event.preventDefault(); + return result.value; + } + + // If this is an inner synthetic event for an event with a bubbling surrogate + // (focus or blur), assume that the surrogate already propagated from triggering the + // native event and prevent that from happening again here. + // This technically gets the ordering wrong w.r.t. to `.trigger()` (in which the + // bubbling surrogate propagates *after* the non-bubbling base), but that seems + // less bad than duplication. + } else if ( ( jQuery.event.special[ type ] || {} ).delegateType ) { + event.stopPropagation(); + } + + // If this is a native event triggered above, everything is now in order + // Fire an inner synthetic event with the original arguments + } else if ( saved.length ) { + + // ...and capture the result + dataPriv.set( this, type, { + value: jQuery.event.trigger( + + // Support: IE <=9 - 11+ + // Extend with the prototype to reset the above stopImmediatePropagation() + jQuery.extend( saved[ 0 ], jQuery.Event.prototype ), + saved.slice( 1 ), + this + ) + } ); + + // Abort handling of the native event + event.stopImmediatePropagation(); + } + } + } ); +} + +jQuery.removeEvent = function( elem, type, handle ) { + + // This "if" is needed for plain objects + if ( elem.removeEventListener ) { + elem.removeEventListener( type, handle ); + } +}; + +jQuery.Event = function( src, props ) { + + // Allow instantiation without the 'new' keyword + if ( !( this instanceof jQuery.Event ) ) { + return new jQuery.Event( src, props ); + } + + // Event object + if ( src && src.type ) { + this.originalEvent = src; + this.type = src.type; + + // Events bubbling up the document may have been marked as prevented + // by a handler lower down the tree; reflect the correct value. + this.isDefaultPrevented = src.defaultPrevented || + src.defaultPrevented === undefined && + + // Support: Android <=2.3 only + src.returnValue === false ? + returnTrue : + returnFalse; + + // Create target properties + // Support: Safari <=6 - 7 only + // Target should not be a text node (#504, #13143) + this.target = ( src.target && src.target.nodeType === 3 ) ? + src.target.parentNode : + src.target; + + this.currentTarget = src.currentTarget; + this.relatedTarget = src.relatedTarget; + + // Event type + } else { + this.type = src; + } + + // Put explicitly provided properties onto the event object + if ( props ) { + jQuery.extend( this, props ); + } + + // Create a timestamp if incoming event doesn't have one + this.timeStamp = src && src.timeStamp || Date.now(); + + // Mark it as fixed + this[ jQuery.expando ] = true; +}; + +// jQuery.Event is based on DOM3 Events as specified by the ECMAScript Language Binding +// https://www.w3.org/TR/2003/WD-DOM-Level-3-Events-20030331/ecma-script-binding.html +jQuery.Event.prototype = { + constructor: jQuery.Event, + isDefaultPrevented: returnFalse, + isPropagationStopped: returnFalse, + isImmediatePropagationStopped: returnFalse, + isSimulated: false, + + preventDefault: function() { + var e = this.originalEvent; + + this.isDefaultPrevented = returnTrue; + + if ( e && !this.isSimulated ) { + e.preventDefault(); + } + }, + stopPropagation: function() { + var e = this.originalEvent; + + this.isPropagationStopped = returnTrue; + + if ( e && !this.isSimulated ) { + e.stopPropagation(); + } + }, + stopImmediatePropagation: function() { + var e = this.originalEvent; + + this.isImmediatePropagationStopped = returnTrue; + + if ( e && !this.isSimulated ) { + e.stopImmediatePropagation(); + } + + this.stopPropagation(); + } +}; + +// Includes all common event props including KeyEvent and MouseEvent specific props +jQuery.each( { + altKey: true, + bubbles: true, + cancelable: true, + changedTouches: true, + ctrlKey: true, + detail: true, + eventPhase: true, + metaKey: true, + pageX: true, + pageY: true, + shiftKey: true, + view: true, + "char": true, + code: true, + charCode: true, + key: true, + keyCode: true, + button: true, + buttons: true, + clientX: true, + clientY: true, + offsetX: true, + offsetY: true, + pointerId: true, + pointerType: true, + screenX: true, + screenY: true, + targetTouches: true, + toElement: true, + touches: true, + + which: function( event ) { + var button = event.button; + + // Add which for key events + if ( event.which == null && rkeyEvent.test( event.type ) ) { + return event.charCode != null ? event.charCode : event.keyCode; + } + + // Add which for click: 1 === left; 2 === middle; 3 === right + if ( !event.which && button !== undefined && rmouseEvent.test( event.type ) ) { + if ( button & 1 ) { + return 1; + } + + if ( button & 2 ) { + return 3; + } + + if ( button & 4 ) { + return 2; + } + + return 0; + } + + return event.which; + } +}, jQuery.event.addProp ); + +jQuery.each( { focus: "focusin", blur: "focusout" }, function( type, delegateType ) { + jQuery.event.special[ type ] = { + + // Utilize native event if possible so blur/focus sequence is correct + setup: function() { + + // Claim the first handler + // dataPriv.set( this, "focus", ... ) + // dataPriv.set( this, "blur", ... ) + leverageNative( this, type, expectSync ); + + // Return false to allow normal processing in the caller + return false; + }, + trigger: function() { + + // Force setup before trigger + leverageNative( this, type ); + + // Return non-false to allow normal event-path propagation + return true; + }, + + delegateType: delegateType + }; +} ); + +// Create mouseenter/leave events using mouseover/out and event-time checks +// so that event delegation works in jQuery. +// Do the same for pointerenter/pointerleave and pointerover/pointerout +// +// Support: Safari 7 only +// Safari sends mouseenter too often; see: +// https://bugs.chromium.org/p/chromium/issues/detail?id=470258 +// for the description of the bug (it existed in older Chrome versions as well). +jQuery.each( { + mouseenter: "mouseover", + mouseleave: "mouseout", + pointerenter: "pointerover", + pointerleave: "pointerout" +}, function( orig, fix ) { + jQuery.event.special[ orig ] = { + delegateType: fix, + bindType: fix, + + handle: function( event ) { + var ret, + target = this, + related = event.relatedTarget, + handleObj = event.handleObj; + + // For mouseenter/leave call the handler if related is outside the target. + // NB: No relatedTarget if the mouse left/entered the browser window + if ( !related || ( related !== target && !jQuery.contains( target, related ) ) ) { + event.type = handleObj.origType; + ret = handleObj.handler.apply( this, arguments ); + event.type = fix; + } + return ret; + } + }; +} ); + +jQuery.fn.extend( { + + on: function( types, selector, data, fn ) { + return on( this, types, selector, data, fn ); + }, + one: function( types, selector, data, fn ) { + return on( this, types, selector, data, fn, 1 ); + }, + off: function( types, selector, fn ) { + var handleObj, type; + if ( types && types.preventDefault && types.handleObj ) { + + // ( event ) dispatched jQuery.Event + handleObj = types.handleObj; + jQuery( types.delegateTarget ).off( + handleObj.namespace ? + handleObj.origType + "." + handleObj.namespace : + handleObj.origType, + handleObj.selector, + handleObj.handler + ); + return this; + } + if ( typeof types === "object" ) { + + // ( types-object [, selector] ) + for ( type in types ) { + this.off( type, selector, types[ type ] ); + } + return this; + } + if ( selector === false || typeof selector === "function" ) { + + // ( types [, fn] ) + fn = selector; + selector = undefined; + } + if ( fn === false ) { + fn = returnFalse; + } + return this.each( function() { + jQuery.event.remove( this, types, fn, selector ); + } ); + } +} ); + + +var + + // Support: IE <=10 - 11, Edge 12 - 13 only + // In IE/Edge using regex groups here causes severe slowdowns. + // See https://connect.microsoft.com/IE/feedback/details/1736512/ + rnoInnerhtml = /\s*$/g; + +// Prefer a tbody over its parent table for containing new rows +function manipulationTarget( elem, content ) { + if ( nodeName( elem, "table" ) && + nodeName( content.nodeType !== 11 ? content : content.firstChild, "tr" ) ) { + + return jQuery( elem ).children( "tbody" )[ 0 ] || elem; + } + + return elem; +} + +// Replace/restore the type attribute of script elements for safe DOM manipulation +function disableScript( elem ) { + elem.type = ( elem.getAttribute( "type" ) !== null ) + "/" + elem.type; + return elem; +} +function restoreScript( elem ) { + if ( ( elem.type || "" ).slice( 0, 5 ) === "true/" ) { + elem.type = elem.type.slice( 5 ); + } else { + elem.removeAttribute( "type" ); + } + + return elem; +} + +function cloneCopyEvent( src, dest ) { + var i, l, type, pdataOld, udataOld, udataCur, events; + + if ( dest.nodeType !== 1 ) { + return; + } + + // 1. Copy private data: events, handlers, etc. + if ( dataPriv.hasData( src ) ) { + pdataOld = dataPriv.get( src ); + events = pdataOld.events; + + if ( events ) { + dataPriv.remove( dest, "handle events" ); + + for ( type in events ) { + for ( i = 0, l = events[ type ].length; i < l; i++ ) { + jQuery.event.add( dest, type, events[ type ][ i ] ); + } + } + } + } + + // 2. Copy user data + if ( dataUser.hasData( src ) ) { + udataOld = dataUser.access( src ); + udataCur = jQuery.extend( {}, udataOld ); + + dataUser.set( dest, udataCur ); + } +} + +// Fix IE bugs, see support tests +function fixInput( src, dest ) { + var nodeName = dest.nodeName.toLowerCase(); + + // Fails to persist the checked state of a cloned checkbox or radio button. + if ( nodeName === "input" && rcheckableType.test( src.type ) ) { + dest.checked = src.checked; + + // Fails to return the selected option to the default selected state when cloning options + } else if ( nodeName === "input" || nodeName === "textarea" ) { + dest.defaultValue = src.defaultValue; + } +} + +function domManip( collection, args, callback, ignored ) { + + // Flatten any nested arrays + args = flat( args ); + + var fragment, first, scripts, hasScripts, node, doc, + i = 0, + l = collection.length, + iNoClone = l - 1, + value = args[ 0 ], + valueIsFunction = isFunction( value ); + + // We can't cloneNode fragments that contain checked, in WebKit + if ( valueIsFunction || + ( l > 1 && typeof value === "string" && + !support.checkClone && rchecked.test( value ) ) ) { + return collection.each( function( index ) { + var self = collection.eq( index ); + if ( valueIsFunction ) { + args[ 0 ] = value.call( this, index, self.html() ); + } + domManip( self, args, callback, ignored ); + } ); + } + + if ( l ) { + fragment = buildFragment( args, collection[ 0 ].ownerDocument, false, collection, ignored ); + first = fragment.firstChild; + + if ( fragment.childNodes.length === 1 ) { + fragment = first; + } + + // Require either new content or an interest in ignored elements to invoke the callback + if ( first || ignored ) { + scripts = jQuery.map( getAll( fragment, "script" ), disableScript ); + hasScripts = scripts.length; + + // Use the original fragment for the last item + // instead of the first because it can end up + // being emptied incorrectly in certain situations (#8070). + for ( ; i < l; i++ ) { + node = fragment; + + if ( i !== iNoClone ) { + node = jQuery.clone( node, true, true ); + + // Keep references to cloned scripts for later restoration + if ( hasScripts ) { + + // Support: Android <=4.0 only, PhantomJS 1 only + // push.apply(_, arraylike) throws on ancient WebKit + jQuery.merge( scripts, getAll( node, "script" ) ); + } + } + + callback.call( collection[ i ], node, i ); + } + + if ( hasScripts ) { + doc = scripts[ scripts.length - 1 ].ownerDocument; + + // Reenable scripts + jQuery.map( scripts, restoreScript ); + + // Evaluate executable scripts on first document insertion + for ( i = 0; i < hasScripts; i++ ) { + node = scripts[ i ]; + if ( rscriptType.test( node.type || "" ) && + !dataPriv.access( node, "globalEval" ) && + jQuery.contains( doc, node ) ) { + + if ( node.src && ( node.type || "" ).toLowerCase() !== "module" ) { + + // Optional AJAX dependency, but won't run scripts if not present + if ( jQuery._evalUrl && !node.noModule ) { + jQuery._evalUrl( node.src, { + nonce: node.nonce || node.getAttribute( "nonce" ) + }, doc ); + } + } else { + DOMEval( node.textContent.replace( rcleanScript, "" ), node, doc ); + } + } + } + } + } + } + + return collection; +} + +function remove( elem, selector, keepData ) { + var node, + nodes = selector ? jQuery.filter( selector, elem ) : elem, + i = 0; + + for ( ; ( node = nodes[ i ] ) != null; i++ ) { + if ( !keepData && node.nodeType === 1 ) { + jQuery.cleanData( getAll( node ) ); + } + + if ( node.parentNode ) { + if ( keepData && isAttached( node ) ) { + setGlobalEval( getAll( node, "script" ) ); + } + node.parentNode.removeChild( node ); + } + } + + return elem; +} + +jQuery.extend( { + htmlPrefilter: function( html ) { + return html; + }, + + clone: function( elem, dataAndEvents, deepDataAndEvents ) { + var i, l, srcElements, destElements, + clone = elem.cloneNode( true ), + inPage = isAttached( elem ); + + // Fix IE cloning issues + if ( !support.noCloneChecked && ( elem.nodeType === 1 || elem.nodeType === 11 ) && + !jQuery.isXMLDoc( elem ) ) { + + // We eschew Sizzle here for performance reasons: https://jsperf.com/getall-vs-sizzle/2 + destElements = getAll( clone ); + srcElements = getAll( elem ); + + for ( i = 0, l = srcElements.length; i < l; i++ ) { + fixInput( srcElements[ i ], destElements[ i ] ); + } + } + + // Copy the events from the original to the clone + if ( dataAndEvents ) { + if ( deepDataAndEvents ) { + srcElements = srcElements || getAll( elem ); + destElements = destElements || getAll( clone ); + + for ( i = 0, l = srcElements.length; i < l; i++ ) { + cloneCopyEvent( srcElements[ i ], destElements[ i ] ); + } + } else { + cloneCopyEvent( elem, clone ); + } + } + + // Preserve script evaluation history + destElements = getAll( clone, "script" ); + if ( destElements.length > 0 ) { + setGlobalEval( destElements, !inPage && getAll( elem, "script" ) ); + } + + // Return the cloned set + return clone; + }, + + cleanData: function( elems ) { + var data, elem, type, + special = jQuery.event.special, + i = 0; + + for ( ; ( elem = elems[ i ] ) !== undefined; i++ ) { + if ( acceptData( elem ) ) { + if ( ( data = elem[ dataPriv.expando ] ) ) { + if ( data.events ) { + for ( type in data.events ) { + if ( special[ type ] ) { + jQuery.event.remove( elem, type ); + + // This is a shortcut to avoid jQuery.event.remove's overhead + } else { + jQuery.removeEvent( elem, type, data.handle ); + } + } + } + + // Support: Chrome <=35 - 45+ + // Assign undefined instead of using delete, see Data#remove + elem[ dataPriv.expando ] = undefined; + } + if ( elem[ dataUser.expando ] ) { + + // Support: Chrome <=35 - 45+ + // Assign undefined instead of using delete, see Data#remove + elem[ dataUser.expando ] = undefined; + } + } + } + } +} ); + +jQuery.fn.extend( { + detach: function( selector ) { + return remove( this, selector, true ); + }, + + remove: function( selector ) { + return remove( this, selector ); + }, + + text: function( value ) { + return access( this, function( value ) { + return value === undefined ? + jQuery.text( this ) : + this.empty().each( function() { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + this.textContent = value; + } + } ); + }, null, value, arguments.length ); + }, + + append: function() { + return domManip( this, arguments, function( elem ) { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + var target = manipulationTarget( this, elem ); + target.appendChild( elem ); + } + } ); + }, + + prepend: function() { + return domManip( this, arguments, function( elem ) { + if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { + var target = manipulationTarget( this, elem ); + target.insertBefore( elem, target.firstChild ); + } + } ); + }, + + before: function() { + return domManip( this, arguments, function( elem ) { + if ( this.parentNode ) { + this.parentNode.insertBefore( elem, this ); + } + } ); + }, + + after: function() { + return domManip( this, arguments, function( elem ) { + if ( this.parentNode ) { + this.parentNode.insertBefore( elem, this.nextSibling ); + } + } ); + }, + + empty: function() { + var elem, + i = 0; + + for ( ; ( elem = this[ i ] ) != null; i++ ) { + if ( elem.nodeType === 1 ) { + + // Prevent memory leaks + jQuery.cleanData( getAll( elem, false ) ); + + // Remove any remaining nodes + elem.textContent = ""; + } + } + + return this; + }, + + clone: function( dataAndEvents, deepDataAndEvents ) { + dataAndEvents = dataAndEvents == null ? false : dataAndEvents; + deepDataAndEvents = deepDataAndEvents == null ? dataAndEvents : deepDataAndEvents; + + return this.map( function() { + return jQuery.clone( this, dataAndEvents, deepDataAndEvents ); + } ); + }, + + html: function( value ) { + return access( this, function( value ) { + var elem = this[ 0 ] || {}, + i = 0, + l = this.length; + + if ( value === undefined && elem.nodeType === 1 ) { + return elem.innerHTML; + } + + // See if we can take a shortcut and just use innerHTML + if ( typeof value === "string" && !rnoInnerhtml.test( value ) && + !wrapMap[ ( rtagName.exec( value ) || [ "", "" ] )[ 1 ].toLowerCase() ] ) { + + value = jQuery.htmlPrefilter( value ); + + try { + for ( ; i < l; i++ ) { + elem = this[ i ] || {}; + + // Remove element nodes and prevent memory leaks + if ( elem.nodeType === 1 ) { + jQuery.cleanData( getAll( elem, false ) ); + elem.innerHTML = value; + } + } + + elem = 0; + + // If using innerHTML throws an exception, use the fallback method + } catch ( e ) {} + } + + if ( elem ) { + this.empty().append( value ); + } + }, null, value, arguments.length ); + }, + + replaceWith: function() { + var ignored = []; + + // Make the changes, replacing each non-ignored context element with the new content + return domManip( this, arguments, function( elem ) { + var parent = this.parentNode; + + if ( jQuery.inArray( this, ignored ) < 0 ) { + jQuery.cleanData( getAll( this ) ); + if ( parent ) { + parent.replaceChild( elem, this ); + } + } + + // Force callback invocation + }, ignored ); + } +} ); + +jQuery.each( { + appendTo: "append", + prependTo: "prepend", + insertBefore: "before", + insertAfter: "after", + replaceAll: "replaceWith" +}, function( name, original ) { + jQuery.fn[ name ] = function( selector ) { + var elems, + ret = [], + insert = jQuery( selector ), + last = insert.length - 1, + i = 0; + + for ( ; i <= last; i++ ) { + elems = i === last ? this : this.clone( true ); + jQuery( insert[ i ] )[ original ]( elems ); + + // Support: Android <=4.0 only, PhantomJS 1 only + // .get() because push.apply(_, arraylike) throws on ancient WebKit + push.apply( ret, elems.get() ); + } + + return this.pushStack( ret ); + }; +} ); +var rnumnonpx = new RegExp( "^(" + pnum + ")(?!px)[a-z%]+$", "i" ); + +var getStyles = function( elem ) { + + // Support: IE <=11 only, Firefox <=30 (#15098, #14150) + // IE throws on elements created in popups + // FF meanwhile throws on frame elements through "defaultView.getComputedStyle" + var view = elem.ownerDocument.defaultView; + + if ( !view || !view.opener ) { + view = window; + } + + return view.getComputedStyle( elem ); + }; + +var swap = function( elem, options, callback ) { + var ret, name, + old = {}; + + // Remember the old values, and insert the new ones + for ( name in options ) { + old[ name ] = elem.style[ name ]; + elem.style[ name ] = options[ name ]; + } + + ret = callback.call( elem ); + + // Revert the old values + for ( name in options ) { + elem.style[ name ] = old[ name ]; + } + + return ret; +}; + + +var rboxStyle = new RegExp( cssExpand.join( "|" ), "i" ); + + + +( function() { + + // Executing both pixelPosition & boxSizingReliable tests require only one layout + // so they're executed at the same time to save the second computation. + function computeStyleTests() { + + // This is a singleton, we need to execute it only once + if ( !div ) { + return; + } + + container.style.cssText = "position:absolute;left:-11111px;width:60px;" + + "margin-top:1px;padding:0;border:0"; + div.style.cssText = + "position:relative;display:block;box-sizing:border-box;overflow:scroll;" + + "margin:auto;border:1px;padding:1px;" + + "width:60%;top:1%"; + documentElement.appendChild( container ).appendChild( div ); + + var divStyle = window.getComputedStyle( div ); + pixelPositionVal = divStyle.top !== "1%"; + + // Support: Android 4.0 - 4.3 only, Firefox <=3 - 44 + reliableMarginLeftVal = roundPixelMeasures( divStyle.marginLeft ) === 12; + + // Support: Android 4.0 - 4.3 only, Safari <=9.1 - 10.1, iOS <=7.0 - 9.3 + // Some styles come back with percentage values, even though they shouldn't + div.style.right = "60%"; + pixelBoxStylesVal = roundPixelMeasures( divStyle.right ) === 36; + + // Support: IE 9 - 11 only + // Detect misreporting of content dimensions for box-sizing:border-box elements + boxSizingReliableVal = roundPixelMeasures( divStyle.width ) === 36; + + // Support: IE 9 only + // Detect overflow:scroll screwiness (gh-3699) + // Support: Chrome <=64 + // Don't get tricked when zoom affects offsetWidth (gh-4029) + div.style.position = "absolute"; + scrollboxSizeVal = roundPixelMeasures( div.offsetWidth / 3 ) === 12; + + documentElement.removeChild( container ); + + // Nullify the div so it wouldn't be stored in the memory and + // it will also be a sign that checks already performed + div = null; + } + + function roundPixelMeasures( measure ) { + return Math.round( parseFloat( measure ) ); + } + + var pixelPositionVal, boxSizingReliableVal, scrollboxSizeVal, pixelBoxStylesVal, + reliableTrDimensionsVal, reliableMarginLeftVal, + container = document.createElement( "div" ), + div = document.createElement( "div" ); + + // Finish early in limited (non-browser) environments + if ( !div.style ) { + return; + } + + // Support: IE <=9 - 11 only + // Style of cloned element affects source element cloned (#8908) + div.style.backgroundClip = "content-box"; + div.cloneNode( true ).style.backgroundClip = ""; + support.clearCloneStyle = div.style.backgroundClip === "content-box"; + + jQuery.extend( support, { + boxSizingReliable: function() { + computeStyleTests(); + return boxSizingReliableVal; + }, + pixelBoxStyles: function() { + computeStyleTests(); + return pixelBoxStylesVal; + }, + pixelPosition: function() { + computeStyleTests(); + return pixelPositionVal; + }, + reliableMarginLeft: function() { + computeStyleTests(); + return reliableMarginLeftVal; + }, + scrollboxSize: function() { + computeStyleTests(); + return scrollboxSizeVal; + }, + + // Support: IE 9 - 11+, Edge 15 - 18+ + // IE/Edge misreport `getComputedStyle` of table rows with width/height + // set in CSS while `offset*` properties report correct values. + // Behavior in IE 9 is more subtle than in newer versions & it passes + // some versions of this test; make sure not to make it pass there! + reliableTrDimensions: function() { + var table, tr, trChild, trStyle; + if ( reliableTrDimensionsVal == null ) { + table = document.createElement( "table" ); + tr = document.createElement( "tr" ); + trChild = document.createElement( "div" ); + + table.style.cssText = "position:absolute;left:-11111px"; + tr.style.height = "1px"; + trChild.style.height = "9px"; + + documentElement + .appendChild( table ) + .appendChild( tr ) + .appendChild( trChild ); + + trStyle = window.getComputedStyle( tr ); + reliableTrDimensionsVal = parseInt( trStyle.height ) > 3; + + documentElement.removeChild( table ); + } + return reliableTrDimensionsVal; + } + } ); +} )(); + + +function curCSS( elem, name, computed ) { + var width, minWidth, maxWidth, ret, + + // Support: Firefox 51+ + // Retrieving style before computed somehow + // fixes an issue with getting wrong values + // on detached elements + style = elem.style; + + computed = computed || getStyles( elem ); + + // getPropertyValue is needed for: + // .css('filter') (IE 9 only, #12537) + // .css('--customProperty) (#3144) + if ( computed ) { + ret = computed.getPropertyValue( name ) || computed[ name ]; + + if ( ret === "" && !isAttached( elem ) ) { + ret = jQuery.style( elem, name ); + } + + // A tribute to the "awesome hack by Dean Edwards" + // Android Browser returns percentage for some values, + // but width seems to be reliably pixels. + // This is against the CSSOM draft spec: + // https://drafts.csswg.org/cssom/#resolved-values + if ( !support.pixelBoxStyles() && rnumnonpx.test( ret ) && rboxStyle.test( name ) ) { + + // Remember the original values + width = style.width; + minWidth = style.minWidth; + maxWidth = style.maxWidth; + + // Put in the new values to get a computed value out + style.minWidth = style.maxWidth = style.width = ret; + ret = computed.width; + + // Revert the changed values + style.width = width; + style.minWidth = minWidth; + style.maxWidth = maxWidth; + } + } + + return ret !== undefined ? + + // Support: IE <=9 - 11 only + // IE returns zIndex value as an integer. + ret + "" : + ret; +} + + +function addGetHookIf( conditionFn, hookFn ) { + + // Define the hook, we'll check on the first run if it's really needed. + return { + get: function() { + if ( conditionFn() ) { + + // Hook not needed (or it's not possible to use it due + // to missing dependency), remove it. + delete this.get; + return; + } + + // Hook needed; redefine it so that the support test is not executed again. + return ( this.get = hookFn ).apply( this, arguments ); + } + }; +} + + +var cssPrefixes = [ "Webkit", "Moz", "ms" ], + emptyStyle = document.createElement( "div" ).style, + vendorProps = {}; + +// Return a vendor-prefixed property or undefined +function vendorPropName( name ) { + + // Check for vendor prefixed names + var capName = name[ 0 ].toUpperCase() + name.slice( 1 ), + i = cssPrefixes.length; + + while ( i-- ) { + name = cssPrefixes[ i ] + capName; + if ( name in emptyStyle ) { + return name; + } + } +} + +// Return a potentially-mapped jQuery.cssProps or vendor prefixed property +function finalPropName( name ) { + var final = jQuery.cssProps[ name ] || vendorProps[ name ]; + + if ( final ) { + return final; + } + if ( name in emptyStyle ) { + return name; + } + return vendorProps[ name ] = vendorPropName( name ) || name; +} + + +var + + // Swappable if display is none or starts with table + // except "table", "table-cell", or "table-caption" + // See here for display values: https://developer.mozilla.org/en-US/docs/CSS/display + rdisplayswap = /^(none|table(?!-c[ea]).+)/, + rcustomProp = /^--/, + cssShow = { position: "absolute", visibility: "hidden", display: "block" }, + cssNormalTransform = { + letterSpacing: "0", + fontWeight: "400" + }; + +function setPositiveNumber( _elem, value, subtract ) { + + // Any relative (+/-) values have already been + // normalized at this point + var matches = rcssNum.exec( value ); + return matches ? + + // Guard against undefined "subtract", e.g., when used as in cssHooks + Math.max( 0, matches[ 2 ] - ( subtract || 0 ) ) + ( matches[ 3 ] || "px" ) : + value; +} + +function boxModelAdjustment( elem, dimension, box, isBorderBox, styles, computedVal ) { + var i = dimension === "width" ? 1 : 0, + extra = 0, + delta = 0; + + // Adjustment may not be necessary + if ( box === ( isBorderBox ? "border" : "content" ) ) { + return 0; + } + + for ( ; i < 4; i += 2 ) { + + // Both box models exclude margin + if ( box === "margin" ) { + delta += jQuery.css( elem, box + cssExpand[ i ], true, styles ); + } + + // If we get here with a content-box, we're seeking "padding" or "border" or "margin" + if ( !isBorderBox ) { + + // Add padding + delta += jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); + + // For "border" or "margin", add border + if ( box !== "padding" ) { + delta += jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + + // But still keep track of it otherwise + } else { + extra += jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + } + + // If we get here with a border-box (content + padding + border), we're seeking "content" or + // "padding" or "margin" + } else { + + // For "content", subtract padding + if ( box === "content" ) { + delta -= jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); + } + + // For "content" or "padding", subtract border + if ( box !== "margin" ) { + delta -= jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); + } + } + } + + // Account for positive content-box scroll gutter when requested by providing computedVal + if ( !isBorderBox && computedVal >= 0 ) { + + // offsetWidth/offsetHeight is a rounded sum of content, padding, scroll gutter, and border + // Assuming integer scroll gutter, subtract the rest and round down + delta += Math.max( 0, Math.ceil( + elem[ "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ) ] - + computedVal - + delta - + extra - + 0.5 + + // If offsetWidth/offsetHeight is unknown, then we can't determine content-box scroll gutter + // Use an explicit zero to avoid NaN (gh-3964) + ) ) || 0; + } + + return delta; +} + +function getWidthOrHeight( elem, dimension, extra ) { + + // Start with computed style + var styles = getStyles( elem ), + + // To avoid forcing a reflow, only fetch boxSizing if we need it (gh-4322). + // Fake content-box until we know it's needed to know the true value. + boxSizingNeeded = !support.boxSizingReliable() || extra, + isBorderBox = boxSizingNeeded && + jQuery.css( elem, "boxSizing", false, styles ) === "border-box", + valueIsBorderBox = isBorderBox, + + val = curCSS( elem, dimension, styles ), + offsetProp = "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ); + + // Support: Firefox <=54 + // Return a confounding non-pixel value or feign ignorance, as appropriate. + if ( rnumnonpx.test( val ) ) { + if ( !extra ) { + return val; + } + val = "auto"; + } + + + // Support: IE 9 - 11 only + // Use offsetWidth/offsetHeight for when box sizing is unreliable. + // In those cases, the computed value can be trusted to be border-box. + if ( ( !support.boxSizingReliable() && isBorderBox || + + // Support: IE 10 - 11+, Edge 15 - 18+ + // IE/Edge misreport `getComputedStyle` of table rows with width/height + // set in CSS while `offset*` properties report correct values. + // Interestingly, in some cases IE 9 doesn't suffer from this issue. + !support.reliableTrDimensions() && nodeName( elem, "tr" ) || + + // Fall back to offsetWidth/offsetHeight when value is "auto" + // This happens for inline elements with no explicit setting (gh-3571) + val === "auto" || + + // Support: Android <=4.1 - 4.3 only + // Also use offsetWidth/offsetHeight for misreported inline dimensions (gh-3602) + !parseFloat( val ) && jQuery.css( elem, "display", false, styles ) === "inline" ) && + + // Make sure the element is visible & connected + elem.getClientRects().length ) { + + isBorderBox = jQuery.css( elem, "boxSizing", false, styles ) === "border-box"; + + // Where available, offsetWidth/offsetHeight approximate border box dimensions. + // Where not available (e.g., SVG), assume unreliable box-sizing and interpret the + // retrieved value as a content box dimension. + valueIsBorderBox = offsetProp in elem; + if ( valueIsBorderBox ) { + val = elem[ offsetProp ]; + } + } + + // Normalize "" and auto + val = parseFloat( val ) || 0; + + // Adjust for the element's box model + return ( val + + boxModelAdjustment( + elem, + dimension, + extra || ( isBorderBox ? "border" : "content" ), + valueIsBorderBox, + styles, + + // Provide the current computed size to request scroll gutter calculation (gh-3589) + val + ) + ) + "px"; +} + +jQuery.extend( { + + // Add in style property hooks for overriding the default + // behavior of getting and setting a style property + cssHooks: { + opacity: { + get: function( elem, computed ) { + if ( computed ) { + + // We should always get a number back from opacity + var ret = curCSS( elem, "opacity" ); + return ret === "" ? "1" : ret; + } + } + } + }, + + // Don't automatically add "px" to these possibly-unitless properties + cssNumber: { + "animationIterationCount": true, + "columnCount": true, + "fillOpacity": true, + "flexGrow": true, + "flexShrink": true, + "fontWeight": true, + "gridArea": true, + "gridColumn": true, + "gridColumnEnd": true, + "gridColumnStart": true, + "gridRow": true, + "gridRowEnd": true, + "gridRowStart": true, + "lineHeight": true, + "opacity": true, + "order": true, + "orphans": true, + "widows": true, + "zIndex": true, + "zoom": true + }, + + // Add in properties whose names you wish to fix before + // setting or getting the value + cssProps: {}, + + // Get and set the style property on a DOM Node + style: function( elem, name, value, extra ) { + + // Don't set styles on text and comment nodes + if ( !elem || elem.nodeType === 3 || elem.nodeType === 8 || !elem.style ) { + return; + } + + // Make sure that we're working with the right name + var ret, type, hooks, + origName = camelCase( name ), + isCustomProp = rcustomProp.test( name ), + style = elem.style; + + // Make sure that we're working with the right name. We don't + // want to query the value if it is a CSS custom property + // since they are user-defined. + if ( !isCustomProp ) { + name = finalPropName( origName ); + } + + // Gets hook for the prefixed version, then unprefixed version + hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; + + // Check if we're setting a value + if ( value !== undefined ) { + type = typeof value; + + // Convert "+=" or "-=" to relative numbers (#7345) + if ( type === "string" && ( ret = rcssNum.exec( value ) ) && ret[ 1 ] ) { + value = adjustCSS( elem, name, ret ); + + // Fixes bug #9237 + type = "number"; + } + + // Make sure that null and NaN values aren't set (#7116) + if ( value == null || value !== value ) { + return; + } + + // If a number was passed in, add the unit (except for certain CSS properties) + // The isCustomProp check can be removed in jQuery 4.0 when we only auto-append + // "px" to a few hardcoded values. + if ( type === "number" && !isCustomProp ) { + value += ret && ret[ 3 ] || ( jQuery.cssNumber[ origName ] ? "" : "px" ); + } + + // background-* props affect original clone's values + if ( !support.clearCloneStyle && value === "" && name.indexOf( "background" ) === 0 ) { + style[ name ] = "inherit"; + } + + // If a hook was provided, use that value, otherwise just set the specified value + if ( !hooks || !( "set" in hooks ) || + ( value = hooks.set( elem, value, extra ) ) !== undefined ) { + + if ( isCustomProp ) { + style.setProperty( name, value ); + } else { + style[ name ] = value; + } + } + + } else { + + // If a hook was provided get the non-computed value from there + if ( hooks && "get" in hooks && + ( ret = hooks.get( elem, false, extra ) ) !== undefined ) { + + return ret; + } + + // Otherwise just get the value from the style object + return style[ name ]; + } + }, + + css: function( elem, name, extra, styles ) { + var val, num, hooks, + origName = camelCase( name ), + isCustomProp = rcustomProp.test( name ); + + // Make sure that we're working with the right name. We don't + // want to modify the value if it is a CSS custom property + // since they are user-defined. + if ( !isCustomProp ) { + name = finalPropName( origName ); + } + + // Try prefixed name followed by the unprefixed name + hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; + + // If a hook was provided get the computed value from there + if ( hooks && "get" in hooks ) { + val = hooks.get( elem, true, extra ); + } + + // Otherwise, if a way to get the computed value exists, use that + if ( val === undefined ) { + val = curCSS( elem, name, styles ); + } + + // Convert "normal" to computed value + if ( val === "normal" && name in cssNormalTransform ) { + val = cssNormalTransform[ name ]; + } + + // Make numeric if forced or a qualifier was provided and val looks numeric + if ( extra === "" || extra ) { + num = parseFloat( val ); + return extra === true || isFinite( num ) ? num || 0 : val; + } + + return val; + } +} ); + +jQuery.each( [ "height", "width" ], function( _i, dimension ) { + jQuery.cssHooks[ dimension ] = { + get: function( elem, computed, extra ) { + if ( computed ) { + + // Certain elements can have dimension info if we invisibly show them + // but it must have a current display style that would benefit + return rdisplayswap.test( jQuery.css( elem, "display" ) ) && + + // Support: Safari 8+ + // Table columns in Safari have non-zero offsetWidth & zero + // getBoundingClientRect().width unless display is changed. + // Support: IE <=11 only + // Running getBoundingClientRect on a disconnected node + // in IE throws an error. + ( !elem.getClientRects().length || !elem.getBoundingClientRect().width ) ? + swap( elem, cssShow, function() { + return getWidthOrHeight( elem, dimension, extra ); + } ) : + getWidthOrHeight( elem, dimension, extra ); + } + }, + + set: function( elem, value, extra ) { + var matches, + styles = getStyles( elem ), + + // Only read styles.position if the test has a chance to fail + // to avoid forcing a reflow. + scrollboxSizeBuggy = !support.scrollboxSize() && + styles.position === "absolute", + + // To avoid forcing a reflow, only fetch boxSizing if we need it (gh-3991) + boxSizingNeeded = scrollboxSizeBuggy || extra, + isBorderBox = boxSizingNeeded && + jQuery.css( elem, "boxSizing", false, styles ) === "border-box", + subtract = extra ? + boxModelAdjustment( + elem, + dimension, + extra, + isBorderBox, + styles + ) : + 0; + + // Account for unreliable border-box dimensions by comparing offset* to computed and + // faking a content-box to get border and padding (gh-3699) + if ( isBorderBox && scrollboxSizeBuggy ) { + subtract -= Math.ceil( + elem[ "offset" + dimension[ 0 ].toUpperCase() + dimension.slice( 1 ) ] - + parseFloat( styles[ dimension ] ) - + boxModelAdjustment( elem, dimension, "border", false, styles ) - + 0.5 + ); + } + + // Convert to pixels if value adjustment is needed + if ( subtract && ( matches = rcssNum.exec( value ) ) && + ( matches[ 3 ] || "px" ) !== "px" ) { + + elem.style[ dimension ] = value; + value = jQuery.css( elem, dimension ); + } + + return setPositiveNumber( elem, value, subtract ); + } + }; +} ); + +jQuery.cssHooks.marginLeft = addGetHookIf( support.reliableMarginLeft, + function( elem, computed ) { + if ( computed ) { + return ( parseFloat( curCSS( elem, "marginLeft" ) ) || + elem.getBoundingClientRect().left - + swap( elem, { marginLeft: 0 }, function() { + return elem.getBoundingClientRect().left; + } ) + ) + "px"; + } + } +); + +// These hooks are used by animate to expand properties +jQuery.each( { + margin: "", + padding: "", + border: "Width" +}, function( prefix, suffix ) { + jQuery.cssHooks[ prefix + suffix ] = { + expand: function( value ) { + var i = 0, + expanded = {}, + + // Assumes a single number if not a string + parts = typeof value === "string" ? value.split( " " ) : [ value ]; + + for ( ; i < 4; i++ ) { + expanded[ prefix + cssExpand[ i ] + suffix ] = + parts[ i ] || parts[ i - 2 ] || parts[ 0 ]; + } + + return expanded; + } + }; + + if ( prefix !== "margin" ) { + jQuery.cssHooks[ prefix + suffix ].set = setPositiveNumber; + } +} ); + +jQuery.fn.extend( { + css: function( name, value ) { + return access( this, function( elem, name, value ) { + var styles, len, + map = {}, + i = 0; + + if ( Array.isArray( name ) ) { + styles = getStyles( elem ); + len = name.length; + + for ( ; i < len; i++ ) { + map[ name[ i ] ] = jQuery.css( elem, name[ i ], false, styles ); + } + + return map; + } + + return value !== undefined ? + jQuery.style( elem, name, value ) : + jQuery.css( elem, name ); + }, name, value, arguments.length > 1 ); + } +} ); + + +function Tween( elem, options, prop, end, easing ) { + return new Tween.prototype.init( elem, options, prop, end, easing ); +} +jQuery.Tween = Tween; + +Tween.prototype = { + constructor: Tween, + init: function( elem, options, prop, end, easing, unit ) { + this.elem = elem; + this.prop = prop; + this.easing = easing || jQuery.easing._default; + this.options = options; + this.start = this.now = this.cur(); + this.end = end; + this.unit = unit || ( jQuery.cssNumber[ prop ] ? "" : "px" ); + }, + cur: function() { + var hooks = Tween.propHooks[ this.prop ]; + + return hooks && hooks.get ? + hooks.get( this ) : + Tween.propHooks._default.get( this ); + }, + run: function( percent ) { + var eased, + hooks = Tween.propHooks[ this.prop ]; + + if ( this.options.duration ) { + this.pos = eased = jQuery.easing[ this.easing ]( + percent, this.options.duration * percent, 0, 1, this.options.duration + ); + } else { + this.pos = eased = percent; + } + this.now = ( this.end - this.start ) * eased + this.start; + + if ( this.options.step ) { + this.options.step.call( this.elem, this.now, this ); + } + + if ( hooks && hooks.set ) { + hooks.set( this ); + } else { + Tween.propHooks._default.set( this ); + } + return this; + } +}; + +Tween.prototype.init.prototype = Tween.prototype; + +Tween.propHooks = { + _default: { + get: function( tween ) { + var result; + + // Use a property on the element directly when it is not a DOM element, + // or when there is no matching style property that exists. + if ( tween.elem.nodeType !== 1 || + tween.elem[ tween.prop ] != null && tween.elem.style[ tween.prop ] == null ) { + return tween.elem[ tween.prop ]; + } + + // Passing an empty string as a 3rd parameter to .css will automatically + // attempt a parseFloat and fallback to a string if the parse fails. + // Simple values such as "10px" are parsed to Float; + // complex values such as "rotate(1rad)" are returned as-is. + result = jQuery.css( tween.elem, tween.prop, "" ); + + // Empty strings, null, undefined and "auto" are converted to 0. + return !result || result === "auto" ? 0 : result; + }, + set: function( tween ) { + + // Use step hook for back compat. + // Use cssHook if its there. + // Use .style if available and use plain properties where available. + if ( jQuery.fx.step[ tween.prop ] ) { + jQuery.fx.step[ tween.prop ]( tween ); + } else if ( tween.elem.nodeType === 1 && ( + jQuery.cssHooks[ tween.prop ] || + tween.elem.style[ finalPropName( tween.prop ) ] != null ) ) { + jQuery.style( tween.elem, tween.prop, tween.now + tween.unit ); + } else { + tween.elem[ tween.prop ] = tween.now; + } + } + } +}; + +// Support: IE <=9 only +// Panic based approach to setting things on disconnected nodes +Tween.propHooks.scrollTop = Tween.propHooks.scrollLeft = { + set: function( tween ) { + if ( tween.elem.nodeType && tween.elem.parentNode ) { + tween.elem[ tween.prop ] = tween.now; + } + } +}; + +jQuery.easing = { + linear: function( p ) { + return p; + }, + swing: function( p ) { + return 0.5 - Math.cos( p * Math.PI ) / 2; + }, + _default: "swing" +}; + +jQuery.fx = Tween.prototype.init; + +// Back compat <1.8 extension point +jQuery.fx.step = {}; + + + + +var + fxNow, inProgress, + rfxtypes = /^(?:toggle|show|hide)$/, + rrun = /queueHooks$/; + +function schedule() { + if ( inProgress ) { + if ( document.hidden === false && window.requestAnimationFrame ) { + window.requestAnimationFrame( schedule ); + } else { + window.setTimeout( schedule, jQuery.fx.interval ); + } + + jQuery.fx.tick(); + } +} + +// Animations created synchronously will run synchronously +function createFxNow() { + window.setTimeout( function() { + fxNow = undefined; + } ); + return ( fxNow = Date.now() ); +} + +// Generate parameters to create a standard animation +function genFx( type, includeWidth ) { + var which, + i = 0, + attrs = { height: type }; + + // If we include width, step value is 1 to do all cssExpand values, + // otherwise step value is 2 to skip over Left and Right + includeWidth = includeWidth ? 1 : 0; + for ( ; i < 4; i += 2 - includeWidth ) { + which = cssExpand[ i ]; + attrs[ "margin" + which ] = attrs[ "padding" + which ] = type; + } + + if ( includeWidth ) { + attrs.opacity = attrs.width = type; + } + + return attrs; +} + +function createTween( value, prop, animation ) { + var tween, + collection = ( Animation.tweeners[ prop ] || [] ).concat( Animation.tweeners[ "*" ] ), + index = 0, + length = collection.length; + for ( ; index < length; index++ ) { + if ( ( tween = collection[ index ].call( animation, prop, value ) ) ) { + + // We're done with this property + return tween; + } + } +} + +function defaultPrefilter( elem, props, opts ) { + var prop, value, toggle, hooks, oldfire, propTween, restoreDisplay, display, + isBox = "width" in props || "height" in props, + anim = this, + orig = {}, + style = elem.style, + hidden = elem.nodeType && isHiddenWithinTree( elem ), + dataShow = dataPriv.get( elem, "fxshow" ); + + // Queue-skipping animations hijack the fx hooks + if ( !opts.queue ) { + hooks = jQuery._queueHooks( elem, "fx" ); + if ( hooks.unqueued == null ) { + hooks.unqueued = 0; + oldfire = hooks.empty.fire; + hooks.empty.fire = function() { + if ( !hooks.unqueued ) { + oldfire(); + } + }; + } + hooks.unqueued++; + + anim.always( function() { + + // Ensure the complete handler is called before this completes + anim.always( function() { + hooks.unqueued--; + if ( !jQuery.queue( elem, "fx" ).length ) { + hooks.empty.fire(); + } + } ); + } ); + } + + // Detect show/hide animations + for ( prop in props ) { + value = props[ prop ]; + if ( rfxtypes.test( value ) ) { + delete props[ prop ]; + toggle = toggle || value === "toggle"; + if ( value === ( hidden ? "hide" : "show" ) ) { + + // Pretend to be hidden if this is a "show" and + // there is still data from a stopped show/hide + if ( value === "show" && dataShow && dataShow[ prop ] !== undefined ) { + hidden = true; + + // Ignore all other no-op show/hide data + } else { + continue; + } + } + orig[ prop ] = dataShow && dataShow[ prop ] || jQuery.style( elem, prop ); + } + } + + // Bail out if this is a no-op like .hide().hide() + propTween = !jQuery.isEmptyObject( props ); + if ( !propTween && jQuery.isEmptyObject( orig ) ) { + return; + } + + // Restrict "overflow" and "display" styles during box animations + if ( isBox && elem.nodeType === 1 ) { + + // Support: IE <=9 - 11, Edge 12 - 15 + // Record all 3 overflow attributes because IE does not infer the shorthand + // from identically-valued overflowX and overflowY and Edge just mirrors + // the overflowX value there. + opts.overflow = [ style.overflow, style.overflowX, style.overflowY ]; + + // Identify a display type, preferring old show/hide data over the CSS cascade + restoreDisplay = dataShow && dataShow.display; + if ( restoreDisplay == null ) { + restoreDisplay = dataPriv.get( elem, "display" ); + } + display = jQuery.css( elem, "display" ); + if ( display === "none" ) { + if ( restoreDisplay ) { + display = restoreDisplay; + } else { + + // Get nonempty value(s) by temporarily forcing visibility + showHide( [ elem ], true ); + restoreDisplay = elem.style.display || restoreDisplay; + display = jQuery.css( elem, "display" ); + showHide( [ elem ] ); + } + } + + // Animate inline elements as inline-block + if ( display === "inline" || display === "inline-block" && restoreDisplay != null ) { + if ( jQuery.css( elem, "float" ) === "none" ) { + + // Restore the original display value at the end of pure show/hide animations + if ( !propTween ) { + anim.done( function() { + style.display = restoreDisplay; + } ); + if ( restoreDisplay == null ) { + display = style.display; + restoreDisplay = display === "none" ? "" : display; + } + } + style.display = "inline-block"; + } + } + } + + if ( opts.overflow ) { + style.overflow = "hidden"; + anim.always( function() { + style.overflow = opts.overflow[ 0 ]; + style.overflowX = opts.overflow[ 1 ]; + style.overflowY = opts.overflow[ 2 ]; + } ); + } + + // Implement show/hide animations + propTween = false; + for ( prop in orig ) { + + // General show/hide setup for this element animation + if ( !propTween ) { + if ( dataShow ) { + if ( "hidden" in dataShow ) { + hidden = dataShow.hidden; + } + } else { + dataShow = dataPriv.access( elem, "fxshow", { display: restoreDisplay } ); + } + + // Store hidden/visible for toggle so `.stop().toggle()` "reverses" + if ( toggle ) { + dataShow.hidden = !hidden; + } + + // Show elements before animating them + if ( hidden ) { + showHide( [ elem ], true ); + } + + /* eslint-disable no-loop-func */ + + anim.done( function() { + + /* eslint-enable no-loop-func */ + + // The final step of a "hide" animation is actually hiding the element + if ( !hidden ) { + showHide( [ elem ] ); + } + dataPriv.remove( elem, "fxshow" ); + for ( prop in orig ) { + jQuery.style( elem, prop, orig[ prop ] ); + } + } ); + } + + // Per-property setup + propTween = createTween( hidden ? dataShow[ prop ] : 0, prop, anim ); + if ( !( prop in dataShow ) ) { + dataShow[ prop ] = propTween.start; + if ( hidden ) { + propTween.end = propTween.start; + propTween.start = 0; + } + } + } +} + +function propFilter( props, specialEasing ) { + var index, name, easing, value, hooks; + + // camelCase, specialEasing and expand cssHook pass + for ( index in props ) { + name = camelCase( index ); + easing = specialEasing[ name ]; + value = props[ index ]; + if ( Array.isArray( value ) ) { + easing = value[ 1 ]; + value = props[ index ] = value[ 0 ]; + } + + if ( index !== name ) { + props[ name ] = value; + delete props[ index ]; + } + + hooks = jQuery.cssHooks[ name ]; + if ( hooks && "expand" in hooks ) { + value = hooks.expand( value ); + delete props[ name ]; + + // Not quite $.extend, this won't overwrite existing keys. + // Reusing 'index' because we have the correct "name" + for ( index in value ) { + if ( !( index in props ) ) { + props[ index ] = value[ index ]; + specialEasing[ index ] = easing; + } + } + } else { + specialEasing[ name ] = easing; + } + } +} + +function Animation( elem, properties, options ) { + var result, + stopped, + index = 0, + length = Animation.prefilters.length, + deferred = jQuery.Deferred().always( function() { + + // Don't match elem in the :animated selector + delete tick.elem; + } ), + tick = function() { + if ( stopped ) { + return false; + } + var currentTime = fxNow || createFxNow(), + remaining = Math.max( 0, animation.startTime + animation.duration - currentTime ), + + // Support: Android 2.3 only + // Archaic crash bug won't allow us to use `1 - ( 0.5 || 0 )` (#12497) + temp = remaining / animation.duration || 0, + percent = 1 - temp, + index = 0, + length = animation.tweens.length; + + for ( ; index < length; index++ ) { + animation.tweens[ index ].run( percent ); + } + + deferred.notifyWith( elem, [ animation, percent, remaining ] ); + + // If there's more to do, yield + if ( percent < 1 && length ) { + return remaining; + } + + // If this was an empty animation, synthesize a final progress notification + if ( !length ) { + deferred.notifyWith( elem, [ animation, 1, 0 ] ); + } + + // Resolve the animation and report its conclusion + deferred.resolveWith( elem, [ animation ] ); + return false; + }, + animation = deferred.promise( { + elem: elem, + props: jQuery.extend( {}, properties ), + opts: jQuery.extend( true, { + specialEasing: {}, + easing: jQuery.easing._default + }, options ), + originalProperties: properties, + originalOptions: options, + startTime: fxNow || createFxNow(), + duration: options.duration, + tweens: [], + createTween: function( prop, end ) { + var tween = jQuery.Tween( elem, animation.opts, prop, end, + animation.opts.specialEasing[ prop ] || animation.opts.easing ); + animation.tweens.push( tween ); + return tween; + }, + stop: function( gotoEnd ) { + var index = 0, + + // If we are going to the end, we want to run all the tweens + // otherwise we skip this part + length = gotoEnd ? animation.tweens.length : 0; + if ( stopped ) { + return this; + } + stopped = true; + for ( ; index < length; index++ ) { + animation.tweens[ index ].run( 1 ); + } + + // Resolve when we played the last frame; otherwise, reject + if ( gotoEnd ) { + deferred.notifyWith( elem, [ animation, 1, 0 ] ); + deferred.resolveWith( elem, [ animation, gotoEnd ] ); + } else { + deferred.rejectWith( elem, [ animation, gotoEnd ] ); + } + return this; + } + } ), + props = animation.props; + + propFilter( props, animation.opts.specialEasing ); + + for ( ; index < length; index++ ) { + result = Animation.prefilters[ index ].call( animation, elem, props, animation.opts ); + if ( result ) { + if ( isFunction( result.stop ) ) { + jQuery._queueHooks( animation.elem, animation.opts.queue ).stop = + result.stop.bind( result ); + } + return result; + } + } + + jQuery.map( props, createTween, animation ); + + if ( isFunction( animation.opts.start ) ) { + animation.opts.start.call( elem, animation ); + } + + // Attach callbacks from options + animation + .progress( animation.opts.progress ) + .done( animation.opts.done, animation.opts.complete ) + .fail( animation.opts.fail ) + .always( animation.opts.always ); + + jQuery.fx.timer( + jQuery.extend( tick, { + elem: elem, + anim: animation, + queue: animation.opts.queue + } ) + ); + + return animation; +} + +jQuery.Animation = jQuery.extend( Animation, { + + tweeners: { + "*": [ function( prop, value ) { + var tween = this.createTween( prop, value ); + adjustCSS( tween.elem, prop, rcssNum.exec( value ), tween ); + return tween; + } ] + }, + + tweener: function( props, callback ) { + if ( isFunction( props ) ) { + callback = props; + props = [ "*" ]; + } else { + props = props.match( rnothtmlwhite ); + } + + var prop, + index = 0, + length = props.length; + + for ( ; index < length; index++ ) { + prop = props[ index ]; + Animation.tweeners[ prop ] = Animation.tweeners[ prop ] || []; + Animation.tweeners[ prop ].unshift( callback ); + } + }, + + prefilters: [ defaultPrefilter ], + + prefilter: function( callback, prepend ) { + if ( prepend ) { + Animation.prefilters.unshift( callback ); + } else { + Animation.prefilters.push( callback ); + } + } +} ); + +jQuery.speed = function( speed, easing, fn ) { + var opt = speed && typeof speed === "object" ? jQuery.extend( {}, speed ) : { + complete: fn || !fn && easing || + isFunction( speed ) && speed, + duration: speed, + easing: fn && easing || easing && !isFunction( easing ) && easing + }; + + // Go to the end state if fx are off + if ( jQuery.fx.off ) { + opt.duration = 0; + + } else { + if ( typeof opt.duration !== "number" ) { + if ( opt.duration in jQuery.fx.speeds ) { + opt.duration = jQuery.fx.speeds[ opt.duration ]; + + } else { + opt.duration = jQuery.fx.speeds._default; + } + } + } + + // Normalize opt.queue - true/undefined/null -> "fx" + if ( opt.queue == null || opt.queue === true ) { + opt.queue = "fx"; + } + + // Queueing + opt.old = opt.complete; + + opt.complete = function() { + if ( isFunction( opt.old ) ) { + opt.old.call( this ); + } + + if ( opt.queue ) { + jQuery.dequeue( this, opt.queue ); + } + }; + + return opt; +}; + +jQuery.fn.extend( { + fadeTo: function( speed, to, easing, callback ) { + + // Show any hidden elements after setting opacity to 0 + return this.filter( isHiddenWithinTree ).css( "opacity", 0 ).show() + + // Animate to the value specified + .end().animate( { opacity: to }, speed, easing, callback ); + }, + animate: function( prop, speed, easing, callback ) { + var empty = jQuery.isEmptyObject( prop ), + optall = jQuery.speed( speed, easing, callback ), + doAnimation = function() { + + // Operate on a copy of prop so per-property easing won't be lost + var anim = Animation( this, jQuery.extend( {}, prop ), optall ); + + // Empty animations, or finishing resolves immediately + if ( empty || dataPriv.get( this, "finish" ) ) { + anim.stop( true ); + } + }; + doAnimation.finish = doAnimation; + + return empty || optall.queue === false ? + this.each( doAnimation ) : + this.queue( optall.queue, doAnimation ); + }, + stop: function( type, clearQueue, gotoEnd ) { + var stopQueue = function( hooks ) { + var stop = hooks.stop; + delete hooks.stop; + stop( gotoEnd ); + }; + + if ( typeof type !== "string" ) { + gotoEnd = clearQueue; + clearQueue = type; + type = undefined; + } + if ( clearQueue ) { + this.queue( type || "fx", [] ); + } + + return this.each( function() { + var dequeue = true, + index = type != null && type + "queueHooks", + timers = jQuery.timers, + data = dataPriv.get( this ); + + if ( index ) { + if ( data[ index ] && data[ index ].stop ) { + stopQueue( data[ index ] ); + } + } else { + for ( index in data ) { + if ( data[ index ] && data[ index ].stop && rrun.test( index ) ) { + stopQueue( data[ index ] ); + } + } + } + + for ( index = timers.length; index--; ) { + if ( timers[ index ].elem === this && + ( type == null || timers[ index ].queue === type ) ) { + + timers[ index ].anim.stop( gotoEnd ); + dequeue = false; + timers.splice( index, 1 ); + } + } + + // Start the next in the queue if the last step wasn't forced. + // Timers currently will call their complete callbacks, which + // will dequeue but only if they were gotoEnd. + if ( dequeue || !gotoEnd ) { + jQuery.dequeue( this, type ); + } + } ); + }, + finish: function( type ) { + if ( type !== false ) { + type = type || "fx"; + } + return this.each( function() { + var index, + data = dataPriv.get( this ), + queue = data[ type + "queue" ], + hooks = data[ type + "queueHooks" ], + timers = jQuery.timers, + length = queue ? queue.length : 0; + + // Enable finishing flag on private data + data.finish = true; + + // Empty the queue first + jQuery.queue( this, type, [] ); + + if ( hooks && hooks.stop ) { + hooks.stop.call( this, true ); + } + + // Look for any active animations, and finish them + for ( index = timers.length; index--; ) { + if ( timers[ index ].elem === this && timers[ index ].queue === type ) { + timers[ index ].anim.stop( true ); + timers.splice( index, 1 ); + } + } + + // Look for any animations in the old queue and finish them + for ( index = 0; index < length; index++ ) { + if ( queue[ index ] && queue[ index ].finish ) { + queue[ index ].finish.call( this ); + } + } + + // Turn off finishing flag + delete data.finish; + } ); + } +} ); + +jQuery.each( [ "toggle", "show", "hide" ], function( _i, name ) { + var cssFn = jQuery.fn[ name ]; + jQuery.fn[ name ] = function( speed, easing, callback ) { + return speed == null || typeof speed === "boolean" ? + cssFn.apply( this, arguments ) : + this.animate( genFx( name, true ), speed, easing, callback ); + }; +} ); + +// Generate shortcuts for custom animations +jQuery.each( { + slideDown: genFx( "show" ), + slideUp: genFx( "hide" ), + slideToggle: genFx( "toggle" ), + fadeIn: { opacity: "show" }, + fadeOut: { opacity: "hide" }, + fadeToggle: { opacity: "toggle" } +}, function( name, props ) { + jQuery.fn[ name ] = function( speed, easing, callback ) { + return this.animate( props, speed, easing, callback ); + }; +} ); + +jQuery.timers = []; +jQuery.fx.tick = function() { + var timer, + i = 0, + timers = jQuery.timers; + + fxNow = Date.now(); + + for ( ; i < timers.length; i++ ) { + timer = timers[ i ]; + + // Run the timer and safely remove it when done (allowing for external removal) + if ( !timer() && timers[ i ] === timer ) { + timers.splice( i--, 1 ); + } + } + + if ( !timers.length ) { + jQuery.fx.stop(); + } + fxNow = undefined; +}; + +jQuery.fx.timer = function( timer ) { + jQuery.timers.push( timer ); + jQuery.fx.start(); +}; + +jQuery.fx.interval = 13; +jQuery.fx.start = function() { + if ( inProgress ) { + return; + } + + inProgress = true; + schedule(); +}; + +jQuery.fx.stop = function() { + inProgress = null; +}; + +jQuery.fx.speeds = { + slow: 600, + fast: 200, + + // Default speed + _default: 400 +}; + + +// Based off of the plugin by Clint Helfers, with permission. +// https://web.archive.org/web/20100324014747/http://blindsignals.com/index.php/2009/07/jquery-delay/ +jQuery.fn.delay = function( time, type ) { + time = jQuery.fx ? jQuery.fx.speeds[ time ] || time : time; + type = type || "fx"; + + return this.queue( type, function( next, hooks ) { + var timeout = window.setTimeout( next, time ); + hooks.stop = function() { + window.clearTimeout( timeout ); + }; + } ); +}; + + +( function() { + var input = document.createElement( "input" ), + select = document.createElement( "select" ), + opt = select.appendChild( document.createElement( "option" ) ); + + input.type = "checkbox"; + + // Support: Android <=4.3 only + // Default value for a checkbox should be "on" + support.checkOn = input.value !== ""; + + // Support: IE <=11 only + // Must access selectedIndex to make default options select + support.optSelected = opt.selected; + + // Support: IE <=11 only + // An input loses its value after becoming a radio + input = document.createElement( "input" ); + input.value = "t"; + input.type = "radio"; + support.radioValue = input.value === "t"; +} )(); + + +var boolHook, + attrHandle = jQuery.expr.attrHandle; + +jQuery.fn.extend( { + attr: function( name, value ) { + return access( this, jQuery.attr, name, value, arguments.length > 1 ); + }, + + removeAttr: function( name ) { + return this.each( function() { + jQuery.removeAttr( this, name ); + } ); + } +} ); + +jQuery.extend( { + attr: function( elem, name, value ) { + var ret, hooks, + nType = elem.nodeType; + + // Don't get/set attributes on text, comment and attribute nodes + if ( nType === 3 || nType === 8 || nType === 2 ) { + return; + } + + // Fallback to prop when attributes are not supported + if ( typeof elem.getAttribute === "undefined" ) { + return jQuery.prop( elem, name, value ); + } + + // Attribute hooks are determined by the lowercase version + // Grab necessary hook if one is defined + if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { + hooks = jQuery.attrHooks[ name.toLowerCase() ] || + ( jQuery.expr.match.bool.test( name ) ? boolHook : undefined ); + } + + if ( value !== undefined ) { + if ( value === null ) { + jQuery.removeAttr( elem, name ); + return; + } + + if ( hooks && "set" in hooks && + ( ret = hooks.set( elem, value, name ) ) !== undefined ) { + return ret; + } + + elem.setAttribute( name, value + "" ); + return value; + } + + if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { + return ret; + } + + ret = jQuery.find.attr( elem, name ); + + // Non-existent attributes return null, we normalize to undefined + return ret == null ? undefined : ret; + }, + + attrHooks: { + type: { + set: function( elem, value ) { + if ( !support.radioValue && value === "radio" && + nodeName( elem, "input" ) ) { + var val = elem.value; + elem.setAttribute( "type", value ); + if ( val ) { + elem.value = val; + } + return value; + } + } + } + }, + + removeAttr: function( elem, value ) { + var name, + i = 0, + + // Attribute names can contain non-HTML whitespace characters + // https://html.spec.whatwg.org/multipage/syntax.html#attributes-2 + attrNames = value && value.match( rnothtmlwhite ); + + if ( attrNames && elem.nodeType === 1 ) { + while ( ( name = attrNames[ i++ ] ) ) { + elem.removeAttribute( name ); + } + } + } +} ); + +// Hooks for boolean attributes +boolHook = { + set: function( elem, value, name ) { + if ( value === false ) { + + // Remove boolean attributes when set to false + jQuery.removeAttr( elem, name ); + } else { + elem.setAttribute( name, name ); + } + return name; + } +}; + +jQuery.each( jQuery.expr.match.bool.source.match( /\w+/g ), function( _i, name ) { + var getter = attrHandle[ name ] || jQuery.find.attr; + + attrHandle[ name ] = function( elem, name, isXML ) { + var ret, handle, + lowercaseName = name.toLowerCase(); + + if ( !isXML ) { + + // Avoid an infinite loop by temporarily removing this function from the getter + handle = attrHandle[ lowercaseName ]; + attrHandle[ lowercaseName ] = ret; + ret = getter( elem, name, isXML ) != null ? + lowercaseName : + null; + attrHandle[ lowercaseName ] = handle; + } + return ret; + }; +} ); + + + + +var rfocusable = /^(?:input|select|textarea|button)$/i, + rclickable = /^(?:a|area)$/i; + +jQuery.fn.extend( { + prop: function( name, value ) { + return access( this, jQuery.prop, name, value, arguments.length > 1 ); + }, + + removeProp: function( name ) { + return this.each( function() { + delete this[ jQuery.propFix[ name ] || name ]; + } ); + } +} ); + +jQuery.extend( { + prop: function( elem, name, value ) { + var ret, hooks, + nType = elem.nodeType; + + // Don't get/set properties on text, comment and attribute nodes + if ( nType === 3 || nType === 8 || nType === 2 ) { + return; + } + + if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { + + // Fix name and attach hooks + name = jQuery.propFix[ name ] || name; + hooks = jQuery.propHooks[ name ]; + } + + if ( value !== undefined ) { + if ( hooks && "set" in hooks && + ( ret = hooks.set( elem, value, name ) ) !== undefined ) { + return ret; + } + + return ( elem[ name ] = value ); + } + + if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { + return ret; + } + + return elem[ name ]; + }, + + propHooks: { + tabIndex: { + get: function( elem ) { + + // Support: IE <=9 - 11 only + // elem.tabIndex doesn't always return the + // correct value when it hasn't been explicitly set + // https://web.archive.org/web/20141116233347/http://fluidproject.org/blog/2008/01/09/getting-setting-and-removing-tabindex-values-with-javascript/ + // Use proper attribute retrieval(#12072) + var tabindex = jQuery.find.attr( elem, "tabindex" ); + + if ( tabindex ) { + return parseInt( tabindex, 10 ); + } + + if ( + rfocusable.test( elem.nodeName ) || + rclickable.test( elem.nodeName ) && + elem.href + ) { + return 0; + } + + return -1; + } + } + }, + + propFix: { + "for": "htmlFor", + "class": "className" + } +} ); + +// Support: IE <=11 only +// Accessing the selectedIndex property +// forces the browser to respect setting selected +// on the option +// The getter ensures a default option is selected +// when in an optgroup +// eslint rule "no-unused-expressions" is disabled for this code +// since it considers such accessions noop +if ( !support.optSelected ) { + jQuery.propHooks.selected = { + get: function( elem ) { + + /* eslint no-unused-expressions: "off" */ + + var parent = elem.parentNode; + if ( parent && parent.parentNode ) { + parent.parentNode.selectedIndex; + } + return null; + }, + set: function( elem ) { + + /* eslint no-unused-expressions: "off" */ + + var parent = elem.parentNode; + if ( parent ) { + parent.selectedIndex; + + if ( parent.parentNode ) { + parent.parentNode.selectedIndex; + } + } + } + }; +} + +jQuery.each( [ + "tabIndex", + "readOnly", + "maxLength", + "cellSpacing", + "cellPadding", + "rowSpan", + "colSpan", + "useMap", + "frameBorder", + "contentEditable" +], function() { + jQuery.propFix[ this.toLowerCase() ] = this; +} ); + + + + + // Strip and collapse whitespace according to HTML spec + // https://infra.spec.whatwg.org/#strip-and-collapse-ascii-whitespace + function stripAndCollapse( value ) { + var tokens = value.match( rnothtmlwhite ) || []; + return tokens.join( " " ); + } + + +function getClass( elem ) { + return elem.getAttribute && elem.getAttribute( "class" ) || ""; +} + +function classesToArray( value ) { + if ( Array.isArray( value ) ) { + return value; + } + if ( typeof value === "string" ) { + return value.match( rnothtmlwhite ) || []; + } + return []; +} + +jQuery.fn.extend( { + addClass: function( value ) { + var classes, elem, cur, curValue, clazz, j, finalValue, + i = 0; + + if ( isFunction( value ) ) { + return this.each( function( j ) { + jQuery( this ).addClass( value.call( this, j, getClass( this ) ) ); + } ); + } + + classes = classesToArray( value ); + + if ( classes.length ) { + while ( ( elem = this[ i++ ] ) ) { + curValue = getClass( elem ); + cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); + + if ( cur ) { + j = 0; + while ( ( clazz = classes[ j++ ] ) ) { + if ( cur.indexOf( " " + clazz + " " ) < 0 ) { + cur += clazz + " "; + } + } + + // Only assign if different to avoid unneeded rendering. + finalValue = stripAndCollapse( cur ); + if ( curValue !== finalValue ) { + elem.setAttribute( "class", finalValue ); + } + } + } + } + + return this; + }, + + removeClass: function( value ) { + var classes, elem, cur, curValue, clazz, j, finalValue, + i = 0; + + if ( isFunction( value ) ) { + return this.each( function( j ) { + jQuery( this ).removeClass( value.call( this, j, getClass( this ) ) ); + } ); + } + + if ( !arguments.length ) { + return this.attr( "class", "" ); + } + + classes = classesToArray( value ); + + if ( classes.length ) { + while ( ( elem = this[ i++ ] ) ) { + curValue = getClass( elem ); + + // This expression is here for better compressibility (see addClass) + cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); + + if ( cur ) { + j = 0; + while ( ( clazz = classes[ j++ ] ) ) { + + // Remove *all* instances + while ( cur.indexOf( " " + clazz + " " ) > -1 ) { + cur = cur.replace( " " + clazz + " ", " " ); + } + } + + // Only assign if different to avoid unneeded rendering. + finalValue = stripAndCollapse( cur ); + if ( curValue !== finalValue ) { + elem.setAttribute( "class", finalValue ); + } + } + } + } + + return this; + }, + + toggleClass: function( value, stateVal ) { + var type = typeof value, + isValidValue = type === "string" || Array.isArray( value ); + + if ( typeof stateVal === "boolean" && isValidValue ) { + return stateVal ? this.addClass( value ) : this.removeClass( value ); + } + + if ( isFunction( value ) ) { + return this.each( function( i ) { + jQuery( this ).toggleClass( + value.call( this, i, getClass( this ), stateVal ), + stateVal + ); + } ); + } + + return this.each( function() { + var className, i, self, classNames; + + if ( isValidValue ) { + + // Toggle individual class names + i = 0; + self = jQuery( this ); + classNames = classesToArray( value ); + + while ( ( className = classNames[ i++ ] ) ) { + + // Check each className given, space separated list + if ( self.hasClass( className ) ) { + self.removeClass( className ); + } else { + self.addClass( className ); + } + } + + // Toggle whole class name + } else if ( value === undefined || type === "boolean" ) { + className = getClass( this ); + if ( className ) { + + // Store className if set + dataPriv.set( this, "__className__", className ); + } + + // If the element has a class name or if we're passed `false`, + // then remove the whole classname (if there was one, the above saved it). + // Otherwise bring back whatever was previously saved (if anything), + // falling back to the empty string if nothing was stored. + if ( this.setAttribute ) { + this.setAttribute( "class", + className || value === false ? + "" : + dataPriv.get( this, "__className__" ) || "" + ); + } + } + } ); + }, + + hasClass: function( selector ) { + var className, elem, + i = 0; + + className = " " + selector + " "; + while ( ( elem = this[ i++ ] ) ) { + if ( elem.nodeType === 1 && + ( " " + stripAndCollapse( getClass( elem ) ) + " " ).indexOf( className ) > -1 ) { + return true; + } + } + + return false; + } +} ); + + + + +var rreturn = /\r/g; + +jQuery.fn.extend( { + val: function( value ) { + var hooks, ret, valueIsFunction, + elem = this[ 0 ]; + + if ( !arguments.length ) { + if ( elem ) { + hooks = jQuery.valHooks[ elem.type ] || + jQuery.valHooks[ elem.nodeName.toLowerCase() ]; + + if ( hooks && + "get" in hooks && + ( ret = hooks.get( elem, "value" ) ) !== undefined + ) { + return ret; + } + + ret = elem.value; + + // Handle most common string cases + if ( typeof ret === "string" ) { + return ret.replace( rreturn, "" ); + } + + // Handle cases where value is null/undef or number + return ret == null ? "" : ret; + } + + return; + } + + valueIsFunction = isFunction( value ); + + return this.each( function( i ) { + var val; + + if ( this.nodeType !== 1 ) { + return; + } + + if ( valueIsFunction ) { + val = value.call( this, i, jQuery( this ).val() ); + } else { + val = value; + } + + // Treat null/undefined as ""; convert numbers to string + if ( val == null ) { + val = ""; + + } else if ( typeof val === "number" ) { + val += ""; + + } else if ( Array.isArray( val ) ) { + val = jQuery.map( val, function( value ) { + return value == null ? "" : value + ""; + } ); + } + + hooks = jQuery.valHooks[ this.type ] || jQuery.valHooks[ this.nodeName.toLowerCase() ]; + + // If set returns undefined, fall back to normal setting + if ( !hooks || !( "set" in hooks ) || hooks.set( this, val, "value" ) === undefined ) { + this.value = val; + } + } ); + } +} ); + +jQuery.extend( { + valHooks: { + option: { + get: function( elem ) { + + var val = jQuery.find.attr( elem, "value" ); + return val != null ? + val : + + // Support: IE <=10 - 11 only + // option.text throws exceptions (#14686, #14858) + // Strip and collapse whitespace + // https://html.spec.whatwg.org/#strip-and-collapse-whitespace + stripAndCollapse( jQuery.text( elem ) ); + } + }, + select: { + get: function( elem ) { + var value, option, i, + options = elem.options, + index = elem.selectedIndex, + one = elem.type === "select-one", + values = one ? null : [], + max = one ? index + 1 : options.length; + + if ( index < 0 ) { + i = max; + + } else { + i = one ? index : 0; + } + + // Loop through all the selected options + for ( ; i < max; i++ ) { + option = options[ i ]; + + // Support: IE <=9 only + // IE8-9 doesn't update selected after form reset (#2551) + if ( ( option.selected || i === index ) && + + // Don't return options that are disabled or in a disabled optgroup + !option.disabled && + ( !option.parentNode.disabled || + !nodeName( option.parentNode, "optgroup" ) ) ) { + + // Get the specific value for the option + value = jQuery( option ).val(); + + // We don't need an array for one selects + if ( one ) { + return value; + } + + // Multi-Selects return an array + values.push( value ); + } + } + + return values; + }, + + set: function( elem, value ) { + var optionSet, option, + options = elem.options, + values = jQuery.makeArray( value ), + i = options.length; + + while ( i-- ) { + option = options[ i ]; + + /* eslint-disable no-cond-assign */ + + if ( option.selected = + jQuery.inArray( jQuery.valHooks.option.get( option ), values ) > -1 + ) { + optionSet = true; + } + + /* eslint-enable no-cond-assign */ + } + + // Force browsers to behave consistently when non-matching value is set + if ( !optionSet ) { + elem.selectedIndex = -1; + } + return values; + } + } + } +} ); + +// Radios and checkboxes getter/setter +jQuery.each( [ "radio", "checkbox" ], function() { + jQuery.valHooks[ this ] = { + set: function( elem, value ) { + if ( Array.isArray( value ) ) { + return ( elem.checked = jQuery.inArray( jQuery( elem ).val(), value ) > -1 ); + } + } + }; + if ( !support.checkOn ) { + jQuery.valHooks[ this ].get = function( elem ) { + return elem.getAttribute( "value" ) === null ? "on" : elem.value; + }; + } +} ); + + + + +// Return jQuery for attributes-only inclusion + + +support.focusin = "onfocusin" in window; + + +var rfocusMorph = /^(?:focusinfocus|focusoutblur)$/, + stopPropagationCallback = function( e ) { + e.stopPropagation(); + }; + +jQuery.extend( jQuery.event, { + + trigger: function( event, data, elem, onlyHandlers ) { + + var i, cur, tmp, bubbleType, ontype, handle, special, lastElement, + eventPath = [ elem || document ], + type = hasOwn.call( event, "type" ) ? event.type : event, + namespaces = hasOwn.call( event, "namespace" ) ? event.namespace.split( "." ) : []; + + cur = lastElement = tmp = elem = elem || document; + + // Don't do events on text and comment nodes + if ( elem.nodeType === 3 || elem.nodeType === 8 ) { + return; + } + + // focus/blur morphs to focusin/out; ensure we're not firing them right now + if ( rfocusMorph.test( type + jQuery.event.triggered ) ) { + return; + } + + if ( type.indexOf( "." ) > -1 ) { + + // Namespaced trigger; create a regexp to match event type in handle() + namespaces = type.split( "." ); + type = namespaces.shift(); + namespaces.sort(); + } + ontype = type.indexOf( ":" ) < 0 && "on" + type; + + // Caller can pass in a jQuery.Event object, Object, or just an event type string + event = event[ jQuery.expando ] ? + event : + new jQuery.Event( type, typeof event === "object" && event ); + + // Trigger bitmask: & 1 for native handlers; & 2 for jQuery (always true) + event.isTrigger = onlyHandlers ? 2 : 3; + event.namespace = namespaces.join( "." ); + event.rnamespace = event.namespace ? + new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ) : + null; + + // Clean up the event in case it is being reused + event.result = undefined; + if ( !event.target ) { + event.target = elem; + } + + // Clone any incoming data and prepend the event, creating the handler arg list + data = data == null ? + [ event ] : + jQuery.makeArray( data, [ event ] ); + + // Allow special events to draw outside the lines + special = jQuery.event.special[ type ] || {}; + if ( !onlyHandlers && special.trigger && special.trigger.apply( elem, data ) === false ) { + return; + } + + // Determine event propagation path in advance, per W3C events spec (#9951) + // Bubble up to document, then to window; watch for a global ownerDocument var (#9724) + if ( !onlyHandlers && !special.noBubble && !isWindow( elem ) ) { + + bubbleType = special.delegateType || type; + if ( !rfocusMorph.test( bubbleType + type ) ) { + cur = cur.parentNode; + } + for ( ; cur; cur = cur.parentNode ) { + eventPath.push( cur ); + tmp = cur; + } + + // Only add window if we got to document (e.g., not plain obj or detached DOM) + if ( tmp === ( elem.ownerDocument || document ) ) { + eventPath.push( tmp.defaultView || tmp.parentWindow || window ); + } + } + + // Fire handlers on the event path + i = 0; + while ( ( cur = eventPath[ i++ ] ) && !event.isPropagationStopped() ) { + lastElement = cur; + event.type = i > 1 ? + bubbleType : + special.bindType || type; + + // jQuery handler + handle = ( + dataPriv.get( cur, "events" ) || Object.create( null ) + )[ event.type ] && + dataPriv.get( cur, "handle" ); + if ( handle ) { + handle.apply( cur, data ); + } + + // Native handler + handle = ontype && cur[ ontype ]; + if ( handle && handle.apply && acceptData( cur ) ) { + event.result = handle.apply( cur, data ); + if ( event.result === false ) { + event.preventDefault(); + } + } + } + event.type = type; + + // If nobody prevented the default action, do it now + if ( !onlyHandlers && !event.isDefaultPrevented() ) { + + if ( ( !special._default || + special._default.apply( eventPath.pop(), data ) === false ) && + acceptData( elem ) ) { + + // Call a native DOM method on the target with the same name as the event. + // Don't do default actions on window, that's where global variables be (#6170) + if ( ontype && isFunction( elem[ type ] ) && !isWindow( elem ) ) { + + // Don't re-trigger an onFOO event when we call its FOO() method + tmp = elem[ ontype ]; + + if ( tmp ) { + elem[ ontype ] = null; + } + + // Prevent re-triggering of the same event, since we already bubbled it above + jQuery.event.triggered = type; + + if ( event.isPropagationStopped() ) { + lastElement.addEventListener( type, stopPropagationCallback ); + } + + elem[ type ](); + + if ( event.isPropagationStopped() ) { + lastElement.removeEventListener( type, stopPropagationCallback ); + } + + jQuery.event.triggered = undefined; + + if ( tmp ) { + elem[ ontype ] = tmp; + } + } + } + } + + return event.result; + }, + + // Piggyback on a donor event to simulate a different one + // Used only for `focus(in | out)` events + simulate: function( type, elem, event ) { + var e = jQuery.extend( + new jQuery.Event(), + event, + { + type: type, + isSimulated: true + } + ); + + jQuery.event.trigger( e, null, elem ); + } + +} ); + +jQuery.fn.extend( { + + trigger: function( type, data ) { + return this.each( function() { + jQuery.event.trigger( type, data, this ); + } ); + }, + triggerHandler: function( type, data ) { + var elem = this[ 0 ]; + if ( elem ) { + return jQuery.event.trigger( type, data, elem, true ); + } + } +} ); + + +// Support: Firefox <=44 +// Firefox doesn't have focus(in | out) events +// Related ticket - https://bugzilla.mozilla.org/show_bug.cgi?id=687787 +// +// Support: Chrome <=48 - 49, Safari <=9.0 - 9.1 +// focus(in | out) events fire after focus & blur events, +// which is spec violation - http://www.w3.org/TR/DOM-Level-3-Events/#events-focusevent-event-order +// Related ticket - https://bugs.chromium.org/p/chromium/issues/detail?id=449857 +if ( !support.focusin ) { + jQuery.each( { focus: "focusin", blur: "focusout" }, function( orig, fix ) { + + // Attach a single capturing handler on the document while someone wants focusin/focusout + var handler = function( event ) { + jQuery.event.simulate( fix, event.target, jQuery.event.fix( event ) ); + }; + + jQuery.event.special[ fix ] = { + setup: function() { + + // Handle: regular nodes (via `this.ownerDocument`), window + // (via `this.document`) & document (via `this`). + var doc = this.ownerDocument || this.document || this, + attaches = dataPriv.access( doc, fix ); + + if ( !attaches ) { + doc.addEventListener( orig, handler, true ); + } + dataPriv.access( doc, fix, ( attaches || 0 ) + 1 ); + }, + teardown: function() { + var doc = this.ownerDocument || this.document || this, + attaches = dataPriv.access( doc, fix ) - 1; + + if ( !attaches ) { + doc.removeEventListener( orig, handler, true ); + dataPriv.remove( doc, fix ); + + } else { + dataPriv.access( doc, fix, attaches ); + } + } + }; + } ); +} +var location = window.location; + +var nonce = { guid: Date.now() }; + +var rquery = ( /\?/ ); + + + +// Cross-browser xml parsing +jQuery.parseXML = function( data ) { + var xml; + if ( !data || typeof data !== "string" ) { + return null; + } + + // Support: IE 9 - 11 only + // IE throws on parseFromString with invalid input. + try { + xml = ( new window.DOMParser() ).parseFromString( data, "text/xml" ); + } catch ( e ) { + xml = undefined; + } + + if ( !xml || xml.getElementsByTagName( "parsererror" ).length ) { + jQuery.error( "Invalid XML: " + data ); + } + return xml; +}; + + +var + rbracket = /\[\]$/, + rCRLF = /\r?\n/g, + rsubmitterTypes = /^(?:submit|button|image|reset|file)$/i, + rsubmittable = /^(?:input|select|textarea|keygen)/i; + +function buildParams( prefix, obj, traditional, add ) { + var name; + + if ( Array.isArray( obj ) ) { + + // Serialize array item. + jQuery.each( obj, function( i, v ) { + if ( traditional || rbracket.test( prefix ) ) { + + // Treat each array item as a scalar. + add( prefix, v ); + + } else { + + // Item is non-scalar (array or object), encode its numeric index. + buildParams( + prefix + "[" + ( typeof v === "object" && v != null ? i : "" ) + "]", + v, + traditional, + add + ); + } + } ); + + } else if ( !traditional && toType( obj ) === "object" ) { + + // Serialize object item. + for ( name in obj ) { + buildParams( prefix + "[" + name + "]", obj[ name ], traditional, add ); + } + + } else { + + // Serialize scalar item. + add( prefix, obj ); + } +} + +// Serialize an array of form elements or a set of +// key/values into a query string +jQuery.param = function( a, traditional ) { + var prefix, + s = [], + add = function( key, valueOrFunction ) { + + // If value is a function, invoke it and use its return value + var value = isFunction( valueOrFunction ) ? + valueOrFunction() : + valueOrFunction; + + s[ s.length ] = encodeURIComponent( key ) + "=" + + encodeURIComponent( value == null ? "" : value ); + }; + + if ( a == null ) { + return ""; + } + + // If an array was passed in, assume that it is an array of form elements. + if ( Array.isArray( a ) || ( a.jquery && !jQuery.isPlainObject( a ) ) ) { + + // Serialize the form elements + jQuery.each( a, function() { + add( this.name, this.value ); + } ); + + } else { + + // If traditional, encode the "old" way (the way 1.3.2 or older + // did it), otherwise encode params recursively. + for ( prefix in a ) { + buildParams( prefix, a[ prefix ], traditional, add ); + } + } + + // Return the resulting serialization + return s.join( "&" ); +}; + +jQuery.fn.extend( { + serialize: function() { + return jQuery.param( this.serializeArray() ); + }, + serializeArray: function() { + return this.map( function() { + + // Can add propHook for "elements" to filter or add form elements + var elements = jQuery.prop( this, "elements" ); + return elements ? jQuery.makeArray( elements ) : this; + } ) + .filter( function() { + var type = this.type; + + // Use .is( ":disabled" ) so that fieldset[disabled] works + return this.name && !jQuery( this ).is( ":disabled" ) && + rsubmittable.test( this.nodeName ) && !rsubmitterTypes.test( type ) && + ( this.checked || !rcheckableType.test( type ) ); + } ) + .map( function( _i, elem ) { + var val = jQuery( this ).val(); + + if ( val == null ) { + return null; + } + + if ( Array.isArray( val ) ) { + return jQuery.map( val, function( val ) { + return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; + } ); + } + + return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; + } ).get(); + } +} ); + + +var + r20 = /%20/g, + rhash = /#.*$/, + rantiCache = /([?&])_=[^&]*/, + rheaders = /^(.*?):[ \t]*([^\r\n]*)$/mg, + + // #7653, #8125, #8152: local protocol detection + rlocalProtocol = /^(?:about|app|app-storage|.+-extension|file|res|widget):$/, + rnoContent = /^(?:GET|HEAD)$/, + rprotocol = /^\/\//, + + /* Prefilters + * 1) They are useful to introduce custom dataTypes (see ajax/jsonp.js for an example) + * 2) These are called: + * - BEFORE asking for a transport + * - AFTER param serialization (s.data is a string if s.processData is true) + * 3) key is the dataType + * 4) the catchall symbol "*" can be used + * 5) execution will start with transport dataType and THEN continue down to "*" if needed + */ + prefilters = {}, + + /* Transports bindings + * 1) key is the dataType + * 2) the catchall symbol "*" can be used + * 3) selection will start with transport dataType and THEN go to "*" if needed + */ + transports = {}, + + // Avoid comment-prolog char sequence (#10098); must appease lint and evade compression + allTypes = "*/".concat( "*" ), + + // Anchor tag for parsing the document origin + originAnchor = document.createElement( "a" ); + originAnchor.href = location.href; + +// Base "constructor" for jQuery.ajaxPrefilter and jQuery.ajaxTransport +function addToPrefiltersOrTransports( structure ) { + + // dataTypeExpression is optional and defaults to "*" + return function( dataTypeExpression, func ) { + + if ( typeof dataTypeExpression !== "string" ) { + func = dataTypeExpression; + dataTypeExpression = "*"; + } + + var dataType, + i = 0, + dataTypes = dataTypeExpression.toLowerCase().match( rnothtmlwhite ) || []; + + if ( isFunction( func ) ) { + + // For each dataType in the dataTypeExpression + while ( ( dataType = dataTypes[ i++ ] ) ) { + + // Prepend if requested + if ( dataType[ 0 ] === "+" ) { + dataType = dataType.slice( 1 ) || "*"; + ( structure[ dataType ] = structure[ dataType ] || [] ).unshift( func ); + + // Otherwise append + } else { + ( structure[ dataType ] = structure[ dataType ] || [] ).push( func ); + } + } + } + }; +} + +// Base inspection function for prefilters and transports +function inspectPrefiltersOrTransports( structure, options, originalOptions, jqXHR ) { + + var inspected = {}, + seekingTransport = ( structure === transports ); + + function inspect( dataType ) { + var selected; + inspected[ dataType ] = true; + jQuery.each( structure[ dataType ] || [], function( _, prefilterOrFactory ) { + var dataTypeOrTransport = prefilterOrFactory( options, originalOptions, jqXHR ); + if ( typeof dataTypeOrTransport === "string" && + !seekingTransport && !inspected[ dataTypeOrTransport ] ) { + + options.dataTypes.unshift( dataTypeOrTransport ); + inspect( dataTypeOrTransport ); + return false; + } else if ( seekingTransport ) { + return !( selected = dataTypeOrTransport ); + } + } ); + return selected; + } + + return inspect( options.dataTypes[ 0 ] ) || !inspected[ "*" ] && inspect( "*" ); +} + +// A special extend for ajax options +// that takes "flat" options (not to be deep extended) +// Fixes #9887 +function ajaxExtend( target, src ) { + var key, deep, + flatOptions = jQuery.ajaxSettings.flatOptions || {}; + + for ( key in src ) { + if ( src[ key ] !== undefined ) { + ( flatOptions[ key ] ? target : ( deep || ( deep = {} ) ) )[ key ] = src[ key ]; + } + } + if ( deep ) { + jQuery.extend( true, target, deep ); + } + + return target; +} + +/* Handles responses to an ajax request: + * - finds the right dataType (mediates between content-type and expected dataType) + * - returns the corresponding response + */ +function ajaxHandleResponses( s, jqXHR, responses ) { + + var ct, type, finalDataType, firstDataType, + contents = s.contents, + dataTypes = s.dataTypes; + + // Remove auto dataType and get content-type in the process + while ( dataTypes[ 0 ] === "*" ) { + dataTypes.shift(); + if ( ct === undefined ) { + ct = s.mimeType || jqXHR.getResponseHeader( "Content-Type" ); + } + } + + // Check if we're dealing with a known content-type + if ( ct ) { + for ( type in contents ) { + if ( contents[ type ] && contents[ type ].test( ct ) ) { + dataTypes.unshift( type ); + break; + } + } + } + + // Check to see if we have a response for the expected dataType + if ( dataTypes[ 0 ] in responses ) { + finalDataType = dataTypes[ 0 ]; + } else { + + // Try convertible dataTypes + for ( type in responses ) { + if ( !dataTypes[ 0 ] || s.converters[ type + " " + dataTypes[ 0 ] ] ) { + finalDataType = type; + break; + } + if ( !firstDataType ) { + firstDataType = type; + } + } + + // Or just use first one + finalDataType = finalDataType || firstDataType; + } + + // If we found a dataType + // We add the dataType to the list if needed + // and return the corresponding response + if ( finalDataType ) { + if ( finalDataType !== dataTypes[ 0 ] ) { + dataTypes.unshift( finalDataType ); + } + return responses[ finalDataType ]; + } +} + +/* Chain conversions given the request and the original response + * Also sets the responseXXX fields on the jqXHR instance + */ +function ajaxConvert( s, response, jqXHR, isSuccess ) { + var conv2, current, conv, tmp, prev, + converters = {}, + + // Work with a copy of dataTypes in case we need to modify it for conversion + dataTypes = s.dataTypes.slice(); + + // Create converters map with lowercased keys + if ( dataTypes[ 1 ] ) { + for ( conv in s.converters ) { + converters[ conv.toLowerCase() ] = s.converters[ conv ]; + } + } + + current = dataTypes.shift(); + + // Convert to each sequential dataType + while ( current ) { + + if ( s.responseFields[ current ] ) { + jqXHR[ s.responseFields[ current ] ] = response; + } + + // Apply the dataFilter if provided + if ( !prev && isSuccess && s.dataFilter ) { + response = s.dataFilter( response, s.dataType ); + } + + prev = current; + current = dataTypes.shift(); + + if ( current ) { + + // There's only work to do if current dataType is non-auto + if ( current === "*" ) { + + current = prev; + + // Convert response if prev dataType is non-auto and differs from current + } else if ( prev !== "*" && prev !== current ) { + + // Seek a direct converter + conv = converters[ prev + " " + current ] || converters[ "* " + current ]; + + // If none found, seek a pair + if ( !conv ) { + for ( conv2 in converters ) { + + // If conv2 outputs current + tmp = conv2.split( " " ); + if ( tmp[ 1 ] === current ) { + + // If prev can be converted to accepted input + conv = converters[ prev + " " + tmp[ 0 ] ] || + converters[ "* " + tmp[ 0 ] ]; + if ( conv ) { + + // Condense equivalence converters + if ( conv === true ) { + conv = converters[ conv2 ]; + + // Otherwise, insert the intermediate dataType + } else if ( converters[ conv2 ] !== true ) { + current = tmp[ 0 ]; + dataTypes.unshift( tmp[ 1 ] ); + } + break; + } + } + } + } + + // Apply converter (if not an equivalence) + if ( conv !== true ) { + + // Unless errors are allowed to bubble, catch and return them + if ( conv && s.throws ) { + response = conv( response ); + } else { + try { + response = conv( response ); + } catch ( e ) { + return { + state: "parsererror", + error: conv ? e : "No conversion from " + prev + " to " + current + }; + } + } + } + } + } + } + + return { state: "success", data: response }; +} + +jQuery.extend( { + + // Counter for holding the number of active queries + active: 0, + + // Last-Modified header cache for next request + lastModified: {}, + etag: {}, + + ajaxSettings: { + url: location.href, + type: "GET", + isLocal: rlocalProtocol.test( location.protocol ), + global: true, + processData: true, + async: true, + contentType: "application/x-www-form-urlencoded; charset=UTF-8", + + /* + timeout: 0, + data: null, + dataType: null, + username: null, + password: null, + cache: null, + throws: false, + traditional: false, + headers: {}, + */ + + accepts: { + "*": allTypes, + text: "text/plain", + html: "text/html", + xml: "application/xml, text/xml", + json: "application/json, text/javascript" + }, + + contents: { + xml: /\bxml\b/, + html: /\bhtml/, + json: /\bjson\b/ + }, + + responseFields: { + xml: "responseXML", + text: "responseText", + json: "responseJSON" + }, + + // Data converters + // Keys separate source (or catchall "*") and destination types with a single space + converters: { + + // Convert anything to text + "* text": String, + + // Text to html (true = no transformation) + "text html": true, + + // Evaluate text as a json expression + "text json": JSON.parse, + + // Parse text as xml + "text xml": jQuery.parseXML + }, + + // For options that shouldn't be deep extended: + // you can add your own custom options here if + // and when you create one that shouldn't be + // deep extended (see ajaxExtend) + flatOptions: { + url: true, + context: true + } + }, + + // Creates a full fledged settings object into target + // with both ajaxSettings and settings fields. + // If target is omitted, writes into ajaxSettings. + ajaxSetup: function( target, settings ) { + return settings ? + + // Building a settings object + ajaxExtend( ajaxExtend( target, jQuery.ajaxSettings ), settings ) : + + // Extending ajaxSettings + ajaxExtend( jQuery.ajaxSettings, target ); + }, + + ajaxPrefilter: addToPrefiltersOrTransports( prefilters ), + ajaxTransport: addToPrefiltersOrTransports( transports ), + + // Main method + ajax: function( url, options ) { + + // If url is an object, simulate pre-1.5 signature + if ( typeof url === "object" ) { + options = url; + url = undefined; + } + + // Force options to be an object + options = options || {}; + + var transport, + + // URL without anti-cache param + cacheURL, + + // Response headers + responseHeadersString, + responseHeaders, + + // timeout handle + timeoutTimer, + + // Url cleanup var + urlAnchor, + + // Request state (becomes false upon send and true upon completion) + completed, + + // To know if global events are to be dispatched + fireGlobals, + + // Loop variable + i, + + // uncached part of the url + uncached, + + // Create the final options object + s = jQuery.ajaxSetup( {}, options ), + + // Callbacks context + callbackContext = s.context || s, + + // Context for global events is callbackContext if it is a DOM node or jQuery collection + globalEventContext = s.context && + ( callbackContext.nodeType || callbackContext.jquery ) ? + jQuery( callbackContext ) : + jQuery.event, + + // Deferreds + deferred = jQuery.Deferred(), + completeDeferred = jQuery.Callbacks( "once memory" ), + + // Status-dependent callbacks + statusCode = s.statusCode || {}, + + // Headers (they are sent all at once) + requestHeaders = {}, + requestHeadersNames = {}, + + // Default abort message + strAbort = "canceled", + + // Fake xhr + jqXHR = { + readyState: 0, + + // Builds headers hashtable if needed + getResponseHeader: function( key ) { + var match; + if ( completed ) { + if ( !responseHeaders ) { + responseHeaders = {}; + while ( ( match = rheaders.exec( responseHeadersString ) ) ) { + responseHeaders[ match[ 1 ].toLowerCase() + " " ] = + ( responseHeaders[ match[ 1 ].toLowerCase() + " " ] || [] ) + .concat( match[ 2 ] ); + } + } + match = responseHeaders[ key.toLowerCase() + " " ]; + } + return match == null ? null : match.join( ", " ); + }, + + // Raw string + getAllResponseHeaders: function() { + return completed ? responseHeadersString : null; + }, + + // Caches the header + setRequestHeader: function( name, value ) { + if ( completed == null ) { + name = requestHeadersNames[ name.toLowerCase() ] = + requestHeadersNames[ name.toLowerCase() ] || name; + requestHeaders[ name ] = value; + } + return this; + }, + + // Overrides response content-type header + overrideMimeType: function( type ) { + if ( completed == null ) { + s.mimeType = type; + } + return this; + }, + + // Status-dependent callbacks + statusCode: function( map ) { + var code; + if ( map ) { + if ( completed ) { + + // Execute the appropriate callbacks + jqXHR.always( map[ jqXHR.status ] ); + } else { + + // Lazy-add the new callbacks in a way that preserves old ones + for ( code in map ) { + statusCode[ code ] = [ statusCode[ code ], map[ code ] ]; + } + } + } + return this; + }, + + // Cancel the request + abort: function( statusText ) { + var finalText = statusText || strAbort; + if ( transport ) { + transport.abort( finalText ); + } + done( 0, finalText ); + return this; + } + }; + + // Attach deferreds + deferred.promise( jqXHR ); + + // Add protocol if not provided (prefilters might expect it) + // Handle falsy url in the settings object (#10093: consistency with old signature) + // We also use the url parameter if available + s.url = ( ( url || s.url || location.href ) + "" ) + .replace( rprotocol, location.protocol + "//" ); + + // Alias method option to type as per ticket #12004 + s.type = options.method || options.type || s.method || s.type; + + // Extract dataTypes list + s.dataTypes = ( s.dataType || "*" ).toLowerCase().match( rnothtmlwhite ) || [ "" ]; + + // A cross-domain request is in order when the origin doesn't match the current origin. + if ( s.crossDomain == null ) { + urlAnchor = document.createElement( "a" ); + + // Support: IE <=8 - 11, Edge 12 - 15 + // IE throws exception on accessing the href property if url is malformed, + // e.g. http://example.com:80x/ + try { + urlAnchor.href = s.url; + + // Support: IE <=8 - 11 only + // Anchor's host property isn't correctly set when s.url is relative + urlAnchor.href = urlAnchor.href; + s.crossDomain = originAnchor.protocol + "//" + originAnchor.host !== + urlAnchor.protocol + "//" + urlAnchor.host; + } catch ( e ) { + + // If there is an error parsing the URL, assume it is crossDomain, + // it can be rejected by the transport if it is invalid + s.crossDomain = true; + } + } + + // Convert data if not already a string + if ( s.data && s.processData && typeof s.data !== "string" ) { + s.data = jQuery.param( s.data, s.traditional ); + } + + // Apply prefilters + inspectPrefiltersOrTransports( prefilters, s, options, jqXHR ); + + // If request was aborted inside a prefilter, stop there + if ( completed ) { + return jqXHR; + } + + // We can fire global events as of now if asked to + // Don't fire events if jQuery.event is undefined in an AMD-usage scenario (#15118) + fireGlobals = jQuery.event && s.global; + + // Watch for a new set of requests + if ( fireGlobals && jQuery.active++ === 0 ) { + jQuery.event.trigger( "ajaxStart" ); + } + + // Uppercase the type + s.type = s.type.toUpperCase(); + + // Determine if request has content + s.hasContent = !rnoContent.test( s.type ); + + // Save the URL in case we're toying with the If-Modified-Since + // and/or If-None-Match header later on + // Remove hash to simplify url manipulation + cacheURL = s.url.replace( rhash, "" ); + + // More options handling for requests with no content + if ( !s.hasContent ) { + + // Remember the hash so we can put it back + uncached = s.url.slice( cacheURL.length ); + + // If data is available and should be processed, append data to url + if ( s.data && ( s.processData || typeof s.data === "string" ) ) { + cacheURL += ( rquery.test( cacheURL ) ? "&" : "?" ) + s.data; + + // #9682: remove data so that it's not used in an eventual retry + delete s.data; + } + + // Add or update anti-cache param if needed + if ( s.cache === false ) { + cacheURL = cacheURL.replace( rantiCache, "$1" ); + uncached = ( rquery.test( cacheURL ) ? "&" : "?" ) + "_=" + ( nonce.guid++ ) + + uncached; + } + + // Put hash and anti-cache on the URL that will be requested (gh-1732) + s.url = cacheURL + uncached; + + // Change '%20' to '+' if this is encoded form body content (gh-2658) + } else if ( s.data && s.processData && + ( s.contentType || "" ).indexOf( "application/x-www-form-urlencoded" ) === 0 ) { + s.data = s.data.replace( r20, "+" ); + } + + // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. + if ( s.ifModified ) { + if ( jQuery.lastModified[ cacheURL ] ) { + jqXHR.setRequestHeader( "If-Modified-Since", jQuery.lastModified[ cacheURL ] ); + } + if ( jQuery.etag[ cacheURL ] ) { + jqXHR.setRequestHeader( "If-None-Match", jQuery.etag[ cacheURL ] ); + } + } + + // Set the correct header, if data is being sent + if ( s.data && s.hasContent && s.contentType !== false || options.contentType ) { + jqXHR.setRequestHeader( "Content-Type", s.contentType ); + } + + // Set the Accepts header for the server, depending on the dataType + jqXHR.setRequestHeader( + "Accept", + s.dataTypes[ 0 ] && s.accepts[ s.dataTypes[ 0 ] ] ? + s.accepts[ s.dataTypes[ 0 ] ] + + ( s.dataTypes[ 0 ] !== "*" ? ", " + allTypes + "; q=0.01" : "" ) : + s.accepts[ "*" ] + ); + + // Check for headers option + for ( i in s.headers ) { + jqXHR.setRequestHeader( i, s.headers[ i ] ); + } + + // Allow custom headers/mimetypes and early abort + if ( s.beforeSend && + ( s.beforeSend.call( callbackContext, jqXHR, s ) === false || completed ) ) { + + // Abort if not done already and return + return jqXHR.abort(); + } + + // Aborting is no longer a cancellation + strAbort = "abort"; + + // Install callbacks on deferreds + completeDeferred.add( s.complete ); + jqXHR.done( s.success ); + jqXHR.fail( s.error ); + + // Get transport + transport = inspectPrefiltersOrTransports( transports, s, options, jqXHR ); + + // If no transport, we auto-abort + if ( !transport ) { + done( -1, "No Transport" ); + } else { + jqXHR.readyState = 1; + + // Send global event + if ( fireGlobals ) { + globalEventContext.trigger( "ajaxSend", [ jqXHR, s ] ); + } + + // If request was aborted inside ajaxSend, stop there + if ( completed ) { + return jqXHR; + } + + // Timeout + if ( s.async && s.timeout > 0 ) { + timeoutTimer = window.setTimeout( function() { + jqXHR.abort( "timeout" ); + }, s.timeout ); + } + + try { + completed = false; + transport.send( requestHeaders, done ); + } catch ( e ) { + + // Rethrow post-completion exceptions + if ( completed ) { + throw e; + } + + // Propagate others as results + done( -1, e ); + } + } + + // Callback for when everything is done + function done( status, nativeStatusText, responses, headers ) { + var isSuccess, success, error, response, modified, + statusText = nativeStatusText; + + // Ignore repeat invocations + if ( completed ) { + return; + } + + completed = true; + + // Clear timeout if it exists + if ( timeoutTimer ) { + window.clearTimeout( timeoutTimer ); + } + + // Dereference transport for early garbage collection + // (no matter how long the jqXHR object will be used) + transport = undefined; + + // Cache response headers + responseHeadersString = headers || ""; + + // Set readyState + jqXHR.readyState = status > 0 ? 4 : 0; + + // Determine if successful + isSuccess = status >= 200 && status < 300 || status === 304; + + // Get response data + if ( responses ) { + response = ajaxHandleResponses( s, jqXHR, responses ); + } + + // Use a noop converter for missing script + if ( !isSuccess && jQuery.inArray( "script", s.dataTypes ) > -1 ) { + s.converters[ "text script" ] = function() {}; + } + + // Convert no matter what (that way responseXXX fields are always set) + response = ajaxConvert( s, response, jqXHR, isSuccess ); + + // If successful, handle type chaining + if ( isSuccess ) { + + // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. + if ( s.ifModified ) { + modified = jqXHR.getResponseHeader( "Last-Modified" ); + if ( modified ) { + jQuery.lastModified[ cacheURL ] = modified; + } + modified = jqXHR.getResponseHeader( "etag" ); + if ( modified ) { + jQuery.etag[ cacheURL ] = modified; + } + } + + // if no content + if ( status === 204 || s.type === "HEAD" ) { + statusText = "nocontent"; + + // if not modified + } else if ( status === 304 ) { + statusText = "notmodified"; + + // If we have data, let's convert it + } else { + statusText = response.state; + success = response.data; + error = response.error; + isSuccess = !error; + } + } else { + + // Extract error from statusText and normalize for non-aborts + error = statusText; + if ( status || !statusText ) { + statusText = "error"; + if ( status < 0 ) { + status = 0; + } + } + } + + // Set data for the fake xhr object + jqXHR.status = status; + jqXHR.statusText = ( nativeStatusText || statusText ) + ""; + + // Success/Error + if ( isSuccess ) { + deferred.resolveWith( callbackContext, [ success, statusText, jqXHR ] ); + } else { + deferred.rejectWith( callbackContext, [ jqXHR, statusText, error ] ); + } + + // Status-dependent callbacks + jqXHR.statusCode( statusCode ); + statusCode = undefined; + + if ( fireGlobals ) { + globalEventContext.trigger( isSuccess ? "ajaxSuccess" : "ajaxError", + [ jqXHR, s, isSuccess ? success : error ] ); + } + + // Complete + completeDeferred.fireWith( callbackContext, [ jqXHR, statusText ] ); + + if ( fireGlobals ) { + globalEventContext.trigger( "ajaxComplete", [ jqXHR, s ] ); + + // Handle the global AJAX counter + if ( !( --jQuery.active ) ) { + jQuery.event.trigger( "ajaxStop" ); + } + } + } + + return jqXHR; + }, + + getJSON: function( url, data, callback ) { + return jQuery.get( url, data, callback, "json" ); + }, + + getScript: function( url, callback ) { + return jQuery.get( url, undefined, callback, "script" ); + } +} ); + +jQuery.each( [ "get", "post" ], function( _i, method ) { + jQuery[ method ] = function( url, data, callback, type ) { + + // Shift arguments if data argument was omitted + if ( isFunction( data ) ) { + type = type || callback; + callback = data; + data = undefined; + } + + // The url can be an options object (which then must have .url) + return jQuery.ajax( jQuery.extend( { + url: url, + type: method, + dataType: type, + data: data, + success: callback + }, jQuery.isPlainObject( url ) && url ) ); + }; +} ); + +jQuery.ajaxPrefilter( function( s ) { + var i; + for ( i in s.headers ) { + if ( i.toLowerCase() === "content-type" ) { + s.contentType = s.headers[ i ] || ""; + } + } +} ); + + +jQuery._evalUrl = function( url, options, doc ) { + return jQuery.ajax( { + url: url, + + // Make this explicit, since user can override this through ajaxSetup (#11264) + type: "GET", + dataType: "script", + cache: true, + async: false, + global: false, + + // Only evaluate the response if it is successful (gh-4126) + // dataFilter is not invoked for failure responses, so using it instead + // of the default converter is kludgy but it works. + converters: { + "text script": function() {} + }, + dataFilter: function( response ) { + jQuery.globalEval( response, options, doc ); + } + } ); +}; + + +jQuery.fn.extend( { + wrapAll: function( html ) { + var wrap; + + if ( this[ 0 ] ) { + if ( isFunction( html ) ) { + html = html.call( this[ 0 ] ); + } + + // The elements to wrap the target around + wrap = jQuery( html, this[ 0 ].ownerDocument ).eq( 0 ).clone( true ); + + if ( this[ 0 ].parentNode ) { + wrap.insertBefore( this[ 0 ] ); + } + + wrap.map( function() { + var elem = this; + + while ( elem.firstElementChild ) { + elem = elem.firstElementChild; + } + + return elem; + } ).append( this ); + } + + return this; + }, + + wrapInner: function( html ) { + if ( isFunction( html ) ) { + return this.each( function( i ) { + jQuery( this ).wrapInner( html.call( this, i ) ); + } ); + } + + return this.each( function() { + var self = jQuery( this ), + contents = self.contents(); + + if ( contents.length ) { + contents.wrapAll( html ); + + } else { + self.append( html ); + } + } ); + }, + + wrap: function( html ) { + var htmlIsFunction = isFunction( html ); + + return this.each( function( i ) { + jQuery( this ).wrapAll( htmlIsFunction ? html.call( this, i ) : html ); + } ); + }, + + unwrap: function( selector ) { + this.parent( selector ).not( "body" ).each( function() { + jQuery( this ).replaceWith( this.childNodes ); + } ); + return this; + } +} ); + + +jQuery.expr.pseudos.hidden = function( elem ) { + return !jQuery.expr.pseudos.visible( elem ); +}; +jQuery.expr.pseudos.visible = function( elem ) { + return !!( elem.offsetWidth || elem.offsetHeight || elem.getClientRects().length ); +}; + + + + +jQuery.ajaxSettings.xhr = function() { + try { + return new window.XMLHttpRequest(); + } catch ( e ) {} +}; + +var xhrSuccessStatus = { + + // File protocol always yields status code 0, assume 200 + 0: 200, + + // Support: IE <=9 only + // #1450: sometimes IE returns 1223 when it should be 204 + 1223: 204 + }, + xhrSupported = jQuery.ajaxSettings.xhr(); + +support.cors = !!xhrSupported && ( "withCredentials" in xhrSupported ); +support.ajax = xhrSupported = !!xhrSupported; + +jQuery.ajaxTransport( function( options ) { + var callback, errorCallback; + + // Cross domain only allowed if supported through XMLHttpRequest + if ( support.cors || xhrSupported && !options.crossDomain ) { + return { + send: function( headers, complete ) { + var i, + xhr = options.xhr(); + + xhr.open( + options.type, + options.url, + options.async, + options.username, + options.password + ); + + // Apply custom fields if provided + if ( options.xhrFields ) { + for ( i in options.xhrFields ) { + xhr[ i ] = options.xhrFields[ i ]; + } + } + + // Override mime type if needed + if ( options.mimeType && xhr.overrideMimeType ) { + xhr.overrideMimeType( options.mimeType ); + } + + // X-Requested-With header + // For cross-domain requests, seeing as conditions for a preflight are + // akin to a jigsaw puzzle, we simply never set it to be sure. + // (it can always be set on a per-request basis or even using ajaxSetup) + // For same-domain requests, won't change header if already provided. + if ( !options.crossDomain && !headers[ "X-Requested-With" ] ) { + headers[ "X-Requested-With" ] = "XMLHttpRequest"; + } + + // Set headers + for ( i in headers ) { + xhr.setRequestHeader( i, headers[ i ] ); + } + + // Callback + callback = function( type ) { + return function() { + if ( callback ) { + callback = errorCallback = xhr.onload = + xhr.onerror = xhr.onabort = xhr.ontimeout = + xhr.onreadystatechange = null; + + if ( type === "abort" ) { + xhr.abort(); + } else if ( type === "error" ) { + + // Support: IE <=9 only + // On a manual native abort, IE9 throws + // errors on any property access that is not readyState + if ( typeof xhr.status !== "number" ) { + complete( 0, "error" ); + } else { + complete( + + // File: protocol always yields status 0; see #8605, #14207 + xhr.status, + xhr.statusText + ); + } + } else { + complete( + xhrSuccessStatus[ xhr.status ] || xhr.status, + xhr.statusText, + + // Support: IE <=9 only + // IE9 has no XHR2 but throws on binary (trac-11426) + // For XHR2 non-text, let the caller handle it (gh-2498) + ( xhr.responseType || "text" ) !== "text" || + typeof xhr.responseText !== "string" ? + { binary: xhr.response } : + { text: xhr.responseText }, + xhr.getAllResponseHeaders() + ); + } + } + }; + }; + + // Listen to events + xhr.onload = callback(); + errorCallback = xhr.onerror = xhr.ontimeout = callback( "error" ); + + // Support: IE 9 only + // Use onreadystatechange to replace onabort + // to handle uncaught aborts + if ( xhr.onabort !== undefined ) { + xhr.onabort = errorCallback; + } else { + xhr.onreadystatechange = function() { + + // Check readyState before timeout as it changes + if ( xhr.readyState === 4 ) { + + // Allow onerror to be called first, + // but that will not handle a native abort + // Also, save errorCallback to a variable + // as xhr.onerror cannot be accessed + window.setTimeout( function() { + if ( callback ) { + errorCallback(); + } + } ); + } + }; + } + + // Create the abort callback + callback = callback( "abort" ); + + try { + + // Do send the request (this may raise an exception) + xhr.send( options.hasContent && options.data || null ); + } catch ( e ) { + + // #14683: Only rethrow if this hasn't been notified as an error yet + if ( callback ) { + throw e; + } + } + }, + + abort: function() { + if ( callback ) { + callback(); + } + } + }; + } +} ); + + + + +// Prevent auto-execution of scripts when no explicit dataType was provided (See gh-2432) +jQuery.ajaxPrefilter( function( s ) { + if ( s.crossDomain ) { + s.contents.script = false; + } +} ); + +// Install script dataType +jQuery.ajaxSetup( { + accepts: { + script: "text/javascript, application/javascript, " + + "application/ecmascript, application/x-ecmascript" + }, + contents: { + script: /\b(?:java|ecma)script\b/ + }, + converters: { + "text script": function( text ) { + jQuery.globalEval( text ); + return text; + } + } +} ); + +// Handle cache's special case and crossDomain +jQuery.ajaxPrefilter( "script", function( s ) { + if ( s.cache === undefined ) { + s.cache = false; + } + if ( s.crossDomain ) { + s.type = "GET"; + } +} ); + +// Bind script tag hack transport +jQuery.ajaxTransport( "script", function( s ) { + + // This transport only deals with cross domain or forced-by-attrs requests + if ( s.crossDomain || s.scriptAttrs ) { + var script, callback; + return { + send: function( _, complete ) { + script = jQuery( " +{% endmacro %} \ No newline at end of file diff --git a/docs/source/_build/html/extra/adpeps.ipeps.ctm.html b/docs/source/_build/html/extra/adpeps.ipeps.ctm.html new file mode 100644 index 0000000..2d91dd3 --- /dev/null +++ b/docs/source/_build/html/extra/adpeps.ipeps.ctm.html @@ -0,0 +1,606 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ctm

+

Main CTM code

+

The individual site and boundary tensors come in a +special list-type object (TList), which has extra +indexing features, such as periodic boundary +conditions and shift contexts

+

All ncon contractions are defined in contractions.yaml

+
+
+class CTM(tensors, chi, conv_fun=None)[source]
+

CTM class

+
+
Parameters
+
+
+
+
+
+property converged
+

Check convergence with supplied convergence function

+
+ +
+
+show_progress()[source]
+

Print out the current progress

+
+ +
+
+update()[source]
+

Perform an update of all boundary tensors

+
+ +
+ +
+
+do_bottom(ts, chi)[source]
+

Perform bottom CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_left(ts, chi)[source]
+

Perform left CTM move

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • sl (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+
+do_right(ts, chi)[source]
+

Perform right CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_top(ts, chi)[source]
+

Perform top CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+get_projectors(T1, T2, chi)[source]
+

Contracts the corners together and computes the +projectors by performing an svd

+
+
Parameters
+

T1 (int) –

+
+
+
+ +
+
+get_projectors_bottom(ts, chi)[source]
+

Returns the bottom projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_left(ts, chi)[source]
+

Returns the left projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_right(ts, chi)[source]
+

Returns the right projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_top(ts, chi)[source]
+

Returns the top projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+renorm_left(ts, Pl, Plb)[source]
+
+
Return type
+

Tuple[Nested, Nested, Nested]

+
+
Parameters
+
+
+
+
+ +
+
+renormalize(tensors, chi)[source]
+

Performs a CTM iteration +Updates all sites in the unit cell

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • S (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/extra/adpeps.ipeps.ipeps.html b/docs/source/_build/html/extra/adpeps.ipeps.ipeps.html new file mode 100644 index 0000000..ba660c5 --- /dev/null +++ b/docs/source/_build/html/extra/adpeps.ipeps.ipeps.html @@ -0,0 +1,552 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ipeps

+

iPEPS module for optimization with CTM

+

For an example of how to run a simulation see adpeps.simulation.run_ipeps_gs

+

The module is initialized from one of the specific +model files, which return the initial boundary and +site tensors

+

The list of parameters is set to the elements of the +individual site tensors

+

Conventions for indices:

+
+
    +
  • Site tensors:

    +
    A: [phys, right, top, left, bottom]
    +
    +
    +
  • +
  • Boundary tensors:

    +
    C1: [right, bottom]
    +C2: [left,  bottom]
    +C3: [top,   left]
    +C4: [right, top]
    +T1: [right, left, ket, bra]
    +T2: [top,   bottom, ket, bra]
    +T3: [right, left, ket, bra]
    +T4: [top,   bottom, ket, bra]
    +
    +
    +
  • +
+
+

Order of boundary tensors:

+
C1 - T1 - C2
+|    |    |
+T4 - A  - T2
+|    |    |
+C4 - T3 - C3
+
+
+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+ +
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+init_A_tensor(d, D, pattern)[source]
+

The elements will be randomized based on sim_config.seed

+
+ +
+
+init_ctm_tensors(A, Ad)[source]
+

Returns initital boundary T-tensors based on the unit cell tensors and +empty trivial boundary C-tensors

+
+ +
+

iPEPS

+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+

iPEPS_exci

+
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/extra/ipeps.ipeps.html b/docs/source/_build/html/extra/ipeps.ipeps.html new file mode 100644 index 0000000..be03447 --- /dev/null +++ b/docs/source/_build/html/extra/ipeps.ipeps.html @@ -0,0 +1,340 @@ + + + + + + + + iPEPSS — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.config.html b/docs/source/_build/html/generated/adpeps.ipeps.config.html new file mode 100644 index 0000000..5532291 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.config.html @@ -0,0 +1,492 @@ + + + + + + + + adpeps.ipeps.config — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.config

+

Configuration module for iPEPS simulations

+

These settings will be loaded from a configuration file .yml file +via the from_dict() function

+
+
+from_dict(cfg)[source]
+

Import config from configuration (.yml) file

+
+ +
+
+D: int = None
+

iPEPS bond dimension

+
+ +
+
+base_sim: Optional[str] = None
+

Base simulation

+
+ +
+
+chi: int = None
+

CTM boundary bond dimension

+
+ +
+
+ctm_conv_tol: float = 1e-10
+

CTM convergence criterium (singular values norm difference)

+
+ +
+
+ctm_max_iter: int = 20
+

Maximal number of CTM steps

+
+ +
+
+ctm_min_iter: int = 5
+

Minimal number of CTM steps

+
+ +
+
+disp_level: int = 1
+

no output)

+
+
Type
+

Display level (0

+
+
+
+ +
+
+flush_output: bool = False
+

Passes the flush    = True argument to the builtin print function +when calling the adpeps.utils.printing.print() function

+

Useful when deploying the code to computing clusters and capturing the output +into text files

+
+ +
+
+load_sim: bool = None
+

Load previous (Python) simulation

+
+ +
+
+max_iterations: int = 100
+

Maximum number of optimizer iterations

+
+ +
+
+method: str = None
+

Optimization method

+
+ +
+
+model: str = None
+

Model

+
+ +
+
+model_params: dict = None
+

Model parameters

+
+ +
+
+momentum_path: str = 'Bril1'
+

Momentum path through the BZ

+
+ +
+
+out_prefix: str = ''
+

Optional prefix for the output file of the simulation

+

Example

+

.../{model}_D{D}_X{chi}.npz

+

becomes

+

.../{out_prefix}_{model}_D{D}_X{chi}.npz

+
+ +
+
+pattern: Optional[Iterable] = None
+

Unit cell configuration +Defined as a 2-D array of integers that label the unique sites in the unit +cell.

+

Example

+

A 2x2 unit cell with a [AB, BA]-type pattern is defined by

+
pattern            = [
+    [0, 1],
+    [1, 0]
+]
+
+
+
+ +
+
+resume: bool = False
+

Resume earlier simulation if found

+
+ +
+
+seed: int = 1
+

Random seed for initial state

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.ctm.html b/docs/source/_build/html/generated/adpeps.ipeps.ctm.html new file mode 100644 index 0000000..5673031 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.ctm.html @@ -0,0 +1,608 @@ + + + + + + + + adpeps.ipeps.ctm — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ctm

+

Main CTM code

+

The individual site and boundary tensors come in a +special list-type object (TList), which has extra +indexing features, such as periodic boundary +conditions and shift contexts

+

All ncon contractions are defined in contractions.yaml

+
+
+class CTM(tensors, chi, conv_fun=None)[source]
+

CTM class

+
+
Parameters
+
+
+
+
+
+show_progress()[source]
+

Print out the current progress

+
+ +
+
+update()[source]
+

Perform an update of all boundary tensors

+
+ +
+
+property converged
+

Check convergence with supplied convergence function

+
+ +
+ +
+
+do_bottom(ts, chi)[source]
+

Perform bottom CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_left(ts, chi)[source]
+

Perform left CTM move

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • sl (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+
+do_right(ts, chi)[source]
+

Perform right CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+do_top(ts, chi)[source]
+

Perform top CTM move

+
+
Parameters
+
+
+
Returns
+

updated tensors

+
+
Return type
+

ts

+
+
Return type
+

CTMTensors

+
+
+
+ +
+
+get_projectors(T1, T2, chi)[source]
+

Contracts the corners together and computes the +projectors by performing an svd

+
+
Parameters
+

T1 (int) –

+
+
+
+ +
+
+get_projectors_bottom(ts, chi)[source]
+

Returns the bottom projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_left(ts, chi)[source]
+

Returns the left projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_right(ts, chi)[source]
+

Returns the right projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+get_projectors_top(ts, chi)[source]
+

Returns the top projectors

+
+
Return type
+

Tuple[ndarray, ndarray, ndarray]

+
+
Parameters
+
+
+
+
+ +
+
+renorm_left(ts, Pl, Plb)[source]
+
+
Return type
+

Tuple[Nested, Nested, Nested]

+
+
Parameters
+
+
+
+
+ +
+
+renormalize(tensors, chi)[source]
+

Performs a CTM iteration +Updates all sites in the unit cell

+
+
Parameters
+
+
+
Returns
+

A tuple containing

+
    +
  • tensors (CTMTensors): updated tensors

  • +
  • S (np.ndarray): singular values of C1 (for convergence)

  • +
+

+
+
Return type
+

Tuple[CTMTensors, ndarray]

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.ipeps.evaluation.html b/docs/source/_build/html/generated/adpeps.ipeps.ipeps.evaluation.html new file mode 100644 index 0000000..aa14e80 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.ipeps.evaluation.html @@ -0,0 +1,364 @@ + + + + + + + + adpeps.ipeps.ipeps.evaluation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.ipeps.html b/docs/source/_build/html/generated/adpeps.ipeps.ipeps.html new file mode 100644 index 0000000..3c40ce7 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.ipeps.html @@ -0,0 +1,468 @@ + + + + + + + + adpeps.ipeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.ipeps

+

iPEPS module for optimization with CTM

+

For an example of how to run a simulation see adpeps.simulation.run_ipeps_gs

+

The module is initialized from one of the specific +model files, which return the initial boundary and +site tensors

+

The list of parameters is set to the elements of the +individual site tensors

+

Conventions for indices:

+
+
    +
  • Site tensors:

    +
    A: [phys, right, top, left, bottom]
    +
    +
    +
  • +
  • Boundary tensors:

    +
    C1: [right, bottom]
    +C2: [left,  bottom]
    +C3: [top,   left]
    +C4: [right, top]
    +T1: [right, left, ket, bra]
    +T2: [top,   bottom, ket, bra]
    +T3: [right, left, ket, bra]
    +T4: [top,   bottom, ket, bra]
    +
    +
    +
  • +
+
+

Order of boundary tensors:

+
C1 - T1 - C2
+|    |    |
+T4 - A  - T2
+|    |    |
+C4 - T3 - C3
+
+
+
+
+class iPEPS[source]
+

Initialize the iPEPS based on the settings in +adpeps.ipeps.config

+
+
+converge_boundaries()[source]
+

Performs CTM on the boundary tensors until convergence, +without gradient tracking

+
+ +
+
+fill(A)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+
+numel()[source]
+

Number of variational parameters

+
+ +
+
+parse_elements(elements)[source]
+

Returns site tensors filled with the input elements

+
+ +
+
+run(params)[source]
+

Run the simulation

+
+
Parameters
+

params (jax._src.numpy.lax_numpy.ndarray) – variational parameters

+
+
Returns
+

energy of iPEPS

+
+
Return type
+

ndarray

+
+
+
+ +
+ +
+
+class iPEPS_exci[source]
+

Excited-state variant of the iPEPS class

+
+
+fill(B)[source]
+

Fill the site tensors with the elements +The elements can be specified either as a list of (d,D,D,D,D)-dimensional +arrays or one 1-dimensional array of all elements concatenated

+
+ +
+ +
+
+init_A_tensor(d, D, pattern)[source]
+

The elements will be randomized based on sim_config.seed

+
+ +
+
+init_ctm_tensors(A, Ad)[source]
+

Returns initital boundary T-tensors based on the unit cell tensors and +empty trivial boundary C-tensors

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.models.common.html b/docs/source/_build/html/generated/adpeps.ipeps.models.common.html new file mode 100644 index 0000000..52a83e8 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.models.common.html @@ -0,0 +1,342 @@ + + + + + + + + adpeps.ipeps.models.common — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.models.heisenberg.html b/docs/source/_build/html/generated/adpeps.ipeps.models.heisenberg.html new file mode 100644 index 0000000..e542042 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.models.heisenberg.html @@ -0,0 +1,366 @@ + + + + + + + + adpeps.ipeps.models.heisenberg — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.models.heisenberg

+

2D Heisenberg model

+
+
+make_hamiltonian(J=1)[source]
+

Heisenberg model

+
+ +
+
+setup()[source]
+

Returns the Hamiltonian

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.ipeps.models.html b/docs/source/_build/html/generated/adpeps.ipeps.models.html new file mode 100644 index 0000000..9e9cec9 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.ipeps.models.html @@ -0,0 +1,381 @@ + + + + + + + + adpeps.ipeps.models — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.ipeps.models

+
+

Modules

+

Contains all models defined by Hamiltonians as part of this module

+ ++++ + + + + + + + + +

adpeps.ipeps.models.common

adpeps.ipeps.models.heisenberg

2D Heisenberg model

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.simulation.html b/docs/source/_build/html/generated/adpeps.simulation.html new file mode 100644 index 0000000..3723b3c --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.simulation.html @@ -0,0 +1,387 @@ + + + + + + + + adpeps.simulation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation

+
+

Modules

+

Module with executable scripts for running the simulations

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+ ++++ + + + + + + + + +

adpeps.simulation.run_ipeps_exci

Main excited-state executable script

adpeps.simulation.run_ipeps_gs

Main ground-state executable script

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_exci.html b/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_exci.html new file mode 100644 index 0000000..00a2a35 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_exci.html @@ -0,0 +1,381 @@ + + + + + + + + adpeps.simulation.run_ipeps_exci — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation.run_ipeps_exci

+

Main excited-state executable script

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+
+
+class iPEPSExciSimulation(config_file, momentum_ix)[source]
+

Simulation class for the excited-state simulation

+

Call an instance of this class directly to start the simulation

+
+ +
+
+run(config_file, momentum_ix)[source]
+

Start the simulation

+
+
Parameters
+
    +
  • config_file (str) – filename of the configuration file

  • +
  • momentum_ix (int) – index of the point in momentum space

  • +
+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_gs.html b/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_gs.html new file mode 100644 index 0000000..ead30ae --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.simulation.run_ipeps_gs.html @@ -0,0 +1,440 @@ + + + + + + + + adpeps.simulation.run_ipeps_gs — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.simulation.run_ipeps_gs

+

Main ground-state executable script

+
+

Note

+

The simulations are intended to be used by calling the package +directly via python -m adpeps ..., as described in +Getting Started

+
+
+
+class Objective(peps)[source]
+

Class containing the objective function for the optimizer

+
+
Parameters
+

peps (adpeps.ipeps.ipeps.iPEPS) – iPEPS object to be optimized

+
+
+
+
+jac(v)[source]
+

Computes the vector-Jacobian product (gradient) of the +iPEPS at the current point v in parameter space

+

This function is designed to work with optimizers that make separate +calls to the objective function and the gradient, by caching both.

+
+
Parameters
+

v (jax._src.numpy.lax_numpy.ndarray) – input variational parameters for the site tensors of +the iPEPS

+
+
Returns
+

gradient of the iPEPS with respect to the input parameters v

+
+
Return type
+

ndarray

+
+
+
+ +
+
+out(v)[source]
+

Computes the objective function (energy) of the iPEPS

+

Since many optimizers make separate calls to the objective function +and the gradient, but usually require both results for any given +parameter vector v, this function calls the gradient as well.

+
+
Parameters
+

v – input variational parameters for the site tensors of +the iPEPS

+
+
Returns
+

energy of the iPEPS at the point v in parameter space

+
+
+
+ +
+
+fun
+

Objective function - CTM iterations until convergence +followed by the evaluation of the energy

+
+ +
+
+property gradnrm
+

Norm of the gradient

+
+ +
+
+peps
+

iPEPS object

+
+ +
+ +
+
+run(config_file)[source]
+

Start the simulation

+
+
Parameters
+

config_file (str) – filename of the configuration file

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.ctmtensors.html b/docs/source/_build/html/generated/adpeps.utils.ctmtensors.html new file mode 100644 index 0000000..14279de --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.ctmtensors.html @@ -0,0 +1,397 @@ + + + + + + + + adpeps.utils.ctmtensors — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.ctmtensors

+

Contains utility class that contains all iPEPS tensors

+
+
+class CTMTensors(A, Ad, Cs=<factory>, Ts=<factory>, B=None, Bd=None, B_Cs=None, B_Ts=None, Bd_Cs=None, Bd_Ts=None, BB_Cs=None, BB_Ts=None, Pl=None, Pr=None, Pt=None, Pb=None, Plb=None, Prb=None, Ptb=None, Pbb=None, observables=<factory>)[source]
+

This is a utility class that contains all tensors related to an iPEPS. +In effect, this forms a representation of the full state, including +the site tensors with variational parameters, the boundary tensors +generated by CTM and the projectors.

+

There are several fields of this dataclass that are generated +automatically, which provide convenient wrappers for the tensors.

+

For example, the CTMTensors.A field returns the site tensors +(contained in a adpeps.utils.tlist.TList)

+
+
Parameters
+
    +
  • A (adpeps.utils.tlist.TList) –

  • +
  • Ad (adpeps.utils.tlist.TList) –

  • +
  • Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • B (adpeps.utils.tlist.TList) –

  • +
  • Bd (adpeps.utils.tlist.TList) –

  • +
  • B_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • B_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • Bd_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • Bd_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • BB_Cs (List[adpeps.utils.tlist.TList]) –

  • +
  • BB_Ts (List[adpeps.utils.tlist.TList]) –

  • +
  • Pl (adpeps.utils.tlist.TList) –

  • +
  • Pr (adpeps.utils.tlist.TList) –

  • +
  • Pt (adpeps.utils.tlist.TList) –

  • +
  • Pb (adpeps.utils.tlist.TList) –

  • +
  • Plb (adpeps.utils.tlist.TList) –

  • +
  • Prb (adpeps.utils.tlist.TList) –

  • +
  • Ptb (adpeps.utils.tlist.TList) –

  • +
  • Pbb (adpeps.utils.tlist.TList) –

  • +
  • observables (List) –

  • +
+
+
Return type
+

None

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.empty_tensor.html b/docs/source/_build/html/generated/adpeps.utils.empty_tensor.html new file mode 100644 index 0000000..6efd574 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.empty_tensor.html @@ -0,0 +1,354 @@ + + + + + + + + adpeps.utils.empty_tensor — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.empty_tensor

+

Contains utility class that represents a ‘zero’ (empty) tensor object

+
+
+class EmptyT[source]
+

Empty tensor utility class, which can be used to represent a +‘zero’ tensor.

+

The operations involving this type of tensor will return the expected +results, such as (Tensor * EmptyT -> EmptyT), removing the need for +checking if a tensor is empty in the part of the code where the +operation is called.

+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.html b/docs/source/_build/html/generated/adpeps.utils.html new file mode 100644 index 0000000..461583c --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.html @@ -0,0 +1,394 @@ + + + + + + + + adpeps.utils — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils

+
+

Modules

+

Utilities module with several convenience functions and classes +used during the simulations

+ ++++ + + + + + + + + + + + + + + + + + + + + +

adpeps.utils.ctmtensors

Contains utility class that contains all iPEPS tensors

adpeps.utils.empty_tensor

Contains utility class that represents a ‘zero’ (empty) tensor object

adpeps.utils.io

IO module with convenience function for forming the localized filenames and foldernames of the relevant configuration files and data files.

adpeps.utils.nested

Contains utility class that represents a collection of tensors of different types, with operations that can be applied to all contained tensors at once

adpeps.utils.printing

Utility module for printing output depending on the verbosity setting adpeps.ipeps.config.disp_level in the configuration file.

adpeps.utils.tlist

List object with additional features, used for storing the iPEPS tensors

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.io.html b/docs/source/_build/html/generated/adpeps.utils.io.html new file mode 100644 index 0000000..77201ad --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.io.html @@ -0,0 +1,357 @@ + + + + + + + + adpeps.utils.io — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.io

+

IO module with convenience function for forming the localized filenames +and foldernames of the relevant configuration files and data files.

+
+

Note

+

The input configuration file location can be set via the +CONFIGDIR variable. If it is not set, the default +input folder will be the examples subfolder of the package +root directory

+
+
+

Note

+

The output data location can be set via the DATADIR +variable. If it is not set, the default output folder will be +in the simulations subfolder of the package root directory.

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.nested.html b/docs/source/_build/html/generated/adpeps.utils.nested.html new file mode 100644 index 0000000..892d7d2 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.nested.html @@ -0,0 +1,419 @@ + + + + + + + + adpeps.utils.nested — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.nested

+

Contains utility class that represents a collection of tensors of +different types, with operations that can be applied to all +contained tensors at once

+
+
+class Nested(tensors)[source]
+

This is a helper class for the efficient contraction of variants of tensors, +used in the energy evaluation of excited states

+

A Nested tensor contains the following variants (some may be empty):

+
+
    +
  • tensors[0]: regular tensor (no B or Bd)

  • +
  • tensors[1]: (terms with) a single B tensor

  • +
  • tensors[2]: (terms with) a single Bd tensor

  • +
  • tensors[3]: (terms with) both a B and a Bd tensor

  • +
+
+

When two Nested tensors x,y are contracted, all combinations are taken into account +and the result is again a Nested tensor, filled with the following variants:

+
+
    +
  • tensors[0]: x[0] * y[0]

  • +
  • tensors[1]: x[1] * y[0] + x[0] * y[1]

  • +
  • tensors[2]: x[2] * y[0] + x[0] * y[2]

  • +
  • tensors[3]: x[3] * y[0] + x[2] * y[1] + x[1] * y[2] + x[0] * y[3]

  • +
+
+

By using Nested tensors in a (large) contraction, the many different terms are +resummed on the fly, leading to a potentially reduced computational cost

+
+

Note

+

Most implented functions act as wrappers for the corresponding numpy functions +on the individual tensors

+
+
+
+mult(other, *args)[source]
+
+
Parameters
+
    +
  • other (Union[np.ndarray, Nested, EmptyT]) – other tensor-like object to contract with

  • +
  • *args – arguments to be passed to the contraction method +(np.tensordot)

  • +
+
+
Returns
+

result of the contraction

+
+
Return type
+

res

+
+
Return type
+

Nested

+
+
+
+ +
+
+normalize()[source]
+

Normalize the contained tensors by the largest value +of the first element of self.tensors

+
+ +
+
+transpose(*args)[source]
+

Applies transpose to each contained tensor

+
+
Return type
+

Nested

+
+
+
+ +
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.printing.html b/docs/source/_build/html/generated/adpeps.utils.printing.html new file mode 100644 index 0000000..9d2114f --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.printing.html @@ -0,0 +1,361 @@ + + + + + + + + adpeps.utils.printing — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.printing

+

Utility module for printing output depending on the verbosity setting +adpeps.ipeps.config.disp_level in the configuration file.

+
+
+print(*args, level=None, **kwargs)[source]
+

Print output using builtin print if level +<= adpeps.ipeps.config.disp_level

+
+
Parameters
+
    +
  • *args – arbitraty arguments to be passed to builtin print

  • +
  • level (Optional[int]) – verbosity level, determining at which verbosity setting this +should be printed

  • +
  • **kwargs – arbitraty keyword arguments for builtin print

  • +
+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/generated/adpeps.utils.tlist.html b/docs/source/_build/html/generated/adpeps.utils.tlist.html new file mode 100644 index 0000000..1b7d9a5 --- /dev/null +++ b/docs/source/_build/html/generated/adpeps.utils.tlist.html @@ -0,0 +1,421 @@ + + + + + + + + adpeps.utils.tlist — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

adpeps.utils.tlist

+

List object with additional features, used for storing +the iPEPS tensors

+

Items in the list can be accessed by either a linear index +or a (i,j) double index, where i and j will be automatically +taken modulo the unit cell size (i.e. i = i % n_x)

+

Additionally, convenience functions that work on tensors can +be defined for the whole list, e.g. conj()

+
+
+cur_loc(*loc)[source]
+

Shift the locations of the tensors relative to a +new zero (loc) while in this context

+
+
Parameters
+

loc (int) – shifts (x,y)

+
+
+

Example

+
>>> l = TList([[1,2], [3,4]])
+>>> l[0,0]
+1
+>>> with cur_loc(1,0):
+>>>     l[0,0]
+2
+>>>     l[0,1]
+4
+>>> l[0,0]
+1
+
+
+

Note that this applies to ALL TList objects while +inside the context

+
+ +
+
+hold_write(*lists)[source]
+

Hold off on writing to the list while +inside the context

+
+
Parameters
+

lists (adpeps.utils.tlist.TList) – one or more TList objects that should have the writing +action delayed until the context is disabled

+
+
+

Example

+
>>> l = TList([[1,2], [3,4]])
+>>> with hold_write(l):
+>>>    l[0,0] = 100
+>>>    l[0,0]
+1
+>>> l[0,0]
+100
+
+
+
+ +
+
+set_pattern(pattern)[source]
+

Set pattern for all new TLists that are created while +the context is active

+
+
Parameters
+

pattern

+
+
+
+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/genindex.html b/docs/source/_build/html/genindex.html new file mode 100644 index 0000000..9b475ca --- /dev/null +++ b/docs/source/_build/html/genindex.html @@ -0,0 +1,816 @@ + + + + + + + + Index — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ + +

Index

+ +
+ A + | B + | C + | D + | E + | F + | G + | H + | I + | J + | L + | M + | N + | O + | P + | R + | S + | T + | U + +
+

A

+ + + +
    +
  • + adpeps.ipeps.config + +
  • +
  • + adpeps.ipeps.ctm + +
  • +
  • + adpeps.ipeps.ipeps + +
  • +
  • + adpeps.ipeps.ipeps.evaluation + +
  • +
  • + adpeps.ipeps.models + +
  • +
  • + adpeps.ipeps.models.common + +
  • +
  • + adpeps.ipeps.models.heisenberg + +
  • +
  • + adpeps.simulation + +
  • +
  • + adpeps.simulation.run_ipeps_exci + +
  • +
    +
  • + adpeps.simulation.run_ipeps_gs + +
  • +
  • + adpeps.utils + +
  • +
  • + adpeps.utils.ctmtensors + +
  • +
  • + adpeps.utils.empty_tensor + +
  • +
  • + adpeps.utils.io + +
  • +
  • + adpeps.utils.nested + +
  • +
  • + adpeps.utils.printing + +
  • +
  • + adpeps.utils.tlist + +
  • +
+ +

B

+ + +
+ +

C

+ + + +
+ +

D

+ + + +
+ +

E

+ + +
+ +

F

+ + + +
+ +

G

+ + + +
+ +

H

+ + +
+ +

I

+ + + +
+ +

J

+ + +
+ +

L

+ + +
+ +

M

+ + + +
+ +

N

+ + + +
+ +

O

+ + + +
+ +

P

+ + + +
+ +

R

+ + + +
+ +

S

+ + + +
+ +

T

+ + +
+ +

U

+ + +
+ + + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/index.html b/docs/source/_build/html/index.html new file mode 100644 index 0000000..1cac0da --- /dev/null +++ b/docs/source/_build/html/index.html @@ -0,0 +1,438 @@ + + + + + + + + AD-PEPS’s documentation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

AD-PEPS’s documentation

+

The AD-PEPS Python package is intended as a example of an implementation of iPEPS ground-state and excited-state algorithms using Automatic Differentiation, as described in <paper> +As such, the code is meant to illustrate the workings of the algorithms described the paper. +For real applications, this code would likely need to be further adapted and optimized, however the package is a complete implementation and can directly be used for simple calculations.

+ +
+

Reference

+ ++++ + + + + + + + + + + + + + + + + + + + + +

ipeps.ipeps

iPEPS module for optimization with CTM

ipeps.config

Configuration module for iPEPS simulations

ipeps.ctm

Main CTM code

ipeps.models

Contains all models defined by Hamiltonians as part of this module

simulation

Module with executable scripts for running the simulations

utils

Utilities module with several convenience functions and classes used during the simulations

+
+
+
+

Indices and tables

+ +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/ipeps.html b/docs/source/_build/html/ipeps.html new file mode 100644 index 0000000..d2bb43e --- /dev/null +++ b/docs/source/_build/html/ipeps.html @@ -0,0 +1,364 @@ + + + + + + + + adpeps.ipeps — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/notes/example.html b/docs/source/_build/html/notes/example.html new file mode 100644 index 0000000..ed9e92a --- /dev/null +++ b/docs/source/_build/html/notes/example.html @@ -0,0 +1,469 @@ + + + + + + + + Example: ground state — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Example: ground state

+

The package includes an example configuration for a ground-state simulation of the 2D Heisenberg model, defined by the Hamiltonian

+
+\[H = J \sum_i S_i \cdot S_{i+1}~.\]
+

The configuration file examples/heis_D2.yaml contains the following:

+
# Base configuration file for iPEPS simulation
+# See the individual config modules for more information
+
+# Simulation options
+# -> ipeps/config.py
+D:             2
+chi:           41
+model:         'heisenberg'
+
+# (Ground state) Optimizer
+method:        'L-BFGS-B'
+
+# If an existing state is loaded in the model initialization, 
+# the seed controls the random (small) noise that is added to 
+# the site tensors
+seed:          1
+
+# Prefix for output file
+out_prefix:
+
+# Resume earlier simulation if found
+resume:        True
+
+model_params:
+  J:           1
+
+# Set to 0 to suppress all output besides printing each step
+disp_level:    2
+
+# CTM convergence criterium (singular values norm difference)
+ctm_conv_tol:  1.e-6
+
+# Minimal number of CTM steps
+ctm_min_iter:  4
+
+# Maximal number of CTM steps
+ctm_max_iter:  8
+
+# Flush output (prints with print(*args, flush=True))
+flush_output:  True
+
+# Unit cell pattern (Neel order)
+pattern:
+  [
+    [0,1],
+    [1,0],
+  ]
+
+
+

This configures a simulation with bond dimension D=2 and boundary bond dimension chi=40, using the model defined in adpeps.ipeps.models.heisenberg.

+

Now the simulation can be started by calling the adpeps module with the name of this configuration file:

+
>>> python -m adpeps gs 'heis_D2'
+WARNING:absl:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.)
+Namespace(config_file='heis_D2', sim_mode='gs', version=False)
+Running ground-state sim
+...
+
+
+

The simulation will continue to run and you should see output similar to this:

+
...
+Performing CTM pre-steps without tracking
+  | CTM step 1 conv: 4.935e-03 time: 3.17 obj: -0.658758
+  | CTM step 2 conv: 7.918e-04 time: 3.74 obj: -0.659550
+  | CTM step 3 conv: 1.234e-05 time: 6.42 obj: -0.659562
+Performing CTM
+  | CTM step 1 conv: 3.171e-07 time: 8.8 obj: -0.659563
+  | CTM step 2 conv: 2.108e-08 time: 4.07 obj: -0.659563
+  | CTM step 3 conv: 8.173e-09 time: 2.93 obj: -0.659563
+Energy: -0.6595625579862193
+...
+
+
+

The first cycle of iterations are not taken into account in the gradient computation, but make sure that the CTM iterations with gradient tracking start from some reasonably converged boundary tensors in order to avoid instabilities with initial CTM steps.

+
+

Note

+

The convergence rate of the CTM depends on the variational parameters of the iPEPS and the settings of the simulation. +Generally the convergence improves as the simulation approaches the optimum.

+
+

Whenever a step in the optimization has completed (this could take more than one cycle of CTM iterations depending on the type of optimizer), the module will output a summary of the steps so far:

+
...
+# ======================== #
+#      Step completed      #
+# ======================== #
+
+Step   0  E: -0.376468389894  |grad|: 1.2103482
+Step   1  E: -0.505252956403  |grad|: 0.19064889
+Step   2  E: -0.517432085607  |grad|: 0.10910666
+Step   3  E: -0.578045570568  |grad|: 0.081472534
+Step   4  E: -0.589074339197  |grad|: 0.089438567
+Step   5  E: -0.597590746400  |grad|: 0.15282526
+Step   6  E: -0.612205652457  |grad|: 0.076385807
+Step   7  E: -0.628079118387  |grad|: 0.0684857
+Step   8  E: -0.642200026835  |grad|: 0.097849544
+Step   9  E: -0.649553574703  |grad|: 0.066648727
+Step  10  E: -0.653909263824  |grad|: 0.0264237
+Step  11  E: -0.655389076620  |grad|: 0.016836624
+Step  12  E: -0.656585389308  |grad|: 0.016954703
+Step  13  E: -0.657797020335  |grad|: 0.020011479
+Step  14  E: -0.658174755217  |grad|: 0.033691114
+Step  15  E: -0.659083649568  |grad|: 0.012202327
+Step  16  E: -0.659365377610  |grad|: 0.0064214407
+Step  17  E: -0.659562557986  |grad|: 0.007503111
+...
+
+
+

The simulation will continue until adpeps.ipeps.config.max_iterations has been reached. +At any point the simulation can be stopped and continued later by restarting the module.

+
+

Note

+

In case you would like the simulation to continue from an earlier saved simulation with the same configuration file, make sure to set adpeps.ipeps.config.resume = True

+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/notes/example2.html b/docs/source/_build/html/notes/example2.html new file mode 100644 index 0000000..92c1eec --- /dev/null +++ b/docs/source/_build/html/notes/example2.html @@ -0,0 +1,485 @@ + + + + + + + + Example: excitations — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Example: excitations

+
+

Note

+

This example continues from the ground-state example and requires an optimized ground state to start from.

+
+

Here we demonstrates how to use the adpeps package for computing excited states of the 2D Heisenberg model.

+

The configuration file examples/heis_D2_exci.yaml contains the following settings:

+
# Base configuration file for iPEPS simulation
+# See the individual config modules for more information
+
+# Simulation options
+# -> ipeps/config.py
+D:             2
+chi:           40
+model:         'heisenberg'
+
+# Prefix for output file
+out_prefix:
+
+# Resume earlier simulation if found
+resume:        True
+
+model_params:
+  J:           1
+
+# Set to 0 to suppress all output besides printing each step
+disp_level:    1
+
+# CTM convergence criterium (singular values norm difference)
+ctm_conv_tol:  1.e-6
+
+# Minimal number of CTM steps
+ctm_min_iter:  4
+
+# Maximal number of CTM steps
+ctm_max_iter:  20
+
+# Flush output (prints with print(*args, flush=True))
+flush_output:  True
+
+# Unit cell pattern (Neel order)
+pattern:
+  [
+    [0,1],
+    [1,0],
+  ]
+
+# Excitations
+momentum_path: 'Bril1'
+
+
+

Note that many of the options are the same as for the ground-state simulation, with the addition of the adpeps.ipeps.config.momentum_path setting, which controls which path through the Brillouin zone will be taken.

+

In the configuration for excited states you do not explicitly set the momentum, but choose a preset path of points in momentum space and pass the index for each simulation.

+

If we now start the simulation, we get the following response:

+
>>> python -m adpeps exci 'heis_D2_exci' --p_ix=1
+...
+Running excited-state sim
+...
+Base file .../exci/heisenberg_D2_X40.base.npz not found.
+Prepare the simulation first by running with option '-i'
+
+
+

What happened is that we first need to make some preparations for the simulation. +For excited-state simulations, we require the following:

+
+
    +
  1. Well-converged CTM boundary tensors

  2. +
  3. A basis for the excited-state tensors, orthogonal to the ground state

  4. +
+
+

The preparations for the simulation need to be performed only once, resulting in a base simulation file that will be used by the simulations for every momentum.

+
>>> python -m adpeps exci 'heis_D2_exci' -i
+...
+Running excited-state sim
+...
+  | CTM step 1 conv: 1.036e-01 time: 3.64 obj: -0.665574
+  | CTM step 2 conv: 3.137e-03 time: 4.23 obj: -0.662436
+  | CTM step 3 conv: 7.887e-05 time: 4.0 obj: -0.662515
+  | CTM step 4 conv: 1.388e-06 time: 2.2 obj: -0.662514
+  | CTM step 5 conv: 2.455e-07 time: 1.35 obj: -0.662514
+  | CTM step 6 conv: 3.044e-08 time: 1.35 obj: -0.662514
+  | CTM step 7 conv: 4.673e-09 time: 1.37 obj: -0.662514
+  | CTM step 8 conv: 4.467e-10 time: 1.36 obj: -0.662514
+  | CTM step 9 conv: 5.029e-11 time: 1.35 obj: -0.662514
+  | CTM step 10 conv: 5.612e-11 time: 1.38 obj: -0.662514
+  | CTM step 11 conv: 2.801e-11 time: 1.36 obj: -0.662514
+  | CTM step 12 conv: 1.204e-11 time: 1.65 obj: -0.662514
+  | CTM step 13 conv: 4.936e-12 time: 1.42 obj: -0.662514
+  | CTM step 14 conv: 1.989e-12 time: 1.39 obj: -0.662514
+GS norm 3.5890188873039093
+GS norm 1.0
+Substracting -0.33125703308289145 from Hamiltonian
+
+
+

Several steps have been performed: first a full CTM contraction of the ground-state network, followed by a normalization of the ground-state tensors. +Then the Hamiltonian is shifted by the ground-state energy expectation value, in order for the excitations to have energies relative to the ground state. +Finally, the basis is prepared and we have everything to get started.

+
>>> python -m adpeps exci 'heis_D2_exci' --p_ix=0
+...
+Running excited-state sim
+...
+Starting simulation of basis vector 1/62
+Performing CTM
+  | CTM step 1 conv: 4.236e+00 time: 3.19 obj: 5.173629
+  | CTM step 2 conv: 3.539e-02 time: 1.38 obj: 5.138237
+  | CTM step 3 conv: 6.139e-03 time: 1.27 obj: 5.132099
+  | CTM step 4 conv: 2.116e-04 time: 1.24 obj: 5.132310
+  | CTM step 5 conv: 6.148e-05 time: 1.28 obj: 5.132249
+  | CTM step 6 conv: 3.704e-04 time: 1.25 obj: 5.131879
+  | CTM step 7 conv: 2.493e-04 time: 1.25 obj: 5.131629
+Energies: 0.04418993415167889 1.5450126399606245e-10
+Norm: 0.008611083119254162
+==========
+Finished basis vector 1/62
+-
+Starting simulation of basis vector 2/62
+Performing CTM
+  | CTM step 1 conv: 2.004e+00 time: 0.99 obj: 3.335621
+  | CTM step 2 conv: 6.150e-02 time: 1.26 obj: 3.397117
+  | CTM step 3 conv: 2.017e-02 time: 1.28 obj: 3.376950
+  | CTM step 4 conv: 3.838e-03 time: 1.26 obj: 3.380788
+  | CTM step 5 conv: 3.650e-04 time: 1.3 obj: 3.380423
+  | CTM step 6 conv: 1.246e-03 time: 1.29 obj: 3.381669
+  | CTM step 7 conv: 1.288e-03 time: 1.31 obj: 3.380380
+Energies: 0.4285335373465171 1.5450126399606245e-10
+Norm: 0.12679967208649232
+==========
+Finished basis vector 2/62
+...
+
+
+

In this version of the algorithm, the full energy and norm overlap matrices will be computed. +Each of the basis vectors, as seen in the output above, is used as input in a separate CTM summation and the program will continue to run until all basis vectors have been used.

+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/notes/install.html b/docs/source/_build/html/notes/install.html new file mode 100644 index 0000000..3282054 --- /dev/null +++ b/docs/source/_build/html/notes/install.html @@ -0,0 +1,388 @@ + + + + + + + + Installation — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ +
+ On this page +
+ + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Installation

+

The quickest way of installing the adpeps package is to clone the repository

+
git clone <repo>
+
+
+ +
+

Method 2: pip

+

The package can also be installed via pip:

+
cd ad-peps
+pip install -e .
+
+
+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/notes/start.html b/docs/source/_build/html/notes/start.html new file mode 100644 index 0000000..6eeb332 --- /dev/null +++ b/docs/source/_build/html/notes/start.html @@ -0,0 +1,453 @@ + + + + + + + + Getting Started — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + + + +
+ +
+ + +
+ + + + + + +
+ +
+ +
+

Getting Started

+
+

General

+

The main starting point for running simulations with the adpeps package is by loading the module directly via python -m adpeps.

+

For both ground-state and excited-state simulations the configuration can be set via configuration .yaml files. +Each option in the configuration file corresponds to an attribute of the adpeps.ipeps.config module.

+

The first argument for the module is the simulation mode (ground-state or excited-state):

+
python -m adpeps {gs,exci} ...
+
+
+
+

Note

+

The input configuration file location can be set via the +CONFIGDIR variable. If it is not set, the default +input folder will be the examples subfolder of the package +root directory

+
+
+

Note

+

The output data location can be set via the DATADIR +variable. If it is not set, the default output folder will be +in the simulations subfolder of the package root directory.

+
+
+
+

Ground states

+

For ground-state simulations, the only required argument is the configuration file.

+
usage: python -m adpeps gs [-h] config_file
+
+
+
+

Positional Arguments

+
+
config_file
+

Configuration (.yml) file for the simulation options

+
+
+
+
+
+

Excited states

+

For excited-state simulations, the first argument is again the name of a configuration file (note that the relevant options are different for excited-state simulations), and furthermore the momentum index -p is required.

+

The momentum index refers to a point in momentum space \((k_x, k_y)\) defined in a specific path through the Brillouin zone. +The corresponding momentum path can be set via the adpeps.ipeps.config.momentum_path option. +By default, the ‘Bril1’ path is taken, which follows the cut along high symmetry points \((\pi,0) - (\pi,\pi) - (\pi/2,\pi/2) - (0,0) - (\pi,0) - (\pi/2,\pi/2)\)

+
usage: python -m adpeps exci [-h] [-p MOMENTUM_IX] [-e] [-i]
+                             config_file
+
+
+
+

Positional Arguments

+
+
config_file
+

config file of excited-state simulation

+
+
+
+
+

Named Arguments

+
+
-p, --p_ix
+

momentum index

+
+
-e, --eval
+

Prepare excitation base

+
+
-i, --init
+

Prepare excitation base

+
+
+
+
+
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/objects.inv b/docs/source/_build/html/objects.inv new file mode 100644 index 0000000..36defe2 Binary files /dev/null and b/docs/source/_build/html/objects.inv differ diff --git a/docs/source/_build/html/py-modindex.html b/docs/source/_build/html/py-modindex.html new file mode 100644 index 0000000..2adebfa --- /dev/null +++ b/docs/source/_build/html/py-modindex.html @@ -0,0 +1,454 @@ + + + + + + + + Python Module Index — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ + +

Python Module Index

+ +
+ a +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
 
+ a
+ adpeps +
    + adpeps.ipeps.config +
    + adpeps.ipeps.ctm +
    + adpeps.ipeps.ipeps +
    + adpeps.ipeps.ipeps.evaluation +
    + adpeps.ipeps.models +
    + adpeps.ipeps.models.common +
    + adpeps.ipeps.models.heisenberg +
    + adpeps.simulation +
    + adpeps.simulation.run_ipeps_exci +
    + adpeps.simulation.run_ipeps_gs +
    + adpeps.utils +
    + adpeps.utils.ctmtensors +
    + adpeps.utils.empty_tensor +
    + adpeps.utils.io +
    + adpeps.utils.nested +
    + adpeps.utils.printing +
    + adpeps.utils.tlist +
+ + +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/search.html b/docs/source/_build/html/search.html new file mode 100644 index 0000000..a66c206 --- /dev/null +++ b/docs/source/_build/html/search.html @@ -0,0 +1,374 @@ + + + + + + + + Search — AD-PEPS documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + +
+ + +
+ + + +
+ +
+ +
+ + +
+ + + + + + +
+ +
+ +

Search

+
+ +

+ Please activate JavaScript to enable the search + functionality. +

+
+

+ Searching for multiple words only shows matches that contain + all words. +

+
+ + + +
+ +
+ +
+ +
+ + +
+ + +
+
+ + + + +
+
+ + + + + +
+
+ + \ No newline at end of file diff --git a/docs/source/_build/html/searchindex.js b/docs/source/_build/html/searchindex.js new file mode 100644 index 0000000..fc70f1b --- /dev/null +++ b/docs/source/_build/html/searchindex.js @@ -0,0 +1 @@ +Search.setIndex({docnames:["extra/adpeps.ipeps.ctm","extra/adpeps.ipeps.ipeps","extra/ipeps.ipeps","generated/adpeps.ipeps.config","generated/adpeps.ipeps.ctm","generated/adpeps.ipeps.ipeps","generated/adpeps.ipeps.ipeps.evaluation","generated/adpeps.ipeps.models","generated/adpeps.ipeps.models.common","generated/adpeps.ipeps.models.heisenberg","generated/adpeps.simulation","generated/adpeps.simulation.run_ipeps_exci","generated/adpeps.simulation.run_ipeps_gs","generated/adpeps.utils","generated/adpeps.utils.ctmtensors","generated/adpeps.utils.empty_tensor","generated/adpeps.utils.io","generated/adpeps.utils.nested","generated/adpeps.utils.printing","generated/adpeps.utils.tlist","index","ipeps","notes/example","notes/example2","notes/install","notes/start"],envversion:{"sphinx.domains.c":2,"sphinx.domains.changeset":1,"sphinx.domains.citation":1,"sphinx.domains.cpp":3,"sphinx.domains.index":1,"sphinx.domains.javascript":2,"sphinx.domains.math":2,"sphinx.domains.python":2,"sphinx.domains.rst":2,"sphinx.domains.std":2,"sphinx.ext.viewcode":1,sphinx:56},filenames:["extra/adpeps.ipeps.ctm.rst","extra/adpeps.ipeps.ipeps.rst","extra/ipeps.ipeps.rst","generated/adpeps.ipeps.config.rst","generated/adpeps.ipeps.ctm.rst","generated/adpeps.ipeps.ipeps.rst","generated/adpeps.ipeps.ipeps.evaluation.rst","generated/adpeps.ipeps.models.rst","generated/adpeps.ipeps.models.common.rst","generated/adpeps.ipeps.models.heisenberg.rst","generated/adpeps.simulation.rst","generated/adpeps.simulation.run_ipeps_exci.rst","generated/adpeps.simulation.run_ipeps_gs.rst","generated/adpeps.utils.rst","generated/adpeps.utils.ctmtensors.rst","generated/adpeps.utils.empty_tensor.rst","generated/adpeps.utils.io.rst","generated/adpeps.utils.nested.rst","generated/adpeps.utils.printing.rst","generated/adpeps.utils.tlist.rst","index.rst","ipeps.rst","notes/example.rst","notes/example2.rst","notes/install.rst","notes/start.rst"],objects:{"adpeps.ipeps":{config:[3,0,0,"module-0"],ctm:[4,0,0,"module-0"],ipeps:[5,0,0,"module-0"],models:[7,0,0,"module-0"]},"adpeps.ipeps.config":{D:[3,1,1,""],base_sim:[3,1,1,""],chi:[3,1,1,""],ctm_conv_tol:[3,1,1,""],ctm_max_iter:[3,1,1,""],ctm_min_iter:[3,1,1,""],disp_level:[3,1,1,""],flush_output:[3,1,1,""],from_dict:[3,2,1,""],load_sim:[3,1,1,""],max_iterations:[3,1,1,""],method:[3,1,1,""],model:[3,1,1,""],model_params:[3,1,1,""],momentum_path:[3,1,1,""],out_prefix:[3,1,1,""],pattern:[3,1,1,""],resume:[3,1,1,""],seed:[3,1,1,""]},"adpeps.ipeps.ctm":{CTM:[4,3,1,""],do_bottom:[4,2,1,""],do_left:[4,2,1,""],do_right:[4,2,1,""],do_top:[4,2,1,""],get_projectors:[4,2,1,""],get_projectors_bottom:[4,2,1,""],get_projectors_left:[4,2,1,""],get_projectors_right:[4,2,1,""],get_projectors_top:[4,2,1,""],renorm_left:[4,2,1,""],renormalize:[4,2,1,""]},"adpeps.ipeps.ctm.CTM":{converged:[4,4,1,""],show_progress:[4,4,1,""],update:[4,4,1,""]},"adpeps.ipeps.ipeps":{evaluation:[6,0,0,"module-0"],iPEPS:[5,3,1,""],iPEPS_exci:[5,3,1,""],init_A_tensor:[5,2,1,""],init_ctm_tensors:[5,2,1,""]},"adpeps.ipeps.ipeps.iPEPS":{converge_boundaries:[5,4,1,""],fill:[5,4,1,""],numel:[5,4,1,""],parse_elements:[5,4,1,""],run:[5,4,1,""]},"adpeps.ipeps.ipeps.iPEPS_exci":{fill:[5,4,1,""]},"adpeps.ipeps.models":{common:[8,0,0,"module-0"],heisenberg:[9,0,0,"module-0"]},"adpeps.ipeps.models.heisenberg":{make_hamiltonian:[9,2,1,""],setup:[9,2,1,""]},"adpeps.simulation":{run_ipeps_exci:[11,0,0,"module-0"],run_ipeps_gs:[12,0,0,"module-0"]},"adpeps.simulation.run_ipeps_exci":{iPEPSExciSimulation:[11,3,1,""],run:[11,2,1,""]},"adpeps.simulation.run_ipeps_gs":{Objective:[12,3,1,""],run:[12,2,1,""]},"adpeps.simulation.run_ipeps_gs.Objective":{fun:[12,5,1,""],gradnrm:[12,4,1,""],jac:[12,4,1,""],out:[12,4,1,""],peps:[12,5,1,""]},"adpeps.utils":{ctmtensors:[14,0,0,"module-0"],empty_tensor:[15,0,0,"module-0"],io:[16,0,0,"module-0"],nested:[17,0,0,"module-0"],printing:[18,0,0,"module-0"],tlist:[19,0,0,"module-0"]},"adpeps.utils.ctmtensors":{CTMTensors:[14,3,1,""]},"adpeps.utils.empty_tensor":{EmptyT:[15,3,1,""]},"adpeps.utils.nested":{Nested:[17,3,1,""]},"adpeps.utils.nested.Nested":{mult:[17,4,1,""],normalize:[17,4,1,""],transpose:[17,4,1,""]},"adpeps.utils.printing":{print:[18,2,1,""]},"adpeps.utils.tlist":{cur_loc:[19,2,1,""],hold_write:[19,2,1,""],set_pattern:[19,2,1,""]},adpeps:{simulation:[10,0,0,"module-0"],utils:[13,0,0,"module-0"]}},objnames:{"0":["py","module","Python module"],"1":["py","data","Python data"],"2":["py","function","Python function"],"3":["py","class","Python class"],"4":["py","method","Python method"],"5":["py","attribute","Python attribute"]},objtypes:{"0":"py:module","1":"py:data","2":"py:function","3":"py:class","4":"py:method","5":"py:attribute"},terms:{"004e":23,"0064214407":22,"007503111":22,"008611083119254162":23,"012202327":22,"016836624":22,"016954703":22,"017e":23,"020011479":22,"0264237":22,"029e":23,"033691114":22,"036e":23,"04418993415167889":23,"044e":23,"066648727":22,"0684857":22,"076385807":22,"081472534":22,"089438567":22,"097849544":22,"100":[3,19],"108e":22,"10910666":22,"116e":23,"12679967208649232":23,"131629":23,"131879":23,"132099":23,"132249":23,"132310":23,"137e":23,"138237":23,"139e":23,"148e":23,"150e":23,"15282526":22,"171e":22,"173629":23,"173e":22,"19064889":22,"204e":23,"2103482":22,"234e":22,"236e":23,"246e":23,"288e":23,"2x2":3,"33125703308289145":23,"33125703315998356":[],"335621":23,"376468389894":22,"376950":23,"380380":23,"380423":23,"380788":23,"381669":23,"388e":23,"397117":23,"4285335373465171":23,"455e":23,"467e":23,"493e":23,"505252956403":22,"517432085607":22,"539e":23,"5450126399606245e":23,"578045570568":22,"5890188873039093":23,"589074339197":22,"5890954402797566":[],"597590746400":22,"612205652457":22,"612e":23,"628079118387":22,"642200026835":22,"649553574703":22,"650e":23,"653909263824":22,"655389076620":22,"656585389308":22,"657797020335":22,"658174755217":22,"658758":22,"659083649568":22,"659365377610":22,"659550":22,"659562":22,"659562557986":22,"6595625579862193":22,"659563":22,"662436":23,"662514":23,"662515":23,"665574":23,"673e":23,"704e":23,"801e":23,"838e":23,"887e":23,"918e":22,"935e":22,"936e":23,"989e":23,"case":22,"class":[0,1,4,5,11,12,13,14,15,17],"default":[16,25],"final":23,"float":3,"function":[0,3,4,12,13,16,17,19],"import":3,"int":[0,3,4,11,18,19],"new":19,"return":[0,1,4,5,9,12,14,15,17],"true":[3,22,23],"while":19,For:[1,5,14,20,23,25],The:[0,1,4,5,10,11,12,15,16,20,22,23,24,25],Then:23,There:14,These:3,Useful:3,_src:[0,1,4,5,12],abov:23,absl:22,access:19,account:[17,22],act:17,action:19,activ:[19,24],adapt:20,added:22,addit:[19,23],addition:19,adpep:[22,23,24,25],again:[17,25],algorithm:[20,23],all:[0,1,4,5,7,14,17,19,22,23,24],along:25,also:24,ani:[12,22],appli:[17,19],applic:20,approach:22,arbitrati:18,arg:[17,18,22,23],argument:[3,17,18,20],arrai:[1,3,5],attribut:25,automat:[14,19,20,24],avoid:22,b_c:14,b_t:14,back:22,base:[1,3,5,22,23,25],base_sim:3,basi:23,bb_c:14,bb_t:14,bd_c:14,bd_t:14,becom:3,been:[22,23],besid:[22,23],bfg:22,bond:[0,3,4,22],bool:3,both:[12,17,25],bottom:[0,1,4,5],boundari:[0,1,3,4,5,14,22,23],bra:[1,5],bril1:[3,23,25],brillouin:[23,25],builtin:[3,18],cach:12,calcul:20,call:[3,10,11,12,15,22],can:[1,5,15,16,17,19,20,22,24,25],captur:3,cdot:22,cell:[0,1,3,4,5,19,22,23],cfg:3,check:[0,4,15,24],chi:[0,3,4,22,23],choos:23,clone:24,cluster:3,code:[0,3,4,15,20],collect:17,combin:17,come:[0,4,24],complet:[20,22],comput:[0,3,4,12,17,22,23],concaten:[1,5],conda:20,condit:[0,4],config:[1,5,18,22,23,25],config_fil:[11,12,22,25],configdir:[16,25],configur:[3,11,12,16,18,22,23,25],conj:19,contain:[0,4,7,12,14,15,17,22,23],context:[0,4,19],continu:[22,23],contract:[0,4,17,23],control:[22,23],conv:[22,23],conv_fun:[0,4],conveni:[13,14,16,19],convent:[1,5],converg:[0,1,3,4,5,12,22,23],converge_boundari:[1,5],corner:[0,4],correspond:[17,25],cost:17,could:22,cpu:22,creat:[19,24],criterium:[3,22,23],ctm:[1,3,5,12,14,22,23],ctm_conv_tol:[3,22,23],ctm_max_it:[3,22,23],ctm_min_it:[3,22,23],ctmtensor:[0,4],cur_loc:19,current:[0,4,12],cut:25,cycl:22,data:[16,25],dataclass:14,datadir:[16,25],defin:[0,3,4,7,19,22,25],delai:19,demonstr:23,depend:[18,22],deploi:3,describ:[10,11,12,20],design:12,determin:18,dict:3,differ:[3,17,22,23,25],differenti:20,dimens:[0,3,4,22],dimension:[1,5],directli:[10,11,12,20,25],directori:[16,25],disabl:19,disp_level:[3,18,22,23],displai:3,do_bottom:[0,4],do_left:[0,4],do_right:[0,4],do_top:[0,4],doubl:19,dure:13,each:[17,22,23,25],earlier:[3,22,23],effect:14,effici:17,either:[1,5,19],element:[1,5,17],empti:[1,5,15,17],emptyt:[15,17],energi:[1,5,12,17,22,23],env:24,environ:24,eval:25,evalu:[12,17],everi:23,everyth:23,exampl:[1,3,5,14,16,19,20,25],exci:[23,25],excit:[1,5,11,17,20],execut:[10,11,12],exist:22,expect:[15,23],explicitli:23,extra:[0,4],factori:14,fall:22,fals:[3,22],far:22,featur:[0,4,19],field:14,file:[1,3,5,11,12,16,18,22,23,24,25],filenam:[11,12,16],fill:[1,5,17],finish:[23,24],first:[17,22,23,25],flush:[3,22,23],flush_output:[3,22,23],fly:17,folder:[16,25],foldernam:16,follow:[12,17,22,23,24,25],form:[14,16],found:[3,22,23],from:[1,3,5,22,23],from_dict:3,full:[14,23],fun:12,further:20,furthermor:25,gener:[14,20,22],get:[10,11,12,20,23],get_projector:[0,4],get_projectors_bottom:[0,4],get_projectors_left:[0,4],get_projectors_right:[0,4],get_projectors_top:[0,4],git:24,given:12,gpu:22,grad:22,gradient:[1,5,12,22],gradnrm:12,ground:[12,20,23],hamiltonian:[7,9,22,23],happen:23,has:[0,4,22],have:[19,23],heis_d2:22,heis_d2_exci:23,heisenberg:[22,23],heisenberg_d2_x40:23,helper:17,here:23,high:25,hold:19,hold_writ:19,how:[1,5,23],howev:20,illustr:20,implement:20,implent:17,improv:22,includ:[14,22,24],index:[0,4,11,19,20,23,25],indic:[1,5],individu:[0,1,4,5,17,22,23],info:22,inform:[22,23],init:25,init_a_tensor:[1,5],init_ctm_tensor:[1,5],initi:[1,3,5,22],initit:[1,5],input:[0,1,4,5,12,16,23,25],insid:19,instabl:22,instal:20,instanc:11,integ:3,intend:[10,11,12,20],involv:15,ipep:[12,14,18,19,20,22,23,25],ipeps_exci:5,ipepsexcisimul:11,item:19,iter:[0,3,4,12,22],jac:12,jacobian:12,jax:[0,1,4,5,12],k_x:25,k_y:25,ket:[1,5],keyword:18,kwarg:18,label:3,larg:17,largest:17,later:22,lax_numpi:[0,1,4,5,12],lead:17,left:[0,1,4,5],level:[3,18],like:[17,20,22],linear:19,list:[0,1,4,5,14,19],load:[3,22,25],load_sim:3,loc:19,local:16,locat:[16,19,25],mai:17,main:[0,4,11,12,25],make:[12,22,23],make_hamiltonian:9,mani:[12,17,23],matric:23,max_iter:[3,22],maxim:[3,22,23],maximum:3,meant:20,method:[3,17,20,22],minim:[3,22,23],mode:25,model:[1,3,5,22,23],model_param:[3,22,23],modul:[1,3,5,16,18,20,22,23,25],modulo:19,momentum:[3,11,23,25],momentum_ix:[11,25],momentum_path:[3,23,25],more:[19,22,23],most:17,move:[0,4],mult:17,n_x:19,name:[20,22],namespac:22,ncon:[0,4],ndarrai:[0,1,4,5,12,17],need:[15,20,23],neel:[22,23],nest:[0,4],network:23,nois:22,none:[0,3,4,14,18],norm:[3,12,22,23],normal:[17,23],note:[19,23,25],now:[22,23],npz:[3,23],number:[1,3,5,22,23],numel:[1,5],numpi:[0,1,4,5,12,17],obj:[22,23],object:[0,4,12,15,17,19],observ:14,off:19,onc:[17,23],one:[1,5,19,22],onli:[23,25],oper:[15,17],optim:[1,3,5,12,20,22,23],optimum:22,option:[3,18,22,23,25],order:[1,5,22,23],orthogon:23,orthonorm:[],other:17,out:[0,4,12],out_prefix:[3,22,23],output:[3,16,18,22,23,25],overlap:23,p_ix:[23,25],packag:[10,11,12,16,20,22,23,24,25],page:20,paper:20,param:[1,5],paramet:[0,1,3,4,5,11,12,14,17,18,19,22],parse_el:[1,5],part:[7,15],pass:[3,17,18,23],path:[3,23,25],pattern:[1,3,5,19,22,23],pbb:14,pep:[12,24],perform:[0,1,4,5,22,23],period:[0,4],phy:[1,5],pip:20,plb:[0,4,14],point:[11,12,22,23,25],posit:20,potenti:17,prb:14,pre:22,prefix:[3,22,23],prepar:[23,25],preset:23,previou:3,print:[0,3,4,22,23],product:12,program:23,progress:[0,4],projector:[0,4,14],properti:[0,4,12],provid:14,ptb:14,python:[3,10,11,12,20,22,23,24,25],quickest:24,random:[1,3,5,22],rate:22,reach:22,real:20,reason:22,recommend:20,reduc:17,refer:25,regular:17,rel:[19,23],relat:14,relev:[16,25],remov:15,renorm:[0,4],renorm_left:[0,4],repo:24,repositori:24,repres:[15,17],represent:14,requir:[12,23,24,25],rerun:22,res:17,respect:12,respons:23,restart:22,result:[12,15,17,23],resum:[3,17,22,23],right:[0,1,4,5],root:[16,25],run:[1,5,10,11,12,22,23,25],run_ipeps_g:[1,5],s_i:22,same:[22,23],save:22,script:[10,11,12],search:20,see:[1,5,22,23],seed:[1,3,5,22],seen:23,self:17,separ:[12,23],set:[1,3,5,16,18,19,22,23,25],set_pattern:19,setup:9,sever:[13,14,23],shift:[0,4,19,23],should:[18,19,22],show_progress:[0,4],sim:[22,23],sim_config:[1,5],sim_mod:22,similar:22,simpl:20,simul:[1,3,5,13,16,22,23,25],sinc:12,singl:17,singular:[0,3,4,22,23],site:[0,1,3,4,5,12,14,22],size:19,small:22,some:[17,22,23],sourc:[0,1,3,4,5,9,11,12,14,15,17,18,19],space:[11,12,23,25],special:[0,4],specif:[1,5,25],specifi:[1,5],start:[10,11,12,20,22,23],state:[1,3,5,11,12,14,17,20,23],step:[3,22,23],stop:22,store:19,str:[3,11,12],subfold:[16,25],substract:23,sum_i:22,summari:22,summat:23,suppli:[0,4],suppress:[22,23],sure:22,svd:[0,4],symmetri:25,take:22,taken:[17,19,22,23,25],tensor:[0,1,4,5,12,14,15,17,19,22,23],tensordot:17,term:17,text:3,tf_cpp_min_log_level:22,than:22,thi:[7,11,12,14,15,17,18,19,20,22,23],through:[3,23,25],time:[22,23],tlist:[0,4,14],togeth:[0,4],top:[0,1,4,5],tpu:22,track:[1,5,22],transpos:17,trivial:[1,5],tupl:[0,4],two:17,type:[0,1,3,4,5,12,14,15,17,22],union:17,uniqu:3,unit:[0,1,3,4,5,19,22,23],until:[1,5,12,19,22,23],updat:[0,4],usag:25,use:23,used:[10,11,12,13,15,17,19,20,23,24],using:[17,18,20,22],usual:12,util:[0,3,4],valu:[0,3,4,17,22,23],variabl:[16,25],variant:[1,5,17],variat:[1,5,12,14,22],vector:[12,23],verbos:18,version:[22,23],via:[3,10,11,12,16,24,25],wai:24,warn:22,well:[12,23],what:23,when:[3,17,24],whenev:22,where:[15,19],which:[0,1,4,5,14,15,18,23,24,25],whole:19,without:[1,5,22],work:[12,19,20,24],would:[20,22],wrapper:[14,17],write:19,yaml:[0,4,22,23,25],yml:[3,24,25],you:[22,23,24],zero:[15,19],zone:[23,25]},titles:["adpeps.ipeps.ctm","adpeps.ipeps.ipeps","iPEPSS","adpeps.ipeps.config","adpeps.ipeps.ctm","adpeps.ipeps.ipeps","adpeps.ipeps.ipeps.evaluation","adpeps.ipeps.models","adpeps.ipeps.models.common","adpeps.ipeps.models.heisenberg","adpeps.simulation","adpeps.simulation.run_ipeps_exci","adpeps.simulation.run_ipeps_gs","adpeps.utils","adpeps.utils.ctmtensors","adpeps.utils.empty_tensor","adpeps.utils.io","adpeps.utils.nested","adpeps.utils.printing","adpeps.utils.tlist","AD-PEPS\u2019s documentation","adpeps.ipeps","Example: ground state","Example: excitations","Installation","Getting Started"],titleterms:{adpep:[0,1,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,21],argument:25,common:8,conda:24,config:3,ctm:[0,4],ctmtensor:14,document:20,empty_tensor:15,evalu:6,exampl:[22,23],excit:[23,25],gener:25,get:25,ground:[22,25],heisenberg:9,indic:20,instal:24,ipep:[0,1,3,4,5,6,7,8,9,21],ipeps_exci:1,ipepss:2,method:24,model:[7,8,9],modul:[7,10,13],name:25,nest:17,pep:20,pip:24,posit:25,print:18,recommend:24,refer:20,run_ipeps_exci:11,run_ipeps_g:12,simul:[10,11,12],start:25,state:[22,25],tabl:20,tlist:19,util:[13,14,15,16,17,18,19]}}) \ No newline at end of file diff --git a/docs/source/_templates/autosummary/class.rst b/docs/source/_templates/autosummary/class.rst new file mode 100644 index 0000000..e0431bb --- /dev/null +++ b/docs/source/_templates/autosummary/class.rst @@ -0,0 +1,37 @@ +{{ fullname | escape | underline }} + +Description +-------------- + +.. currentmodule:: {{ fullname }} + +.. autoclass:: {{ fullname }} + :members: + :member-order: bysource + +.. .. autoclass:: {{ fullname }} + +.. {% if methods %} +.. .. rubric:: Methods + +.. .. autosummary:: +.. :toctree: + +.. {% for method in methods %} +.. {{ method }} +.. {% endfor %} + +.. {% endif %} + +.. {% if attributes %} +.. .. rubric:: Attributes + +.. .. autosummary:: +.. :toctree: + +.. {% for attribute in attributes %} +.. {{ attribute }} +.. {% endfor %} + +.. {% endif %} + diff --git a/docs/source/_templates/autosummary/module.rst b/docs/source/_templates/autosummary/module.rst new file mode 100644 index 0000000..d0c8575 --- /dev/null +++ b/docs/source/_templates/autosummary/module.rst @@ -0,0 +1,27 @@ +{{ fullname | escape | underline }} + +.. currentmodule:: {{ fullname }} + +.. module:: {{ fullname }} + +{% if modules %} +Modules +------- + +.. automodule:: {{ fullname }} + +.. autosummary:: + :toctree: + + {% for module in modules %} + {{ module }} + {% endfor %} + +{% else %} + +.. automodule:: {{ fullname }} + :members: + :member-order: groupwise + +{% endif %} + diff --git a/docs/source/_templates/autosummary/module2.rst b/docs/source/_templates/autosummary/module2.rst new file mode 100644 index 0000000..7f8ea09 --- /dev/null +++ b/docs/source/_templates/autosummary/module2.rst @@ -0,0 +1,59 @@ +{{ fullname | escape | underline }} + +Description +-------------- + +.. currentmodule:: {{ fullname | escape }} + +.. automodule:: {{ fullname | escape }} + + {% if attributes %} + Attributes + ----------- + + .. autosummary:: + :toctree: + + {% for attribute in attributes %} + {{ attribute }} + {% endfor %} + + {% endif %} + + {% if modules %} + Modules + ------- + + .. autosummary:: + :toctree: + + {% for module in modules %} + {{ module }} + {% endfor %} + + {% endif %} + + {% if classes %} + Classes + ------- + .. autosummary:: + :toctree: + :nosignatures: + + {% for class in classes %} + {{ class }} + {% endfor %} + + {% endif %} + + {% if functions %} + Functions + --------- + .. autosummary:: + :toctree: + + {% for function in functions %} + {{ function }} + {% endfor %} + + {% endif %} diff --git a/docs/source/_templates/autosummary/module_o.rst b/docs/source/_templates/autosummary/module_o.rst new file mode 100644 index 0000000..8ab96bc --- /dev/null +++ b/docs/source/_templates/autosummary/module_o.rst @@ -0,0 +1,58 @@ +{{ fullname | escape | underline }} + +.. currentmodule:: {{ fullname | escape }} + +.. automodule:: {{ fullname }} + :members: + +{% if modules %} +Modules +------- + +.. autosummary:: + :toctree: + + {% for module in modules %} + {{ module }} + {% endfor %} + +{% else %} + +{% if classes %} +Classes +------- +{% for class in classes %} +{{ class | escape | underline }} + .. autoclass:: {{ class }} + :members: + :member-order: bysource +{% endfor %} +{% endif %} + +{% if attributes %} +Attributes +----------- + +{% for attribute in attributes %} +.. autoattribute:: {{ attribute }} +{% endfor %} + +{% endif %} + +{% if functions %} +Functions +--------- + +.. .. automodule:: {{ fullname}} +.. :members: + +{% for function in functions %} +.. autofunction:: {{ function }} + +.. {% endfor %} + +{% endif %} + + +{% endif %} + diff --git a/docs/source/_templates/autosummary/modules.rst b/docs/source/_templates/autosummary/modules.rst new file mode 100644 index 0000000..f58896e --- /dev/null +++ b/docs/source/_templates/autosummary/modules.rst @@ -0,0 +1,58 @@ +{{ fullname | escape | underline }} + +Description +----------- + +.. currentmodule:: {{ fullname | escape }} + +.. automodule:: {{ fullname | escape }} + + {% if attributes %} + Attributes + ------- + + .. autosummary:: + :toctree: generated + + {% for attribute in attributes %} + {{ attribute }} + {% endfor %} + + {% endif %} + + {% if modules %} + Modules + ------- + + .. autosummary:: + :toctree: generated + + {% for module in modules %} + {{ module }} + {% endfor %} + + {% endif %} + + {% if classes %} + Classes + ------- + .. autosummary:: + :toctree: generated + + {% for class in classes %} + {{ class }} + {% endfor %} + + {% endif %} + + {% if functions %} + Functions + --------- + .. autosummary:: + :toctree: generated + + {% for function in functions %} + {{ function }} + {% endfor %} + + {% endif %} diff --git a/docs/source/_templates/sidebar-nav-bs.html b/docs/source/_templates/sidebar-nav-bs.html new file mode 100644 index 0000000..7514049 --- /dev/null +++ b/docs/source/_templates/sidebar-nav-bs.html @@ -0,0 +1,10 @@ + diff --git a/docs/source/conf.py b/docs/source/conf.py new file mode 100644 index 0000000..44cf9a0 --- /dev/null +++ b/docs/source/conf.py @@ -0,0 +1,90 @@ +# Configuration file for the Sphinx documentation builder. +# +# This file only contains a selection of the most common options. For a full +# list see the documentation: +# https://www.sphinx-doc.org/en/master/usage/configuration.html + +# import sphinx_readable_theme +# import sphinx_bootstrap_theme +# import sphinx_rtd_theme + +# -- Path setup -------------------------------------------------------------- + +# If extensions (or modules to document with autodoc) are in another directory, +# add these directories to sys.path here. If the directory is relative to the +# documentation root, use os.path.abspath to make it absolute, like shown here. +# +import os +import sys +sys.path.insert(0, os.path.abspath('..')) + + +# -- Project information ----------------------------------------------------- + +project = 'AD-PEPS' +copyright = '2021, Boris Ponsioen' +author = 'Boris Ponsioen' + + +# -- General configuration --------------------------------------------------- + +# Add any Sphinx extension module names here, as strings. They can be +# extensions coming with Sphinx (named 'sphinx.ext.*') or your custom +# ones. +extensions = [ + 'sphinx.ext.autodoc', + 'sphinx.ext.autosummary', + 'sphinx_autodoc_typehints', + 'sphinxarg.ext', + 'sphinx.ext.viewcode', + 'sphinx.ext.napoleon', + # 'sphinx_autodoc_typehints', + # 'sphinx_autodoc_napoleon_typehints', + # 'sphinx_rtd_theme', +] + +# Add any paths that contain templates here, relative to this directory. +templates_path = ['_templates'] + +# List of patterns, relative to source directory, that match files and +# directories to ignore when looking for source files. +# This pattern also affects html_static_path and html_extra_path. +exclude_patterns = ['_build', 'Thumbs.db', '.DS_Store'] + +autosummary_generate = True +autoclass_content = "both" +add_module_names = False +napoleon_attr_annotations = True + +autodoc_typehints = "description" + +autodoc_type_aliases = {'Tensor_like': 'adpeps.types.TensorType'} + +# -- Options for HTML output ------------------------------------------------- + +# html_theme_path = [sphinx_readable_theme.get_html_theme_path()] +# html_theme_path = sphinx_bootstrap_theme.get_html_theme_path() + +# The theme to use for HTML and HTML Help pages. See the documentation for +# a list of builtin themes. +# +# html_theme = 'alabaster' +# html_theme = 'readable' +# html_theme = 'bootstrap' +# html_theme = "sphinx_rtd_theme" +# html_theme = 'sphinx_material' +# # Material theme options (see theme.conf for more information) +# html_theme_options = { +# 'globaltoc_collapse': False, +# # If True, show hidden TOC entries +# 'globaltoc_includehidden': True, +# } +html_theme = "pydata_sphinx_theme" +html_theme_options = { + "show_prev_next": False +} + +# Add any paths that contain custom static files (such as style sheets) here, +# relative to this directory. They are copied after the builtin static files, +# so a file named "default.css" will overwrite the builtin "default.css". +html_static_path = ['_static'] diff --git a/docs/source/example.ipynb b/docs/source/example.ipynb new file mode 100644 index 0000000..8d1980d --- /dev/null +++ b/docs/source/example.ipynb @@ -0,0 +1,1002 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [], + "source": [ + "import sym_tensor\n", + "import torch\n", + "import numpy as np\n", + "import sym_tensor.ops as st_ops" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Contents\n", + "(Links work only when you run the notebook)\n", + "- [Tensor basics](#Tensor-basics)\n", + "- [U(1) symmetry](#U(1)-symmetry)\n", + "- [Differentiable programming](#Differentiable-programming)\n", + "- [Gradient descent demo](#Gradient-descent-demo) (new)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Tensor basics\n", + "A symmetric tensor is defined by its elements, quantum numbers (`charges`) and symmetry group (`ZN`). \n", + "To initialize a `Z2`-symmetric matrix, run" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "2-D SymTensor (4, 4):\n", + "| Free elements: 8 / 16 (50% of total) in 2 blocks \n", + "| ZN: 2 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 0, 1 ] --| |-- ( 1): [ 0, 1 ]\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "charges = [\n", + " [\n", + " [0,2],\n", + " [1,2]\n", + " ],[\n", + " [0,2],\n", + " [1,2]\n", + " ]\n", + "]\n", + "\n", + "# Use elements=None to initialize with random elements\n", + "T = sym_tensor.newtensor(elements=None, charges=charges, ZN=2)\n", + "print(T)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A `Z2`-symmetric matrix can be represented in block-diagonal form; in this case by two 2x2 blocks. Every symmetric tensor can be reshaped to a block-diagonal matrix by fusing indices together:" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "tensor([[0.8911, 0.0553],\n", + " [0.0132, 0.3870]])\n", + "tensor([[0.9066, 0.0323],\n", + " [0.6183, 0.6328]])\n", + "tensor([[0.8911, 0.0553, 0.0000, 0.0000],\n", + " [0.0132, 0.3870, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.9066, 0.0323],\n", + " [0.0000, 0.0000, 0.6183, 0.6328]])\n" + ] + } + ], + "source": [ + "# Choose [0] as the left index, [1] as the right index and 'n' (irrelevant for Z2)\n", + "blocks, *meta = T.get_blocks(left_legs=[0], right_legs=[1], side='n') # The metadata is necessary to reverse the reshaping\n", + "for b in blocks:\n", + " print(b)\n", + "T_full = T.to_full() # Embed the blocks in a matrix (only Z2 matrices for now supported)\n", + "print(T_full)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "To multiply tensors together, there are several options:" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "tensor([[0.7947, 0.0707, 0.0000, 0.0000],\n", + " [0.0169, 0.1505, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.8420, 0.0497],\n", + " [0.0000, 0.0000, 0.9518, 0.4204]])\n", + "tensor([[0.7947, 0.0707, 0.0000, 0.0000],\n", + " [0.0169, 0.1505, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.8420, 0.0497],\n", + " [0.0000, 0.0000, 0.9518, 0.4204]])\n", + "tensor([[0.7947, 0.0707, 0.0000, 0.0000],\n", + " [0.0169, 0.1505, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.8420, 0.0497],\n", + " [0.0000, 0.0000, 0.9518, 0.4204]])\n", + "tensor([[0.7947, 0.0707, 0.0000, 0.0000],\n", + " [0.0169, 0.1505, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.8420, 0.0497],\n", + " [0.0000, 0.0000, 0.9518, 0.4204]])\n" + ] + } + ], + "source": [ + "T2 = T.copy() # No shared memory\n", + "\n", + "# Ncon\n", + "res = st_ops.ncon([T,T2], ([-1,1],[1,-2]))\n", + "print(res.to_full())\n", + "\n", + "# Mult (arguments are the legs to be contracted on each tensor)\n", + "res = T.mult(T2, [1], [0])\n", + "print(res.to_full())\n", + "\n", + "# Matrix product (in general: contract over last index of T and first index of T2)\n", + "res = T @ T2\n", + "print(res.to_full())\n", + "\n", + "# Full result\n", + "print(T_full @ T_full)" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "tensor([[0.8911, 0.0553, 0.0000, 0.0000],\n", + " [0.0132, 0.3870, 0.0000, 0.0000],\n", + " [0.0000, 0.0000, 0.9066, 0.0323],\n", + " [0.0000, 0.0000, 0.6183, 0.6328]])\n", + "True\n" + ] + } + ], + "source": [ + "# SVD (left_legs, right_legs, number of singular values to keep, absorb s left/right/not)\n", + "u,s,v = T.svd([0], [1], n=np.inf, absorb='n')\n", + "print((u@s@v.t()).to_full()) # Equal to T itself\n", + "print(T.allclose(u@s@v.t()))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## U(1) symmetry\n", + "The continuous `U(1)` symmetry can be approximated by a `ZN` symmetry with large enough `N`. Initialize a tensor like:" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3-D SymTensor (2, 3, 3):\n", + "| Free elements: 4 / 18 (22% of total) in 4 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1, 2] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 2): [ 0, 1, 35 ]\n", + "( 1): [ 0, 1, 35 ] --| |\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "charges = [\n", + " [\n", + " [1,1],\n", + " [35,1]\n", + " ],[\n", + " [0,1],\n", + " [1,1],\n", + " [35,1]\n", + " ],[\n", + " [0,1],\n", + " [1,1],\n", + " [35,1]\n", + " ]\n", + "]\n", + "\n", + "# Use elements=None to initialize with random elements\n", + "T = sym_tensor.newtensor(elements=None, charges=charges, ZN=36)\n", + "print(T)" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Quantum number: 0\n", + "tensor([[0.6956],\n", + " [0.9434]])\n", + "Quantum number: 1\n", + "tensor([[0.0927]])\n", + "Quantum number: 35\n", + "tensor([[0.7140]])\n" + ] + } + ], + "source": [ + "blocks, *meta = T.get_blocks(left_legs=[0,1], right_legs=[2], side='n') # The metadata is necessary to reverse the reshaping\n", + "for i,b in enumerate(blocks):\n", + " if b.numel() > 0:\n", + " print(\"Quantum number: \", i)\n", + " print(b)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The same information can be obtained by" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Matrix block structure with combined indices (0, 1) x (2,)\n", + " 0: Size (2, 1) block with quantum numbers [0, 0] (2 elements)\n", + " 1: Size (1, 1) block with quantum numbers [1, 1] (1 elements)\n", + " 2: Size (1, 1) block with quantum numbers [35, 35] (1 elements)\n", + "----> 4 elements in total\n", + "Tensor block structure (4 blocks)\n", + " 0 ( 3): Size (1, 1, 1) block with quantum numbers [35, 1, 0] (1 elements)\n", + " 1 ( 4): Size (1, 1, 1) block with quantum numbers [1, 35, 0] (1 elements)\n", + " 2 ( 7): Size (1, 1, 1) block with quantum numbers [35, 0, 1] (1 elements)\n", + " 3 ( 12): Size (1, 1, 1) block with quantum numbers [1, 0, 35] (1 elements)\n", + "----> 4 elements in total\n" + ] + } + ], + "source": [ + "# Reshaped as matrix with indices 0 and 1 fused\n", + "T.show_block_structure([0,1], [2])\n", + "\n", + "# As tensor\n", + "T.show_block_structure()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Hamiltonian initizalization (automatic)\n", + "For the automatic conversion of a Hamiltonian (or other operators) in full array format to a symmetric tensor, we can use the `symmetrize_operator` function:" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Elements of H: tensor([ 0.2500, 0.5000, -0.2500, -0.2500, 0.5000, 0.2500])\n", + "4-D SymTensor (2, 2, 2, 2):\n", + "| Free elements: 6 / 16 (37% of total) in 6 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1, 2, 3] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 2): [ 1, 35 ]\n", + "( 1): [ 1, 35 ] --| |-- ( 3): [ 1, 35 ]\n", + " +-----+\n", + "\n", + "Tensor block structure (6 blocks)\n", + " 0 ( 3): Size (1, 1, 1, 1) block with quantum numbers [35, 35, 1, 1] (1 elements)\n", + " 1 ( 5): Size (1, 1, 1, 1) block with quantum numbers [35, 1, 35, 1] (1 elements)\n", + " 2 ( 6): Size (1, 1, 1, 1) block with quantum numbers [1, 35, 35, 1] (1 elements)\n", + " 3 ( 9): Size (1, 1, 1, 1) block with quantum numbers [35, 1, 1, 35] (1 elements)\n", + " 4 ( 10): Size (1, 1, 1, 1) block with quantum numbers [1, 35, 1, 35] (1 elements)\n", + " 5 ( 12): Size (1, 1, 1, 1) block with quantum numbers [1, 1, 35, 35] (1 elements)\n", + "----> 6 elements in total\n", + "\n", + "Elements of H: tensor([ 0.2500, 0.5000, -0.2500, -0.2500, 0.5000, 0.2500])\n", + "4-D SymTensor (2, 2, 2, 2):\n", + "| Free elements: 6 / 16 (37% of total) in 6 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1, 2, 3] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 2): [ 1, 35 ]\n", + "( 1): [ 1, 35 ] --| |-- ( 3): [ 1, 35 ]\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "H_Heis = np.array([\n", + " [0.25, 0, 0, 0],\n", + " [0, -0.25, 0.5, 0],\n", + " [0, 0.5, -0.25, 0],\n", + " [0, 0, 0, 0.25]\n", + "])\n", + "\n", + "# These represent the quantum numbers on each physical index\n", + "# For a spin-1/2 system with U(1) symmetry (approximated by Z36), the \n", + "# basis states (up,down) correspond to quantum numbers (1,-1) mod ZN = (1,35)\n", + "phys_charges = [1,35]\n", + "\n", + "# Either give the operator in matrix-form (bra indices, ket indices):\n", + "H_Heis_symmetric = sym_tensor.symmetrize_operator(op=H_Heis, phys_charges=phys_charges, ZN=36)\n", + "print('Elements of H: ', H_Heis_symmetric.data)\n", + "print(H_Heis_symmetric)\n", + "H_Heis_symmetric.show_block_structure()\n", + "\n", + "# Or in tensor format, with an index for each physical leg:\n", + "H_Heis = np.reshape(H_Heis, [2,2,2,2])\n", + "H_Heis_symmetric = sym_tensor.symmetrize_operator(op=H_Heis, phys_charges=phys_charges, ZN=36)\n", + "print('\\nElements of H: ', H_Heis_symmetric.data)\n", + "print(H_Heis_symmetric)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Covariant tensors\n", + "If you want to, for example, write a spin-flip operator as SymTensor, it will not be possible with a `U(1)`-invariant tensor, since it contains exactly the elements that are not allowed by the symmetry.\n", + "In order to still make such a SymTensor, you can attach an extra index to the tensor that carries a nontrivial quantum number, such that the total charge `Q_in - Q_out` is still conserved:" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "S+ operator:\n", + "[[0 1]\n", + " [0 0]]\n" + ] + } + ], + "source": [ + "Splus = np.array([[0,1],[0,0]])\n", + "print(\"S+ operator:\")\n", + "print(Splus)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The SymTensor will have only two elements that are allowed to be nonzero:" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "General operator:\n", + "[[1 2]\n", + " [3 4]]\n", + "\n", + "2-D SymTensor (2, 2):\n", + "| Free elements: 2 / 4 (50% of total) in 2 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 1): [ 1, 35 ]\n", + " +-----+\n", + "\n", + "tensor([1., 4.])\n", + "\n" + ] + } + ], + "source": [ + "operator_el = np.array([[1,2],[3,4]])\n", + "print(\"General operator:\")\n", + "print(operator_el)\n", + "print(\"\")\n", + "\n", + "op = sym_tensor.symmetrize_operator(operator_el, phys_charges=[1,35], ZN=36)\n", + "# Tensor with 2 allowed nonzero elements, namely the diagonal elements\n", + "# of the operator in matrix form\n", + "print(op)\n", + "print(op.data) # [1, 4]\n", + "print(\"\")" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now make a new tensor with an additional index with quantum number +2 or -2\n", + "Why ±2? Because in the code there are no fractional quantum numbers, so spin-up corresponds to +1 (not +1/2). The S+ operator changes spin-down (-1) to spin-up (+1), so the difference is +2." + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3-D SymTensor (2, 2, 1):\n", + "| Free elements: 1 / 4 (25% of total) in 1 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1, 2] \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 2): [ 2 ]\n", + "( 1): [ 1, 35 ] --| |\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "charges_with_extra_leg = [[[1,1],[35,1]], [[1,1],[35,1]], [[2,1]]]\n", + "op = sym_tensor.newtensor(charges=charges_with_extra_leg, ZN=36)\n", + "\n", + "# Note that now there's only a single allowed nonzero element - just like the \n", + "# the S+ operator\n", + "print(op)\n", + "\n", + "# Make it S+:\n", + "op.data[0] = 1" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The other way of doing this is by using the `totalcharge` property, which can be set to ±2 for this example. However this property is not yet fully supported in the code so that may cause some problems." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Hamiltonian initialization (manual)\n", + "When building a Hamiltonian by hand with a nontrivial symmetry, the blocks of the tensor have be matched with the right elements of the Hamiltonian.\n", + "Here we use a Heisenberg Hamiltonian as an example. The basis is the standard spin basis {(up,up), (up,down), (down,up), (down,down)}, which can be identified with the set of quantum numbers {(1,1), (1,-1), (-1,1), (-1,-1)}.\n", + "The 4x4 (2-site) Hamiltonian can be reshaped to tensor form 2x2x2x2 where each index connects to a physical index of a tensor network. Each index then runs over the quantum numbers (1,-1) and will be given a `charge` of [[1,1],[35,1]] when we approximate the `U(1)` symmetry by `Z36`. " + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[[ 0.25 0. 0. 0. ]\n", + " [ 0. -0.25 0.5 0. ]\n", + " [ 0. 0.5 -0.25 0. ]\n", + " [ 0. 0. 0. 0.25]]\n" + ] + } + ], + "source": [ + "H_Heis = np.array([\n", + " [0.25, 0, 0, 0],\n", + " [0, -0.25, 0.5, 0],\n", + " [0, 0.5, -0.25, 0],\n", + " [0, 0, 0, 0.25]\n", + "])\n", + "print(H_Heis)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Now we need to identify all elements with the right quantum numbers. The top-left element maps an (up, up) state to an (up, up) state, corresponding to quantum numbers ( (1,1), (1,1) ).\n", + "The element on its bottom right corner (-0.25) maps (up,down) -> (up,down), so quantum numbers ( (1, -1), (1, -1) ).\n", + "Let's make a SymTensor with (±1) charges on each leg and inspect the nonzero blocks:" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Tensor block structure (6 blocks)\n", + " 0 ( 3): Size (1, 1, 1, 1) block with quantum numbers [35, 35, 1, 1] (1 elements)\n", + " 1 ( 5): Size (1, 1, 1, 1) block with quantum numbers [35, 1, 35, 1] (1 elements)\n", + " 2 ( 6): Size (1, 1, 1, 1) block with quantum numbers [1, 35, 35, 1] (1 elements)\n", + " 3 ( 9): Size (1, 1, 1, 1) block with quantum numbers [35, 1, 1, 35] (1 elements)\n", + " 4 ( 10): Size (1, 1, 1, 1) block with quantum numbers [1, 35, 1, 35] (1 elements)\n", + " 5 ( 12): Size (1, 1, 1, 1) block with quantum numbers [1, 1, 35, 35] (1 elements)\n", + "----> 6 elements in total\n" + ] + } + ], + "source": [ + "H = sym_tensor.newtensor(charges=4*[[[1,1],[35,1]]], ZN=36)\n", + "H.show_block_structure()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Note that there are 6 blocks of size 1. One important remark is that the charges on the outgoing indices [2,3] are *conjugated* (in the code the 'arrows' are always pointed inwards), so in the first block [35,35,1,1] corresponds to ( (-1, -1), (-1, -1) ), which is the element that maps (down,down)->(down,down) - the element on the bottom right of the Hamiltonian (0.25).\n", + "We can then identify each block with a matrix element:" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Elements of H: tensor([ 0.2500, 0.5000, -0.2500, -0.2500, 0.5000, 0.2500])\n", + "Matrix block structure with combined indices (0, 1) x (2, 3)\n", + " 0: Size (2, 2) block with quantum numbers [0, 0] (4 elements)\n", + " 1: Size (1, 1) block with quantum numbers [2, 2] (1 elements)\n", + " 2: Size (1, 1) block with quantum numbers [34, 34] (1 elements)\n", + "----> 6 elements in total\n" + ] + } + ], + "source": [ + "data = torch.zeros(6)\n", + "data[0] = H_Heis[3,3] # (down,down) -> (down,down)\n", + "data[1] = H_Heis[2,1] # (up,down) -> (down,up)\n", + "data[2] = H_Heis[1,1] # (up,down) -> (up,down)\n", + "data[3] = H_Heis[2,2] # (down,up) -> (down,up)\n", + "data[4] = H_Heis[1,2] # (down,up) -> (up,down)\n", + "data[5] = H_Heis[0,0] # (up,up) -> (up,up)\n", + "H.data = data\n", + "print('Elements of H: ', H.data)\n", + "H.show_block_structure(left_legs=[0,1], right_legs=[2,3])" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Differentiable programming\n", + "Within Torch, all tensor operations should be differentiable in order to obtain gradients. Turn on the tracking of gradients:" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3-D SymTensor (2, 3, 3):\n", + "| Free elements: 4 / 18 (22% of total) in 4 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0, 1, 2] \n", + "| Requires gradient: True \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 1, 35 ] --| |-- ( 2): [ 0, 1, 35 ]\n", + "( 1): [ 0, 1, 35 ] --| |\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "T.requires_grad = True\n", + "print(T) # Note that now the gradient will be tracked" + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "1-D SymTensor (1,):\n", + "| Free elements: 1 / 1 (100% of total) in 1 blocks \n", + "| ZN: 36 \n", + "| Totalcharge: 0 \n", + "| Readorder: [0] \n", + "| Requires gradient: True \n", + "| Gradient function: \n", + " \n", + "Quantum numbers on each leg:\n", + " +-----+\n", + "( 0): [ 0 ] --| |\n", + " +-----+\n", + "\n" + ] + } + ], + "source": [ + "T2 = sym_tensor.newtensor(elements=None, charges=charges, ZN=36)\n", + "T2 = T2.conj() # Take the hermitian conjugate\n", + "nrm = T.mult(T2, [0,1,2], [0,1,2]) # Full contraction to a scalar\n", + "print(nrm) # Note that now the gradient function has been stored" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "tensor([0.9855, 0.4243, 0.9606, 0.6537])\n" + ] + } + ], + "source": [ + "# Backpropagation is the same as with regular torch Tensors\n", + "nrm.backward()\n", + "print(T.grad) # The gradient will be stored as a raw torch Tensor" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The methods defined for SymTensor objects automatically determine whether they should be wrapped into a Torch autograd function (defined in `ops.py`) by checking the `T.requires_grad` property.\n", + "A method which is differentiable follows this general structure:" + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "metadata": {}, + "outputs": [], + "source": [ + "%%script false --no-raise-error\n", + "# tensors.py\n", + "# wrap_grad decorator wraps function in autograd operation if necessary \n", + "# ('Max' in ops.py in this case)\n", + "# The decorator can be bypassed by adding the nograd=True keyword argument \n", + "# T.max(nograd = True)\n", + "# or by calling the equivalent underscore variant:\n", + "# T.max_()\n", + "# When the tensor does not have the property requireds_grad==True, the gradient\n", + "# is never computed, so the explicit bypass is almost never necessary.\n", + "@_Decorators.wrap_grad(st_ops.Max)\n", + "def max(self): # Implementation on tensor level\n", + " \"\"\" Largest element \"\"\"\n", + " max_el = self.data.max().unsqueeze(0).detach().clone()\n", + " T = newtensor(elements=None, charges=[[[0,1]]], ZN=self.ZN, totalcharge=self.totalcharge)\n", + " T.data = max_el\n", + " return T\n", + "\n", + "# ops.py\n", + "class Max(torch.autograd.Function):\n", + " @staticmethod\n", + " def forward(ctx, tensor):\n", + " res = tensor.max_() # Basic operation on tensor (note the underscore)\n", + " \n", + " # Save information for backward pass\n", + " ctx.intermediate_results = (tensor, res)\n", + " \n", + " # In some operations, only the metadata of the SymTensor needs to \n", + " # be saved, since Torch already saves the elements of the output.\n", + " # res.meta contains all information of the resulting SymTensor \n", + " # (except the elements) that can be used to reconstruct a SymTensor\n", + " # in the backward pass\n", + " # Saving the information would then be something like:\n", + " # ctx.intermediate_results = (res.meta)\n", + " \n", + " return res\n", + "\n", + " @staticmethod\n", + " def backward(ctx, grad_output):\n", + " # Backward pass, which receives the grad_output in the form of a \n", + " # regular Torch Tensor. If necessary, we cast the grad_output back\n", + " # to a SymTensor like this:\n", + " # (meta) = ctx.intermediate_results\n", + " # tensor = tensors.from_meta(meta, elements=grad_output)\n", + " (tensor, res) = ctx.intermediate_results\n", + " tensor = tensor.copy()\n", + " new_data = torch.zeros_like(tensor.data)\n", + " tensor.data = new_data.masked_fill(tensor.data == res.data, grad_output.squeeze())\n", + " return res" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Most of the elementwise functions can be simply be implemented by calling the equivalent Torch function on the elements:" + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "metadata": {}, + "outputs": [], + "source": [ + "def __add__(self, other):\n", + " return self._elem_function(torch.Tensor.__add__, other)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "`T._elem_function` makes sure the elements are in the correct order, then calls the corresponding torch.Tensor function (here `torch.Tensor.__add__`) on the elements and finally calls `T.fill_data` to reconstruct the SymTensor from the elements." + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Gradient descent demo\n", + "We can put the SymTensors to work in a simple 2-site Heisenberg chain example. \n", + "In this case, there are two variable tensors, for which we construct (1) a torch.nn.Parameter with the elements and (2) a corresponding SymTensor that is filled with the elements and used in the rest of the code.\n", + "Note that since `T.fill_data` is differentiable, the gradient on the SymTensors are automatically propagated to the Parameter objects." + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [], + "source": [ + "# models.py\n", + "from torch import nn\n", + "class TwoSiteHeis(nn.Module):\n", + " def __init__(self, elems_A=None, elems_B=None):\n", + " super(TwoSiteHeis, self).__init__()\n", + " H_Heis = np.array([\n", + " [0.25, 0, 0, 0],\n", + " [0, -0.25, 0.5, 0],\n", + " [0, 0.5, -0.25, 0],\n", + " [0, 0, 0, 0.25]\n", + " ])\n", + " phys_charges = [1,35]\n", + " self.H = sym_tensor.symmetrize_operator(op=H_Heis, phys_charges=phys_charges, ZN=36)\n", + " \n", + " # A and B are simple U(1) MPS tensors that form a two-site chain\n", + " ch_A = ch_B = [[[1,1],[35,1]], [[0,1],[1,1],[35,1]]]\n", + " self.A = sym_tensor.newtensor(elements=elems_A, charges=ch_A, ZN=36)\n", + " self.B = sym_tensor.newtensor(elements=elems_B, charges=ch_B, ZN=36)\n", + "\n", + " if elems_A is None:\n", + " self.elems_A = nn.Parameter(self.A.data)\n", + " if elems_B is None:\n", + " self.elems_B = nn.Parameter(self.B.data)\n", + "\n", + " def forward(self, elems_A=None, elems_B=None):\n", + " # The elements can be given as optional arguments so that the gradient \n", + " # of the full forward pass can be checked numerically\n", + " # Normally, just take the tensors that are stored in the model\n", + " if elems_A is not None:\n", + " self.elems_A = elems_A\n", + " if elems_B is not None:\n", + " self.elems_B = elems_B\n", + "\n", + " # This is an important step: it copies the elements into the SymTensor \n", + " # in such a way that the operation remains differentiable\n", + " # In the backward step, the gradient of A (SymTensor) will be propagated \n", + " # back to the gradient of elems_A (regular Torch Tensor)\n", + " A = self.A.fill_data(self.elems_A)\n", + " B = self.B.fill_data(self.elems_B)\n", + "\n", + " A_c = A.conj()\n", + " B_c = B.conj()\n", + " E = st_ops.ncon([A, B, self.H, A_c, B_c], ([1,2],[3,2],[1,3,4,5],[4,6],[5,6]))\n", + " nrm = st_ops.ncon([A, B, A_c, B_c], ([1,2],[3,2],[1,4],[3,4]))\n", + " E_normalized = E / nrm\n", + " return E_normalized" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Check if everything works:" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Starting energy: tensor([-0.1177])\n", + "Gradient of A: tensor([ 0.2022, -0.2456])\n", + "Gradient of SymTensor m.A: None\n", + "Gradient check: True\n" + ] + } + ], + "source": [ + "m = TwoSiteHeis()\n", + "\n", + "# Check the forward pass with two random initial tensors\n", + "elems_A = torch.nn.Parameter(torch.rand(m.A.numel(), requires_grad=True))\n", + "elems_B = torch.nn.Parameter(torch.rand(m.B.numel(), requires_grad=False))\n", + "E = m.forward(elems_A, elems_B) # Returns the energy in a SymTensor\n", + "print(\"Starting energy:\", E.data)\n", + "\n", + "# Notice that now the gradient of the SymTensor that is stored \n", + "# on the model (m.A) is propagated to the Parameter object (elems_A)\n", + "E.backward()\n", + "print(\"Gradient of A:\", elems_A.grad)\n", + "# Since the SymTensor is not a leaf in the graph, its gradient will be\n", + "# deleted, unless you set m.A.retain_grad()\n", + "print(\"Gradient of SymTensor m.A:\", m.A.grad) # None\n", + "\n", + "# Check the gradient of the full computation against a numerical gradient\n", + "print(\"Gradient check:\", torch.autograd.gradcheck(m, (elems_A, elems_B)))" + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Model Parameters:\n", + "[Parameter containing:\n", + "tensor([0.1730, 0.4866], requires_grad=True), Parameter containing:\n", + "tensor([0.2344, 0.4912], requires_grad=True)]\n", + "Step 0 energy tensor([0.2291])\n", + "Step 1 energy tensor([-0.7500])\n", + "Step 2 energy tensor([-0.7500])\n", + "Step 3 energy tensor([-0.7500])\n", + "Step 4 energy tensor([-0.7500])\n", + "Step 5 energy tensor([-0.7500])\n", + "Step 6 energy tensor([-0.7500])\n", + "Step 7 energy tensor([-0.7500])\n", + "Step 8 energy tensor([-0.7500])\n", + "Step 9 energy tensor([-0.7500])\n", + "Final energy: tensor([-0.7500]) error: tensor([2.3562e-12])\n" + ] + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# main simulation script\n", + "m = TwoSiteHeis()\n", + "print(\"Model Parameters:\")\n", + "print(list(m.parameters()))\n", + "\n", + "learning_rate = 1\n", + "\n", + "optimizer = torch.optim.LBFGS(m.parameters(), max_iter=10, lr=learning_rate)\n", + "\n", + "def closure():\n", + " optimizer.zero_grad()\n", + " loss = m.forward()\n", + " loss.backward()\n", + " return loss\n", + "\n", + "energies = []\n", + "for epoch in range(10):\n", + " loss = optimizer.step(closure)\n", + " print(\"Step\", epoch, \"energy\", loss.data)\n", + " energies.append(loss)\n", + "\n", + "print(\"Final energy:\", energies[-1].data, \"error:\", energies[-1].data--0.75)\n", + "import matplotlib.pyplot as plt\n", + "plt.plot(energies, '-+');" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.7.3" + } + }, + "nbformat": 4, + "nbformat_minor": 4 +} diff --git a/docs/source/extra/adpeps.ipeps.ctm.rst b/docs/source/extra/adpeps.ipeps.ctm.rst new file mode 100644 index 0000000..c011e15 --- /dev/null +++ b/docs/source/extra/adpeps.ipeps.ctm.rst @@ -0,0 +1,10 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ctm + :members: diff --git a/docs/source/extra/adpeps.ipeps.ipeps.rst b/docs/source/extra/adpeps.ipeps.ipeps.rst new file mode 100644 index 0000000..3323f55 --- /dev/null +++ b/docs/source/extra/adpeps.ipeps.ipeps.rst @@ -0,0 +1,35 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. automodule:: adpeps.ipeps.ipeps + :members: + + +iPEPS +----------- + +.. autoclass:: iPEPS + :members: + :member-order: bysource + +iPEPS_exci +----------- + +.. autoclass:: iPEPS_exci + :members: + :member-order: bysource + + +.. .. autosummary:: +.. :toctree: generated +.. :caption: Section 1 +.. :nosignatures: + +.. iPEPS +.. iPEPS_exci + diff --git a/docs/source/extra/ipeps.ipeps.rst b/docs/source/extra/ipeps.ipeps.rst new file mode 100644 index 0000000..193f163 --- /dev/null +++ b/docs/source/extra/ipeps.ipeps.rst @@ -0,0 +1,19 @@ +iPEPSS +====== + +.. :noindex: + +.. .. currentmodule:: adpeps.ipeps.ipeps + +.. .. toctree:: +.. :maxdepth: 2 + +.. .. automodule:: adpeps.ipeps.ipeps + +.. .. autosummary:: + :toctree: generated + :nosignatures: + :caption: Classes + + iPEPS + iPEPS_exci diff --git a/docs/source/generated/adpeps.ipeps.config.rst b/docs/source/generated/adpeps.ipeps.config.rst new file mode 100644 index 0000000..eafaa88 --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.config.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.config +=================== + +.. currentmodule:: adpeps.ipeps.config + +.. module:: adpeps.ipeps.config + + + +.. automodule:: adpeps.ipeps.config + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.ctm.rst b/docs/source/generated/adpeps.ipeps.ctm.rst new file mode 100644 index 0000000..25af8d5 --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.ctm.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.ctm +================ + +.. currentmodule:: adpeps.ipeps.ctm + +.. module:: adpeps.ipeps.ctm + + + +.. automodule:: adpeps.ipeps.ctm + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.ipeps.evaluation.rst b/docs/source/generated/adpeps.ipeps.ipeps.evaluation.rst new file mode 100644 index 0000000..9134e28 --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.ipeps.evaluation.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps.evaluation +============================= + +.. currentmodule:: adpeps.ipeps.ipeps.evaluation + +.. module:: adpeps.ipeps.ipeps.evaluation + + + +.. automodule:: adpeps.ipeps.ipeps.evaluation + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.ipeps.rst b/docs/source/generated/adpeps.ipeps.ipeps.rst new file mode 100644 index 0000000..e4ac247 --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.ipeps.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.ipeps +================== + +.. currentmodule:: adpeps.ipeps.ipeps + +.. module:: adpeps.ipeps.ipeps + + + +.. automodule:: adpeps.ipeps.ipeps + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.models.common.rst b/docs/source/generated/adpeps.ipeps.models.common.rst new file mode 100644 index 0000000..617b7fb --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.models.common.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.models.common +========================== + +.. currentmodule:: adpeps.ipeps.models.common + +.. module:: adpeps.ipeps.models.common + + + +.. automodule:: adpeps.ipeps.models.common + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.models.heisenberg.rst b/docs/source/generated/adpeps.ipeps.models.heisenberg.rst new file mode 100644 index 0000000..fb407e7 --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.models.heisenberg.rst @@ -0,0 +1,14 @@ +adpeps.ipeps.models.heisenberg +============================== + +.. currentmodule:: adpeps.ipeps.models.heisenberg + +.. module:: adpeps.ipeps.models.heisenberg + + + +.. automodule:: adpeps.ipeps.models.heisenberg + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.ipeps.models.rst b/docs/source/generated/adpeps.ipeps.models.rst new file mode 100644 index 0000000..886d6cb --- /dev/null +++ b/docs/source/generated/adpeps.ipeps.models.rst @@ -0,0 +1,23 @@ +adpeps.ipeps.models +=================== + +.. currentmodule:: adpeps.ipeps.models + +.. module:: adpeps.ipeps.models + + +Modules +------- + +.. automodule:: adpeps.ipeps.models + +.. autosummary:: + :toctree: + + + adpeps.ipeps.models.common + + adpeps.ipeps.models.heisenberg + + + diff --git a/docs/source/generated/adpeps.simulation.rst b/docs/source/generated/adpeps.simulation.rst new file mode 100644 index 0000000..d2c6305 --- /dev/null +++ b/docs/source/generated/adpeps.simulation.rst @@ -0,0 +1,23 @@ +adpeps.simulation +================= + +.. currentmodule:: adpeps.simulation + +.. module:: adpeps.simulation + + +Modules +------- + +.. automodule:: adpeps.simulation + +.. autosummary:: + :toctree: + + + adpeps.simulation.run_ipeps_exci + + adpeps.simulation.run_ipeps_gs + + + diff --git a/docs/source/generated/adpeps.simulation.run_ipeps_exci.rst b/docs/source/generated/adpeps.simulation.run_ipeps_exci.rst new file mode 100644 index 0000000..70250d6 --- /dev/null +++ b/docs/source/generated/adpeps.simulation.run_ipeps_exci.rst @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_exci +================================== + +.. currentmodule:: adpeps.simulation.run_ipeps_exci + +.. module:: adpeps.simulation.run_ipeps_exci + + + +.. automodule:: adpeps.simulation.run_ipeps_exci + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.simulation.run_ipeps_gs.rst b/docs/source/generated/adpeps.simulation.run_ipeps_gs.rst new file mode 100644 index 0000000..276e16a --- /dev/null +++ b/docs/source/generated/adpeps.simulation.run_ipeps_gs.rst @@ -0,0 +1,14 @@ +adpeps.simulation.run\_ipeps\_gs +================================ + +.. currentmodule:: adpeps.simulation.run_ipeps_gs + +.. module:: adpeps.simulation.run_ipeps_gs + + + +.. automodule:: adpeps.simulation.run_ipeps_gs + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.ctmtensors.rst b/docs/source/generated/adpeps.utils.ctmtensors.rst new file mode 100644 index 0000000..fc3a665 --- /dev/null +++ b/docs/source/generated/adpeps.utils.ctmtensors.rst @@ -0,0 +1,14 @@ +adpeps.utils.ctmtensors +======================= + +.. currentmodule:: adpeps.utils.ctmtensors + +.. module:: adpeps.utils.ctmtensors + + + +.. automodule:: adpeps.utils.ctmtensors + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.empty_tensor.rst b/docs/source/generated/adpeps.utils.empty_tensor.rst new file mode 100644 index 0000000..634791b --- /dev/null +++ b/docs/source/generated/adpeps.utils.empty_tensor.rst @@ -0,0 +1,14 @@ +adpeps.utils.empty\_tensor +========================== + +.. currentmodule:: adpeps.utils.empty_tensor + +.. module:: adpeps.utils.empty_tensor + + + +.. automodule:: adpeps.utils.empty_tensor + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.io.rst b/docs/source/generated/adpeps.utils.io.rst new file mode 100644 index 0000000..d73ab43 --- /dev/null +++ b/docs/source/generated/adpeps.utils.io.rst @@ -0,0 +1,14 @@ +adpeps.utils.io +=============== + +.. currentmodule:: adpeps.utils.io + +.. module:: adpeps.utils.io + + + +.. automodule:: adpeps.utils.io + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.nested.rst b/docs/source/generated/adpeps.utils.nested.rst new file mode 100644 index 0000000..4d807d9 --- /dev/null +++ b/docs/source/generated/adpeps.utils.nested.rst @@ -0,0 +1,14 @@ +adpeps.utils.nested +=================== + +.. currentmodule:: adpeps.utils.nested + +.. module:: adpeps.utils.nested + + + +.. automodule:: adpeps.utils.nested + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.printing.rst b/docs/source/generated/adpeps.utils.printing.rst new file mode 100644 index 0000000..a54b81a --- /dev/null +++ b/docs/source/generated/adpeps.utils.printing.rst @@ -0,0 +1,14 @@ +adpeps.utils.printing +===================== + +.. currentmodule:: adpeps.utils.printing + +.. module:: adpeps.utils.printing + + + +.. automodule:: adpeps.utils.printing + :members: + :member-order: groupwise + + diff --git a/docs/source/generated/adpeps.utils.rst b/docs/source/generated/adpeps.utils.rst new file mode 100644 index 0000000..dc43a5c --- /dev/null +++ b/docs/source/generated/adpeps.utils.rst @@ -0,0 +1,31 @@ +adpeps.utils +============ + +.. currentmodule:: adpeps.utils + +.. module:: adpeps.utils + + +Modules +------- + +.. automodule:: adpeps.utils + +.. autosummary:: + :toctree: + + + adpeps.utils.ctmtensors + + adpeps.utils.empty_tensor + + adpeps.utils.io + + adpeps.utils.nested + + adpeps.utils.printing + + adpeps.utils.tlist + + + diff --git a/docs/source/generated/adpeps.utils.tlist.rst b/docs/source/generated/adpeps.utils.tlist.rst new file mode 100644 index 0000000..8698206 --- /dev/null +++ b/docs/source/generated/adpeps.utils.tlist.rst @@ -0,0 +1,14 @@ +adpeps.utils.tlist +================== + +.. currentmodule:: adpeps.utils.tlist + +.. module:: adpeps.utils.tlist + + + +.. automodule:: adpeps.utils.tlist + :members: + :member-order: groupwise + + diff --git a/docs/source/index.rst b/docs/source/index.rst new file mode 100644 index 0000000..78614ef --- /dev/null +++ b/docs/source/index.rst @@ -0,0 +1,48 @@ +.. AD-PEPS documentation master file, created by + sphinx-quickstart on Mon Apr 12 16:13:24 2021. + You can adapt this file completely to your liking, but it should at least + contain the root `toctree` directive. + +AD-PEPS's documentation +=================================== + +The AD-PEPS Python package is intended as a example of an implementation of iPEPS ground-state and excited-state algorithms using Automatic Differentiation, as described in +As such, the code is meant to illustrate the workings of the algorithms described the paper. +For real applications, this code would likely need to be further adapted and optimized, however the package is a complete implementation and can directly be used for simple calculations. + +.. toctree:: + + notes/install + notes/start + notes/example + notes/example2 + + +Reference +-------------- + +.. .. toctree:: +.. :maxdepth: 2 + +.. ipeps + +.. currentmodule:: adpeps + +.. autosummary:: + :toctree: generated + :recursive: + + ipeps.ipeps + ipeps.config + ipeps.ctm + ipeps.models + simulation + utils + + +Indices and tables +================== + +* :ref:`genindex` +* :ref:`modindex` +* :ref:`search` diff --git a/docs/source/ipeps.rst b/docs/source/ipeps.rst new file mode 100644 index 0000000..e94d9b0 --- /dev/null +++ b/docs/source/ipeps.rst @@ -0,0 +1,17 @@ +adpeps.ipeps +============ + +.. currentmodule:: adpeps.ipeps + +.. toctree:: + :maxdepth: 2 + :caption: Contents + + adpeps.ipeps.ipeps + adpeps.ipeps.ctm + +.. autosummary:: + :toctree: generated + :nosignatures: + + adpeps.ipeps.config diff --git a/docs/source/make.bat b/docs/source/make.bat new file mode 100644 index 0000000..2119f51 --- /dev/null +++ b/docs/source/make.bat @@ -0,0 +1,35 @@ +@ECHO OFF + +pushd %~dp0 + +REM Command file for Sphinx documentation + +if "%SPHINXBUILD%" == "" ( + set SPHINXBUILD=sphinx-build +) +set SOURCEDIR=. +set BUILDDIR=_build + +if "%1" == "" goto help + +%SPHINXBUILD% >NUL 2>NUL +if errorlevel 9009 ( + echo. + echo.The 'sphinx-build' command was not found. Make sure you have Sphinx + echo.installed, then set the SPHINXBUILD environment variable to point + echo.to the full path of the 'sphinx-build' executable. Alternatively you + echo.may add the Sphinx directory to PATH. + echo. + echo.If you don't have Sphinx installed, grab it from + echo.http://sphinx-doc.org/ + exit /b 1 +) + +%SPHINXBUILD% -M %1 %SOURCEDIR% %BUILDDIR% %SPHINXOPTS% %O% +goto end + +:help +%SPHINXBUILD% -M help %SOURCEDIR% %BUILDDIR% %SPHINXOPTS% %O% + +:end +popd diff --git a/docs/source/notes/example.rst b/docs/source/notes/example.rst new file mode 100644 index 0000000..480ca94 --- /dev/null +++ b/docs/source/notes/example.rst @@ -0,0 +1,81 @@ +.. _notes/example: + +Example: ground state +=========================================== + +The package includes an example configuration for a ground-state simulation of the 2D Heisenberg model, defined by the Hamiltonian + +.. math:: + + H = J \sum_i S_i \cdot S_{i+1}~. + +The configuration file `examples/heis_D2.yaml` contains the following: + +.. literalinclude:: ../../../examples/heis_D2.yaml + +This configures a simulation with bond dimension :code:`D=2` and boundary bond dimension :code:`chi=40`, using the model defined in :mod:`adpeps.ipeps.models.heisenberg`. + +Now the simulation can be started by calling the :code:`adpeps` module with the name of this configuration file: + + >>> python -m adpeps gs 'heis_D2' + WARNING:absl:No GPU/TPU found, falling back to CPU. (Set TF_CPP_MIN_LOG_LEVEL=0 and rerun for more info.) + Namespace(config_file='heis_D2', sim_mode='gs', version=False) + Running ground-state sim + ... + +The simulation will continue to run and you should see output similar to this: + +.. code-block:: + + ... + Performing CTM pre-steps without tracking + | CTM step 1 conv: 4.935e-03 time: 3.17 obj: -0.658758 + | CTM step 2 conv: 7.918e-04 time: 3.74 obj: -0.659550 + | CTM step 3 conv: 1.234e-05 time: 6.42 obj: -0.659562 + Performing CTM + | CTM step 1 conv: 3.171e-07 time: 8.8 obj: -0.659563 + | CTM step 2 conv: 2.108e-08 time: 4.07 obj: -0.659563 + | CTM step 3 conv: 8.173e-09 time: 2.93 obj: -0.659563 + Energy: -0.6595625579862193 + ... + +The first cycle of iterations are not taken into account in the gradient computation, but make sure that the CTM iterations with gradient tracking start from some reasonably converged boundary tensors in order to avoid instabilities with initial CTM steps. + +.. note:: + The convergence rate of the CTM depends on the variational parameters of the iPEPS and the settings of the simulation. + Generally the convergence improves as the simulation approaches the optimum. + +Whenever a step in the optimization has completed (this could take more than one cycle of CTM iterations depending on the type of optimizer), the module will output a summary of the steps so far: + +.. code-block:: + + ... + # ======================== # + # Step completed # + # ======================== # + + Step 0 E: -0.376468389894 |grad|: 1.2103482 + Step 1 E: -0.505252956403 |grad|: 0.19064889 + Step 2 E: -0.517432085607 |grad|: 0.10910666 + Step 3 E: -0.578045570568 |grad|: 0.081472534 + Step 4 E: -0.589074339197 |grad|: 0.089438567 + Step 5 E: -0.597590746400 |grad|: 0.15282526 + Step 6 E: -0.612205652457 |grad|: 0.076385807 + Step 7 E: -0.628079118387 |grad|: 0.0684857 + Step 8 E: -0.642200026835 |grad|: 0.097849544 + Step 9 E: -0.649553574703 |grad|: 0.066648727 + Step 10 E: -0.653909263824 |grad|: 0.0264237 + Step 11 E: -0.655389076620 |grad|: 0.016836624 + Step 12 E: -0.656585389308 |grad|: 0.016954703 + Step 13 E: -0.657797020335 |grad|: 0.020011479 + Step 14 E: -0.658174755217 |grad|: 0.033691114 + Step 15 E: -0.659083649568 |grad|: 0.012202327 + Step 16 E: -0.659365377610 |grad|: 0.0064214407 + Step 17 E: -0.659562557986 |grad|: 0.007503111 + ... + +The simulation will continue until :attr:`adpeps.ipeps.config.max_iterations` has been reached. +At any point the simulation can be stopped and continued later by restarting the module. + +.. note:: + In case you would like the simulation to continue from an earlier saved simulation with the same configuration file, make sure to set :attr:`adpeps.ipeps.config.resume` :code:`= True` diff --git a/docs/source/notes/example2.rst b/docs/source/notes/example2.rst new file mode 100644 index 0000000..d894348 --- /dev/null +++ b/docs/source/notes/example2.rst @@ -0,0 +1,96 @@ +.. _notes/example2: + +Example: excitations +========================================= + +.. note:: + This example continues from :ref:`the ground-state example` and requires an optimized ground state to start from. + +Here we demonstrates how to use the :mod:`adpeps` package for computing excited states of the 2D Heisenberg model. + +The configuration file `examples/heis_D2_exci.yaml` contains the following settings: + +.. literalinclude:: ../../../examples/heis_D2_exci.yaml + +Note that many of the options are the same as for the ground-state simulation, with the addition of the :attr:`adpeps.ipeps.config.momentum_path` setting, which controls which path through the Brillouin zone will be taken. + +In the configuration for excited states you do not explicitly set the momentum, but choose a preset path of points in momentum space and pass the index for each simulation. + +If we now start the simulation, we get the following response: + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=1 + ... + Running excited-state sim + ... + Base file .../exci/heisenberg_D2_X40.base.npz not found. + Prepare the simulation first by running with option '-i' + +What happened is that we first need to make some preparations for the simulation. +For excited-state simulations, we require the following: + + 1. Well-converged CTM boundary tensors + 2. A basis for the excited-state tensors, orthogonal to the ground state + +The preparations for the simulation need to be performed only once, resulting in a `base` simulation file that will be used by the simulations for every momentum. + + >>> python -m adpeps exci 'heis_D2_exci' -i + ... + Running excited-state sim + ... + | CTM step 1 conv: 1.036e-01 time: 3.64 obj: -0.665574 + | CTM step 2 conv: 3.137e-03 time: 4.23 obj: -0.662436 + | CTM step 3 conv: 7.887e-05 time: 4.0 obj: -0.662515 + | CTM step 4 conv: 1.388e-06 time: 2.2 obj: -0.662514 + | CTM step 5 conv: 2.455e-07 time: 1.35 obj: -0.662514 + | CTM step 6 conv: 3.044e-08 time: 1.35 obj: -0.662514 + | CTM step 7 conv: 4.673e-09 time: 1.37 obj: -0.662514 + | CTM step 8 conv: 4.467e-10 time: 1.36 obj: -0.662514 + | CTM step 9 conv: 5.029e-11 time: 1.35 obj: -0.662514 + | CTM step 10 conv: 5.612e-11 time: 1.38 obj: -0.662514 + | CTM step 11 conv: 2.801e-11 time: 1.36 obj: -0.662514 + | CTM step 12 conv: 1.204e-11 time: 1.65 obj: -0.662514 + | CTM step 13 conv: 4.936e-12 time: 1.42 obj: -0.662514 + | CTM step 14 conv: 1.989e-12 time: 1.39 obj: -0.662514 + GS norm 3.5890188873039093 + GS norm 1.0 + Substracting -0.33125703308289145 from Hamiltonian + +Several steps have been performed: first a full CTM contraction of the ground-state network, followed by a normalization of the ground-state tensors. +Then the Hamiltonian is shifted by the ground-state energy expectation value, in order for the excitations to have energies relative to the ground state. +Finally, the basis is prepared and we have everything to get started. + + >>> python -m adpeps exci 'heis_D2_exci' --p_ix=0 + ... + Running excited-state sim + ... + Starting simulation of basis vector 1/62 + Performing CTM + | CTM step 1 conv: 4.236e+00 time: 3.19 obj: 5.173629 + | CTM step 2 conv: 3.539e-02 time: 1.38 obj: 5.138237 + | CTM step 3 conv: 6.139e-03 time: 1.27 obj: 5.132099 + | CTM step 4 conv: 2.116e-04 time: 1.24 obj: 5.132310 + | CTM step 5 conv: 6.148e-05 time: 1.28 obj: 5.132249 + | CTM step 6 conv: 3.704e-04 time: 1.25 obj: 5.131879 + | CTM step 7 conv: 2.493e-04 time: 1.25 obj: 5.131629 + Energies: 0.04418993415167889 1.5450126399606245e-10 + Norm: 0.008611083119254162 + ========== + Finished basis vector 1/62 + - + Starting simulation of basis vector 2/62 + Performing CTM + | CTM step 1 conv: 2.004e+00 time: 0.99 obj: 3.335621 + | CTM step 2 conv: 6.150e-02 time: 1.26 obj: 3.397117 + | CTM step 3 conv: 2.017e-02 time: 1.28 obj: 3.376950 + | CTM step 4 conv: 3.838e-03 time: 1.26 obj: 3.380788 + | CTM step 5 conv: 3.650e-04 time: 1.3 obj: 3.380423 + | CTM step 6 conv: 1.246e-03 time: 1.29 obj: 3.381669 + | CTM step 7 conv: 1.288e-03 time: 1.31 obj: 3.380380 + Energies: 0.4285335373465171 1.5450126399606245e-10 + Norm: 0.12679967208649232 + ========== + Finished basis vector 2/62 + ... + +In this version of the algorithm, the full energy and norm overlap matrices will be computed. +Each of the basis vectors, as seen in the output above, is used as input in a separate CTM summation and the program will continue to run until all basis vectors have been used. diff --git a/docs/source/notes/install.rst b/docs/source/notes/install.rst new file mode 100644 index 0000000..b668de6 --- /dev/null +++ b/docs/source/notes/install.rst @@ -0,0 +1,36 @@ +Installation +=================================== + +The quickest way of installing the :code:`adpeps` package is to clone the repository + +.. code-block:: bash + + git clone + + +Method 1 (recommended): `conda` +------------------------------------------ + +The repository comes with an included :code:`environment.yml` file, which automatically installs a Python environment with all required packages, which can be used as follows + +.. code-block:: bash + + cd ad-peps + conda env create -f environment.yml + conda activate adpeps + +When the installation finishes, you can check that the package is working + +.. code-block:: bash + + python -m adpeps -v + +Method 2: `pip` +------------------------------------------ + +The package can also be installed via `pip`: + +.. code-block:: bash + + cd ad-peps + pip install -e . diff --git a/docs/source/notes/start.rst b/docs/source/notes/start.rst new file mode 100644 index 0000000..08534e4 --- /dev/null +++ b/docs/source/notes/start.rst @@ -0,0 +1,57 @@ +.. _notes/start: + +Getting Started +=================================== + +General +-------------- + +The main starting point for running simulations with the `adpeps` package is by loading the module directly via :code:`python -m adpeps`. + +For both ground-state and excited-state simulations the configuration can be set via configuration :code:`.yaml` files. +Each option in the configuration file corresponds to an attribute of the :mod:`adpeps.ipeps.config` module. + +The first argument for the module is the simulation mode (ground-state or excited-state): + +.. code-block:: bash + + python -m adpeps {gs,exci} ... + +.. note:: + The input configuration file location can be set via the + :envvar:`CONFIGDIR` variable. If it is not set, the default + input folder will be the `examples` subfolder of the package + root directory + +.. note:: + The output data location can be set via the :envvar:`DATADIR` + variable. If it is not set, the default output folder will be + in the `simulations` subfolder of the package root directory. + + +Ground states +-------------- + +For ground-state simulations, the only required argument is the configuration file. + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :path: gs + + +Excited states +-------------- + +For excited-state simulations, the first argument is again the name of a configuration file (note that the relevant options are different for excited-state simulations), and furthermore the `momentum index` :code:`-p` is required. + +The momentum index refers to a point in momentum space :math:`(k_x, k_y)` defined in a specific path through the Brillouin zone. +The corresponding momentum path can be set via the :attr:`adpeps.ipeps.config.momentum_path` option. +By default, the `'Bril1'` path is taken, which follows the cut along high symmetry points :math:`(\pi,0) - (\pi,\pi) - (\pi/2,\pi/2) - (0,0) - (\pi,0) - (\pi/2,\pi/2)` + +.. argparse:: + :ref: adpeps.__main__.get_parser + :prog: python -m adpeps + :nodefault: + :path: exci + diff --git a/docs/source/tmpmod.rst_bak b/docs/source/tmpmod.rst_bak new file mode 100644 index 0000000..408b838 --- /dev/null +++ b/docs/source/tmpmod.rst_bak @@ -0,0 +1,45 @@ +{{ fullname | escape | underline }} + +Description +----------- + +.. currentmodule:: {{ fullname | escape }} + +.. automodule:: {{ fullname | escape }} + + {% if modules %} + Modules + ------- + + .. autosummary:: + :toctree: generated + + {% for module in modules %} + {{ module }} + {% endfor %} + + {% endif %} + + {% if classes %} + Classes + ------- + .. autosummary:: + :toctree: generated + + {% for class in classes %} + {{ class }} + {% endfor %} + + {% endif %} + + {% if functions %} + Functions + --------- + .. autosummary:: + :toctree: generated + + {% for function in functions %} + {{ function }} + {% endfor %} + + {% endif %} diff --git a/environment.yml b/environment.yml new file mode 100644 index 0000000..4adab83 --- /dev/null +++ b/environment.yml @@ -0,0 +1,17 @@ +name: adpeps +channels: + - defaults +dependencies: + - pip=21.0.1=py38hecd8cb5_0 + - python=3.8.8=h88f2d9e_4 + - pip: + - absl-py==0.12.0 + - flatbuffers==1.12 + - jax==0.2.12 + - jaxlib==0.1.65 + - numpy==1.20.2 + - opt-einsum==3.3.0 + - pyyaml==5.4.1 + - scipy==1.6.2 + - six==1.15.0 + - git+ssh://git@github.com/b1592/ad-peps.git#egg=adpeps diff --git a/examples/heis_D2.yaml b/examples/heis_D2.yaml new file mode 100644 index 0000000..9fe2e6c --- /dev/null +++ b/examples/heis_D2.yaml @@ -0,0 +1,47 @@ +# Base configuration file for iPEPS simulation +# See the individual config modules for more information + +# Simulation options +# -> ipeps/config.py +D: 2 +chi: 41 +model: 'heisenberg' + +# (Ground state) Optimizer +method: 'L-BFGS-B' + +# If an existing state is loaded in the model initialization, +# the seed controls the random (small) noise that is added to +# the site tensors +seed: 1 + +# Prefix for output file +out_prefix: + +# Resume earlier simulation if found +resume: True + +model_params: + J: 1 + +# Set to 0 to suppress all output besides printing each step +disp_level: 2 + +# CTM convergence criterium (singular values norm difference) +ctm_conv_tol: 1.e-6 + +# Minimal number of CTM steps +ctm_min_iter: 4 + +# Maximal number of CTM steps +ctm_max_iter: 8 + +# Flush output (prints with print(*args, flush=True)) +flush_output: True + +# Unit cell pattern (Neel order) +pattern: + [ + [0,1], + [1,0], + ] diff --git a/examples/heis_D2_exci.yaml b/examples/heis_D2_exci.yaml new file mode 100644 index 0000000..11ce49f --- /dev/null +++ b/examples/heis_D2_exci.yaml @@ -0,0 +1,42 @@ +# Base configuration file for iPEPS simulation +# See the individual config modules for more information + +# Simulation options +# -> ipeps/config.py +D: 2 +chi: 40 +model: 'heisenberg' + +# Prefix for output file +out_prefix: + +# Resume earlier simulation if found +resume: True + +model_params: + J: 1 + +# Set to 0 to suppress all output besides printing each step +disp_level: 1 + +# CTM convergence criterium (singular values norm difference) +ctm_conv_tol: 1.e-6 + +# Minimal number of CTM steps +ctm_min_iter: 4 + +# Maximal number of CTM steps +ctm_max_iter: 20 + +# Flush output (prints with print(*args, flush=True)) +flush_output: True + +# Unit cell pattern (Neel order) +pattern: + [ + [0,1], + [1,0], + ] + +# Excitations +momentum_path: 'Bril1' diff --git a/setup.cfg b/setup.cfg new file mode 100644 index 0000000..2b928cc --- /dev/null +++ b/setup.cfg @@ -0,0 +1,11 @@ + +# See the docstring in versioneer.py for instructions. Note that you must +# re-run 'versioneer.py setup' after changing this section, and commit the +# resulting files. + +[versioneer] +VCS = git +style = pep440 +versionfile_source = adpeps/_version.py +versionfile_build = adpeps/_version.py +tag_prefix = diff --git a/setup.py b/setup.py new file mode 100644 index 0000000..e3bf9e9 --- /dev/null +++ b/setup.py @@ -0,0 +1,31 @@ +# -*- coding: utf-8 -*- + +from setuptools import setup, find_packages + +import versioneer + +with open('README.md') as f: + readme = f.read() + +with open('LICENSE') as f: + license = f.read() + +setup( + name='adpeps', + version=versioneer.get_version(), + description='Basic AD iPEPS code for ground states and excitations', + long_description=readme, + author='Boris Ponsioen', + author_email='b.g.t.ponsioen@uva.nl', + url='https://github.com/b1592/ad-peps', + license=license, + packages=find_packages(exclude=('tests', 'docs')), + include_package_data=True, + install_requires=[ + 'jax>=0.2.12', + 'jaxlib>=0.1.65', + 'pyyaml', + 'numpy', + 'scipy', + ], +) diff --git a/simulations/exci/heisenberg_D2_X40.base.npz b/simulations/exci/heisenberg_D2_X40.base.npz new file mode 100644 index 0000000..993edbf Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40.base.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/0_0.5_0.5.npz b/simulations/exci/heisenberg_D2_X40/0_0.5_0.5.npz new file mode 100644 index 0000000..348e43d Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/0_0.5_0.5.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/10_1.0_1.0.npz b/simulations/exci/heisenberg_D2_X40/10_1.0_1.0.npz new file mode 100644 index 0000000..0eed455 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/10_1.0_1.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/11_0.875_0.875.npz b/simulations/exci/heisenberg_D2_X40/11_0.875_0.875.npz new file mode 100644 index 0000000..8282e8e Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/11_0.875_0.875.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/12_0.75_0.75.npz b/simulations/exci/heisenberg_D2_X40/12_0.75_0.75.npz new file mode 100644 index 0000000..03e7958 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/12_0.75_0.75.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/13_0.625_0.625.npz b/simulations/exci/heisenberg_D2_X40/13_0.625_0.625.npz new file mode 100644 index 0000000..682a18e Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/13_0.625_0.625.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/14_0.5_0.5.npz b/simulations/exci/heisenberg_D2_X40/14_0.5_0.5.npz new file mode 100644 index 0000000..724cf00 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/14_0.5_0.5.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/15_0.375_0.375.npz b/simulations/exci/heisenberg_D2_X40/15_0.375_0.375.npz new file mode 100644 index 0000000..e0a1e1f Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/15_0.375_0.375.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/16_0.25_0.25.npz b/simulations/exci/heisenberg_D2_X40/16_0.25_0.25.npz new file mode 100644 index 0000000..9357aaf Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/16_0.25_0.25.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/17_0.125_0.125.npz b/simulations/exci/heisenberg_D2_X40/17_0.125_0.125.npz new file mode 100644 index 0000000..8c5fcce Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/17_0.125_0.125.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/18_0.0_0.0.npz b/simulations/exci/heisenberg_D2_X40/18_0.0_0.0.npz new file mode 100644 index 0000000..86b9a22 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/18_0.0_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/19_0.11111_0.0.npz b/simulations/exci/heisenberg_D2_X40/19_0.11111_0.0.npz new file mode 100644 index 0000000..8082e9e Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/19_0.11111_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/1_1.0_0.0.npz b/simulations/exci/heisenberg_D2_X40/1_1.0_0.0.npz new file mode 100644 index 0000000..bea3503 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/1_1.0_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/20_0.22222_0.0.npz b/simulations/exci/heisenberg_D2_X40/20_0.22222_0.0.npz new file mode 100644 index 0000000..74a035e Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/20_0.22222_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/21_0.33333_0.0.npz b/simulations/exci/heisenberg_D2_X40/21_0.33333_0.0.npz new file mode 100644 index 0000000..fe9a878 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/21_0.33333_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/22_0.44444_0.0.npz b/simulations/exci/heisenberg_D2_X40/22_0.44444_0.0.npz new file mode 100644 index 0000000..653b19f Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/22_0.44444_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/23_0.55556_0.0.npz b/simulations/exci/heisenberg_D2_X40/23_0.55556_0.0.npz new file mode 100644 index 0000000..e6135e6 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/23_0.55556_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/24_0.66667_0.0.npz b/simulations/exci/heisenberg_D2_X40/24_0.66667_0.0.npz new file mode 100644 index 0000000..39980d5 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/24_0.66667_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/25_0.77778_0.0.npz b/simulations/exci/heisenberg_D2_X40/25_0.77778_0.0.npz new file mode 100644 index 0000000..fba5952 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/25_0.77778_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/26_0.88889_0.0.npz b/simulations/exci/heisenberg_D2_X40/26_0.88889_0.0.npz new file mode 100644 index 0000000..e58e0b9 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/26_0.88889_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/27_1.0_0.0.npz b/simulations/exci/heisenberg_D2_X40/27_1.0_0.0.npz new file mode 100644 index 0000000..bea3503 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/27_1.0_0.0.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/28_0.875_0.125.npz b/simulations/exci/heisenberg_D2_X40/28_0.875_0.125.npz new file mode 100644 index 0000000..dc19d58 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/28_0.875_0.125.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/29_0.75_0.25.npz b/simulations/exci/heisenberg_D2_X40/29_0.75_0.25.npz new file mode 100644 index 0000000..553f855 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/29_0.75_0.25.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/2_1.0_0.11111.npz b/simulations/exci/heisenberg_D2_X40/2_1.0_0.11111.npz new file mode 100644 index 0000000..3d20fd1 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/2_1.0_0.11111.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/30_0.625_0.375.npz b/simulations/exci/heisenberg_D2_X40/30_0.625_0.375.npz new file mode 100644 index 0000000..79f182f Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/30_0.625_0.375.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/31_0.5_0.5.npz b/simulations/exci/heisenberg_D2_X40/31_0.5_0.5.npz new file mode 100644 index 0000000..724cf00 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/31_0.5_0.5.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/3_1.0_0.22222.npz b/simulations/exci/heisenberg_D2_X40/3_1.0_0.22222.npz new file mode 100644 index 0000000..4b68827 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/3_1.0_0.22222.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/4_1.0_0.33333.npz b/simulations/exci/heisenberg_D2_X40/4_1.0_0.33333.npz new file mode 100644 index 0000000..be17065 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/4_1.0_0.33333.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/5_1.0_0.44444.npz b/simulations/exci/heisenberg_D2_X40/5_1.0_0.44444.npz new file mode 100644 index 0000000..a928a4a Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/5_1.0_0.44444.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/6_1.0_0.55556.npz b/simulations/exci/heisenberg_D2_X40/6_1.0_0.55556.npz new file mode 100644 index 0000000..e553b5e Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/6_1.0_0.55556.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/7_1.0_0.66667.npz b/simulations/exci/heisenberg_D2_X40/7_1.0_0.66667.npz new file mode 100644 index 0000000..2117c2f Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/7_1.0_0.66667.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/8_1.0_0.77778.npz b/simulations/exci/heisenberg_D2_X40/8_1.0_0.77778.npz new file mode 100644 index 0000000..3a909c2 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/8_1.0_0.77778.npz differ diff --git a/simulations/exci/heisenberg_D2_X40/9_1.0_0.88889.npz b/simulations/exci/heisenberg_D2_X40/9_1.0_0.88889.npz new file mode 100644 index 0000000..dadba1d Binary files /dev/null and b/simulations/exci/heisenberg_D2_X40/9_1.0_0.88889.npz differ diff --git a/simulations/exci/heisenberg_D2_X41.base.npz b/simulations/exci/heisenberg_D2_X41.base.npz new file mode 100644 index 0000000..de28324 Binary files /dev/null and b/simulations/exci/heisenberg_D2_X41.base.npz differ diff --git a/simulations/gs/2_heisenberg_D2_X40.npz b/simulations/gs/2_heisenberg_D2_X40.npz new file mode 100644 index 0000000..a08128a Binary files /dev/null and b/simulations/gs/2_heisenberg_D2_X40.npz differ diff --git a/simulations/gs/heisenberg_D2_X40.npz b/simulations/gs/heisenberg_D2_X40.npz new file mode 100644 index 0000000..686a361 Binary files /dev/null and b/simulations/gs/heisenberg_D2_X40.npz differ diff --git a/simulations/gs/heisenberg_D2_X41.npz b/simulations/gs/heisenberg_D2_X41.npz new file mode 100644 index 0000000..25ccc49 Binary files /dev/null and b/simulations/gs/heisenberg_D2_X41.npz differ diff --git a/tags b/tags new file mode 100644 index 0000000..6a9bb01 --- /dev/null +++ b/tags @@ -0,0 +1,148679 @@ +!_TAG_FILE_FORMAT 2 /extended format; --format=1 will not append ;" to lines/ +!_TAG_FILE_SORTED 2 /0=unsorted, 1=sorted, 2=foldcase/ +!_TAG_OUTPUT_MODE u-ctags /u-ctags or e-ctags/ +!_TAG_PROGRAM_AUTHOR Universal Ctags Team // +!_TAG_PROGRAM_NAME Universal Ctags /Derived from Exuberant Ctags/ +!_TAG_PROGRAM_URL https://ctags.io/ /official site/ +!_TAG_PROGRAM_VERSION 0.0.0 /7918d19/ +a adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^a = np.random.randn(3, 4).astype(np.float32)$/;" v +a adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def a(items):$/;" f member:TestVlen.test_compound_vlen_bool file: +a adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def a(items):$/;" f member:TestVlen.test_compound_vlen_enum file: +A adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class A:$/;" c function:test_setattr_cm file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class A(np.ndarray):$/;" c member:TestBinop.test_pos_array_ufunc_override file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class A(np.ndarray):$/;" c member:TestMethods.test_searchsorted_return_type file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class A:$/;" c member:TestCreation.test_failed_len_sequence file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class A:$/;" c member:TestMatmulOperator.test_array_priority_override file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class A:$/;" c member:TestMethods.test_dot_matmul_inner_array_casting_fails file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class A:$/;" c member:TestGetImplementingArgs.test_many_duck_arrays file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class A:$/;" c +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A(np.ndarray):$/;" c member:TestSpecialMethods.test_priority_with_scalar file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A(np.ndarray):$/;" c member:TestSpecialMethods.test_ufunc_override_with_super file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A(object):$/;" c member:TestSpecialMethods.test_array_too_many_args file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_failing_prepare file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_failing_wrap file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_gufunc_override file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_none_wrap file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_priority file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override_exception file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override_methods file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override_mro file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override_not_implemented file: +A adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class A:$/;" c member:TestSpecialMethods.test_ufunc_override_out file: +A adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ class A:$/;" c member:TestF77Callback.check_function file: +A adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class A(np.ndarray):$/;" c member:TestDigitize.test_return_type file: +A adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ A = np.array($/;" v class:TestCorrCoef +A adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class A:$/;" c class:TestLeaks +A adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ class A(np.ndarray):$/;" c member:TestMaskedArrayFunctions.test_compressed file: +A adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def A(self):$/;" m class:matrix +a adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ a = matrix([[1, 2], [3, 4]])$/;" v class:TestNewScalarIndexing +a adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ a = np.array([[1], [2]])$/;" v class:TestShape +a adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ a = np.arange(5)$/;" v class:TestRegression.test_permutation_subclass.M +a adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ a = np.arange(5)$/;" v class:TestRegression.test_permutation_subclass.M +a adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ a = np.arange(5)$/;" v class:TestRegression.test_permutation_subclass.M +A adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^A = np.zeros((N_STAGES_EXTENDED, N_STAGES_EXTENDED))$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ A = dop853_coefficients.A[:n_stages, :n_stages]$/;" v class:DOP853 +A adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ A = NotImplemented$/;" v class:RungeKutta +A adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ A = np.array([$/;" v class:RK23 +A adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ A = np.array([$/;" v class:RK45 +A adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def A(self):$/;" m class:Rbf +a adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^a = np.empty((1,2), dtype=[(n, object) for n in ['one', 'two']])$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^A = np.zeros((3,5))$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^A = np.array([$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def A(self):$/;" m class:StateSpace +A adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def A(self, A):$/;" m class:StateSpace +A adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ A = spdiags([arange(1,n+1,dtype=float)], [0], n, n, format='csr')$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^A = la.LinearOperator(matvec=matvec, shape=Am.shape, dtype=Am.dtype)$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^A = LinearOperator(matvec=matvec, shape=Am.shape, dtype=Am.dtype)$/;" v +A adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^A = LinearOperator(matvec=matvec, shape=Am.shape, dtype=Am.dtype)$/;" v +a adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ a = [5, 7, 1, 2, 1, 5, 7] * 10$/;" v class:TestItemfreq +A adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^A = tf_export("raw_ops.A")(_ops.to_raw_op(a))$/;" v +a adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def a(name=None):$/;" f +A0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^A0 = tuple(-2.0 - x\/10.0 for x in range(10)) # constant term$/;" v +A1 adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def A1(self):$/;" m class:matrix +A10 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def A10(self):$/;" m class:_ExpmPadeHelper +a13 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^a13 = np.array([[1, 2, 2]])$/;" v +A2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def A2(self):$/;" m class:_ExpmPadeHelper +A4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def A4(self):$/;" m class:_ExpmPadeHelper +A6 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def A6(self):$/;" m class:_ExpmPadeHelper +A8 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def A8(self):$/;" m class:_ExpmPadeHelper +AAControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AAControls(univ.Sequence):$/;" c +AAControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AAControls(univ.Sequence):$/;" c +aa_asymmDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^aa_asymmDecryptKeyID = Attribute()$/;" v +aa_certificatePointers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_certificatePointers = Attribute()$/;" v +aa_classification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_classification = Attribute()$/;" v +aa_cmsAlgorithmProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^aa_cmsAlgorithmProtection = rfc5652.Attribute()$/;" v +aa_contentDecryptKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_contentDecryptKeyIdentifier = Attribute()$/;" v +aa_content_decrypt_key_identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^aa_content_decrypt_key_identifier = rfc5652.Attribute()$/;" v +aa_cRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_cRLDistributionPoints = Attribute()$/;" v +aa_keyAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyAlgorithm = Attribute()$/;" v +aa_keyDistributionPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyDistributionPeriod = Attribute()$/;" v +aa_keyDurationPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyDurationPeriod = Attribute()$/;" v +aa_keyPackageReceivers_v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyPackageReceivers_v2 = Attribute()$/;" v +aa_keyPackageType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyPackageType = Attribute()$/;" v +aa_keyProvince_v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyProvince_v2 = Attribute()$/;" v +aa_keyPurpose adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyPurpose = Attribute()$/;" v +aa_keyUse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyUse = Attribute()$/;" v +aa_keyValidityPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyValidityPeriod = Attribute()$/;" v +aa_keyWrapAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_keyWrapAlgorithm = Attribute()$/;" v +aa_manifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_manifest = Attribute()$/;" v +aa_otherCertificateFormats adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_otherCertificateFormats = Attribute()$/;" v +aa_pkiPath adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_pkiPath = Attribute()$/;" v +aa_signatureUsage_v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_signatureUsage_v3 = Attribute()$/;" v +aa_splitIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_splitIdentifier = Attribute()$/;" v +aa_transportKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_transportKey = Attribute()$/;" v +aa_tsecNomenclature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_tsecNomenclature = Attribute()$/;" v +aa_usefulCertificates adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_usefulCertificates = Attribute()$/;" v +aa_userCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^aa_userCertificate = Attribute()$/;" v +Abandoned adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/abandonment.py /^class Abandoned(Exception):$/;" c +AbandonRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AbandonRequest(LDAPResult):$/;" c +AbbrExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^class AbbrExtension(Extension):$/;" c +AbbrInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^class AbbrInlineProcessor(InlineProcessor):$/;" c +AbbrPreprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^class AbbrPreprocessor(BlockProcessor):$/;" c +abc adpepsenv/lib/python3.8/site-packages/absl/_collections_abc.py /^ import collections as abc$/;" I +ABC adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ABC = ABCMeta(str("ABC"), (object,), {}) # compatible with Python 2 *and* 3:$/;" v +abcd_normalize adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def abcd_normalize(A=None, B=None, C=None, D=None):$/;" f +ABCPolyBase adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^class ABCPolyBase(abc.ABC):$/;" c +ABCTrie adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^from ._base import Trie as ABCTrie$/;" x +ABCTrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^from ._base import Trie as ABCTrie$/;" x +ABCTrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^from ._base import Trie as ABCTrie$/;" x +abc_ABC adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^from abc import ABC as abc_ABC$/;" x +abi adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^abi = partial($/;" v +ABI adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ ABI = ABI.replace('cpython-', 'cp')$/;" v +ABI adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ ABI = _derive_abi()$/;" v +ABI adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ABI = sysconfig.get_config_var('SOABI')$/;" v +abi adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def abi(self):$/;" m class:Tag +abi adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def abi(self):$/;" m class:Tag +abi adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def abi(self):$/;" m class:Tag +abi adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def abi(self):$/;" m class:Tag +ABI_INCOMPATIBILITY_WARNING adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ABI_INCOMPATIBILITY_WARNING = '''$/;" v +abort adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def abort(self, code: grpc.StatusCode, details: str,$/;" m class:ServicerContext +abort adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def abort(self, code, details):$/;" m class:_Context +abort adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def abort(self, code, details):$/;" m class:ServicerContext +Abort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^Abort = tf_export("raw_ops.Abort")(_ops.to_raw_op(abort))$/;" v +abort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def abort(error_msg="", exit_without_error=False, name=None):$/;" f +abort adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^def abort(status, *args, **kwargs):$/;" f +ABORTED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ ABORTED = (_cygrpc.StatusCode.aborted, 'aborted')$/;" v class:StatusCode +ABORTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ABORTED = error_codes.ABORTED$/;" v +ABORTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^ABORTED = 10$/;" v +ABORTED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^ABORTED = 10$/;" v +ABORTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ ABORTED = "ABORTED"$/;" v class:_RemoteValueStatus +ABORTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ABORTED = error_codes_pb2.ABORTED$/;" v +AbortedError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class AbortedError(OpError):$/;" c +AbortedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class AbortedError(OpError):$/;" c +Aborter adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Aborter(object):$/;" c +Abortion adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class Abortion($/;" c +AbortionError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class AbortionError(six.with_metaclass(abc.ABCMeta, Exception)):$/;" c +abort_collective_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def abort_collective_ops(self, code, message):$/;" m class:Context +abort_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def abort_eager_fallback(error_msg, exit_without_error, name, ctx):$/;" f +abort_with_status adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def abort_with_status(self, status):$/;" m class:_Context +abort_with_status adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def abort_with_status(self, status):$/;" m class:ServicerContext +abs adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^abs = np.absolute$/;" v +abs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def abs(x: Array) -> Array:$/;" f +abs adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^abs = _wraps(np.abs)(absolute)$/;" v +abs adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from .numeric import absolute as abs$/;" x +abs adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^abs = absolute = _MaskedUnaryOperation(umath.absolute)$/;" v +abs adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^abs = absolute$/;" v +abs adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^abs = _unary_op(math_ops.abs)$/;" v +ABS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ABS = 101$/;" v class:BuiltinOperator +abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def abs(x):$/;" f +Abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Abs = tf_export("raw_ops.Abs")(_ops.to_raw_op(_abs))$/;" v +abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def abs(x, name=None): # pylint: disable=redefined-builtin$/;" f +abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def abs(x): # pylint: disable=redefined-builtin$/;" f +abs adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def abs(g, self):$/;" f +abs2 adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def abs2(x):$/;" f +ABSENT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ABSENT = b'\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x00'$/;" v +AbslForkServerContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ class AbslForkServerContext(multiprocessing.context.ForkServerContext):$/;" c +AbslForkServerProcess adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ class AbslForkServerProcess(_AbslProcess,$/;" c +ABSLHandler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class ABSLHandler(logging.Handler):$/;" c +ABSLLogger adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class ABSLLogger(logging.getLoggerClass()):$/;" c +absltest_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^absltest_main = main$/;" v +ABSL_DEBUG adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_DEBUG = 1$/;" v +ABSL_ERROR adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_ERROR = -2$/;" v +ABSL_FATAL adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_FATAL = -3$/;" v +absl_flags adpepsenv/lib/python3.8/site-packages/jax/config.py /^ from absl import app, flags as absl_flags$/;" x member:Config.config_with_absl file: +absl_FLAGS adpepsenv/lib/python3.8/site-packages/jax/config.py /^ import absl.flags as absl_FLAGS # noqa: F401$/;" I member:Config.config_with_absl file: +absl_flags adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^from absl import flags as absl_flags$/;" x +ABSL_INFO adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_INFO = 0$/;" v +ABSL_LEVELS adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_LEVELS = {ABSL_FATAL: 'FATAL',$/;" v +ABSL_LOGGING_PREFIX_REGEX adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ABSL_LOGGING_PREFIX_REGEX = ($/;" v +ABSL_NAMES adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_NAMES = {'FATAL': ABSL_FATAL,$/;" v +absl_to_cpp adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def absl_to_cpp(level):$/;" f +ABSL_TO_STANDARD adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_TO_STANDARD = {ABSL_FATAL: STANDARD_CRITICAL,$/;" v +absl_to_standard adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def absl_to_standard(level):$/;" f +ABSL_WARN adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_WARN = -1 # Deprecated name.$/;" v +ABSL_WARNING adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^ABSL_WARNING = -1$/;" v +AbsoftFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^class AbsoftFCompiler(FCompiler):$/;" c +absolute adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def absolute(x):$/;" f +absolute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def absolute(x):$/;" f +absolute_difference adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def absolute_difference($/;" f +absolute_URI adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^absolute_URI = r"^(?: %(scheme)s : %(hier_part)s (?: \\? %(query)s )? )$" % locals($/;" v +AbsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ AbsOptions = 78$/;" v class:BuiltinOptions +AbsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AbsOptions(object):$/;" c +AbsOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AbsOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:AbsOptions +AbsOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AbsOptionsEnd(builder): return builder.EndObject()$/;" f +AbsOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AbsOptionsStart(builder): builder.StartObject(0)$/;" f +AbsOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AbsOptionsT(object):$/;" c +abspath adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def abspath(self, path):$/;" m class:DataSource +abspath adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def abspath(self, path):$/;" m class:Repository +abstract adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def abstract(value):$/;" f function:inv_backward_pass file: +AbstractCharacterString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class AbstractCharacterString(univ.OctetString):$/;" c +AbstractConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class AbstractConstraint(object):$/;" c +AbstractConstraintSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class AbstractConstraintSet(AbstractConstraint):$/;" c +AbstractConstructedAsn1Item adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^AbstractConstructedAsn1Item = ConstructedAsn1Type$/;" v +AbstractConstructedDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class AbstractConstructedDecoder(AbstractDecoder):$/;" c +AbstractContextManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class AbstractContextManager(_abc_ABC):$/;" c +AbstractDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class AbstractDecoder(object):$/;" c +AbstractDistribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/base.py /^class AbstractDistribution(object):$/;" c +AbstractGradientTape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class AbstractGradientTape:$/;" c +abstractify adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def abstractify(x):$/;" f function:eval_shape file: +abstractify adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def abstractify(x):$/;" f function:linear_transpose file: +abstractify adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def abstractify(x):$/;" f function:xla_computation file: +abstractify adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def abstractify(x):$/;" f +abstractify adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def abstractify(x):$/;" m class:_BodyTracer +abstractify adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def abstractify(x) -> core.AbstractValue:$/;" f +AbstractItemEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class AbstractItemEncoder(object):$/;" c +AbstractItemEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class AbstractItemEncoder(object):$/;" c +AbstractKey adpepsenv/lib/python3.8/site-packages/rsa/key.py /^class AbstractKey:$/;" c +AbstractMovieWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class AbstractMovieWriter(abc.ABC):$/;" c +AbstractPathEffect adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class AbstractPathEffect:$/;" c +AbstractProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^class AbstractProvider(object):$/;" c +AbstractResolver adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^class AbstractResolver(object):$/;" c +AbstractRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class AbstractRNNCell(Layer):$/;" c +AbstractSandbox adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^class AbstractSandbox:$/;" c +AbstractScalarDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^class AbstractScalarDecoder(object):$/;" c +AbstractSimpleAsn1Item adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^AbstractSimpleAsn1Item = SimpleAsn1Type$/;" v +AbstractSimpleDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class AbstractSimpleDecoder(AbstractDecoder):$/;" c +AbstractTest adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^class AbstractTest(object):$/;" c +AbstractToken adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^AbstractToken = core.AbstractToken$/;" v +AbstractToken adpepsenv/lib/python3.8/site-packages/jax/core.py /^class AbstractToken(AbstractValue):$/;" c +AbstractUnit adpepsenv/lib/python3.8/site-packages/jax/core.py /^class AbstractUnit(AbstractValue):$/;" c +AbstractValue adpepsenv/lib/python3.8/site-packages/jax/core.py /^class AbstractValue:$/;" c +abstract_eval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def abstract_eval(self, *args, **params):$/;" m class:Primitive +abstract_eval_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def abstract_eval_fun(fun, *avals, **params):$/;" f +abstract_token adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^abstract_token = core.abstract_token$/;" v +abstract_token adpepsenv/lib/python3.8/site-packages/jax/core.py /^abstract_token: AbstractToken = AbstractToken()$/;" v +abstract_unit adpepsenv/lib/python3.8/site-packages/jax/core.py /^abstract_unit = AbstractUnit()$/;" v +AbsTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class AbsTransform(Transform):$/;" c +abs_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def abs_(x):$/;" f +abs_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^abs_p = unop(_complex_basetype, _num, 'abs')$/;" v +abs_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def abs_ref(input_tensor):$/;" f member:TestOperators.test_abs file: +abs_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def abs_ref(X):$/;" f member:TestElementwiseOps.test_abs file: +acc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^acc = ACC = accuracy$/;" v +accelerate_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class accelerate_info(system_info):$/;" c +accelerator_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def accelerator_type(self):$/;" m class:Client +accent adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def accent(self, s, loc, toks):$/;" m class:Parser +Accent adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Accent(Char):$/;" c +accentprefixed adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ accentprefixed = symbol$/;" v class:Parser +accept adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def accept(self):$/;" m class:FormDialog +ACCEPT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ACCEPT = os.getenv('EXPECTTEST_ACCEPT')$/;" v +Accept adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class Accept(ImmutableList):$/;" c +AcceptableResponses adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class AcceptableResponses(univ.SequenceOf):$/;" c +AcceptableResponses adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^AcceptableResponses = rfc2560.AcceptableResponses$/;" v +acceptable_vmin_vmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ acceptable_vmin_vmax = [$/;" v class:TestLogitLocator +AcceptMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/accept.py /^class AcceptMixin(object):$/;" c +accept_charsets adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/accept.py /^ def accept_charsets(self):$/;" m class:AcceptMixin +ACCEPT_ENCODING adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^ACCEPT_ENCODING = "gzip,deflate"$/;" v +ACCEPT_ENCODING adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^ACCEPT_ENCODING = "gzip,deflate"$/;" v +accept_encodings adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/accept.py /^ def accept_encodings(self):$/;" m class:AcceptMixin +accept_html adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def accept_html(self):$/;" m class:MIMEAccept +accept_json adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def accept_json(self):$/;" m class:MIMEAccept +accept_languages adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/accept.py /^ def accept_languages(self):$/;" m class:AcceptMixin +accept_mimetypes adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/accept.py /^ def accept_mimetypes(self):$/;" m class:AcceptMixin +accept_output adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def accept_output(update_type):$/;" f member:TestCase.assertExpected file: +accept_ranges adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ accept_ranges = header_property($/;" v class:ETagResponseMixin +accept_reject adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def accept_reject(self, energy_new, energy_old):$/;" m class:Metropolis +accept_reject adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def accept_reject(self, j, e, x_visit):$/;" m class:StrategyChain +accept_xhtml adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def accept_xhtml(self):$/;" m class:MIMEAccept +AccessDeniedError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class AccessDeniedError(OAuth2Error):$/;" c +AccessDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AccessDescription(univ.Sequence):$/;" c +AccessDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AccessDescription(univ.Sequence):$/;" c +AccessDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AccessDescription(univ.Sequence):$/;" c +AccessedFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^AccessedFeatures = namedtuple("AccessedFeatures", ["type", "ids"])$/;" v +AccessTokenAuthMetadataPlugin adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^class AccessTokenAuthMetadataPlugin(grpc.AuthMetadataPlugin):$/;" c +AccessTokenEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/access_token.py /^class AccessTokenEndpoint(BaseEndpoint):$/;" c +access_control_allow_credentials adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ def access_control_allow_credentials(self):$/;" m class:CORSResponseMixin +access_control_allow_credentials adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ def access_control_allow_credentials(self, value):$/;" m class:CORSResponseMixin +access_control_allow_headers adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_allow_headers = header_property($/;" v class:CORSResponseMixin +access_control_allow_methods adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_allow_methods = header_property($/;" v class:CORSResponseMixin +access_control_allow_origin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_allow_origin = header_property($/;" v class:CORSResponseMixin +access_control_expose_headers adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_expose_headers = header_property($/;" v class:CORSResponseMixin +access_control_max_age adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_max_age = header_property($/;" v class:CORSResponseMixin +access_control_request_headers adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_request_headers = environ_property($/;" v class:CORSRequestMixin +access_control_request_method adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ access_control_request_method = environ_property($/;" v class:CORSRequestMixin +access_route adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def access_route(self):$/;" m class:BaseRequest +access_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def access_token(self):$/;" m class:OAuth2Session +access_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def access_token(self, value):$/;" m class:OAuth2Session +access_token_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^access_token_call_credentials = grpc.access_token_call_credentials$/;" v +access_token_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def access_token_call_credentials(access_token):$/;" f +access_token_length adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def access_token_length(self):$/;" m class:RequestValidator +ACClearAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class ACClearAttrs(univ.Sequence):$/;" c +ACClearAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class ACClearAttrs(univ.Sequence):$/;" c +AccountSelectionRequired adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class AccountSelectionRequired(OpenIDClientError):$/;" c +account_displayed_op_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def account_displayed_op_only(self, is_true):$/;" m class:ProfileOptionBuilder +accumulate adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def accumulate(self, target, axis=0):$/;" m class:_MaskedBinaryOperation +AccumulateNV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^AccumulateNV2 = tf_export("raw_ops.AccumulateNV2")(_ops.to_raw_op(accumulate_nv2))$/;" v +accumulate_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def accumulate_n(inputs, shape=None, tensor_dtype=None, name=None):$/;" f +accumulate_nv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def accumulate_nv2(inputs, shape, name=None):$/;" f +accumulate_nv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def accumulate_nv2_eager_fallback(inputs, shape, name, ctx):$/;" f +ACCUMULATE_PRED adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ ACCUMULATE_PRED = "accumulate_pred"$/;" v class:InstantiationContext +accumulate_quantiles adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def accumulate_quantiles(self, float_features, weights, are_boundaries_ready):$/;" m class:_AccumulatorEnsembleGrower +accumulate_quantiles adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def accumulate_quantiles(self, float_features, weights, are_boundaries_ready):$/;" m class:_EnsembleGrower +accumulate_quantiles adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def accumulate_quantiles(self, float_features, weights, are_boundaries_ready):$/;" m class:_InMemoryEnsembleGrower +Accumulator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^class Accumulator:$/;" c +AccumulatorApplyGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^AccumulatorApplyGradient = tf_export("raw_ops.AccumulatorApplyGradient")(_ops.to_raw_op(accumula/;" v +AccumulatorNumAccumulated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^AccumulatorNumAccumulated = tf_export("raw_ops.AccumulatorNumAccumulated")(_ops.to_raw_op(accumu/;" v +AccumulatorSetGlobalStep adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^AccumulatorSetGlobalStep = tf_export("raw_ops.AccumulatorSetGlobalStep")(_ops.to_raw_op(accumula/;" v +AccumulatorTakeGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^AccumulatorTakeGradient = tf_export("raw_ops.AccumulatorTakeGradient")(_ops.to_raw_op(accumulato/;" v +accumulator_apply_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_apply_gradient(handle, local_step, gradient, name=None):$/;" f +accumulator_apply_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_apply_gradient_eager_fallback(handle, local_step, gradient, name, ctx):$/;" f +accumulator_num_accumulated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_num_accumulated(handle, name=None):$/;" f +accumulator_num_accumulated_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_num_accumulated_eager_fallback(handle, name, ctx):$/;" f +accumulator_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def accumulator_ref(self):$/;" m class:ConditionalAccumulatorBase +accumulator_set_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_set_global_step(handle, new_global_step, name=None):$/;" f +accumulator_set_global_step_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_set_global_step_eager_fallback(handle, new_global_step, name, ctx):$/;" f +accumulator_take_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_take_gradient(handle, num_required, dtype, name=None):$/;" f +accumulator_take_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def accumulator_take_gradient_eager_fallback(handle, num_required, dtype, name, ctx):$/;" f +Accuracy adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Accuracy(self, *args, **kwargs):$/;" m class:CNNModelHelper +accuracy adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/train.py /^def accuracy(model, blob_in, blob_out, **kwargs):$/;" f +Accuracy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class Accuracy(univ.Sequence):$/;" c +accuracy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^accuracy = 5$/;" v +Accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Accuracy(MeanMetricWrapper):$/;" c +accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def accuracy(y_true, y_pred):$/;" f +accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def accuracy(labels,$/;" f +ACCURACY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ ACCURACY = 'accuracy'$/;" v class:MetricKeys +accuracy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def accuracy(output, target, topk=(1,)):$/;" f +AccuracyWarning adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^class AccuracyWarning(Warning):$/;" c +ACCURACY_AT_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ ACCURACY_AT_THRESHOLD = 'accuracy\/positive_threshold_%g'$/;" v class:MetricKeys +ACCURACY_BASELINE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ ACCURACY_BASELINE = 'accuracy_baseline'$/;" v class:MetricKeys +acd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^from tensorflow.python.framework import auto_control_deps_utils as acd$/;" x +acd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^from tensorflow.python.framework import auto_control_deps_utils as acd$/;" x +acd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^from tensorflow.python.framework import auto_control_deps_utils as acd$/;" x +acd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^from tensorflow.python.framework import auto_control_deps_utils as acd$/;" x +acd_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.framework import auto_control_deps_utils as acd_utils$/;" x +ACO adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ACO = 5 # accent capital other$/;" v +ACO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ACO = 5 # accent capital other$/;" v +acorr adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def acorr(self, x, **kwargs):$/;" m class:Axes +acorr adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def acorr(x, *, data=None, **kwargs):$/;" f +acos adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def acos(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +acos adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^acos = np.arccos$/;" v +acos adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def acos(x: Array) -> Array:$/;" f +Acos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Acos = tf_export("raw_ops.Acos")(_ops.to_raw_op(acos))$/;" v +acos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def acos(x, name=None):$/;" f +acos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def acos(x, name=None):$/;" f +acos adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def acos(g, self):$/;" f +acosh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def acosh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +acosh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^acosh = np.arccosh$/;" v +acosh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def acosh(x: Array) -> Array:$/;" f +Acosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Acosh = tf_export("raw_ops.Acosh")(_ops.to_raw_op(acosh))$/;" v +acosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def acosh(x, name=None):$/;" f +acosh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def acosh_eager_fallback(x, name, ctx):$/;" f +acosh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^acosh_p = standard_unop(_float | _complex, 'acosh')$/;" v +acos_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def acos_eager_fallback(x, name, ctx):$/;" f +acos_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^acos_p = standard_unop(_float | _complex, 'acos',$/;" v +acos_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def acos_translation_rule(x):$/;" f +acquire adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def acquire(self, group_id):$/;" m class:GroupLock +acquire_new_handle adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def acquire_new_handle(self):$/;" m class:IntegratorBase +acre adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^acre = 43560 * foot**2$/;" v +Action adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config.py /^Action = config_lib.Action$/;" v +Action adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^class Action(enum.Enum):$/;" c +activate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def activate(self, path=None, replace=False):$/;" m class:Distribution +activate adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def activate(self, path=None, replace=False):$/;" m class:Distribution +activate_python_handler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def activate_python_handler(self):$/;" m class:ABSLHandler +activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def activation(self):$/;" m class:ConvLSTM2D +Activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Activation(Layer):$/;" c +activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def activation(self):$/;" m class:GRU +activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def activation(self):$/;" m class:LSTM +activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def activation(self):$/;" m class:SimpleRNN +ActivationFunctionType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ActivationFunctionType(object):$/;" c +ACTIVATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ ACTIVATIONS = "activations"$/;" v class:GraphKeys +ActivationsTestModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ActivationsTestModel(torch.nn.Module):$/;" c +activations_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def activations_type(self):$/;" m class:QuantizationMode +active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ active = property(get_active, set_active, doc="Is the widget active?")$/;" v class:Widget +ActiveFormattingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^class ActiveFormattingElements(list):$/;" c +ActiveFormattingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^class ActiveFormattingElements(list):$/;" c +ActivePlugins adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def ActivePlugins(self):$/;" m class:EventAccumulator +ActivePlugins adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def ActivePlugins(self):$/;" m class:EventMultiplexer +active_global_handle adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ active_global_handle = 0$/;" v class:lsoda +active_global_handle adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ active_global_handle = 0$/;" v class:vode +active_global_handle adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ active_global_handle = 0$/;" v class:zvode +active_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def active_toggle(self):$/;" m class:ToolManager +ACTIVITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ ACTIVITY = 1$/;" v class:AnalysisLevel +ActivityAnalyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^class ActivityAnalyzer(transformer.Base):$/;" c +ActivityRegularization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class ActivityRegularization(Layer):$/;" c +activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def activity_regularizer(self):$/;" m class:Layer +activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def activity_regularizer(self, regularizer):$/;" m class:Layer +activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def activity_regularizer(self):$/;" m class:Layer +activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def activity_regularizer(self, regularizer):$/;" m class:Layer +activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def activity_regularizer(self):$/;" m class:Wrapper +actual_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def actual_decorator(func):$/;" f function:monitored_timer file: +actual_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def actual_grad_fn(*result_grads):$/;" f function:_eager_mode_decorator file: +ACV adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ACV = 4 # accent capital vowel$/;" v +ACV adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ACV = 4 # accent capital vowel$/;" v +adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^adadelta = Adadelta$/;" v +Adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Adadelta(Optimizer):$/;" c +Adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^class Adadelta(optimizer_v2.OptimizerV2):$/;" c +Adadelta adpepsenv/lib/python3.8/site-packages/torch/optim/adadelta.py /^class Adadelta(Optimizer):$/;" c +Adadelta adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adadelta.py /^class Adadelta(Optimizer):$/;" c +AdadeltaOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class AdadeltaOptimizer(Optimizer):$/;" c +AdadeltaOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^class AdadeltaOptimizer(optimizer.Optimizer):$/;" c +AdadeltaParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^AdadeltaParameters = _reflection.GeneratedProtocolMessageType('AdadeltaParameters', (_message.Me/;" v +adadelta_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import adadelta as adadelta_keras_v2$/;" x +adadelta_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adadelta_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +adadelta_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adadelta as adadelta_v2$/;" x +adadelta_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import adadelta as adadelta_v2$/;" x +adagrad adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def adagrad(step_size, momentum=0.9):$/;" f +adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^adagrad = Adagrad$/;" v +Adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Adagrad(Optimizer):$/;" c +Adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^class Adagrad(optimizer_v2.OptimizerV2):$/;" c +Adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class Adagrad(_Optimizer):$/;" c +Adagrad adpepsenv/lib/python3.8/site-packages/torch/optim/adagrad.py /^class Adagrad(Optimizer):$/;" c +adagrad adpepsenv/lib/python3.8/site-packages/torch/optim/functional.py /^def adagrad(params: List[Tensor],$/;" f +AdagradDAOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^class AdagradDAOptimizer(optimizer.Optimizer):$/;" c +AdagradOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class AdagradOptimizer(Optimizer):$/;" c +AdagradOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^class AdagradOptimizer(optimizer.Optimizer):$/;" c +AdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^AdagradParameters = _reflection.GeneratedProtocolMessageType('AdagradParameters', (_message.Mess/;" v +AdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class AdagradParameters(_OptimizationParameters):$/;" c +AdagradSlotVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^AdagradSlotVariable = collections.namedtuple($/;" v +AdagradSlotVariableName adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^AdagradSlotVariableName = collections.namedtuple($/;" v +adagrad_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import adagrad as adagrad_keras_v2$/;" x +adagrad_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adagrad_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +adagrad_optimizer_v1_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adagrad_optimizer_v1_fn = combinations.NamedObject($/;" v +adagrad_sparse_test_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test_helper.py /^def adagrad_sparse_test_helper($/;" f +adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adagrad as adagrad_v2$/;" x +adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import adagrad as adagrad_v2$/;" x +adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adagrad as adagrad_v2$/;" x +adam adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def adam(step_size, b1=0.9, b2=0.999, eps=1e-8):$/;" f +adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^adam = Adam$/;" v +Adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Adam(Optimizer):$/;" c +Adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^class Adam(optimizer_v2.OptimizerV2):$/;" c +Adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class Adam(_Optimizer):$/;" c +Adam adpepsenv/lib/python3.8/site-packages/torch/optim/adam.py /^class Adam(Optimizer):$/;" c +adam adpepsenv/lib/python3.8/site-packages/torch/optim/functional.py /^def adam(params: List[Tensor],$/;" f +Adam adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adam.py /^class Adam(Optimizer):$/;" c +adamax adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def adamax(step_size, b1=0.9, b2=0.999, eps=1e-8):$/;" f +adamax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^adamax = Adamax$/;" v +Adamax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Adamax(Optimizer):$/;" c +Adamax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^class Adamax(optimizer_v2.OptimizerV2):$/;" c +Adamax adpepsenv/lib/python3.8/site-packages/torch/optim/adamax.py /^class Adamax(Optimizer):$/;" c +Adamax adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamax.py /^class Adamax(Optimizer):$/;" c +adamax_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import adamax as adamax_keras_v2$/;" x +adamax_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adamax_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +adamax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adamax as adamax_v2$/;" x +adamax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import adamax as adamax_v2$/;" x +AdamOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class AdamOptimizer(Optimizer):$/;" c +AdamOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^class AdamOptimizer(optimizer.Optimizer):$/;" c +AdamParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^AdamParameters = _reflection.GeneratedProtocolMessageType('AdamParameters', (_message.Message,),/;" v +AdamParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class AdamParameters(_OptimizationParameters):$/;" c +AdamSlotVariableNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^AdamSlotVariableNames = collections.namedtuple($/;" v +AdamSlotVariables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^AdamSlotVariables = collections.namedtuple($/;" v +AdamW adpepsenv/lib/python3.8/site-packages/torch/optim/adamw.py /^class AdamW(Optimizer):$/;" c +AdamW adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamw.py /^class AdamW(Optimizer):$/;" c +adam_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import adam as adam_keras_v2$/;" x +adam_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adam_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +adam_optimizer_v1_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^adam_optimizer_v1_fn = combinations.NamedObject($/;" v +adam_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adam as adam_v2$/;" x +adam_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import adam as adam_v2$/;" x +adam_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import adam as adam_v2$/;" x +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def adapt(self, data, reset_state=True):$/;" m class:CombinerPreprocessingLayer +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def adapt(self, data, reset_state=True):$/;" m class:PreprocessingLayer +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def adapt(self, data, reset_state=True):$/;" m class:CategoryEncoding +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def adapt(self, data, reset_state=True):$/;" m class:IndexLookup +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def adapt(self, data, reset_state=True):$/;" m class:FunctionalPreprocessingStage +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def adapt(self, data, reset_state=True):$/;" m class:PreprocessingStage +adapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def adapt(self, data, reset_state=True):$/;" m class:TextVectorization +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request, servicer_context):$/;" f function:_adapt_unary_request_inline file: +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request, servicer_context):$/;" f function:_adapt_unary_stream_event file: +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request, servicer_context):$/;" f function:_adapt_unary_unary_event file: +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request_iterator, servicer_context):$/;" f function:_adapt_stream_request_inline file: +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request_iterator, servicer_context):$/;" f function:_adapt_stream_stream_event file: +adaptation adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def adaptation(request_iterator, servicer_context):$/;" f function:_adapt_stream_unary_event file: +AdapterFactory adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class AdapterFactory(object):$/;" c +AdapterWrapper adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class AdapterWrapper(FunctionWrapper):$/;" c +adapter_factory adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^adapter_factory = DelegatedAdapterFactory$/;" v +ADAPTIVE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ADAPTIVE = 1$/;" v +AdaptiveAvgPool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveAvgPool1d(_AdaptiveAvgPoolNd):$/;" c +AdaptiveAvgPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveAvgPool2d(_AdaptiveAvgPoolNd):$/;" c +AdaptiveAvgPool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveAvgPool3d(_AdaptiveAvgPoolNd):$/;" c +AdaptiveLogSoftmaxWithLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^class AdaptiveLogSoftmaxWithLoss(Module):$/;" c +AdaptiveMaxPool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveMaxPool1d(_AdaptiveMaxPoolNd):$/;" c +AdaptiveMaxPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveMaxPool2d(_AdaptiveMaxPoolNd):$/;" c +AdaptiveMaxPool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AdaptiveMaxPool3d(_AdaptiveMaxPoolNd):$/;" c +AdaptiveStepsize adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class AdaptiveStepsize(object):$/;" c +AdaptiveWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^class AdaptiveWeight(ModelLayer):$/;" c +adaptive_autorange adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def adaptive_autorange($/;" m class:Timer +adaptive_avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^adaptive_avg_pool1d = _add_docstr(torch.adaptive_avg_pool1d, r"""$/;" v +adaptive_avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_avg_pool1d = _adaptive_pool('adaptive_avg_pool1d', "AveragePool", _single)$/;" v +adaptive_avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def adaptive_avg_pool2d(input, output_size):$/;" f +adaptive_avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def adaptive_avg_pool2d(input: Tensor, output_size: BroadcastingList2[int]) -> Tensor:$/;" f +adaptive_avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_avg_pool2d = _adaptive_pool('adaptive_avg_pool2d', "AveragePool", _pair)$/;" v +adaptive_avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def adaptive_avg_pool3d(input, output_size):$/;" f +adaptive_avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def adaptive_avg_pool3d(input: Tensor, output_size: BroadcastingList2[int]) -> Tensor:$/;" f +adaptive_avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_avg_pool3d = _adaptive_pool('adaptive_avg_pool3d', "AveragePool", _triple)$/;" v +adaptive_max_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^adaptive_max_pool1d = boolean_dispatch($/;" v +adaptive_max_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_max_pool1d = _adaptive_pool('adaptive_max_pool1d', "MaxPool", _single, max_pool1d_with_/;" v +adaptive_max_pool1d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def adaptive_max_pool1d_with_indices(input, output_size, return_indices=False):$/;" f +adaptive_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^adaptive_max_pool2d = boolean_dispatch($/;" v +adaptive_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_max_pool2d = _adaptive_pool('adaptive_max_pool2d', "MaxPool", _pair, max_pool2d_with_in/;" v +adaptive_max_pool2d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def adaptive_max_pool2d_with_indices(input, output_size, return_indices=False):$/;" f +adaptive_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^adaptive_max_pool3d = boolean_dispatch($/;" v +adaptive_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^adaptive_max_pool3d = _adaptive_pool('adaptive_max_pool3d', "MaxPool", _triple, max_pool3d_with_/;" v +adaptive_max_pool3d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def adaptive_max_pool3d_with_indices(input, output_size, return_indices=False):$/;" f +Add adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^ def Add(self):$/;" m class:ComputeLoss +Add adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^ def Add(self):$/;" m class:ComputeTopKAccuracy +Add adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/meter.py /^ def Add(self):$/;" m class:Meter +add adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def add(self, child):$/;" m class:NetBuilder +add adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def add(self, child):$/;" m class:_RunIfNet +add adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def add(self, text):$/;" m class:Text +add adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def add(self, coordinator):$/;" m class:GlobalWorkerCoordinator +add adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def add(self, task):$/;" m class:TaskGroup +Add adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def Add(self, file_desc_proto):$/;" m class:DescriptorDatabase +Add adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def Add(self, file_desc_proto):$/;" m class:DescriptorPool +add adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def add(self, **kwargs):$/;" m class:RepeatedCompositeFieldContainer +add adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def add(self, key: MetadataKey, value: MetadataValue) -> None:$/;" m class:Metadata +add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def add(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +add adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^add = np.add$/;" v +add adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^add = partial(tree_multimap, lambda x, y: np.add(x, y, dtype=_dtype(x)))$/;" v +add adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def add(x: Array, y: Array) -> Array:$/;" f +add adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def add(self, values, indices_are_sorted=False, unique_indices=False):$/;" m class:_IndexUpdateRef +add adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^add = _maybe_bool_binop(np.add, lax.add, lax.bitwise_or)$/;" v +add adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def add(self, key, value, location):$/;" m class:Registry +add adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def add(tmpdir):$/;" m class:TmpDirCleaner +add adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def add(self, key):$/;" m class:_OrderedSet +add adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add(self, key, a):$/;" m class:_AxesStack +add adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def add(self, patchlabel='', flows=None, orientations=None, labels='',$/;" m class:Sankey +add adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ add = collections.deque.appendleft$/;" v class:Queue +Add adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class Add(_Base):$/;" c +add adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def add(x1, x2):$/;" f +add adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^ def add(line, ret=ret):$/;" f function:createfuncwrapper file: +add adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^ def add(line, ret=ret):$/;" f function:createsubrwrapper file: +add adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^add = _MaskedBinaryOperation(umath.add)$/;" v +add adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def add(image1, image2, scale=1.0, offset=0):$/;" f +add adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def add(self, cid, data, after_idat=False):$/;" m class:PngInfo +add adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def add(self, req):$/;" m class:RequirementTracker +add adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def add(self, entry):$/;" m class:UninstallPthEntries +add adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def add(self, path):$/;" m class:UninstallPathSet +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def add(self, dist):$/;" m class:_Cache +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def add(self, item):$/;" m class:Manifest +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def add(self, event, subscriber, append=True):$/;" m class:EventMixin +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def add(self, pred, succ):$/;" m class:Sequencer +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def add(self, pathname, extensions):$/;" m class:Mounter +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def add(self, name, arcname=None, recursive=True, exclude=None, filter=None):$/;" m class:TarFile +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def add(self, dist):$/;" m class:Environment +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def add(self, dist, entry=None, insert=True, replace=False):$/;" m class:WorkingSet +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def add(self, key):$/;" m class:DirectedGraph +add adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def add(self, key, val):$/;" m class:HTTPHeaderDict +add adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def add(self, dist):$/;" m class:Environment +add adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def add(self, dist, entry=None, insert=True, replace=False):$/;" m class:WorkingSet +add adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def add(self, x):$/;" m class:DisjointSet +add adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def add(self, dist):$/;" m class:PthDistributions +add adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def add(self, key):$/;" m class:OrderedSet +add adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^add = _broadcasting_binary_op(math_ops.add)$/;" v +add adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add(self, arg, tag=None, name=None, aggregate=None,$/;" m class:OpHint.OpHintArgumentTracker +add adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add(self, sort, node):$/;" m class:_LiteAggregateOperand +ADD adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ADD = 0$/;" v class:BuiltinOperator +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def add(self, profile_datum):$/;" m class:AggregateProfile +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def add(self, value):$/;" m class:SamplerCell +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def add(self, layer):$/;" m class:Sequential +Add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Add(_Merge):$/;" c +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def add(inputs, **kwargs):$/;" f +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def add(self, n, values=None):$/;" m class:Progbar +Add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Add = tf_export("raw_ops.Add")(_ops.to_raw_op(add))$/;" v +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add(x, y, name=None):$/;" f +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def add(self, op1, op2, operator_name, hints=None):$/;" m class:_Adder +ADD adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ ADD = 1$/;" v class:_UpdateMethod +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def add(x1, x2):$/;" f +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def add(a, b):$/;" f +add adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def add(self, key):$/;" m class:ObjectIdentitySet +add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_add as add$/;" x +add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_add_v2 as add$/;" x +add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import _add_dispatch as add$/;" x +add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_add_v2 as add$/;" x +add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import _add_dispatch as add$/;" x +add adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def add(self, other):$/;" m class:FunctionEventAvg +add adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add(self, x, y):$/;" m class:FloatFunctional +add adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add(self, x, y):$/;" m class:QFunctional +add adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def add(g, input_a, input_b, scale, zero_point):$/;" f +add adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def add(g, self, other, alpha=None):$/;" f +add adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def add(g, self, other, alpha=None):$/;" f +Add adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class Add(QuantizeHandler):$/;" c +add adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def add(self, x, y):$/;" m class:Shadow +add adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def add(self, *args, **kwargs):$/;" m class:FauxTorch +add adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def add(self, word):$/;" m class:Trie +add adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def add(self, key, val):$/;" m class:HTTPHeaderDict +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, header):$/;" m class:HeaderSet +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, item):$/;" m class:ImmutableHeadersMixin +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, key, value):$/;" m class:ImmutableMultiDictMixin +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, key, value):$/;" m class:MultiDict +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, key, value):$/;" m class:OrderedMultiDict +add adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add(self, _key, _value, **kw):$/;" m class:Headers +add adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def add(self, rulefactory):$/;" m class:Map +add2 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def add2(x, y):$/;" f member:ShapePolyTest.test_bad_in_shapes file: +AddArgument adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def AddArgument(op, key, value):$/;" f +AddArgument adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddArgument(self, arg_name, arg_value):$/;" m class:Net +AddBackpropAccumulatedValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def AddBackpropAccumulatedValue(self, history_value, value,$/;" m class:_GradLoopState +AddBackpropAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddBackpropAccumulator(self, op, grad):$/;" m class:WhileContext +AddBackpropIndexedSlicesAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddBackpropIndexedSlicesAccumulator(self, op, grad):$/;" m class:WhileContext +AddBackpropLoopCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddBackpropLoopCounter(self, count, outer_grad_state):$/;" m class:WhileContext +AddBias adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/add_bias.py /^class AddBias(ModelLayer):$/;" c +AddBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def AddBlobs(meta_net_def, blob_name, blob_def):$/;" f +AddBlobSync adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def AddBlobSync(model, blobs, net=None):$/;" f +addBreak adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addBreak(self):$/;" m class:Formatter +addBreak adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addBreak(self):$/;" m class:Markdown +addCode adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addCode(self, text):$/;" m class:Formatter +addCode adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addCode(self, text, inline=False):$/;" m class:Markdown +addCodeLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addCodeLink(self, path, options=None):$/;" m class:Markdown +addCodeLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addCodeLink(self, text):$/;" m class:Formatter +addCondition adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def addCondition(self, *fns, **kwargs):$/;" m class:ParserElement +addCondition adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def addCondition(self, *fns, **kwargs):$/;" m class:ParserElement +addCondition adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def addCondition(self, *fns, **kwargs):$/;" m class:ParserElement +addCondition adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def addCondition(self, *fns, **kwargs):$/;" m class:ParserElement +AddDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def AddDecoder(wiretype, is_packed):$/;" f function:_AttachFieldHelpers file: +AddDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddDescriptor(self, desc):$/;" m class:DescriptorPool +AddDirectGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def AddDirectGradient(op, g_output):$/;" f +AddDistributedBlobSync adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def AddDistributedBlobSync(model, blobs):$/;" f +addDocHeader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def addDocHeader(self):$/;" m class:GHMarkdown +addEmphasis adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addEmphasis(self, text):$/;" m class:Formatter +addEmphasis adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addEmphasis(self, text, s=1):$/;" m class:Markdown +addEngines adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def addEngines(self, engines):$/;" m class:OperatorDoc +AddEnumDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddEnumDescriptor(self, enum_desc):$/;" m class:DescriptorPool +addError adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addError(self, test, err):$/;" m class:_TextAndXMLTestResult +addError adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addError(self, test, err):$/;" m class:TextTestResult +addExpectedFailure adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addExpectedFailure(self, test, err):$/;" m class:_TextAndXMLTestResult +addExpectedFailure adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addExpectedFailure(self, test, err):$/;" m class:TextTestResult +AddExtensionDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddExtensionDescriptor(self, extension):$/;" m class:DescriptorPool +AddExtensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class AddExtensions(univ.Sequence):$/;" c +AddExtensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class AddExtensions(univ.Sequence):$/;" c +AddExternalInput adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddExternalInput(self, *inputs):$/;" m class:Net +AddExternalInputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddExternalInputs(self, *inputs):$/;" m class:Net +AddExternalOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddExternalOutput(self, *outputs):$/;" m class:Net +AddExternalOutputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddExternalOutputs(self, *outputs):$/;" m class:Net +addFailure adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addFailure(self, test, err):$/;" m class:_TextAndXMLTestResult +addFailure adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addFailure(self, test, err):$/;" m class:TextTestResult +addfield adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def addfield(mrecord, newfield, newfieldname=None):$/;" f +addField adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def addField(self, idx):$/;" m class:SequenceAndSetBase.DynamicNames +addfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def addfile(self, tarinfo, fileobj=None):$/;" m class:TarFile +AddFileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddFileDescriptor(self, file_desc):$/;" m class:DescriptorPool +addfont adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def addfont(self, path):$/;" m class:FontManager +addFormattingElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def addFormattingElement(self, token):$/;" m class:getPhases.InBodyPhase +addFormattingElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def addFormattingElement(self, token):$/;" m class:getPhases.InBodyPhase +AddForwardAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def AddForwardAccumulator(self, value, dead_branch=False):$/;" m class:_GradLoopState +AddForwardLoopCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddForwardLoopCounter(self, outer_grad_state):$/;" m class:WhileContext +addGouraudTriangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def addGouraudTriangles(self, points, colors):$/;" m class:PdfFile +AddGradientOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddGradientOperators(self, ys, skip=0):$/;" m class:Net +AddGradientOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def AddGradientOperators(self, *args, **kwargs):$/;" m class:ModelHelper +addHeader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addHeader(self, text):$/;" m class:Formatter +addHeader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addHeader(self, text, h=1):$/;" m class:Markdown +addHeader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def addHeader(self, text, h=1):$/;" m class:GHMarkdown +AddImageInput adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/gfs_IN1k.py /^ def AddImageInput(model, reader, batch_size, img_size):$/;" f function:gen_input_builder_fun file: +AddImageInput adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def AddImageInput($/;" f +AddImageInput adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def AddImageInput($/;" f +AddImportTest adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^class AddImportTest(test_utils.TestCase):$/;" c +adding_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def adding_requirement(self, requirement, parent):$/;" m class:BaseReporter +AddInnerOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def AddInnerOp(self, op):$/;" m class:XLACompileContext +AddInnerOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddInnerOp(self, op):$/;" m class:ControlFlowContext +AddInnerOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddInnerOp(self, op):$/;" m class:OutsideCompilationV2Context +AddInnerOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddInnerOp(self, op):$/;" m class:TPUReplicateContext +AddInnerOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddInnerOp(self, op):$/;" m class:_TPUInferenceContext +AddInput adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def AddInput(model, batch_size, db, db_type):$/;" f +AddItem adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def AddItem(self, item, f=lambda x: x):$/;" m class:_ReservoirBucket +AddItem adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def AddItem(self, key, item, f=lambda x: x):$/;" m class:Reservoir +additional_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ additional_data = list(zip(limits, reference))$/;" v class:TestAutoMinorLocator +additional_module_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^additional_module_tests = [$/;" v +additional_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def additional_scopes(self):$/;" m class:OAuth2Token +AdditiveAttention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^class AdditiveAttention(BaseDenseAttention):$/;" c +AddLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class AddLayer(keras.layers.Layer):$/;" c class:TestRegularizerLoss +AddLeafNodes adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def AddLeafNodes(self, prefix, node):$/;" m class:_FieldMaskTree +addLine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLine(self, text):$/;" m class:Formatter +addLine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLine(self, text, new_line=False):$/;" m class:Markdown +addLinebreak adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLinebreak(self):$/;" m class:Formatter +addLinebreak adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLinebreak(self):$/;" m class:Markdown +addLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLink(self, text, url):$/;" m class:Formatter +addLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addLink(self, text, url):$/;" m class:Markdown +addList adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addList(self, textList):$/;" m class:Formatter +addList adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addList(self, textList):$/;" m class:Markdown +AddList adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class AddList(_Base):$/;" c +AddLoss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^class AddLoss(Layer):$/;" c +AddManySparseToTensorsMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^AddManySparseToTensorsMap = tf_export("raw_ops.AddManySparseToTensorsMap")(_ops.to_raw_op(add_ma/;" v +AddMetric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^class AddMetric(Layer):$/;" c +addmm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def addmm(g, self, mat1, mat2, beta, alpha):$/;" f +addmm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def addmm(g, self, mat1, mat2, beta, alpha):$/;" f +addmm adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^def addmm(mat: Tensor, mat1: Tensor, mat2: Tensor,$/;" f +AddModel adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^ def AddModel(model, data):$/;" f member:PreConvertTest.test_preConvert file: +AddModelIdArg adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def AddModelIdArg(meta_net_def, net_def):$/;" f +AddMomentumParameterUpdate adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^def AddMomentumParameterUpdate(train_model, LR):$/;" f +AddN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^AddN = tf_export("raw_ops.AddN")(_ops.to_raw_op(add_n))$/;" v +AddName adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddName(self, name):$/;" m class:ControlFlowContext +AddNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddNet(self, net):$/;" m class:ExecutionStep +AddNet adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def AddNet(meta_net_def, net_name, net_def):$/;" f +AddNets adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddNets(self, nets):$/;" m class:Plan +AddNogradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def AddNogradient(op, g_output):$/;" f +AddNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ AddNOptions = 82$/;" v class:BuiltinOptions +AddNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AddNOptions(object):$/;" c +AddNOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AddNOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:AddNOptions +AddNOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddNOptionsEnd(builder): return builder.EndObject()$/;" f +AddNOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddNOptionsStart(builder): builder.StartObject(0)$/;" f +AddNOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AddNOptionsT(object):$/;" c +AddNullInput adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def AddNullInput(model, reader, batch_size, img_size, dtype):$/;" f +AddNullInput adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def AddNullInput(model, reader, batch_size, img_size, dtype):$/;" f +AddObserver adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddObserver(self, observer_type):$/;" m class:Net +addoffset adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ addoffset = lambda a: offset if a < 0 else a + offset$/;" f member:ParseResults.__iadd__ file: +addoffset adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ addoffset = lambda a: offset if a<0 else a+offset$/;" f member:ParseResults.__iadd__ file: +addoffset adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ addoffset = lambda a: offset if a < 0 else a + offset$/;" f member:ParseResults.__iadd__ file: +addoffset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ addoffset = lambda a: offset if a<0 else a+offset$/;" f member:ParseResults.__iadd__ file: +addons_symbol_mappings adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/all_renames_v2.py /^addons_symbol_mappings = {$/;" v +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def AddOp(self, op):$/;" m class:XLACompileContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddOp(self, op):$/;" m class:CondContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddOp(self, op):$/;" m class:WhileContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddOp(self, _):$/;" m class:XLAControlFlowContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddOp(self, op):$/;" m class:OutsideCompilationV2Context +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddOp(self, op):$/;" m class:TPUReplicateContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddOp(self, op):$/;" m class:_TPUInferenceContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def AddOp(self, op): # pylint: disable=invalid-name$/;" m class:_CapturingContext +AddOp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def AddOp(self, op):$/;" m class:CatchInvalidHostcallFunctions +AddOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ AddOptions = 11$/;" v class:BuiltinOptions +AddOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AddOptions(object):$/;" c +AddOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8S/;" f +AddOptionsAddPotScaleInt16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddOptionsAddPotScaleInt16(builder, potScaleInt16): builder.PrependBoolSlot(1, potScaleInt16/;" f +AddOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AddOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:AddOptions +AddOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddOptionsEnd(builder): return builder.EndObject()$/;" f +AddOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def AddOptionsStart(builder): builder.StartObject(2)$/;" f +AddOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class AddOptionsT(object):$/;" c +AddParam adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper.py /^ def AddParam(self, name, init=None, init_value=None, trainable=True):$/;" m class:Seq2SeqModelHelper +AddParameter adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def AddParameter(self, param, tags=None):$/;" m class:ModelHelper +AddParameterUpdate adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def AddParameterUpdate(model):$/;" f +AddParameterUpdate adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def AddParameterUpdate(model):$/;" f +addParseAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def addParseAction(self, *fns, **kwargs):$/;" m class:ParserElement +addParseAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def addParseAction( self, *fns, **kwargs ):$/;" m class:ParserElement +addParseAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def addParseAction(self, *fns, **kwargs):$/;" m class:ParserElement +addParseAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def addParseAction( self, *fns, **kwargs ):$/;" m class:ParserElement +AddPath adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def AddPath(self, path):$/;" m class:_FieldMaskTree +AddPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def AddPlan(meta_net_def, plan_name, plan_def):$/;" f +addRaw adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addRaw(self, text):$/;" m class:Formatter +addRaw adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addRaw(self, text):$/;" m class:Markdown +AddRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AddRequest(univ.Sequence):$/;" c +AddResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AddResponse(LDAPResult):$/;" c +AddressValueError adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class AddressValueError(ValueError):$/;" c +address_exclude adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def address_exclude(self, other):$/;" m class:_BaseNetwork +address_in_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def address_in_network(ip, net):$/;" f +address_in_network adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def address_in_network(ip, net):$/;" f +ADDRESS_IN_USE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ADDRESS_IN_USE = "Address already in use"$/;" v +address_string adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def address_string(self):$/;" m class:WSGIRequestHandler +AddRun adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def AddRun(self, path, name=None):$/;" m class:EventMultiplexer +AddRun adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def AddRun(self, path, name=None):$/;" m class:EventMultiplexer +AddRunsFromDirectory adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def AddRunsFromDirectory(self, path, name=None):$/;" m class:EventMultiplexer +AddRunsFromDirectory adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def AddRunsFromDirectory(self, path, name=None):$/;" m class:EventMultiplexer +AddScopedExternalInputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddScopedExternalInputs(self, *inputs):$/;" m class:Net +AddScopedExternalOutputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddScopedExternalOutputs(self, *outputs):$/;" m class:Net +AddSerializedFile adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddSerializedFile(self, serialized_file_desc_proto):$/;" m class:DescriptorPool +AddServiceDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def AddServiceDescriptor(self, service_desc):$/;" m class:DescriptorPool +addSkip adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addSkip(self, test, reason):$/;" m class:_TextAndXMLTestResult +addSkip adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addSkip(self, test, reason):$/;" m class:TextTestResult +AddSparseToTensorsMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^AddSparseToTensorsMap = tf_export("raw_ops.AddSparseToTensorsMap")(_ops.to_raw_op(add_sparse_to_/;" v +AddStep adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddStep(self, step):$/;" m class:Plan +AddSubstep adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AddSubstep(self, substep):$/;" m class:ExecutionStep +addSubTest adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addSubTest(self, test, subtest, err): # pylint: disable=invalid-name$/;" m class:_TextAndXMLTestResult +addSubTest adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/result.py /^ def addSubTest(self, test, subtest, err): # pylint: disable=invalid-name$/;" m class:TextTestResult +addsubtract adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def addsubtract(a, b):$/;" f member:TestVectorize.test_scalar file: +addsubtract adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def addsubtract(a, b):$/;" f member:TestVectorize.test_signature_simple file: +addsubtract adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def addsubtract(a, b):$/;" f member:TestVectorize.test_simple file: +addSuccess adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addSuccess(self, test):$/;" m class:_TextAndXMLTestResult +addSuccess adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addSuccess(self, test):$/;" m class:TextTestResult +addTable adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addTable(self, table):$/;" m class:Formatter +addTable adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def addTable(self, table, noTitle=False):$/;" m class:Markdown +addTable adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def addTable(self, table, noTitle=False):$/;" m class:GHMarkdown +addTableHTML adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def addTableHTML(self, table, noTitle=False):$/;" m class:GHMarkdown +AddTrainingOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^ def AddTrainingOperators(model, softmax, label):$/;" f member:PreConvertTest.test_preConvert file: +addUnexpectedSuccess adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def addUnexpectedSuccess(self, test):$/;" m class:_TextAndXMLTestResult +addUnexpectedSuccess adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def addUnexpectedSuccess(self, test):$/;" m class:TextTestResult +AddUseInputGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def AddUseInputGradient(op, g_output):$/;" f +AddUseOutputGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def AddUseOutputGradient(op, g_output):$/;" f +AddV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^AddV2 = tf_export("raw_ops.AddV2")(_ops.to_raw_op(add_v2))$/;" v +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def AddValue(self, val):$/;" m class:XLACompileContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddValue(self, val):$/;" m class:CondContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddValue(self, val):$/;" m class:WhileContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def AddValue(self, x):$/;" m class:XLAControlFlowContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddValue(self, val):$/;" m class:TPUReplicateContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def AddValue(self, val):$/;" m class:_TPUInferenceContext +AddValue adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def AddValue(self, value):$/;" m class:_CapturingContext +AddWeightDecay adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def AddWeightDecay(self, weight_decay):$/;" m class:CNNModelHelper +AddWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def AddWhileContext(self, op, between_op_list, between_ops):$/;" m class:_ControlFlowState +add_abortion_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def add_abortion_callback(self, abortion_callback):$/;" m class:_Rendezvous +add_abortion_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def add_abortion_callback(self, abortion_callback):$/;" m class:_FaceServicerContext +add_abortion_callback adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def add_abortion_callback(self, abortion_callback):$/;" m class:RpcContext +add_abstract adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def add_abstract(xs, ys):$/;" f +add_activation_listener adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^add_activation_listener = None$/;" v +add_activation_listener adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^add_activation_listener = None$/;" v +add_ad_hoc_plot_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_ad_hoc_plot_blob(self, blob, dtype=None):$/;" m class:LayerModelHelper +add_all_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def add_all_jax(x_pair_of_list, y_dict):$/;" f member:ShapePolyTest.test_pytree file: +add_anchor adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def add_anchor(self, c, elem_id): # @ReservedAssignment$/;" m class:TocTreeprocessor +add_any adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def add_any(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +add_any_p adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^add_any_p = add_jaxvals_p$/;" v +add_aot_compile_cpu_subparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def add_aot_compile_cpu_subparser(subparsers):$/;" f +add_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^ def add_arg(s, v):$/;" f function:set_rnn_executor_config file: +add_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def add_arg(self, a):$/;" m class:_ArgTemplateBuilder +add_artist adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_artist(self, a):$/;" m class:_AxesBase +add_artist adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add_artist(self, artist, clip=False):$/;" m class:Figure +add_artist adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def add_artist(self, a):$/;" m class:AuxTransformBox +add_artist adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def add_artist(self, a):$/;" m class:DrawingArea +add_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def add_artist(self, a):$/;" m class:MaxExtent +add_attribute adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def add_attribute(self, name, obj):$/;" m class:Net +add_audio adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_audio(self, tag, snd_tensor, global_step=None, sample_rate=44100, walltime=None):$/;" m class:SummaryWriter +add_auto_adjustable_area adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def add_auto_adjustable_area(self, use_axes, pad=0.1, adjust_dirs=None):$/;" m class:Divider +add_axes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add_axes(self, *args, **kwargs):$/;" m class:Figure +add_axobserver adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add_axobserver(self, func):$/;" m class:Figure +add_basic_unit adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def add_basic_unit(self, prefix, in_channels, stride=1):$/;" m class:ShuffleNetV2Builder +add_batched adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def add_batched(batched_args, batch_dims):$/;" f +add_blob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def add_blob(self, blob_bytes, is_skipped):$/;" m class:UploadStats +add_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def add_blobs(queue, num_samples):$/;" f member:BlobsQueueDBTest.test_create_blobs_queue_db_string file: +add_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def add_blobs(queue, num_samples):$/;" f member:BlobsQueueDBTest.test_create_blobs_queue_db_tensor file: +add_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def add_blobs(queue, num_samples):$/;" f member:BlobsQueueDBTest.test_create_blobs_queue_db_string file: +add_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def add_blobs(queue, num_samples):$/;" f member:BlobsQueueDBTest.test_create_blobs_queue_db_tensor file: +add_bottleneck adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def add_bottleneck($/;" m class:ResNetBuilder +add_callback adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def add_callback(self, callback):$/;" m class:_Rendezvous +add_callback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def add_callback(self, callback):$/;" m class:_UnaryOutcome +add_callback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def add_callback(self, unused_callback):$/;" m class:_FailureOutcome +add_callback adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def add_callback(self, callback):$/;" m class:_Context +add_callback adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def add_callback(self, callback):$/;" m class:RpcContext +add_callback adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def add_callback(self, func):$/;" m class:Artist +add_callback adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def add_callback(self, func, *args, **kwargs):$/;" m class:TimerBase +add_callback adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ add_callback = Artist.add_callback$/;" v class:Container +add_cancelled adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_cancelled(self, future):$/;" m class:_AllCompletedWaiter +add_cancelled adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_cancelled(self, future):$/;" m class:_AsCompletedWaiter +add_cancelled adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_cancelled(self, future):$/;" m class:_FirstCompletedWaiter +add_cancelled adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_cancelled(self, future):$/;" m class:_Waiter +add_capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def add_capture(self, tensor, placeholder):$/;" m class:FuncGraph +add_cell adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def add_cell(self, row, col, *args, **kwargs):$/;" m class:Table +add_centroid adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def add_centroid(self):$/;" m class:Complex +add_checker adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def add_checker(self, checker):$/;" m class:ScalarMappable +add_check_numerics_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numerics.py /^def add_check_numerics_ops():$/;" f +add_child adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def add_child(self, name, type_str=''):$/;" m class:_SchemaNode +add_child adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def add_child(self, child):$/;" m class:LayoutBox +add_child_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_child_axes(self, ax):$/;" m class:_AxesBase +add_class_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^ def add_class_value(*x):$/;" f function:rejection_resample._apply_fn file: +add_click adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def add_click(self, event):$/;" m class:BlockingContourLabeler +add_click adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def add_click(self, event):$/;" m class:BlockingMouseInput +add_close adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def add_close(x, y, out=None):$/;" f function:test_close_equivalent file: +add_collection adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_collection(self, collection, autolim=True):$/;" m class:_AxesBase +add_collection3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def add_collection3d(self, col, zs=0, zdir='z'):$/;" m class:Axes3D +add_collection_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def add_collection_def(meta_graph_def, key, graph=None,$/;" f +add_column adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def add_column(self, column_name, column_values):$/;" m class:EmbeddingMetadata +add_column adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def add_column(padding, text_dir='>'):$/;" f function:build_table file: +add_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def add_command(self, command):$/;" m class:CommandHistory +add_constraints adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def add_constraints(self):$/;" m class:LayoutBox +add_constructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def add_constructor(cls, tag, constructor):$/;" m class:BaseConstructor +add_constructor adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_constructor(tag, constructor, Loader=None):$/;" f +add_container adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_container(self, container):$/;" m class:_AxesBase +add_context adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def add_context(x, y, out=None):$/;" f function:test_close_equivalent file: +add_continue_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def add_continue_node(self, ast_node, section_id, guards):$/;" m class:GraphBuilder +add_contourf_set adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def add_contourf_set(self, cset, zdir='z', offset=None):$/;" m class:Axes3D +add_contour_set adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def add_contour_set($/;" m class:Axes3D +add_contrib_direct_import_support adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/all_renames_v2.py /^def add_contrib_direct_import_support(symbol_dict):$/;" f +add_conv adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def add_conv($/;" m class:ResNetBuilder +add_conv1x1_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def add_conv1x1_bn(self, prev_blob, blob, in_channels, out_channels):$/;" m class:ShuffleNetV2Builder +add_convert_subparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def add_convert_subparser(subparsers):$/;" f +add_custom_scalars adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_custom_scalars(self, layout):$/;" m class:SummaryWriter +add_custom_scalars_marginchart adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_custom_scalars_marginchart(self, tags, category='default', title='untitled'):$/;" m class:SummaryWriter +add_custom_scalars_multilinechart adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_custom_scalars_multilinechart(self, tags, category='default', title='untitled'):$/;" m class:SummaryWriter +add_data_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_data_dir(self, data_path):$/;" m class:Configuration +add_data_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_data_files(self,*files):$/;" m class:Configuration +add_data_to_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def add_data_to_accumulator(self, count, mean, variance, accumulator):$/;" m class:_NormalizingCombiner +add_debug_tensor_watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_utils.py /^def add_debug_tensor_watch(run_options,$/;" f +add_defaults adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/sdist.py /^ def add_defaults (self):$/;" m class:sdist +add_defaults adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def add_defaults(self):$/;" m class:manifest_maker +add_defaults adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def add_defaults(self):$/;" m class:sdist_add_defaults +add_defaults adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def add_defaults(self):$/;" m class:sdist +add_define_macros adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_define_macros(self, macros):$/;" m class:Configuration +add_detection_unit adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def add_detection_unit(self, prev_blob, prefix, in_channels, out_channels,$/;" m class:ShuffleNetV2Builder +add_dict_to_cookiejar adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def add_dict_to_cookiejar(cj, cookie_dict):$/;" f +add_dict_to_cookiejar adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def add_dict_to_cookiejar(cj, cookie_dict):$/;" f +add_dim3 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def add_dim3(kernel_string, cuda_kernel):$/;" f +add_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def add_dir(dirs, d):$/;" f member:Manifest.sorted file: +add_dispatch_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^def add_dispatch_list(target):$/;" f +add_dispatch_support adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^def add_dispatch_support(target):$/;" f +add_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def add_distribution(self, distribution):$/;" m class:DependencyGraph +add_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def add_distribution(self, dist):$/;" m class:DependencyFinder +add_docstr adpepsenv/lib/python3.8/site-packages/torch/_storage_docs.py /^from torch._C import _add_docstr as add_docstr$/;" x +add_docstr adpepsenv/lib/python3.8/site-packages/torch/_tensor_docs.py /^from torch._C import _add_docstr as add_docstr$/;" x +add_docstr adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^from torch._C import _add_docstr as add_docstr$/;" x +add_docstr_all adpepsenv/lib/python3.8/site-packages/torch/_storage_docs.py /^def add_docstr_all(method, docstr):$/;" f +add_docstr_all adpepsenv/lib/python3.8/site-packages/torch/_tensor_docs.py /^def add_docstr_all(method, docstr):$/;" f +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def add_done_callback(self, callback: DoneCallbackType) -> None:$/;" m class:RpcContext +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def add_done_callback(self, callback: DoneCallbackType) -> None:$/;" m class:Call +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def add_done_callback(self, callback) -> None:$/;" m class:_StreamCallResponseIterator +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def add_done_callback(self, callback: DoneCallbackType) -> None:$/;" m class:InterceptedCall +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def add_done_callback(self, unused_callback) -> None:$/;" m class:UnaryUnaryCallResponse +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def add_done_callback(self, fn):$/;" m class:_ChannelReadyFuture +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def add_done_callback(self, fn):$/;" m class:_Rendezvous +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def add_done_callback(self, fn):$/;" m class:Future +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def add_done_callback(self, fn):$/;" m class:_MultiThreadedRendezvous +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def add_done_callback(self, fn, timeout=None): # pylint: disable=unused-argument$/;" m class:_InactiveRpcError +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def add_done_callback(self, fn):$/;" m class:_FailureOutcome +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def add_done_callback(self, fn):$/;" m class:_UnaryOutcome +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def add_done_callback(self, fn):$/;" m class:_ChannelReadyFuture +add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def add_done_callback(self, fn):$/;" m class:Future +add_done_callback adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_done_callback(self, fn):$/;" m class:Future +add_duplicates adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def add_duplicates(self, li, duplicates):$/;" m class:FootnotePostTreeprocessor +add_dwconv3x3_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def add_dwconv3x3_bn(self, prev_blob, blob, channels, stride):$/;" m class:ShuffleNetV2Builder +add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add_eager_fallback(x, y, name, ctx):$/;" f +add_edge adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def add_edge(self, x, y, label=None):$/;" m class:DependencyGraph +add_edge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^def add_edge(dot, src, dst):$/;" f +add_embedding adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_embedding(self, mat, metadata=None, label_img=None, global_step=None, tag='default',/;" m class:SummaryWriter +add_entry adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def add_entry(self, entry):$/;" m class:WorkingSet +add_entry adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def add_entry(self, entry):$/;" m class:WorkingSet +add_etag adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def add_etag(self, overwrite=False, weak=False):$/;" m class:ETagResponseMixin +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^ def add_eval_ops(self, net):$/;" m class:BatchNormalization +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/build_index.py /^ def add_eval_ops(self, net):$/;" m class:MapToRange +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^ def add_eval_ops(self, net):$/;" m class:Dropout +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_eval_ops(self, net):$/;" m class:ModelLayer +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def add_eval_ops(self, net):$/;" m class:SelectRecordByContext +add_eval_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^ def add_eval_ops(self, net):$/;" m class:SparseDropoutWithReplacement +add_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def add_event(self, event):$/;" m class:BlockingInput +add_event adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def add_event(self, event):$/;" m class:EventFileWriter +add_event adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_event($/;" m class:_BlobRequestSender +add_event adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_event(self, run_name, event, value, metadata):$/;" m class:_ScalarBatchedRequestSender +add_event adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_event(self, run_name, event, value, metadata):$/;" m class:_TensorBatchedRequestSender +add_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def add_event(self, event):$/;" m class:EventFileWriter +add_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def add_event(self, event):$/;" m class:EventFileWriterV2 +add_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_event(self, event):$/;" m class:FileWriter +add_event adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_event(self, event, step=None, walltime=None):$/;" m class:FileWriter +add_EventListenerServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^def add_EventListenerServicer_to_server(servicer, server):$/;" f +add_EventListenerServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^def add_EventListenerServicer_to_server(servicer, server):$/;" f +add_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_exception(self, future):$/;" m class:_AllCompletedWaiter +add_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_exception(self, future):$/;" m class:_AsCompletedWaiter +add_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_exception(self, future):$/;" m class:_FirstCompletedWaiter +add_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_exception(self, future):$/;" m class:_Waiter +add_exit_callback_to_default_func_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def add_exit_callback_to_default_func_graph(fn):$/;" f +add_exit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def add_exit_node(self, ast_node, section_id, guards):$/;" m class:GraphBuilder +add_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_extension(self,name,sources,**kw):$/;" m class:Configuration +add_external_reference adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def add_external_reference(self, name, node, name_ref=None):$/;" m class:RootScope +add_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def add_figure(self, figure):$/;" m class:ToolViewsPositions +add_figure adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_figure(self, tag, figure, global_step=None, close=True, walltime=None):$/;" m class:SummaryWriter +add_file adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add_file(self, name, file, filename=None, content_type=None):$/;" m class:FileMultiDict +add_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def add_files(self):$/;" m class:bdist_msi +add_final_net_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_final_net_modifiers(self, modifier):$/;" m class:LayerModelHelper +add_find_links adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def add_find_links(self, urls):$/;" m class:PackageIndex +add_find_python adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def add_find_python(self):$/;" m class:bdist_msi +add_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def add_function(self, fn):$/;" m class:Context +add_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def add_function(fdef):$/;" f +add_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def add_function(self, call_fn, name):$/;" m class:LayerCallCollection +add_function_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def add_function_callback(function_callback):$/;" f +add_function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def add_function_def(self, fdef):$/;" m class:Context +add_fusion_group adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^ def add_fusion_group(node):$/;" f function:visualize_rec file: +add_generic_rpc_handlers adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def add_generic_rpc_handlers($/;" m class:Server +add_generic_rpc_handlers adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ def add_generic_rpc_handlers($/;" m class:Server +add_generic_rpc_handlers adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def add_generic_rpc_handlers(self, generic_rpc_handlers):$/;" m class:_Server +add_generic_rpc_handlers adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def add_generic_rpc_handlers(self, generic_rpc_handlers):$/;" m class:Server +add_global_constant adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_global_constant($/;" m class:LayerModelHelper +add_gradient_functions_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def add_gradient_functions_to_graph(self, g=None):$/;" m class:ConcreteFunction +add_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_graph(self, graph, global_step=None, graph_def=None):$/;" m class:SummaryToEventTransformer +add_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def add_graph(self, graph, global_step=None, graph_def=None):$/;" m class:FakeSummaryWriter +add_graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_graph(self, graph_profile, walltime=None):$/;" m class:FileWriter +add_graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_graph(self, model, input_to_model=None, verbose=False):$/;" m class:SummaryWriter +add_graph_executor adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^ def add_graph_executor(node):$/;" f function:visualize_rec file: +add_gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add_gridspec(self, nrows=1, ncols=1, **kwargs):$/;" m class:Figure +add_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def add_header(self, key, val):$/;" m class:MockRequest +add_header adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def add_header(self, key, val):$/;" m class:MockRequest +add_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add_header(self, item):$/;" m class:ImmutableHeadersMixin +add_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def add_header(self, _key, _value, **_kw):$/;" m class:Headers +add_headers adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_headers(self,*files):$/;" m class:Configuration +add_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def add_headers(self, request, **kwargs):$/;" m class:HTTPAdapter +add_headers adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def add_headers(self, request, **kwargs):$/;" m class:HTTPAdapter +add_histogram adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_histogram(self, tag, values, global_step=None, bins='tensorflow', walltime=None, max/;" m class:SummaryWriter +add_histogram_raw adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_histogram_raw(self, tag, min, max, num, sum, sum_squares,$/;" m class:SummaryWriter +add_hparams adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_hparams($/;" m class:SummaryWriter +add_idle_action adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def add_idle_action(self, action):$/;" m class:End +add_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/authorization_code.py /^ def add_id_token(self, token, token_handler, request):$/;" m class:AuthorizationCodeGrant +add_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def add_id_token(self, token, token_handler, request, nonce=None):$/;" m class:GrantTypeBase +add_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^ def add_id_token(self, token, token_handler, request):$/;" m class:ImplicitGrant +add_if_op adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_util.py /^def add_if_op(if_net, cond_blob, lexical_scope, then_net, else_net=None):$/;" f +add_image adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_image(self, image):$/;" m class:_AxesBase +add_image adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_image(self, tag, img_tensor, global_step=None, walltime=None, dataformats='CHW'):$/;" m class:SummaryWriter +add_images adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_images(self, tag, img_tensor, global_step=None, walltime=None, dataformats='NCHW'):$/;" m class:SummaryWriter +add_image_input adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/gfs_IN1k.py /^ def add_image_input(model):$/;" f function:gen_input_builder_fun file: +add_image_input adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^ def add_image_input(model):$/;" f function:Create file: +add_image_input adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def add_image_input(model):$/;" f function:Train file: +add_image_input adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def add_image_input(model):$/;" f function:Train file: +add_image_input adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^ def add_image_input(model):$/;" f function:build_resnet50_dataparallel_model file: +add_image_with_boxes adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_image_with_boxes(self, tag, img_tensor, box_tensor, global_step=None,$/;" m class:SummaryWriter +add_impl adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def add_impl(xs, ys):$/;" f +add_implicit_resolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def add_implicit_resolver(cls, tag, regexp, first):$/;" m class:BaseResolver +add_implicit_resolver adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_implicit_resolver(tag, regexp, first=None,$/;" f +add_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^def add_import(tree, name_to_import, asname=None, from_import=True, merge_from_imports=True):$/;" f +add_import adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def add_import(self, symbol, source_module_name, source_name,$/;" m class:_ModuleInitCodeBuilder +add_imports_for_symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def add_imports_for_symbol(module_code_builder,$/;" f +add_include_dir adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def add_include_dir(self, dir):$/;" m class:CCompiler +add_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_include_dirs(self,*paths):$/;" m class:Configuration +add_indent adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def add_indent(self, column):$/;" m class:Scanner +add_init_params adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_init_params(self, init_net):$/;" m class:ModelLayer +add_inner_graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def add_inner_graph_id(self, inner_graph_id):$/;" m class:DebuggedGraph +add_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def add_inplace(a, b):$/;" f member:TestUfunc.test_safe_casting file: +add_input adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add_input(self, *args, **kwargs):$/;" m class:OpHint +add_input adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def add_input(self, *args, **kwargs):$/;" m class:ThroughputBenchmark +add_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add_inputs(self, *args, **kwargs):$/;" m class:OpHint +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f function:DataParallelModelTest.test_pre_train_synchronization_barrier.run file: +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f function:DataParallelModelTest.test_synchronization_barrier.run file: +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f member:DataParallelModelTest.test_checkpoint_params file: +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f member:DataParallelModelTest.test_net_conversion_and_append_net file: +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f member:DataParallelModelTest.test_net_transformer_function file: +add_input_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops(model):$/;" f member:DataParallelModelTest._bn_check_op_level file: +add_input_ops_combine adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops_combine(model):$/;" f function:DataParallelModelTest._test_multi_device_bn_net_lvl._create_model file: +add_input_ops_no_combine adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_input_ops_no_combine(model):$/;" f function:DataParallelModelTest._test_multi_device_bn_net_lvl._create_model file: +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def add_insecure_port(self, address: str) -> int:$/;" m class:Server +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ def add_insecure_port(self, address: str) -> int:$/;" m class:Server +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def add_insecure_port(self, address):$/;" m class:Server +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def add_insecure_port(self, address):$/;" m class:_Server +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def add_insecure_port(self, address):$/;" m class:_Server +add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def add_insecure_port(self, address):$/;" m class:Server +add_installed_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_installed_library(self, name, sources, install_dir, build_info=None):$/;" m class:Configuration +add_intermediate_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^def add_intermediate_tensors(model_content):$/;" f +add_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def add_item(self, command, screen_output, scroll_position):$/;" m class:CursesNavigationHistory +add_itxt adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def add_itxt(self, key, value, lang="", tkey="", zip=False):$/;" m class:PngInfo +add_jaxvals adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def add_jaxvals(x, y):$/;" f +add_jaxvals_p adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^add_jaxvals_p = Primitive('add_any')$/;" v +add_jaxvals_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def add_jaxvals_translation_rule(c, x, y):$/;" f +add_label adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def add_label(self, x, y, rotation, lev, cvalue):$/;" m class:ContourLabeler +add_label_clabeltext adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def add_label_clabeltext(self, x, y, rotation, lev, cvalue):$/;" m class:ContourLabeler +add_label_near adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def add_label_near(self, x, y, inline=True, inline_spacing=5,$/;" m class:ContourLabeler +add_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_layer(self, layer):$/;" m class:LayerModelHelper +add_leading_unit_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_grad.py /^def add_leading_unit_dimensions(x, num_dimensions): # pylint: disable=invalid-name$/;" f +add_leaves adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^ add_leaves = lambda i, x: axes.extend([i] * len(tree_flatten(x)[0]))$/;" f function:flatten_axes file: +add_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_library(self,name,sources,**build_info):$/;" m class:Configuration +add_library adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def add_library(self, libname):$/;" m class:CCompiler +add_library_dir adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def add_library_dir(self, dir):$/;" m class:CCompiler +add_line adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_line(self, line):$/;" m class:_AxesBase +add_lines adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def add_lines(self, CS, erase=True):$/;" m class:Colorbar +add_lines adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def add_lines(self, levels, colors, linewidths, erase=True):$/;" m class:ColorbarBase +add_lines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def add_lines(self, CS):$/;" m class:Colorbar +add_lines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def add_lines(self, levels, colors, linewidths):$/;" m class:ColorbarBase +add_link_object adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def add_link_object(self, object):$/;" m class:CCompiler +add_list adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def add_list(self):$/;" m class:ListValue +add_log adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def add_log(self, severity, lineno, col, msg):$/;" m class:_PastaEditVisitor +add_logs adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def add_logs(self, logs):$/;" m class:_PastaEditVisitor +add_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_loss(self, loss, name='unnamed'):$/;" m class:LayerModelHelper +add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def add_loss(self, losses, **kwargs):$/;" m class:Layer +add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def add_loss(self, losses, inputs=None):$/;" m class:Layer +add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def add_loss(self, losses, inputs=None):$/;" m class:Layer +add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def add_loss(loss, loss_collection=ops.GraphKeys.LOSSES):$/;" f +add_lr_multiplier adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def add_lr_multiplier(self, lr_multiplier):$/;" m class:Optimizer +add_many adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def add_many(self, items):$/;" m class:Manifest +add_many_sparse_to_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def add_many_sparse_to_tensors_map(sparse_indices, sparse_values, sparse_shape, container="", sh/;" f +add_many_sparse_to_tensors_map_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def add_many_sparse_to_tensors_map_eager_fallback(sparse_indices, sparse_values, sparse_shape, c/;" f +add_mesh adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_mesh(self, tag, vertices, colors=None, faces=None, config_dict=None, global_step=Non/;" m class:SummaryWriter +add_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def add_metaclass(metaclass):$/;" f +add_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def add_metaclass(metaclass):$/;" f +add_metaclass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def add_metaclass(metaclass):$/;" f +add_metaclass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def add_metaclass(metaclass):$/;" f +add_metaclass adpepsenv/lib/python3.8/site-packages/six.py /^def add_metaclass(metaclass):$/;" f +add_metaclass adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def add_metaclass(metaclass):$/;" f +add_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def add_meta_graph(self,$/;" m class:SavedModelBuilder +add_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def add_meta_graph(self,$/;" m class:_SavedModelBuilder +add_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_meta_graph(self, meta_graph_def, global_step=None):$/;" m class:SummaryToEventTransformer +add_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def add_meta_graph(self, meta_graph_def, global_step=None):$/;" m class:FakeSummaryWriter +add_meta_graph_and_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def add_meta_graph_and_variables(self,$/;" m class:SavedModelBuilder +add_meta_graph_and_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def add_meta_graph_and_variables(self,$/;" m class:_SavedModelBuilder +add_metric adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def add_metric(self, name, calculator, is_train):$/;" m class:AnyExpTrainer +add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def add_metric(self, value, name=None, **kwargs):$/;" m class:Layer +add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def add_metric(self, value, aggregation=None, name=None):$/;" m class:Layer +add_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^def add_metrics(estimator, metric_fn):$/;" f +add_metric_field adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_metric_field(self, name, value):$/;" m class:LayerModelHelper +add_missing adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def add_missing(self, distribution, requirement):$/;" m class:DependencyGraph +add_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def add_mode(self, fn, mode, input_signature=None):$/;" m class:ModelFunction +add_ModelServiceServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^def add_ModelServiceServicer_to_server(servicer, server):$/;" f +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f function:DataParallelModelTest.test_pre_train_synchronization_barrier.run file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f function:DataParallelModelTest.test_synchronization_barrier.run file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f function:DataParallelModelTest._test_multi_device_bn_net_lvl._create_model file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f member:DataParallelModelTest.test_checkpoint_params file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f member:DataParallelModelTest.test_net_conversion_and_append_net file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f member:DataParallelModelTest.test_net_transformer_function file: +add_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_model_ops(model, loss_scale):$/;" f member:DataParallelModelTest._bn_check_op_level file: +add_module adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def add_module(self, name: str, module: Optional["Module"]) -> None:$/;" m class:_RemoteModule +add_module adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def add_module(self, name: str, module: Optional['Module']) -> None:$/;" m class:Module +add_module_names docs/source/conf.py /^add_module_names = False$/;" v +add_modulo adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def add_modulo(image1, image2):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def add_move(move):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def add_move(move):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def add_move(move):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def add_move(move):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/six.py /^def add_move(move):$/;" f +add_move adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def add_move(move):$/;" f +add_multi_constructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def add_multi_constructor(cls, tag_prefix, multi_constructor):$/;" m class:BaseConstructor +add_multi_constructor adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_multi_constructor(tag_prefix, multi_constructor, Loader=None):$/;" f +add_multi_representer adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def add_multi_representer(cls, data_type, representer):$/;" m class:BaseRepresenter +add_multi_representer adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_multi_representer(data_type, multi_representer, Dumper=Dumper):$/;" f +ADD_N adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ADD_N = 106$/;" v class:BuiltinOperator +add_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add_n(inputs, name=None):$/;" f +ADD_N adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ ADD_N = 0$/;" v class:AggregationMethod +add_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def add_n(inputs, name=None):$/;" f +add_named_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def add_named_requirement(self, install_req):$/;" m class:RequirementSet +add_nested_compat_imports adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def add_nested_compat_imports(module_builder, compat_api_versions,$/;" f +add_nets_in_order adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def add_nets_in_order(step, net_list):$/;" f +add_newdoc adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def add_newdoc(place, obj, doc, warn_on_python=True):$/;" f +add_newdoc adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^def add_newdoc(module, name, doc):$/;" f +add_newdoc adpepsenv/lib/python3.8/site-packages/scipy/special/add_newdocs.py /^def add_newdoc(name, doc):$/;" f +add_newdocs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^add_newdocs = __import__('add_newdocs')$/;" v +add_newdoc_for_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/core/_add_newdocs.py /^def add_newdoc_for_scalar_type(obj, fixed_aliases, doc):$/;" f +add_newdoc_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^from numpy.core.umath import _add_newdoc_ufunc as add_newdoc_ufunc$/;" x +add_node adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def add_node(self, node):$/;" m class:Cluster +add_node adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def add_node(self, node):$/;" m class:Sequencer +add_node adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^ def add_node(node):$/;" f function:visualize_rec file: +add_notice_to_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^def add_notice_to_docstring($/;" f +add_not_multiply adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class add_not_multiply():$/;" c member:TestMatmul.test_matmul_exception_multiply file: +add_np adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def add_np(doctest_namespace):$/;" f +add_npy_pkg_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_npy_pkg_config(self, template, install_dir, subst_dict=None):$/;" m class:Configuration +add_n_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add_n_eager_fallback(inputs, name, ctx):$/;" f +add_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def add_object(self, parent_node, name_in_parent, subgraph_root):$/;" m class:_AugmentedGraphView +add_observer_ adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def add_observer_(module, qconfig_propagation_list=None, non_leaf_module_list=None, device=None,/;" f +add_offsetboxes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def add_offsetboxes(ax, size=10, margin=.1, color='black'):$/;" f +add_onnx_graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_onnx_graph(self, graph, walltime=None):$/;" m class:FileWriter +add_onnx_graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_onnx_graph(self, prototxt):$/;" m class:SummaryWriter +add_op adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def add_op():$/;" f member:CheckpointManager.load file: +add_op adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def add_op():$/;" f member:CheckpointManager.load_blobs_from_checkpoint file: +add_op adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def add_op():$/;" f member:CheckpointManager.save file: +add_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def add_op(self, graph_op_creation_digest):$/;" m class:DebuggedGraph +add_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def add_op(self, op):$/;" m class:Graph._ControlDependenciesController +add_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_operators(self, net, init_net=None, context=InstantiationContext.TRAINING):$/;" m class:ModelLayer +add_operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def add_operators(operators,$/;" f +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def add_ops():$/;" f member:TestAutoNaming.test_auto_naming file: +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def add_ops(self, net):$/;" m class:AdaptiveWeight +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/add_bias.py /^ def add_ops(self, net):$/;" m class:AddBias +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/arc_cosine_feature_map.py /^ def add_ops(self, net):$/;" m class:ArcCosineFeatureMap +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_huber_loss.py /^ def add_ops(self, net):$/;" m class:BatchHuberLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_lr_loss.py /^ def add_ops(self, net):$/;" m class:BatchLRLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_mse_loss.py /^ def add_ops(self, net):$/;" m class:BatchMSELoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^ def add_ops(self, net):$/;" m class:BatchNormalization +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_sigmoid_cross_entropy_loss.py /^ def add_ops(self, net):$/;" m class:BatchSigmoidCrossEntropyLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_softmax_loss.py /^ def add_ops(self, net):$/;" m class:BatchSoftmaxLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/blob_weighted_sum.py /^ def add_ops(self, net):$/;" m class:BlobWeightedSum +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bpr_loss.py /^ def add_ops(self, net):$/;" m class:BPRLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bucket_weighted.py /^ def add_ops(self, net):$/;" m class:BucketWeighted +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/build_index.py /^ def add_ops(self, net):$/;" m class:MapToRange +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/concat.py /^ def add_ops(self, net):$/;" m class:Concat +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/constant_weight.py /^ def add_ops(self, net):$/;" m class:ConstantWeight +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/conv.py /^ def add_ops(self, net):$/;" m class:Conv +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^ def add_ops(self, net):$/;" m class:Dropout +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def add_ops(self, net):$/;" m class:FC +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def add_ops(self, net):$/;" m class:FCWithBootstrap +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/feature_sparse_to_dense.py /^ def add_ops(self, net):$/;" m class:FeatureSparseToDense +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/functional.py /^ def add_ops(self, net):$/;" m class:Functional +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def add_ops(self, net):$/;" m class:GatherRecord +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^ def add_ops(self, net):$/;" m class:HomotopyWeight +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def add_ops(self, net):$/;" m class:LabelSmooth +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/last_n_window_collector.py /^ def add_ops(self, net):$/;" m class:LastNWindowCollector +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_ops(self, net):$/;" m class:ModelLayer +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layer_normalization.py /^ def add_ops(self, net):$/;" m class:LayerNormalization +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/margin_rank_loss.py /^ def add_ops(self, net):$/;" m class:MarginRankLoss +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/merge_id_lists.py /^ def add_ops(self, net):$/;" m class:MergeIdLists +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/pairwise_similarity.py /^ def add_ops(self, net):$/;" m class:PairwiseSimilarity +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/position_weighted.py /^ def add_ops(self, net):$/;" m class:PositionWeighted +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/random_fourier_features.py /^ def add_ops(self, net):$/;" m class:RandomFourierFeatures +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/reservoir_sampling.py /^ def add_ops(self, net):$/;" m class:ReservoirSampling +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_train.py /^ def add_ops(self, net):$/;" m class:SamplingTrain +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def add_ops(self, net):$/;" m class:SamplingTrainableMixin +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def add_ops(self, net):$/;" m class:SelectRecordByContext +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/semi_random_features.py /^ def add_ops(self, net):$/;" m class:SemiRandomFeatures +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^ def add_ops(self, net):$/;" m class:SparseDropoutWithReplacement +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_feature_hash.py /^ def add_ops(self, net):$/;" m class:SparseFeatureHash +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def add_ops(self, net):$/;" m class:SparseLookup +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/split.py /^ def add_ops(self, net):$/;" m class:Split +add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/uniform_sampling.py /^ def add_ops(self, net):$/;" m class:UniformSampling +add_ops_for_binary_prob_label adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def add_ops_for_binary_prob_label(self, net):$/;" m class:LabelSmooth +add_ops_for_categorical_label adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def add_ops_for_categorical_label(self, net):$/;" m class:LabelSmooth +add_ops_to_accumulate_pred adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_ops_to_accumulate_pred(self, net):$/;" m class:ModelLayer +add_ops_to_accumulate_pred adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def add_ops_to_accumulate_pred(self, net):$/;" m class:SelectRecordByContext +add_ops_without_layer_norm_op adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layer_normalization.py /^ def add_ops_without_layer_norm_op(self, net):$/;" m class:LayerNormalization +add_ops_with_layer_norm_op adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layer_normalization.py /^ def add_ops_with_layer_norm_op(self, net):$/;" m class:LayerNormalization +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f function:DataParallelModelTest.test_pre_train_synchronization_barrier.run file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f function:DataParallelModelTest.test_synchronization_barrier.run file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f function:DataParallelModelTest._test_multi_device_bn_net_lvl._create_model file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f member:DataParallelModelTest.run_model file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f member:DataParallelModelTest.test_checkpoint_params file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f member:DataParallelModelTest.test_net_conversion_and_append_net file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f member:DataParallelModelTest.test_net_transformer_function file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def add_optimizer(model):$/;" f member:DataParallelModelTest._bn_check_op_level file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def add_optimizer(model):$/;" f function:Train file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def add_optimizer(model):$/;" f function:Train file: +add_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^ def add_optimizer(model):$/;" f function:build_resnet50_dataparallel_model file: +add_option adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def add_option(self, name, default, opt_type, meta_args, meta_kwargs):$/;" m class:Config +add_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def add_option(self, long_option, short_option=None, help_string=None):$/;" m class:FancyGetopt +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def add_options(self):$/;" m class:Command +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^ def add_options(self):$/;" m class:CompletionCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def add_options(self):$/;" m class:ConfigurationCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^ def add_options(self):$/;" m class:DebugCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/download.py /^ def add_options(self):$/;" m class:DownloadCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^ def add_options(self):$/;" m class:FreezeCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^ def add_options(self):$/;" m class:HashCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def add_options(self):$/;" m class:InstallCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def add_options(self):$/;" m class:ListCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ def add_options(self):$/;" m class:SearchCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^ def add_options(self):$/;" m class:ShowCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/uninstall.py /^ def add_options(self):$/;" m class:UninstallCommand +add_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/wheel.py /^ def add_options(self):$/;" m class:WheelCommand +add_op_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def add_op_callback(self, callback):$/;" m class:Context +add_op_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_callbacks.py /^def add_op_callback(callback_fn):$/;" f +add_op_consumer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def add_op_consumer(self, src_op_name, src_slot, dst_op_name, dst_slot):$/;" m class:DebuggedGraph +add_ordinary_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def add_ordinary_node(self, ast_node):$/;" m class:GraphBuilder +add_or_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def add_or_or(x1, x2):$/;" f function:add file: +add_outgoing_edge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def add_outgoing_edge(self, edge):$/;" m class:_Convertible +add_output adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def add_output(self, output):$/;" m class:Task +add_output adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def add_output(self, path):$/;" m class:easy_install +add_output adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add_output(self, *args, **kwargs):$/;" m class:OpHint +add_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def add_outputs(self, outputs):$/;" m class:Task +add_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def add_outputs(self, *args, **kwargs):$/;" m class:OpHint +add_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_output_schema(self, name, value):$/;" m class:LayerModelHelper +add_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^add_p = standard_naryop([_num, _num], 'add')$/;" v +add_parameter_update_ops adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/caffe2_resnet50_default_param_update.py /^ def add_parameter_update_ops(model):$/;" f function:gen_param_update_builder_fun file: +add_parameter_update_ops adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_param_update.py /^ def add_parameter_update_ops(model):$/;" f function:gen_param_update_builder_fun file: +add_parameter_update_ops adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^ def add_parameter_update_ops(model):$/;" f function:Create file: +add_params_to_qs adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def add_params_to_qs(query, params):$/;" f +add_params_to_uri adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def add_params_to_uri(uri, params, fragment=False):$/;" f +add_param_copy_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_param_copy_operators(self, net):$/;" m class:ModelLayer +add_param_DEPRECATED adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def add_param_DEPRECATED(self, param, key=None, shape=None, length=None):$/;" m class:ModelHelper +add_param_group adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def add_param_group(self, param_group):$/;" m class:Optimizer +add_parent adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def add_parent(self, node):$/;" m class:AttributeSentinel +add_patch adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_patch(self, p):$/;" m class:_AxesBase +add_path_resolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def add_path_resolver(cls, tag, path, kind=None):$/;" m class:BaseResolver +add_path_resolver adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_path_resolver(tag, path, kind=None, Loader=None, Dumper=Dumper):$/;" f +add_patterns adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def add_patterns(self, patterns):$/;" m class:LutBuilder +add_pending_test_case_result adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def add_pending_test_case_result(self, test, error_summary=None,$/;" m class:_TextAndXMLTestResult +add_permalink adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def add_permalink(self, c, elem_id):$/;" m class:TocTreeprocessor +add_plot adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def add_plot(self, x, x_title, ys, y_title):$/;" m class:AnyExpTrainer +add_plugin adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def add_plugin(self, plugin_name):$/;" m class:UploadStats +add_plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def add_plugin_name(self, plugin_name):$/;" m class:UploadTracker +add_point adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_point(self, point_proto):$/;" m class:_ByteBudgetManager +add_positions adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def add_positions(self, position):$/;" m class:EventCollection +add_post_grad_net_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_post_grad_net_modifiers(self, modifier):$/;" m class:LayerModelHelper +add_post_sync_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def add_post_sync_ops(model):$/;" f function:Train file: +add_post_sync_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def add_post_sync_ops(model):$/;" f function:Train file: +add_post_sync_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^ def add_post_sync_ops(model):$/;" f function:build_resnet50_dataparallel_model file: +add_prediction adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_prediction(self, prediction, weight=1.0):$/;" m class:LayerModelHelper +add_PredictionServiceServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^def add_PredictionServiceServicer_to_server(servicer, server):$/;" f +add_ProfileAnalysisServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^def add_ProfileAnalysisServicer_to_server(servicer, server):$/;" f +add_ProfileAnalysisServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^def add_ProfileAnalysisServicer_to_server(servicer, server):$/;" f +add_ProfilerServiceServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^def add_ProfilerServiceServicer_to_server(servicer, server):$/;" f +add_pruning_method adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def add_pruning_method(self, method):$/;" m class:PruningContainer +add_pr_curve adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_pr_curve(self, tag, labels, predictions, global_step=None,$/;" m class:SummaryWriter +add_pr_curve_raw adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_pr_curve_raw(self, tag, true_positive_counts,$/;" m class:SummaryWriter +add_pth adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def add_pth(self, pth_file, entry):$/;" m class:UninstallPathSet +add_python_attr_to_scripted_model adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def add_python_attr_to_scripted_model(script_model, orig, attr):$/;" f +add_quantization_param_args adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def add_quantization_param_args(op, tensor, preserve_sparsity=False):$/;" f +add_quantization_param_args_ adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def add_quantization_param_args_(op, q_param):$/;" f +add_quant_dequant adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def add_quant_dequant(module):$/;" f +add_queue_runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^def add_queue_runner(qr, collection=ops.GraphKeys.QUEUE_RUNNERS):$/;" f +add_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def add_ref(self, timestamp):$/;" m class:_TensorTracker +add_reference adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def add_reference(self, node):$/;" m class:Name +add_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def add_relu(self):$/;" m class:ResNetBuilder +add_relu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add_relu(self, x, y):$/;" m class:FloatFunctional +add_relu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add_relu(self, x, y):$/;" m class:QFunctional +add_relu adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def add_relu(self, x, y):$/;" m class:Shadow +add_remote_net adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def add_remote_net(self, net):$/;" m class:TaskGroup +add_representer adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def add_representer(cls, data_type, representer):$/;" m class:BaseRepresenter +add_representer adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def add_representer(data_type, representer, Dumper=Dumper):$/;" f +add_req adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def add_req(subreq, extras_requested):$/;" f member:Resolver._resolve_one file: +add_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def add_requirement($/;" m class:RequirementSet +add_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def add_requirements(self, requirements):$/;" m class:LegacyMetadata +add_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def add_requirements(self, requirements):$/;" m class:Metadata +add_res adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def add_res(self, v, lres, bounds=None):$/;" m class:LMapCache +add_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def add_resource(self, feature_column, name, resource):$/;" m class:StateManager +add_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def add_resource(self, feature_column, resource_name, resource):$/;" m class:_StateManagerImpl +add_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def add_resource(self, resource):$/;" m class:ResourceTracker +add_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_result(self, future):$/;" m class:_AllCompletedWaiter +add_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_result(self, future):$/;" m class:_AsCompletedWaiter +add_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_result(self, future):$/;" m class:_FirstCompletedWaiter +add_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def add_result(self, future):$/;" m class:_Waiter +add_result adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def add_result(self, analysis_result):$/;" m class:PastaAnalyzeVisitor +add_RGB_to_figure adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^ def add_RGB_to_figure(self):$/;" m class:RGBAxes +add_row_item adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def add_row_item(run, tag=None):$/;" f member:GraphsPlugin.info_impl file: +add_rref_to_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def add_rref_to_value(rref, value):$/;" f +add_run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_run(self, run_proto):$/;" m class:_ByteBudgetManager +add_runtime_library_dir adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def add_runtime_library_dir(self, dir):$/;" m class:CCompiler +add_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_run_metadata(self, run_metadata, tag, global_step=None):$/;" m class:SummaryToEventTransformer +add_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def add_run_metadata(self, run_metadata, tag, global_step=None):$/;" m class:FakeSummaryWriter +add_run_subparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def add_run_subparser(subparsers):$/;" f +add_scalar adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add_scalar(self, x, y):$/;" m class:FloatFunctional +add_scalar adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def add_scalar(self, x, y):$/;" m class:QFunctional +add_scalar adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def add_scalar(self, x, y):$/;" m class:Shadow +add_scalar adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_scalar(self, tag, scalar_value, global_step=None, walltime=None):$/;" m class:SummaryWriter +add_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def add_scalars(self, num_scalars):$/;" m class:UploadStats +add_scalars adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_scalars(self, main_tag, tag_scalar_dict, global_step=None, walltime=None):$/;" m class:SummaryWriter +add_scan_subparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def add_scan_subparser(subparsers):$/;" f +add_scope_overrides adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^ def add_scope_overrides(self, shared_scopes):$/;" m class:ParameterSharingContext +add_scripts adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_scripts(self,*files):$/;" m class:Configuration +add_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def add_scripts(self):$/;" m class:bdist_msi +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def add_secure_port(self, address: str,$/;" m class:Server +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ def add_secure_port(self, address: str,$/;" m class:Server +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def add_secure_port(self, address, server_credentials):$/;" m class:Server +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def add_secure_port(self, address, server_credentials):$/;" m class:_Server +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def add_secure_port(self, address, server_credentials):$/;" m class:_Server +add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def add_secure_port(self, address, server_credentials):$/;" m class:Server +add_session_log adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_session_log(self, session_log, global_step=None):$/;" m class:SummaryToEventTransformer +add_session_log adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def add_session_log(self, session_log, global_step=None):$/;" m class:FakeSummaryWriter +add_setup_steps adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^def add_setup_steps(step, init_nets, exit_nets, name):$/;" f +add_shortcut adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def add_shortcut(self, blob_in, dim_in, dim_out, stride, prefix):$/;" m class:ResNetModelHelper +add_show_subparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def add_show_subparser(subparsers):$/;" f +add_simple_block adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def add_simple_block($/;" m class:ResNetBuilder +add_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def add_slot(self, var, slot_name, initializer='zeros'):$/;" m class:LossScaleOptimizer +add_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def add_slot(self, var, slot_name, initializer="zeros"):$/;" m class:OptimizerV2 +add_sparse_to_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def add_sparse_to_tensors_map(sparse_indices, sparse_values, sparse_shape, container="", shared_/;" f +add_sparse_to_tensors_map_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def add_sparse_to_tensors_map_eager_fallback(sparse_indices, sparse_values, sparse_shape, contai/;" f +add_spatial_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def add_spatial_bn(self, num_filters):$/;" m class:ResNetBuilder +add_spatial_ds_unit adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def add_spatial_ds_unit(self, prefix, in_channels, out_channels, stride=2):$/;" m class:ShuffleNetV2Builder +add_stararg adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def add_stararg(self, a):$/;" m class:_ArgTemplateBuilder +add_stat_value adpepsenv/lib/python3.8/site-packages/torch/jit/_logging.py /^add_stat_value = torch.ops.prim.AddStatValue$/;" v +add_stderr_logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^def add_stderr_logger(level=logging.DEBUG):$/;" f +add_stderr_logger adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^def add_stderr_logger(level=logging.DEBUG):$/;" f +add_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def add_step(self, step, run_meta):$/;" m class:Profiler +add_stop_condition adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def add_stop_condition(self, output):$/;" m class:Job +add_struct adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def add_struct(self):$/;" m class:ListValue +add_subpackage adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def add_subpackage(self,subpackage_name,$/;" m class:Configuration +add_subplot adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def add_subplot(self, *args, **kwargs):$/;" m class:Figure +add_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def add_summaries(self, float_columns, example_weights):$/;" m class:QuantileAccumulator +add_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def add_summary(self, summary, global_step=None):$/;" m class:SummaryToEventTransformer +add_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def add_summary(self, summ, current_global_step):$/;" m class:FakeSummaryWriter +add_summary adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_summary(self, summary, global_step=None, walltime=None):$/;" m class:FileWriter +add_system_root adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def add_system_root(library_root):$/;" f +add_table adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def add_table(self, tab):$/;" m class:_AxesBase +add_tag adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def add_tag(self, tag_proto):$/;" m class:_ByteBudgetManager +add_tags adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def add_tags(self, tags):$/;" m class:TagContext +add_tangents adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def add_tangents(x, y):$/;" f +add_target_python_options adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def add_target_python_options(cmd_opts):$/;" f +add_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def add_tensor(net, name, blob):$/;" f +add_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/mobile_exporter.py /^def add_tensor(net, name, blob):$/;" f +add_TensorBoardExporterServiceServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^def add_TensorBoardExporterServiceServicer_to_server(servicer, server):$/;" f +add_TensorBoardWriterServiceServicer_to_server adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^def add_TensorBoardWriterServiceServicer_to_server(servicer, server):$/;" f +add_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def add_tensors($/;" m class:UploadStats +add_tensor_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def add_tensor_filter(self, filter_name, filter_callable):$/;" m class:DebugAnalyzer +add_tensor_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def add_tensor_filter(self, filter_name, tensor_filter):$/;" m class:LocalCLIDebugHook +add_tensor_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def add_tensor_filter(self, filter_name, tensor_filter):$/;" m class:LocalCLIDebugWrapperSession +add_termination_callback adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def add_termination_callback(self, callback):$/;" m class:OperationContext +add_tests adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def add_tests(test_cls):$/;" f +add_test_case adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/test_utils.py /^ def add_test_case(self, name, test_func):$/;" m class:TestCase +add_test_case_result adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def add_test_case_result(self, test_case_result):$/;" m class:_TestSuiteResult +add_text adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def add_text(self, key, value, zip=False):$/;" m class:PngInfo +add_text adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_text(self, tag, text_string, global_step=None, walltime=None):$/;" m class:SummaryWriter +add_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ def add_to(self, node, value):$/;" m class:NoValue +add_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def add_token(self, uri, http_method='GET', body=None, headers=None,$/;" m class:Client +add_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def add_token(self, token, token_handler, request):$/;" m class:GrantTypeBase +add_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def add_tool(self, tool, group, position=-1):$/;" m class:ToolContainerBase +add_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def add_tool(self, name, tool, *args, **kwargs):$/;" m class:ToolManager +add_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def add_toolitem(self, name, group, position, image_file, description,$/;" m class:ToolbarGTK3 +add_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def add_toolitem($/;" m class:ToolbarQt +add_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def add_toolitem(self, name, group, position, image_file, description,$/;" m class:ToolbarWx +add_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def add_toolitem($/;" m class:ToolbarTk +add_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def add_toolitem(self, name, group, position, image, description, toggle):$/;" m class:ToolContainerBase +add_tools_to_container adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^def add_tools_to_container(container, tools=default_toolbar_tools):$/;" f +add_tools_to_manager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^def add_tools_to_manager(toolmanager, tools=default_tools):$/;" f +add_to_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def add_to_collection(self, name, value):$/;" m class:Graph +add_to_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def add_to_collection(name, value):$/;" f +add_to_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def add_to_collections(self, names, value):$/;" m class:Graph +add_to_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def add_to_collections(names, value):$/;" f +add_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def add_to_graph(self, g=None):$/;" m class:ConcreteFunction +add_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def add_to_graph(self, g=None):$/;" m class:_EagerDefinedFunction +add_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def add_to_graph(self, g):$/;" m class:_DefinedFunction +add_to_optionally_restored adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def add_to_optionally_restored(self, var):$/;" m class:NameBasedSaverStatus +add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def add_to_tensor(self, x, name="add_to_tensor"):$/;" m class:LinearOperator +add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def add_to_tensor(self, mat, name="add_to_tensor"):$/;" m class:LinearOperatorIdentity +add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def add_to_tensor(self, mat, name="add_to_tensor"):$/;" m class:LinearOperatorScaledIdentity +add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def add_to_tensor(self, mat, name="add_to_tensor"):$/;" m class:LinearOperatorZeros +add_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def add_trace(self, *args, **kwargs):$/;" m class:LayerCallCollection +add_trainer_extra_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def add_trainer_extra_schema(self, trainer_extra_schema):$/;" m class:LayerModelHelper +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^ def add_train_ops(self, net):$/;" m class:BatchNormalization +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/build_index.py /^ def add_train_ops(self, net):$/;" m class:MapToRange +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^ def add_train_ops(self, net):$/;" m class:Dropout +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def add_train_ops(self, net):$/;" m class:FC +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def add_train_ops(self, net):$/;" m class:FCWithBootstrap +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def add_train_ops(self, net):$/;" m class:ModelLayer +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_train.py /^ def add_train_ops(self, net):$/;" m class:SamplingTrain +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def add_train_ops(self, net):$/;" m class:SamplingTrainableMixin +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def add_train_ops(self, net):$/;" m class:SelectRecordByContext +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^ def add_train_ops(self, net):$/;" m class:SparseDropoutWithReplacement +add_train_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def add_train_ops(self, net):$/;" m class:SparseLookup +add_trusted_host adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def add_trusted_host(self, host, source=None, suppress_logging=False):$/;" m class:PipSession +add_ui adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def add_ui(self):$/;" m class:bdist_msi +add_unnamed_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def add_unnamed_requirement(self, install_req):$/;" m class:RequirementSet +add_unprocessed_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def add_unprocessed_node(layer, node_data):$/;" f function:reconstruct_from_config file: +add_unredirected_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def add_unredirected_header(self, name, value):$/;" m class:MockRequest +add_unredirected_header adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def add_unredirected_header(self, name, value):$/;" m class:MockRequest +add_unref adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def add_unref(self, timestamp):$/;" m class:_TensorTracker +add_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def add_update(self, updates, inputs=None):$/;" m class:Layer +add_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def add_update(self, updates, inputs=None):$/;" m class:Layer +add_use adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def add_use(n: Node):$/;" f member:Graph._mark_uses file: +add_use_future_cb adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def add_use_future_cb(to, x, y, z):$/;" f +add_use_future_nested_cb adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def add_use_future_nested_cb(to, x, y, z):$/;" f +add_use_future_set_result adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def add_use_future_set_result(to, x, y, z):$/;" f +add_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add_v2(x, y, name=None):$/;" f +add_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def add_v2_eager_fallback(x, y, name, ctx):$/;" f +add_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def add_variable(self, feature_column, var):$/;" m class:StateManager +add_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def add_variable(self, *args, **kwargs):$/;" m class:Layer +add_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def add_variable(self, *args, **kwargs):$/;" m class:Layer +add_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def add_variable(trackable,$/;" f +add_variable_wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def add_variable_wrapped(name, shape, initializer, index):$/;" f member:TfLiteRNNCell.build file: +add_variable_wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def add_variable_wrapped(name, shape, initializer, index, partitioner):$/;" f member:TFLiteLSTMCell.build file: +add_variant adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def add_variant(func_name, inarg, outarg, ret, inp, outp):$/;" f member:Ufunc._get_signatures_and_loops file: +add_version_to_conv_bias adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def add_version_to_conv_bias(net, init_net):$/;" f +add_vertex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def add_vertex(self, V):$/;" m class:VertexGroup +add_video adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def add_video(self, tag, vid_tensor, global_step=None, fps=4, walltime=None):$/;" m class:SummaryWriter +add_warning adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def add_warning(msg):$/;" f member:Measurement._lazy_init file: +add_web_socket adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def add_web_socket(self, web_socket):$/;" m class:FigureManagerWebAgg +add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def add_weight(self,$/;" m class:Layer +add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def add_weight(self,$/;" m class:Layer +add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def add_weight(self,$/;" m class:Layer +add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def add_weight(self,$/;" m class:Metric +add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def add_weight(self,$/;" m class:OptimizerV2 +add_weight_decay adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/train.py /^def add_weight_decay(model, weight_decay):$/;" f +add_weight_decay adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def add_weight_decay(model, weight_decay):$/;" f +add_while_op adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_util.py /^def add_while_op($/;" f +add_widget adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def add_widget(child):$/;" f member:FigureManagerGTK3.__init__ file: +add_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def add_worker(self, start=True):$/;" m class:TestCluster +add_xi adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def add_xi(self, xi, yi=None):$/;" m class:BarycentricInterpolator +adios adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def adios(p):$/;" f member:bdist_wheel.egg2dist file: +AdjacentTempDirectory adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^class AdjacentTempDirectory(TempDirectory):$/;" c +adjacent_tmp_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def adjacent_tmp_file(path, **kwargs):$/;" f +adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def adjoint(self):$/;" m class:LinearOperator +adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def adjoint(matrix, name=None):$/;" f +adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def adjoint(self, name="adjoint"):$/;" m class:LinearOperator +adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def adjoint(lin_op_a, name=None):$/;" f +adjoint_arg_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def adjoint_arg_options():$/;" m class:LinearOperatorDerivedClassTest +adjoint_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def adjoint_options():$/;" m class:LinearOperatorDerivedClassTest +AdjustContrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^AdjustContrast = tf_export("raw_ops.AdjustContrast")(_ops.to_raw_op(adjust_contrast))$/;" v +AdjustContrastv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^AdjustContrastv2 = tf_export("raw_ops.AdjustContrastv2")(_ops.to_raw_op(adjust_contrastv2))$/;" v +adjusted_figsize adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^def adjusted_figsize(w, h, dpi, n):$/;" f +adjusted_time adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def adjusted_time(cuda_record, cuda_records_map):$/;" f function:parse_event_records file: +adjustForeignAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^adjustForeignAttributes = {$/;" v +adjustForeignAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def adjustForeignAttributes(self, token):$/;" m class:HTMLParser +adjustForeignAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^adjustForeignAttributes = {$/;" v +adjustForeignAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def adjustForeignAttributes(self, token):$/;" m class:HTMLParser +adjustForeignAttributesMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ adjustForeignAttributes as adjustForeignAttributesMap,$/;" x +adjustForeignAttributesMap adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ adjustForeignAttributes as adjustForeignAttributesMap,$/;" x +AdjustHue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^AdjustHue = tf_export("raw_ops.AdjustHue")(_ops.to_raw_op(adjust_hue))$/;" v +adjustMathMLAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^adjustMathMLAttributes = {"definitionurl": "definitionURL"}$/;" v +adjustMathMLAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def adjustMathMLAttributes(self, token):$/;" m class:HTMLParser +adjustMathMLAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^adjustMathMLAttributes = {"definitionurl": "definitionURL"}$/;" v +adjustMathMLAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def adjustMathMLAttributes(self, token):$/;" m class:HTMLParser +AdjustSaturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^AdjustSaturation = tf_export("raw_ops.AdjustSaturation")(_ops.to_raw_op(adjust_saturation))$/;" v +adjustSVGAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^adjustSVGAttributes = {$/;" v +adjustSVGAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def adjustSVGAttributes(self, token):$/;" m class:HTMLParser +adjustSVGAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^adjustSVGAttributes = {$/;" v +adjustSVGAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def adjustSVGAttributes(self, token):$/;" m class:HTMLParser +adjustSVGTagNames adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def adjustSVGTagNames(self, token):$/;" m class:getPhases.InForeignContentPhase +adjustSVGTagNames adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def adjustSVGTagNames(self, token):$/;" m class:getPhases.InForeignContentPhase +adjust_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def adjust_attributes(token, replacements):$/;" f +adjust_attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def adjust_attributes(token, replacements):$/;" f +adjust_axes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def adjust_axes(axes, rank):$/;" f function:swapaxes file: +adjust_axes_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def adjust_axes_lim(self):$/;" m class:FloatingAxesBase +adjust_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tight_bbox.py /^def adjust_bbox(fig, bbox_inches, fixed_dpi=None):$/;" f +adjust_brightness adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_brightness(image, delta):$/;" f +adjust_contrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_contrast(images, contrast_factor, min_value, max_value, name=None):$/;" f +adjust_contrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_contrast(images, contrast_factor):$/;" f +adjust_contrastv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_contrastv2(images, contrast_factor, name=None):$/;" f +adjust_contrastv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_contrastv2_eager_fallback(images, contrast_factor, name, ctx):$/;" f +adjust_contrast_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_contrast_eager_fallback(images, contrast_factor, min_value, max_value, name, ctx):$/;" f +adjust_debug_info_func_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def adjust_debug_info_func_names(self, debug_info):$/;" m class:Loader +adjust_drawing_area adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def adjust_drawing_area(self, legend, orig_handle,$/;" m class:HandlerBase +adjust_encoding adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def adjust_encoding(*args, **kwargs):$/;" f function:tzname_in_python2 file: +adjust_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_gamma(image, gamma=1, gain=1):$/;" f +adjust_hue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_hue(images, delta, name=None):$/;" f +adjust_hue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_hue(image, delta, name=None):$/;" f +adjust_hue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_hue_eager_fallback(images, delta, name, ctx):$/;" f +adjust_jpeg_quality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_jpeg_quality(image, jpeg_quality, name=None):$/;" f +adjust_lineno adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def adjust_lineno(self, fn, lineno):$/;" m class:EditHistory +adjust_saturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_saturation(images, scale, name=None):$/;" f +adjust_saturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def adjust_saturation(image, saturation_factor, name=None):$/;" f +adjust_saturation_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def adjust_saturation_eager_fallback(images, scale, name, ctx):$/;" f +AdjX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AdjX(self):$/;" m class:BatchMatMulOptions +AdjY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AdjY(self):$/;" m class:BatchMatMulOptions +AdministrationDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AdministrationDomainName(univ.Choice):$/;" c +AdministrationDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AdministrationDomainName(univ.Choice):$/;" c +AdministrationDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AdministrationDomainName(univ.Choice):$/;" c +AdmonitionExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^class AdmonitionExtension(Extension):$/;" c +AdmonitionProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^class AdmonitionProcessor(BlockProcessor):$/;" c +adopt adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def adopt(jpeg_instance, mpheader=None):$/;" m class:MpoImageFile +adopt_module_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def adopt_module_key_flags(module, flag_values=_flagvalues.FLAGS):$/;" f +adopt_module_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^adopt_module_key_flags = _defines.adopt_module_key_flags$/;" v +ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ADPCM = 0x0002$/;" v class:WAVE_FORMAT +advance adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def advance(self,$/;" m class:Operator +advance adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def advance(self, n):$/;" m class:_Stream +advance_iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def advance_iterator(it):$/;" f +advance_iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def advance_iterator(it):$/;" f +advance_iterator adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def advance_iterator(it):$/;" f +advance_iterator adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def advance_iterator(it):$/;" f +advance_iterator adpepsenv/lib/python3.8/site-packages/six.py /^ def advance_iterator(it):$/;" f +advance_iterator adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def advance_iterator(it):$/;" f +AdviceProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^AdviceProto = _reflection.GeneratedProtocolMessageType('AdviceProto', (_message.Message,), {$/;" v +advise adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def advise(self, options):$/;" m class:Profiler +advise adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^def advise(graph=None, run_meta=None, options=_DEFAULT_ADVISE_OPTIONS):$/;" f +AdvisorOptionsProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^AdvisorOptionsProto = _reflection.GeneratedProtocolMessageType('AdvisorOptionsProto', (_message./;" v +AEADChaCha20Poly1305Nonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8103.py /^class AEADChaCha20Poly1305Nonce(univ.OctetString):$/;" c +aes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^aes = _OID(2, 16, 840, 1, 101, 3, 4, 1)$/;" v +aes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^aes = _OID(nistAlgorithms, 1)$/;" v +aes128_CBC_PAD adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^aes128_CBC_PAD = _OID(aes, 2)$/;" v +aes128_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^aes128_Wrap = AlgorithmIdentifier()$/;" v +aes192_CBC_PAD adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^aes192_CBC_PAD = _OID(aes, 22)$/;" v +aes192_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^aes192_Wrap = AlgorithmIdentifier()$/;" v +aes256_CBC_PAD adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^aes256_CBC_PAD = _OID(aes, 42)$/;" v +aes256_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^aes256_Wrap = AlgorithmIdentifier()$/;" v +AES_CCM_ICVlen adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^class AES_CCM_ICVlen(univ.Integer):$/;" c +AES_GCM_ICVlen adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^class AES_GCM_ICVlen(univ.Integer):$/;" c +AES_IV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^class AES_IV(univ.OctetString):$/;" c +AES_IV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^AES_IV = rfc3565.AES_IV$/;" v +AES_IV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class AES_IV(univ.OctetString):$/;" c +AFFINE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^AFFINE = 0$/;" v +Affine2D adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class Affine2D(Affine2DBase):$/;" c +Affine2DBase adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class Affine2DBase(AffineBase):$/;" c +AffineBase adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class AffineBase(Transform):$/;" c +AffineDeltaTransform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class AffineDeltaTransform(Affine2DBase):$/;" c +AffineTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^class AffineTransform(Transform):$/;" c +AffineTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class AffineTransform(Transform):$/;" c +affine_channel_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def affine_channel_nchw_ref(self, X, scale, bias):$/;" m class:TestAffineChannelOp +affine_channel_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def affine_channel_nhwc_ref(self, X, scale, bias):$/;" m class:TestAffineChannelOp +affine_grid adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def affine_grid(theta, size, align_corners=None):$/;" f +affine_transform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def affine_transform(input, matrix, offset=0.0, output_shape=None,$/;" f +AFM adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^class AFM:$/;" c +afmFontProperty adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def afmFontProperty(fontpath, font):$/;" f +AFM_TEST_DATA adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^AFM_TEST_DATA = b"""StartFontMetrics 2.0$/;" v +after adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def after(self, dt, inc=False):$/;" m class:rrulebase +AfterAfterBodyPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class AfterAfterBodyPhase(Phase):$/;" c function:getPhases file: +AfterAfterBodyPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class AfterAfterBodyPhase(Phase):$/;" c function:getPhases file: +AfterAfterFramesetPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class AfterAfterFramesetPhase(Phase):$/;" c function:getPhases file: +AfterAfterFramesetPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class AfterAfterFramesetPhase(Phase):$/;" c function:getPhases file: +afterAttributeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterAttributeNameState(self):$/;" m class:HTMLTokenizer +afterAttributeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterAttributeNameState(self):$/;" m class:HTMLTokenizer +afterAttributeValueState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterAttributeValueState(self):$/;" m class:HTMLTokenizer +afterAttributeValueState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterAttributeValueState(self):$/;" m class:HTMLTokenizer +AfterBodyPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class AfterBodyPhase(Phase):$/;" c function:getPhases file: +AfterBodyPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class AfterBodyPhase(Phase):$/;" c function:getPhases file: +afterContext adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def afterContext(self):$/;" m class:NumpyDoctest +afterDoctypeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeNameState(self):$/;" m class:HTMLTokenizer +afterDoctypeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeNameState(self):$/;" m class:HTMLTokenizer +afterDoctypePublicIdentifierState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterDoctypePublicIdentifierState(self):$/;" m class:HTMLTokenizer +afterDoctypePublicIdentifierState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterDoctypePublicIdentifierState(self):$/;" m class:HTMLTokenizer +afterDoctypePublicKeywordState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterDoctypePublicKeywordState(self):$/;" m class:HTMLTokenizer +afterDoctypePublicKeywordState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterDoctypePublicKeywordState(self):$/;" m class:HTMLTokenizer +afterDoctypeSystemIdentifierState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeSystemIdentifierState(self):$/;" m class:HTMLTokenizer +afterDoctypeSystemIdentifierState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeSystemIdentifierState(self):$/;" m class:HTMLTokenizer +afterDoctypeSystemKeywordState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeSystemKeywordState(self):$/;" m class:HTMLTokenizer +afterDoctypeSystemKeywordState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def afterDoctypeSystemKeywordState(self):$/;" m class:HTMLTokenizer +AfterFramesetPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class AfterFramesetPhase(Phase):$/;" c function:getPhases file: +AfterFramesetPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class AfterFramesetPhase(Phase):$/;" c function:getPhases file: +AfterHeadPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class AfterHeadPhase(Phase):$/;" c function:getPhases file: +AfterHeadPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class AfterHeadPhase(Phase):$/;" c function:getPhases file: +after_all adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def after_all(*operands):$/;" f +after_all_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^after_all_p = Primitive("after_all")$/;" v +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def after_create_session(self, session, coord):$/;" m class:CheckpointInputPipelineHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def after_create_session(self, session, coord):$/;" m class:AsyncCheckpointSaverHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def after_create_session(self, session, coord):$/;" m class:CloudTPUPreemptedHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def after_create_session(self, training_session, coord): # pylint: disable=unused-argument$/;" m class:GracefulShutdownHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_create_session(self, session, coord):$/;" m class:CheckpointSaverHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_create_session(self, session, coord):$/;" m class:StopAtStepHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_create_session(self, session, coord):$/;" m class:_MultiStepStopAtStepHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def after_create_session(self, session, coord):$/;" m class:_MultiStepStopAfterNEvalsHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def after_create_session(self, session, coord): # pylint: disable=unused-argument$/;" m class:SessionRunHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def after_create_session(self, session, coord):$/;" m class:_SyncReplicasOptimizerHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def after_create_session(self, session, coord):$/;" m class:GrowerInitializationHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def after_create_session(self, session, coord):$/;" m class:_InitializeClustersHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def after_create_session(self, session, coord): # pylint: disable=unused-argument$/;" m class:InMemoryEvaluatorHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_create_session(self, session, coord):$/;" m class:TPUInfeedOutfeedSessionHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_create_session(self, session, coord):$/;" m class:_OutfeedHostCallHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_create_session(self, session, coord):$/;" m class:_SetEvalIterationsHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_create_session(self, session, coord):$/;" m class:_StoppingPredictHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_create_session(self, session, coord):$/;" m class:_TPUStopAtStepHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^ def after_create_session(self, session, coord):$/;" m class:MultiHostDatasetInitializerHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def after_create_session(self, session, coord):$/;" m class:DistributedIteratorInitializerHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def after_create_session(self, session, coord):$/;" m class:MultiHostDatasetInitializerHook +after_create_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def after_create_session(self, session, coord):$/;" m class:_DatasetInitializerHook +after_eval adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def after_eval(self, eval_result):$/;" m class:_ContinuousEvalListener +after_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def after_iteration(self):$/;" m class:_PythonLoopChecker +after_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def after_iteration(self):$/;" m class:_PythonLoopChecker +AFTER_OPTIMIZER adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ AFTER_OPTIMIZER = "after_optimizer"$/;" v class:RegularizationBy +after_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def after_restore(self):$/;" m class:TPUEmbeddingSaveable +after_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_hook.py /^ def after_restore(self):$/;" m class:SaveableHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def after_run(self, run_context, run_values):$/;" m class:CheckpointInputPipelineHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:DumpingDebugHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:LocalCLIDebugHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def after_run(self, run_context, run_values):$/;" m class:AsyncCheckpointSaverHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def after_run(self, run_context, run_values):$/;" m class:GracefulShutdownHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:CheckpointSaverHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:LoggingTensorHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:NanTensorHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:ProfilerHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:StepCounterHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:StopAtStepHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:SummarySaverHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:_MultiStepStopAtStepHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def after_run(self, run_context, run_values):$/;" m class:_MultiStepStopAfterNEvalsHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def after_run(self, run_context, run_values):$/;" m class:_StopAfterNEvalsHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def after_run(self,$/;" m class:SessionRunHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def after_run(self, run_context, run_values):$/;" m class:_StopAtAttemptsHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def after_run(self, run_context, run_values):$/;" m class:_SummaryHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def after_run(self, run_context, run_values):$/;" m class:_LossRelativeChangeHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def after_run(self, run_context, run_values):$/;" m class:_SummaryHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def after_run(self, run_context, run_values):$/;" m class:_CheckForStoppingHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def after_run(self, run_context, run_values):$/;" m class:_MultiWorkerEarlyStoppingHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def after_run(self, run_context, run_values):$/;" m class:_StopOnPredicateHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def after_run(self, run_context, run_values): # pylint: disable=unused-argument$/;" m class:InMemoryEvaluatorHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def after_run(self, run_context, run_values):$/;" m class:_StopAtCheckpointStepHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_run(self, run_context, run_values):$/;" m class:_StoppingPredictHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def after_run(self, run_context, run_values):$/;" m class:_TPUStopAtStepHook +after_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def after_run(self, run_context, run_values):$/;" m class:_StopAtSecsHook +after_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def after_save(self, session, global_step_value):$/;" m class:CheckpointSaverListener +after_save adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def after_save(self, session, global_step_value):$/;" m class:_NewCheckpointListenerForEvaluate +afunc adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/afunc.m /^function [a, b] = afunc(c, d)$/;" g +af_unix adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ af_unix = None$/;" v +age adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ age = header_property($/;" v class:CommonResponseDescriptorsMixin +AGE_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^AGE_WEIGHT_NAME = 'linear\/linear_model\/age\/weights'$/;" v +AGE_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^AGE_WEIGHT_NAME = 'linear\/linear_model\/age\/weights'$/;" v +agg2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class agg2_info(system_info):$/;" c +aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def aggregate(self, batch_element, batch_start, batch_end):$/;" m class:SliceAggregator +aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def aggregate(self, batch_element, batch_start=None, batch_end=None):$/;" m class:ConcatAggregator +aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def aggregate(self, batch_outs, batch_start=None, batch_end=None):$/;" m class:Aggregator +aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def aggregate(self, batch_outs, batch_start=None, batch_end=None):$/;" m class:MetricsAggregator +aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def aggregate(self, batch_outs, batch_start=None, batch_end=None):$/;" m class:OutputsAggregator +AggregateProfile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^class AggregateProfile(object):$/;" c +aggregate_and_return_name_for_input adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_input(self, out_graphdef):$/;" m class:_LiteAggregateOperand +aggregate_and_return_name_for_input adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_input(self, out_graphdef):$/;" m class:_LiteOperand +aggregate_and_return_name_for_input adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_input(self, out_graphdef):$/;" m class:_LiteSingleOperand +aggregate_and_return_name_for_output adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_output(self, fused_op_name, index,$/;" m class:_LiteSingleOperand +aggregate_and_return_name_for_output adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_output(self, fused_op_name, output_index,$/;" m class:_LiteAggregateOperand +aggregate_and_return_name_for_output adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def aggregate_and_return_name_for_output(self, fused_op_name, output_index,$/;" m class:_LiteOperand +AGGREGATE_FIRST adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ AGGREGATE_FIRST = "first"$/;" v class:OpHint +aggregate_global_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def aggregate_global_cache(self, global_tt_summary_cache):$/;" m class:TensorTracer +aggregate_gradients_using_hierarchical_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def aggregate_gradients_using_hierarchical_copy(avail_devices, replica_grads):$/;" f +aggregate_gradients_using_nccl adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def aggregate_gradients_using_nccl(replica_grads):$/;" f +aggregate_indexed_slices_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def aggregate_indexed_slices_gradients(grads):$/;" f +AGGREGATE_LAST adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ AGGREGATE_LAST = "last"$/;" v class:OpHint +aggregate_single_gradient_using_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def aggregate_single_gradient_using_copy(grad_and_vars, use_mean,$/;" f +AGGREGATE_STACK adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ AGGREGATE_STACK = "stack"$/;" v class:OpHint +aggregate_tensors_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def aggregate_tensors_or_indexed_slices(values, accumulation_fn=math_ops.add_n):$/;" f +AggregatingLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class AggregatingLocator(Locator):$/;" c +AggregatingVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^class AggregatingVariable(variables_lib.Variable, core.Tensor):$/;" c +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def aggregation(self):$/;" m class:AggregatingVariable +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def aggregation(self):$/;" m class:DistributedVariable +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def aggregation(self):$/;" m class:AutoCastVariable +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def aggregation(self):$/;" m class:BaseResourceVariable +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def aggregation(self):$/;" m class:RefVariable +aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def aggregation(self):$/;" m class:Variable +AggregationMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^class AggregationMethod(object):$/;" c +AggregationType adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AggregationType = enum_type_wrapper.EnumTypeWrapper(_AGGREGATIONTYPE)$/;" v +AggregationType adpepsenv/lib/python3.8/site-packages/torch/jit/_logging.py /^AggregationType = torch._C.AggregationType$/;" v +AGGREGATION_AVG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AGGREGATION_AVG = 1$/;" v +aggregation_error_msg adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^aggregation_error_msg = ($/;" v +AGGREGATION_MAX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AGGREGATION_MAX = 4$/;" v +AGGREGATION_MEDIAN adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AGGREGATION_MEDIAN = 2$/;" v +AGGREGATION_MIN adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AGGREGATION_MIN = 3$/;" v +AGGREGATION_UNSET adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^AGGREGATION_UNSET = 0$/;" v +aggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_options.py /^ aggregator = options.create_option($/;" v class:StatsOptions +Aggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class Aggregator(object):$/;" c +agm adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double agm(double x0, double x1) nogil$/;" f +ago_text adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^ def ago_text(n, singular, plural):$/;" f function:format_time file: +ag_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ag_ctx = lazy_loader.LazyLoader($/;" v +ag_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ag_ctx = LazyLoader($/;" v +ag_pass_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^ ag_pass_through = True$/;" v class:UnliftableError +AioRpcError adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class AioRpcError(grpc.RpcError):$/;" c +airy adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void airy(Dd_number_t x0, Dd_number_t *y0, Dd_number_t *y1, Dd_number_t *y2, Dd_number_t *y/;" f +airye adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void airye(Dd_number_t x0, Dd_number_t *y0, Dd_number_t *y1, Dd_number_t *y2, Dd_number_t */;" f +AitoffAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class AitoffAxes(GeoAxes):$/;" c +AitoffTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class AitoffTransform(_GeoTransform):$/;" c class:AitoffAxes +ai_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def ai_zeros(nt):$/;" f +ajoin adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ajoin = lambda *paths: join(*((sep,)+paths))$/;" f +Akima1DInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^class Akima1DInterpolator(CubicHermiteSpline):$/;" c +akima_antideriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def akima_antideriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +akima_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def akima_deriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +alabel adpepsenv/lib/python3.8/site-packages/idna/core.py /^def alabel(label):$/;" f +alabel adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def alabel(label):$/;" f +ALAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ALAC = 0x6C61$/;" v class:WAVE_FORMAT +ALAW adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ALAW = 0x0006$/;" v class:WAVE_FORMAT +alen adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def alen(a):$/;" f +Alerts adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def Alerts(self, run, begin, end, alert_type_filter=None):$/;" m class:DebuggerV2EventMultiplexer +alerts adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def alerts(self):$/;" m class:InfNanMonitor +ALERTS_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ALERTS_BLOB_TAG_PREFIX = "alerts"$/;" v +alerts_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def alerts_run_tag_filter(run, begin, end, alert_type=None):$/;" f +AlexNet adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def AlexNet(order):$/;" f +AlexNet adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def AlexNet(order, cudnn_ws):$/;" f +alexnet adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def alexnet():$/;" f +algid_hmacWithSHA1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^algid_hmacWithSHA1 = AlgorithmIdentifier()$/;" v +Algorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class Algorithm(univ.Enumerated):$/;" c +algorithm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def algorithm(self):$/;" m class:Generator +Algorithm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^class Algorithm(enum.Enum):$/;" c +algorithm adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ algorithm = auth_property($/;" v class:WWWAuthenticate +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AlgorithmIdentifier(univ.Sequence):$/;" c +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AlgorithmIdentifier(univ.Sequence):$/;" c +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^class AlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AlgorithmIdentifier(univ.Sequence):$/;" c +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^class AlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +AlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^AlgorithmIdentifier = rfc5280.AlgorithmIdentifier$/;" v +algorithmIdentifierMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^algorithmIdentifierMap = {}$/;" v +AlgorithmID_ShortForm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^class AlgorithmID_ShortForm(univ.Integer):$/;" c +ALGORITHM_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ALGORITHM_TYPE = STATE_TYPE$/;" v +alias adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^class alias(option_base):$/;" c +alias adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def alias(g, self):$/;" f +AliasedOptionError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class AliasedOptionError(DistutilsError):$/;" c +aliased_name adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def aliased_name(self, s):$/;" m class:ArtistInspector +aliased_name_rest adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def aliased_name_rest(self, s, target):$/;" m class:ArtistInspector +ALIASES adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ALIASES = {$/;" v +ALIASES adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ALIASES = {$/;" v +aliases adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/byteordercodes.py /^aliases = {'little': ('little', '<', 'l', 'le'),$/;" v +aliases adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ aliases = {$/;" v class:ConfigMetadataHandler +aliases adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ aliases = {}$/;" v class:ConfigHandler +ALIASES adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ALIASES = {$/;" v +AliasEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class AliasEvent(NodeEvent):$/;" c +AliasOf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^class AliasOf:$/;" c +AliasToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class AliasToken(Token):$/;" c +alias_if_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/misc.py /^ def alias_if_tensor(a):$/;" f function:alias_tensors file: +alias_inplace_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def alias_inplace_add(x, i, v):$/;" f +alias_inplace_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def alias_inplace_sub(x, i, v):$/;" f +alias_inplace_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def alias_inplace_update(x, i, v):$/;" f +alias_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/misc.py /^def alias_tensors(*args):$/;" f +align adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^align = Image.align$/;" v +align adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def align(boxes, attr, strength='strong'):$/;" f +align8to32 adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def align8to32(bytes, width, mode):$/;" f +AlignCorners adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AlignCorners(self):$/;" m class:ResizeBilinearOptions +AlignCorners adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AlignCorners(self):$/;" m class:ResizeNearestNeighborOptions +aligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def aligned(n):$/;" f member:TestPEP3118Dtype.test_intra_padding file: +aligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def aligned(n):$/;" f member:TestPEP3118Dtype.test_trailing_padding file: +aligned_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def aligned_array(shape, align, dtype, order='C'):$/;" f member:TestDot.test_accelerate_framework_sgemv_fix file: +aligned_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def aligned_array(shape, align, dtype, order='C'):$/;" f member:TestSgemv.test_sgemv_on_osx file: +align_labels adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def align_labels(self, axs=None):$/;" m class:Figure +align_tensors adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def align_tensors(*tensors):$/;" f +align_to adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def align_to(self, *names):$/;" m class:Tensor +align_xlabels adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def align_xlabels(self, axs=None):$/;" m class:Figure +align_ylabels adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def align_ylabels(self, axs=None):$/;" m class:Figure +ALL adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ ALL = 0x1F$/;" v class:LanguageFilter +all adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def all(self):$/;" m class:_LazyDtypes +all adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def all(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +all adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^all = [digits, uppercase, lowercase, uppergreek, lowergreek]$/;" v +all adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def all(a, axis=None, out=None, keepdims=np._NoValue):$/;" f +all adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def all(self, axis=None, out=None, keepdims=np._NoValue):$/;" m class:MaskedArray +all adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^all = _frommethod('all')$/;" v +all adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def all(self, axis=None, out=None):$/;" m class:matrix +all adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def all(self, *args, **kwargs):$/;" m class:TestAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +all adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def all(self, *args, **kwargs):$/;" m class:TestArrayAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +ALL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ ALL = 0x1F$/;" v class:LanguageFilter +all adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def all(iterable):$/;" f +ALL adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ ALL = 'ALL'$/;" v class:Feature +all adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def all(cls):$/;" m class:Feature +all adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def all(x, axis=None, keepdims=False):$/;" f +All adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^All = tf_export("raw_ops.All")(_ops.to_raw_op(_all))$/;" v +all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ def all(cls):$/;" m class:Reduction +all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^ def all(cls):$/;" m class:ReductionV2 +all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def all(a, axis=None, keepdims=None): # pylint: disable=redefined-builtin$/;" f +AllCandidateSampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^AllCandidateSampler = tf_export("raw_ops.AllCandidateSampler")(_ops.to_raw_op(all_candidate_samp/;" v +allclose adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def allclose(a, b, rtol=1e-05, atol=1e-08, equal_nan=False):$/;" f +allclose adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def allclose(a, b, rtol=1.e-5, atol=1.e-8, equal_nan=False):$/;" f +allclose adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def allclose(a, b, masked_equal=True, rtol=1e-5, atol=1e-8):$/;" f +allclose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def allclose(a, b, rtol=1e-05, atol=1e-08, equal_nan=False):$/;" f +allcompare_process adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^def allcompare_process(filestore_dir, process_id, data, num_procs):$/;" f +allcompare_process adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^def allcompare_process(filestore_dir, process_id, data, num_procs):$/;" f +allequal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def allequal(a, b, fill_value=True):$/;" f +AllFieldsFromDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def AllFieldsFromDescriptor(self, message_descriptor):$/;" m class:FieldMask +AllFiguresPage adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ class AllFiguresPage(tornado.web.RequestHandler):$/;" c class:WebAggApplication +allgather adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def allgather(*args):$/;" f member:NCCLOpsTest.test_nccl_allgather file: +AllGatherStates adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^class AllGatherStates(object):$/;" c +allocate_buffers adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^def allocate_buffers(engine):$/;" f +allocate_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def allocate_tensors(self):$/;" m class:Interpreter +AllocationDescription adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^AllocationDescription = _reflection.GeneratedProtocolMessageType('AllocationDescription', (_mess/;" v +AllocationDescription adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^AllocationDescription = _reflection.GeneratedProtocolMessageType('AllocationDescription', (_mess/;" v +AllocationMaximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^class AllocationMaximum(collections.namedtuple($/;" c +AllocationRecord adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^AllocationRecord = _reflection.GeneratedProtocolMessageType('AllocationRecord', (_message.Messag/;" v +AllocationRecord adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^AllocationRecord = _reflection.GeneratedProtocolMessageType('AllocationRecord', (_message.Messag/;" v +allocator adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def allocator(self):$/;" m class:_TensorTracker +AllocatorMemoryUsed adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^AllocatorMemoryUsed = _reflection.GeneratedProtocolMessageType('AllocatorMemoryUsed', (_message./;" v +AllocatorMemoryUsed adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^AllocatorMemoryUsed = _reflection.GeneratedProtocolMessageType('AllocatorMemoryUsed', (_message./;" v +AllOrFirstTier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class AllOrFirstTier(univ.Integer):$/;" c +AllOrFirstTier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^AllOrFirstTier = rfc2634.AllOrFirstTier$/;" v +allow adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ def allow(attr):$/;" f function:CCompiler_customize_cmd file: +allow adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ allow = _set_property($/;" v class:CommonResponseDescriptorsMixin +allowed adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ allowed = ["ET", "UTC"]$/;" v class:Duration +allowed adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ allowed = {$/;" v class:Epoch +allowed adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ allowed = {$/;" v class:UnitDbl +allowed adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def allowed(f):$/;" f member:ResourceFinder.get_resources file: +allowed_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_attributes = frozenset(($/;" v +ALLOWED_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ALLOWED_ATTRIBUTES = {$/;" v +allowed_attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_attributes = frozenset(($/;" v +allowed_chars adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ allowed_chars = set(range(33, 127)) - {ord(c) for c in "#%\/()<>[]{}"}$/;" v class:PdfName +allowed_class_modes adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ allowed_class_modes = {$/;" v class:DataFrameIterator +allowed_class_modes adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ allowed_class_modes = {'categorical', 'binary', 'sparse', 'input', None}$/;" v class:DirectoryIterator +allowed_content_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_content_types = frozenset(($/;" v +allowed_content_types adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_content_types = frozenset(($/;" v +allowed_css_keywords adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_css_keywords = frozenset(($/;" v +allowed_css_keywords adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_css_keywords = frozenset(($/;" v +allowed_css_properties adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_css_properties = frozenset(($/;" v +allowed_css_properties adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_css_properties = frozenset(($/;" v +allowed_elements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_elements = frozenset(($/;" v +allowed_elements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_elements = frozenset(($/;" v +allowed_gai_family adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^def allowed_gai_family():$/;" f +allowed_gai_family adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^def allowed_gai_family():$/;" f +allowed_methods adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ allowed_methods = {'items', 'values', 'keys', 'get'}$/;" v class:FrozenDict +allowed_methods adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def allowed_methods(self, path_info=None):$/;" m class:MapAdapter +allowed_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def allowed_plugins(server_info):$/;" f +allowed_protocols adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_protocols = frozenset(($/;" v +ALLOWED_PROTOCOLS adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ALLOWED_PROTOCOLS = ['http', 'https', 'mailto']$/;" v +allowed_protocols adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_protocols = frozenset(($/;" v +allowed_signature_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def allowed_signature_methods(self):$/;" m class:RequestValidator +ALLOWED_STYLES adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ALLOWED_STYLES = []$/;" v +allowed_svg_properties adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^allowed_svg_properties = frozenset(($/;" v +allowed_svg_properties adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^allowed_svg_properties = frozenset(($/;" v +ALLOWED_TAGS adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ALLOWED_TAGS = [$/;" v +allowed_token adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def allowed_token(self, token):$/;" m class:Filter +allowed_token adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def allowed_token(self, token):$/;" m class:Filter +allowlist adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^def allowlist(f):$/;" f +ALLOWLIST_COLLECTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ALLOWLIST_COLLECTIONS = [$/;" v +ALLOWLIST_FORMATS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image_dataset.py /^ALLOWLIST_FORMATS = ('.bmp', '.gif', '.jpeg', '.jpg', '.png')$/;" v +allow_all_prereleases adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def allow_all_prereleases(self):$/;" m class:PackageFinder +allow_bytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ allow_bytes = True$/;" v class:StringConverterTestCase +allow_missing_subcommand adpepsenv/lib/python3.8/site-packages/tensorboard/util/argparse_util.py /^def allow_missing_subcommand():$/;" f +allow_nan_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def allow_nan_stats(self):$/;" m class:Distribution +allow_per_host_v2_parallel_get_next adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def allow_per_host_v2_parallel_get_next(self):$/;" m class:_InternalTPUContext +allow_rasterization adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^def allow_rasterization(draw):$/;" f +allow_tf32 adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ allow_tf32 = ContextProp(torch._C._get_cudnn_allow_tf32, torch._C._set_cudnn_allow_tf32)$/;" v class:CudnnModule +allow_token adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def allow_token(self, token):$/;" m class:BleachSanitizerFilter +allpath adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def allpath(name):$/;" f +allreduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def allreduce(*args):$/;" f member:NCCLOpsTest.test_nccl_allreduce file: +allreduce adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def allreduce(blobs, **kwargs):$/;" f function:_AllReduceBlobsDistributed file: +Allreduce adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def Allreduce(net, blobs, reduced_affix="_reduced", gpu_indices=None):$/;" f +Allreduce2 adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def Allreduce2(net, blobs, reduced_affix, gpu_indices):$/;" f +Allreduce4 adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def Allreduce4(net, blobs, reduced_affix, gpu_indices):$/;" f +Allreduce4Group2 adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def Allreduce4Group2(net, blobs, reduced_affix, gpu_indices):$/;" f +Allreduce8 adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def Allreduce8(net, blobs, reduced_affix, gpu_indices):$/;" f +AllReduceCrossDeviceOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class AllReduceCrossDeviceOps(CrossDeviceOps):$/;" c +AllReduceCrossTowerOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^AllReduceCrossTowerOps = AllReduceCrossDeviceOps$/;" v +AllreduceFallback adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def AllreduceFallback(net, blobs, reduced_affix, gpu_indices):$/;" f +AllReduceSpecTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^AllReduceSpecTuple = collections.namedtuple("AllReduceSpecTuple",$/;" v +allSum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def allSum(vs):$/;" f member:JitTestCase.checkTrace file: +AllSummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def AllSummaryMetadata(self):$/;" m class:EventAccumulator +AllSummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def AllSummaryMetadata(self):$/;" m class:EventMultiplexer +AllToAll adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^AllToAll = tf_export("raw_ops.AllToAll")(_ops.to_raw_op(all_to_all))$/;" v +alltrue adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^alltrue = all$/;" v +alltrue adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def alltrue(*args, **kwargs):$/;" f +alltrue adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^alltrue = _MaskedBinaryOperation(umath.logical_and, 1, 1).reduce$/;" v +allTypes adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^allTypes = {} # Collect the types we will add to the module$/;" v +all_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def all_(iterable):$/;" f +all_A adpeps/utils/ctmtensors.py /^ def all_A(self):$/;" m class:CTMTensors +all_Ad adpeps/utils/ctmtensors.py /^ def all_Ad(self):$/;" m class:CTMTensors +ALL_ADAPTER_CLS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ALL_ADAPTER_CLS = [$/;" v +ALL_ADVICE adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ALL_ADVICE = {$/;" v +all_backends adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^all_backends = interactive_bk + non_interactive_bk$/;" v +ALL_BENCHMARKS adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ALL_BENCHMARKS = {}$/;" v +all_but adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def all_but(cls, exclude):$/;" m class:Feature +all_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/candidate_sampling_ops.py /^def all_candidate_sampler(true_classes, num_true, num_sampled, unique,$/;" f +all_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def all_candidate_sampler(true_classes, num_true, num_sampled, unique, seed=0, seed2=0, name=Non/;" f +all_candidate_sampler_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def all_candidate_sampler_eager_fallback(true_classes, num_true, num_sampled, unique, seed, seed/;" f +ALL_CLASSES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ ALL_CLASSES = 'all_classes'$/;" v class:PredictionKeys +all_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def all_classes(logits, n_classes, label_vocabulary=None):$/;" f +ALL_CLASS_IDS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ ALL_CLASS_IDS = 'all_class_ids'$/;" v class:PredictionKeys +all_class_ids adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def all_class_ids(logits, n_classes):$/;" f +all_cluster_configurations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^def all_cluster_configurations():$/;" f +ALL_COMPLETED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ALL_COMPLETED = 'ALL_COMPLETED'$/;" v +all_Cs adpeps/utils/ctmtensors.py /^ def all_Cs(self, ix):$/;" m class:CTMTensors +all_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def all_devices():$/;" f +ALL_DISTANCES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ ALL_DISTANCES = 'all_distances'$/;" v class:KMeansClustering +all_eqns adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def all_eqns(jaxpr: core.Jaxpr):$/;" f +all_finite_value adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^ def all_finite_value(X):$/;" f member:TestEnforceFinite.test_enforce_finite file: +all_floating adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def all_floating(self):$/;" m class:_LazyDtypes +all_frames adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^def all_frames(im, func=None):$/;" f +all_funcs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^all_funcs = [plot_func]$/;" v +all_func_ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^all_func_ids = ['plot_func']$/;" v +all_gather adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def all_gather(x, axis_name, *, axis_index_groups=None):$/;" f +all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def all_gather():$/;" f member:CollectiveReplicaLauncher.all_reduce_indexed_slices file: +all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def all_gather(self,$/;" m class:CollectiveReplicaLauncher +all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def all_gather(self, value, axis, options=None):$/;" m class:ReplicaContext +all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def all_gather(self, value, axis, experimental_hints=None):$/;" m class:_TPUReplicaContext +all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def all_gather(t,$/;" f +all_gather adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def all_gather(inputs: Sequence[torch.Tensor], outputs: Sequence[torch.Tensor], streams=None, co/;" f +all_gather adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_gather(tensor_list,$/;" f +all_gather_coalesced adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_gather_coalesced(output_tensor_lists,$/;" f +all_gather_multigpu adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_gather_multigpu(output_tensor_lists,$/;" f +all_gather_object adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_gather_object(object_list, obj, group=group.WORLD):$/;" f +all_gather_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^all_gather_p = core.Primitive('all_gather')$/;" v +all_gather_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def all_gather_v2(t,$/;" f +all_harnesses adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^all_harnesses: List[Harness] = []$/;" v +ALL_HOSTS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ALL_HOSTS = 'ALL_HOSTS'$/;" v +all_indices_partitioned adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def all_indices_partitioned(self):$/;" m class:PFor +all_inexact adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def all_inexact(self):$/;" m class:_LazyDtypes +all_inputs_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def all_inputs_const(op):$/;" f function:_is_guaranteed_const file: +all_integer adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def all_integer(self):$/;" m class:_LazyDtypes +all_leaves adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def all_leaves(iterable):$/;" f +all_local_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def all_local_devices(num_gpus=None):$/;" f +all_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def all_max(tensors):$/;" f +all_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def all_min(tensors):$/;" f +ALL_MODELS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ALL_MODELS = [$/;" v +ALL_MODULES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^ALL_MODULES = (base_layer, input_layer, advanced_activations, convolutional,$/;" v +all_newer adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def all_newer(src_files, dst_files):$/;" f +all_newer adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def all_newer(src_files, dst_files):$/;" f +all_of_type adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def all_of_type(arg_type):$/;" f +all_optimizers adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^all_optimizers = [$/;" v +all_pairs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ all_pairs = permutations(all_types, 2)$/;" v class:TestFromCTypes +all_paths adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def all_paths():$/;" f function:_install_wheel file: +all_post adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def all_post(self):$/;" m class:ValidatorsContainer +all_pre adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def all_pre(self):$/;" m class:ValidatorsContainer +all_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def all_prod(tensors):$/;" f +all_reduce adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def all_reduce(x):$/;" f function:_notuple_allreduce_translation_rule file: +all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def all_reduce(self,$/;" m class:CollectiveReplicaLauncher +all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def all_reduce(self, reduce_op, value, options=None):$/;" m class:ReplicaContextBase +all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def all_reduce(t,$/;" f +all_reduce adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def all_reduce(inputs, outputs=None, op=SUM, streams=None, comms=None):$/;" f +all_reduce adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_reduce(tensor,$/;" f +all_reduce_coalesced adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_reduce_coalesced(tensors,$/;" f +all_reduce_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def all_reduce_indexed_slices(self,$/;" m class:CollectiveReplicaLauncher +all_reduce_multigpu adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_reduce_multigpu(tensor_list,$/;" f +all_reduce_sum_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def all_reduce_sum_gradients(grads_and_vars):$/;" f +all_reduce_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def all_reduce_v2(t,$/;" f +all_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def all_requirements(self):$/;" m class:RequirementSet +all_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def all_scalars(self):$/;" m class:Field +all_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def all_scalars(self):$/;" m class:List +all_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def all_scalars(self):$/;" m class:ListWithEvicted +all_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def all_scalars(self):$/;" m class:Scalar +all_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def all_scalars(self):$/;" m class:Struct +all_schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def all_schemes(self):$/;" m class:VcsSupport +all_shapes_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def all_shapes_equal():$/;" f function:same_dynamic_shape file: +all_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^all_strategies = strategies_minus_tpu + tpu_strategies$/;" v +all_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^all_strategies = ($/;" v +all_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^all_strategies = [$/;" v +all_strategies_minus_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^all_strategies_minus_default = strategies_minus_default_and_tpu + tpu_strategies$/;" v +all_strategy_and_input_config_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def all_strategy_and_input_config_combinations():$/;" f +all_strategy_and_input_config_combinations_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def all_strategy_and_input_config_combinations_eager():$/;" f +all_strategy_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def all_strategy_combinations():$/;" f +all_strategy_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def all_strategy_combinations():$/;" f +all_strategy_combinations_minus_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def all_strategy_combinations_minus_default():$/;" f +all_strategy_combinations_minus_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def all_strategy_combinations_minus_default():$/;" f +all_strategy_combinations_with_eager_and_graph_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^def all_strategy_combinations_with_eager_and_graph_modes():$/;" f +all_strategy_combinations_with_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^def all_strategy_combinations_with_graph_mode():$/;" f +all_strategy_minus_default_and_tpu_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def all_strategy_minus_default_and_tpu_combinations():$/;" f +all_strategy_minus_default_and_tpu_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def all_strategy_minus_default_and_tpu_combinations():$/;" f +all_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def all_strings(lst):$/;" f +all_sub_policy_check_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def all_sub_policy_check_ref(iter, lr_scale):$/;" f member:TestLearningRate.test_composite_learning_rate_op file: +all_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def all_sum(tensors):$/;" f +all_tags adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^all_tags = {$/;" v +ALL_TENSORTYPES adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ALL_TENSORTYPES = [torch.float,$/;" v +ALL_TENSORTYPES2 adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ ALL_TENSORTYPES2 = [torch.float,$/;" v +all_test_methods_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def all_test_methods_impl(cls):$/;" f function:for_all_test_methods file: +all_test_methods_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def all_test_methods_impl(cls):$/;" f function:for_all_test_methods file: +all_to_all adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def all_to_all(x, axis_name, split_axis, concat_axis, *, axis_index_groups=None):$/;" f +all_to_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def all_to_all(input, group_assignment, concat_dimension, split_dimension, split_count, name=Non/;" f +all_to_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def all_to_all(x,$/;" f +all_to_all adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_to_all(output_tensor_list,$/;" f +all_to_all_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def all_to_all_eager_fallback(input, group_assignment, concat_dimension, split_dimension, split_/;" f +all_to_all_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^all_to_all_p = core.Primitive('all_to_all')$/;" v +all_to_all_single adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def all_to_all_single(output,$/;" f +all_Ts adpeps/utils/ctmtensors.py /^ def all_Ts(self, ix):$/;" m class:CTMTensors +all_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ all_types = set(np.typecodes['All'])$/;" v class:TestFromCTypes +all_types adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def all_types(self):$/;" m class:Type +all_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def all_types():$/;" f +all_types_and adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def all_types_and(*dtypes):$/;" f +all_types_and_complex adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def all_types_and_complex():$/;" f +all_types_and_complex_and adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def all_types_and_complex_and(*dtypes):$/;" f +all_types_and_half adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def all_types_and_half():$/;" f +all_unsigned adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def all_unsigned(self):$/;" m class:_LazyDtypes +ALL_V2_MODULES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^ALL_V2_MODULES = (rnn_cell_wrapper_v2, normalization_v2, recurrent_v2,$/;" v +all_v2_summary_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def all_v2_summary_ops():$/;" f +all_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def all_values(self):$/;" m class:FunctionCache +all_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def all_variables():$/;" f +all_versions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ all_versions = ['2.0', '2.1', '2.2', '2.3', '2.4',$/;" v class:bdist_msi +all_weights adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def all_weights(self) -> List[Parameter]:$/;" m class:RNNBase +all_worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^def all_worker_devices(session):$/;" f +almost adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def almost(a, b, decimal=6, fill_value=True):$/;" f +almost_equal_schemas adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def almost_equal_schemas($/;" f +ALPHA adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^ALPHA = r"[\\x41-\\x5A\\x61-\\x7A]"$/;" v +alpha adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^alpha = fine_structure = _cd('fine-structure constant')$/;" v +alpha adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^ def alpha(self, p):$/;" m class:LazyOperatorNormInfo +alpha adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^alpha = alpha_gen(a=0.0, name='alpha')$/;" v +Alpha adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Alpha(self):$/;" m class:LeakyReluOptions +Alpha adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Alpha(self):$/;" m class:LocalResponseNormalizationOptions +alpha1func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def alpha1func(alpha, beta, TH, aTH, bTH, cosTH, tanTH, W):$/;" f member:levy_stable_gen._rvs file: +alphabetical_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ alphabetical_attributes = False$/;" v class:HTMLSerializer +alphabetical_attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ alphabetical_attributes = False$/;" v class:HTMLSerializer +alphabetize_attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/utils.py /^def alphabetize_attributes(attrs):$/;" f +AlphaDropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^class AlphaDropout(Layer):$/;" c +AlphaDropout adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class AlphaDropout(_DropoutNd):$/;" c +alphanot1func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def alphanot1func(alpha, beta, TH, aTH, bTH, cosTH, tanTH, W):$/;" f member:levy_stable_gen._rvs file: +ALPHANUM adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^ALPHANUM = Word(string.ascii_letters + string.digits)$/;" v +ALPHANUM adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^ALPHANUM = Word(string.ascii_letters + string.digits)$/;" v +ALPHANUM adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^ALPHANUM = Word(string.ascii_letters + string.digits)$/;" v +alphanums adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def alphanums(cls):$/;" m class:unicode_set +alphanums adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^alphanums = alphas + nums$/;" v +alphanums adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^alphanums = alphas + nums$/;" v +alphanums adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def alphanums(cls):$/;" m class:unicode_set +alphanums adpepsenv/lib/python3.8/site-packages/pyparsing.py /^alphanums = alphas + nums$/;" v +alphanums adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^alphanums = alphas + nums$/;" v +alphas adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def alphas(cls):$/;" m class:unicode_set +alphas adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^alphas = string.ascii_uppercase + string.ascii_lowercase$/;" v +alphas adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^alphas = string.ascii_uppercase + string.ascii_lowercase$/;" v +alphas adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def alphas(cls):$/;" m class:unicode_set +alphas adpepsenv/lib/python3.8/site-packages/pyparsing.py /^alphas = string.ascii_uppercase + string.ascii_lowercase$/;" v +alphas adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^alphas = string.ascii_uppercase + string.ascii_lowercase$/;" v +alphas8bit adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^alphas8bit = srange(r"[\\0xc0-\\0xd6\\0xd8-\\0xf6\\0xf8-\\0xff]")$/;" v +alphas8bit adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^alphas8bit = srange(r"[\\0xc0-\\0xd6\\0xd8-\\0xf6\\0xf8-\\0xff]")$/;" v +alphas8bit adpepsenv/lib/python3.8/site-packages/pyparsing.py /^alphas8bit = srange(r"[\\0xc0-\\0xd6\\0xd8-\\0xf6\\0xf8-\\0xff]")$/;" v +alphas8bit adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^alphas8bit = srange(r"[\\0xc0-\\0xd6\\0xd8-\\0xf6\\0xf8-\\0xff]")$/;" v +alphaState adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def alphaState(self, alpha):$/;" m class:PdfFile +alpha_canonicalize adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def alpha_canonicalize(equation):$/;" f +alpha_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def alpha_cmd(self, alpha, forced, effective_alphas):$/;" m class:GraphicsContextPdf +alpha_composite adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def alpha_composite(self, im, dest=(0, 0), source=(0, 0)):$/;" m class:Image +alpha_composite adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def alpha_composite(im1, im2):$/;" f +alpha_dropout adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def alpha_dropout(input, p=0.5, training=False, inplace=False):$/;" f +alpha_dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^alpha_dropout = _unsupported_dropout("alpha_dropout")$/;" v +alpha_dropout_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^alpha_dropout_ = alpha_dropout$/;" v +alpha_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class alpha_gen(rv_continuous):$/;" c +ALPN_PROTOCOLS adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ALPN_PROTOCOLS = ["http\/1.1"]$/;" v +AlreadyExistsError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class AlreadyExistsError(OpError):$/;" c +AlreadyExistsError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class AlreadyExistsError(OpError):$/;" c +AlreadyInstalledCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class AlreadyInstalledCandidate(Candidate):$/;" c +already_configured_with_absl adpepsenv/lib/python3.8/site-packages/jax/config.py /^already_configured_with_absl = False$/;" v +ALREADY_EXISTS adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ ALREADY_EXISTS = (_cygrpc.StatusCode.already_exists, 'already exists')$/;" v class:StatusCode +ALREADY_EXISTS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ALREADY_EXISTS = error_codes.ALREADY_EXISTS$/;" v +ALREADY_EXISTS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^ALREADY_EXISTS = 6$/;" v +ALREADY_EXISTS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^ALREADY_EXISTS = 6$/;" v +ALREADY_EXISTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ALREADY_EXISTS = error_codes_pb2.ALREADY_EXISTS$/;" v +also_run_as_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def also_run_as_tf_function(f):$/;" f +ALT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ALT = 1 # Deprecated.$/;" v +AlterChildTest adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^class AlterChildTest(test_utils.TestCase):$/;" c +alternative_hillshade adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ def alternative_hillshade(azimuth, elev, z):$/;" f function:test_light_source_hillshading file: +alts_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def alts_channel_credentials(service_accounts=None):$/;" f +alts_server_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def alts_server_credentials():$/;" f +alt_sg_coeffs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def alt_sg_coeffs(window_length, polyorder, pos):$/;" f +ALWAYS adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ ALWAYS = 'always'$/;" v class:TempFileCleanup +always_four_ones adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def always_four_ones(x):$/;" f function:test_attributes file: +always_permute adpeps/tensor/config.py /^always_permute = True$/;" v +always_record_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def always_record_summaries():$/;" f +always_reject adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def always_reject(self, result):$/;" m class:Retrying +always_safe adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^always_safe = ('ABCDEFGHIJKLMNOPQRSTUVWXYZ'$/;" v +Am adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^Am = io.mmread(f).tocsr()$/;" v +Am adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^Am = csr_matrix(array([[-2,1,0,0,0,9],$/;" v +Am adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^Am = csr_matrix(array([[-2, 1, 0, 0, 0, 9],$/;" v +amax adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^amax = max$/;" v +amax adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def amax(a, axis=None, out=None, keepdims=np._NoValue, initial=np._NoValue,$/;" f +amax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def amax(a, axis=None, keepdims=None):$/;" f +amd_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class amd_info(system_info):$/;" c +amin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^amin = min$/;" v +amin adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def amin(a, axis=None, out=None, keepdims=np._NoValue, initial=np._NoValue,$/;" f +amin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def amin(a, axis=None, keepdims=None):$/;" f +Amoco_SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^class Amoco_SecurityClassification(univ.Integer):$/;" c +AMPM adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ AMPM = [("am", "a"),$/;" v class:parserinfo +ampm adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def ampm(self, name):$/;" m class:parserinfo +AMP_SUBSTITUTE adpepsenv/lib/python3.8/site-packages/markdown/util.py /^AMP_SUBSTITUTE = STX+"amp"+ETX$/;" v +AMR_NB adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ AMR_NB = 0x7361$/;" v class:WAVE_FORMAT +AMR_WB adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ AMR_WB = 0x7362$/;" v class:WAVE_FORMAT +AMR_WP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ AMR_WP = 0x7363$/;" v class:WAVE_FORMAT +AnalysisLevel adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class AnalysisLevel(enum.IntEnum):$/;" c +AnalysisResult adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class AnalysisResult(object):$/;" c +analyze adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze(obj):$/;" f +analyze adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^def analyze(tree):$/;" f +analyzeargs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def analyzeargs(block):$/;" f +analyzeargs_re_1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^analyzeargs_re_1 = re.compile(r'\\A[a-z]+[\\w$]*\\Z', re.I)$/;" v +analyzebody adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def analyzebody(block, args, tab=''):$/;" f +analyzecommon adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def analyzecommon(block):$/;" f +analyzeline adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def analyzeline(m, case, line):$/;" f +Analyzer adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^class Analyzer(Visitor):$/;" c +Analyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^class Analyzer(cfg.GraphVisitor):$/;" c +Analyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^class Analyzer(cfg.GraphVisitor):$/;" c +Analyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^class Analyzer(cfg.GraphVisitor):$/;" c +Analyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^class Analyzer(cfg.GraphVisitor):$/;" c +analyzevars adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def analyzevars(block):$/;" f +analyze_egg adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def analyze_egg(egg_dir, stubs):$/;" f +analyze_job adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_job(analyzer, job):$/;" f +analyze_manifest adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def analyze_manifest(self):$/;" m class:build_py +analyze_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_net(analyzer, net):$/;" f +analyze_op adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_op(analyzer, op):$/;" f +analyze_scalar adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def analyze_scalar(self, scalar):$/;" m class:Emitter +analyze_step adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_step(analyzer, step):$/;" f +analyze_step_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def analyze_step_stats(self,$/;" m class:Timeline +analyze_task adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_task(analyzer, task):$/;" f +analyze_task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def analyze_task_group(analyzer, tg):$/;" f +ancestors adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def ancestors(*types):$/;" f function:dispatch_on.gen_func_dec file: +ANCESTOR_EXCLUDES adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ ANCESTOR_EXCLUDES = tuple()$/;" v class:Pattern +anchored adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def anchored(self, c, container=None):$/;" m class:BboxBase +AnchoredAuxTransformBox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^class AnchoredAuxTransformBox(AnchoredOffsetbox):$/;" c +AnchoredDirectionArrows adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^class AnchoredDirectionArrows(AnchoredOffsetbox):$/;" c +AnchoredDrawingArea adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^class AnchoredDrawingArea(AnchoredOffsetbox):$/;" c +AnchoredEllipse adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^class AnchoredEllipse(AnchoredOffsetbox):$/;" c +AnchoredLocatorBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class AnchoredLocatorBase(AnchoredOffsetbox):$/;" c +AnchoredOffsetbox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class AnchoredOffsetbox(OffsetBox):$/;" c +AnchoredSizeBar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^class AnchoredSizeBar(AnchoredOffsetbox):$/;" c +AnchoredSizeLocator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class AnchoredSizeLocator(AnchoredLocatorBase):$/;" c +AnchoredText adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class AnchoredText(AnchoredOffsetbox):$/;" c +AnchoredZoomLocator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class AnchoredZoomLocator(AnchoredLocatorBase):$/;" c +AnchorToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class AnchorToken(Token):$/;" c +anchor_node adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def anchor_node(self, node):$/;" m class:Serializer +ANCHOR_TEMPLATE adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ ANCHOR_TEMPLATE = 'id%03d'$/;" v class:Serializer +AND adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^AND = re.compile(r'^and\\b\\s*')$/;" v +And adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class And(ParseExpression):$/;" c +And adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class And(ParseExpression):$/;" c +And adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class And(ParseExpression):$/;" c +And adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class And(ParseExpression):$/;" c +anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^anderson = _nonlin_wrapper('anderson', Anderson)$/;" v +Anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class Anderson(GenericBroyden):$/;" c +anderson adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def anderson(x, dist='norm'):$/;" f +AndersonResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^AndersonResult = namedtuple('AndersonResult', ('statistic',$/;" v +anderson_darling adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^def anderson_darling(x):$/;" f +anderson_ksamp adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def anderson_ksamp(samples, midrank=True):$/;" f +Anderson_ksampResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^Anderson_ksampResult = namedtuple('Anderson_ksampResult',$/;" v +AndSubstitutePostprocessor adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^class AndSubstitutePostprocessor(Postprocessor):$/;" c +and_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def and_(a, b):$/;" f +and_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def and_(x, y, name=None):$/;" f +and_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^and_p = standard_naryop([_bool_or_int, _bool_or_int], 'and')$/;" v +AnfTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^class AnfTransformer(transformer.Base):$/;" c +angle adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def angle(z):$/;" f +angle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ angle = property(get_angle, set_angle)$/;" v class:Ellipse +Angle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Angle(_Base):$/;" c class:ConnectionStyle +angle adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def angle(z, deg=False):$/;" f +angle adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^angle = _MaskedUnaryOperation(angle) # from numpy.lib.function_base$/;" v +Angle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Angle = tf_export("raw_ops.Angle")(_ops.to_raw_op(angle))$/;" v +angle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def angle(input, Tout=_dtypes.float32, name=None):$/;" f +angle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def angle(input, name=None):$/;" f +angle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def angle(z, deg=False): # pylint: disable=missing-function-docstring$/;" f +Angle3 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Angle3(_Base):$/;" c class:ConnectionStyle +angled_plane adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ def angled_plane(azimuth, elevation, angle, x, y):$/;" f function:test_light_source_planar_hillshading file: +angle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def angle_eager_fallback(input, Tout, name, ctx):$/;" f +angle_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def angle_spectrum(self, x, Fs=None, Fc=None, window=None,$/;" m class:Axes +angle_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^angle_spectrum = functools.partial(_single_spectrum_helper, "angle")$/;" v +angle_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def angle_spectrum($/;" f +anglit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^anglit = anglit_gen(a=-np.pi\/4, b=np.pi\/4, name='anglit')$/;" v +anglit_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class anglit_gen(rv_continuous):$/;" c +angstrom adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^angstrom = 1e-10$/;" v +animate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def animate(frame):$/;" f function:test_funcanimation_cache_frame_data file: +animate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def animate(i):$/;" f function:make_animation file: +animate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def animate(i):$/;" f function:test_save_animation_smoketest file: +Animation adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class Animation:$/;" c +anncoords adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def anncoords(self):$/;" m class:AnnotationBbox +anncoords adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def anncoords(self, coords):$/;" m class:AnnotationBbox +anncoords adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ anncoords = property(get_anncoords, set_anncoords, doc="""$/;" v class:Annotation +annotate adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def annotate(self, length, msg):$/;" m class:PrettyPrint +annotate adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def annotate(self, text, xy, *args, **kwargs):$/;" m class:Axes +annotate adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def annotate(text, xy, *args, **kwargs):$/;" f +annotate adpepsenv/lib/python3.8/site-packages/torch/jit/__init__.py /^def annotate(the_type, the_value):$/;" f +annotate adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def annotate(ret, **kwargs):$/;" f +Annotated adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Annotated = typing.Annotated$/;" v +Annotated adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Annotated(metaclass=AnnotatedMeta):$/;" c +Annotated adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Annotated:$/;" c +AnnotatedConvBnModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedConvBnModel(torch.nn.Module):$/;" c +AnnotatedConvBnReLUModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedConvBnReLUModel(torch.nn.Module):$/;" c +AnnotatedConvModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedConvModel(torch.nn.Module):$/;" c +AnnotatedConvTransposeModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedConvTransposeModel(torch.nn.Module):$/;" c +AnnotatedCustomConfigNestedModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedCustomConfigNestedModel(torch.nn.Module):$/;" c +AnnotatedDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^class AnnotatedDef(reaching_definitions.Definition):$/;" c +AnnotatedDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^class AnnotatedDef(reaching_definitions.Definition):$/;" c +AnnotatedMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class AnnotatedMeta(typing.GenericMeta):$/;" c +AnnotatedNestedModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedNestedModel(torch.nn.Module):$/;" c +AnnotatedSingleLayerLinearModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedSingleLayerLinearModel(torch.nn.Module):$/;" c +AnnotatedSkipQuantModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedSkipQuantModel(torch.nn.Module):$/;" c +AnnotatedSubNestedModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedSubNestedModel(torch.nn.Module):$/;" c +AnnotatedTwoLayerLinearModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AnnotatedTwoLayerLinearModel(torch.nn.Module):$/;" c +annotated_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/generated/annotated_fn_args.py /^annotated_args = {$/;" v +annotate_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def annotate_source(dump,$/;" f +annotate_source_against_profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def annotate_source_against_profile(profile_data,$/;" f +Annotation adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^Annotation = C.Annotation$/;" v +Annotation adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^class Annotation(Text, _AnnotationBase):$/;" c +AnnotationBbox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class AnnotationBbox(martist.Artist, mtext._AnnotationBase):$/;" c +AnnotationError adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^class AnnotationError(Exception):$/;" c +annotations adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def annotations(self):$/;" m class:RichTextLines +annotations_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def annotations_impl(self, ctx, experiment):$/;" m class:NpmiPlugin +ANNOTATIONS_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^ANNOTATIONS_TAG = "_npmi_\/annotations"$/;" v +announce adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def announce(self, msg, level=1):$/;" m class:CCompiler +announce adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def announce(self, msg, level=1):$/;" m class:Command +announce adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def announce(self, msg, level=log.INFO):$/;" m class:Distribution +ann_to_type adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def ann_to_type(ann, loc):$/;" f +anom adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def anom(self, axis=None, dtype=None):$/;" m class:MaskedArray +anomalies adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^anomalies = anom = _frommethod('anom')$/;" v +AnonymousCredentials adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class AnonymousCredentials(Credentials):$/;" c +AnonymousCredentials adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class AnonymousCredentials(credentials.AnonymousCredentials, Credentials):$/;" c +AnonymousIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousIterator = tf_export("raw_ops.AnonymousIterator")(_ops.to_raw_op(anonymous_iterator))$/;" v +AnonymousIteratorV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousIteratorV2 = tf_export("raw_ops.AnonymousIteratorV2")(_ops.to_raw_op(anonymous_iterator/;" v +AnonymousMemoryCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousMemoryCache = tf_export("raw_ops.AnonymousMemoryCache")(_ops.to_raw_op(anonymous_memory/;" v +AnonymousMultiDeviceIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousMultiDeviceIterator = tf_export("raw_ops.AnonymousMultiDeviceIterator")(_ops.to_raw_op(/;" v +AnonymousRandomSeedGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousRandomSeedGenerator = tf_export("raw_ops.AnonymousRandomSeedGenerator")(_ops.to_raw_op(/;" v +AnonymousSeedGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^AnonymousSeedGenerator = tf_export("raw_ops.AnonymousSeedGenerator")(_ops.to_raw_op(anonymous_se/;" v +anonymous_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_iterator(output_types, output_shapes, name=None):$/;" f +anonymous_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_iterator_eager_fallback(output_types, output_shapes, name, ctx):$/;" f +anonymous_iterator_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_iterator_v2(output_types, output_shapes, name=None):$/;" f +anonymous_iterator_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_iterator_v2_eager_fallback(output_types, output_shapes, name, ctx):$/;" f +anonymous_memory_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_memory_cache(name=None):$/;" f +anonymous_memory_cache_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_memory_cache_eager_fallback(name, ctx):$/;" f +anonymous_multi_device_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_multi_device_iterator(devices, output_types, output_shapes, name=None):$/;" f +anonymous_multi_device_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_multi_device_iterator_eager_fallback(devices, output_types, output_shapes, name, c/;" f +anonymous_random_seed_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_random_seed_generator(seed, seed2, name=None):$/;" f +anonymous_random_seed_generator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_random_seed_generator_eager_fallback(seed, seed2, name, ctx):$/;" f +anonymous_seed_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_seed_generator(seed, seed2, reshuffle, name=None):$/;" f +anonymous_seed_generator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def anonymous_seed_generator_eager_fallback(seed, seed2, reshuffle, name, ctx):$/;" f +AnotherName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AnotherName(univ.Sequence):$/;" c +AnotherName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AnotherName(univ.Sequence):$/;" c +AnotherName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AnotherName(univ.Sequence):$/;" c +AnotherName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^AnotherName = rfc5280.AnotherName$/;" v +anotherNameMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^anotherNameMap = {$/;" v +ansari adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def ansari(x, y):$/;" f +AnsariResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^AnsariResult = namedtuple('AnsariResult', ('statistic', 'pvalue'))$/;" v +ansi adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^def ansi(s, attr):$/;" f +AnsiBack adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^class AnsiBack(AnsiCodes):$/;" c +AnsiCodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^class AnsiCodes(object):$/;" c +AnsiCursor adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^class AnsiCursor(object):$/;" c +AnsiFore adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^class AnsiFore(AnsiCodes):$/;" c +AnsiStyle adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^class AnsiStyle(AnsiCodes):$/;" c +AnsiToWin32 adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^class AnsiToWin32(object):$/;" c +ansi_codes adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^ansi_codes = {$/;" v +ANSI_CSI_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ ANSI_CSI_RE = re.compile('\\001?\\033\\\\[((?:\\\\d|;)*)([a-zA-Z])\\002?') # Control Seque/;" v class:AnsiToWin32 +ANSI_OSC_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ ANSI_OSC_RE = re.compile('\\001?\\033\\\\]((?:.|;)*?)(\\x07)\\002?') # Operating Syst/;" v class:AnsiToWin32 +ansi_X9_62 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ansi_X9_62 = _OID(1, 2, 840, 10045)$/;" v +ANTEX_ADPCME adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ANTEX_ADPCME = 0x0033$/;" v class:WAVE_FORMAT +antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def antiderivative(self, n=1):$/;" m class:UnivariateSpline +antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def antiderivative(self, nu):$/;" m class:NdPPoly +antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def antiderivative(self, nu=1):$/;" m class:BPoly +antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def antiderivative(self, nu=1):$/;" m class:PPoly +antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def antiderivative(self, nu=1):$/;" m class:BSpline +Any adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^Any = _reflection.GeneratedProtocolMessageType('Any', (_message.Message,), {$/;" v +Any adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class Any(object):$/;" c +any adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def any(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +any adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def any(a, axis=None, out=None, keepdims=np._NoValue):$/;" f +any adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def any(self, axis=None, out=None, keepdims=np._NoValue):$/;" m class:MaskedArray +any adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^any = _frommethod('any')$/;" v +any adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def any(self, axis=None, out=None):$/;" m class:matrix +any adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ any = lambda x: bool(filter(bool, x))$/;" f +Any adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Any(OctetString):$/;" c +ANY adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ANY = object()$/;" v +any adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def any(x, axis=None, keepdims=False):$/;" f +Any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Any = tf_export("raw_ops.Any")(_ops.to_raw_op(_any))$/;" v +any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def any(a, axis=None, keepdims=None): # pylint: disable=redefined-builtin$/;" f +anyCloseTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^anyOpenTag, anyCloseTag = makeHTMLTags(Word(alphas, alphanums + "_:").setName('any tag'))$/;" v +anyCloseTag adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^anyOpenTag,anyCloseTag = makeHTMLTags(Word(alphas,alphanums+"_:").setName('any tag'))$/;" v +anyCloseTag adpepsenv/lib/python3.8/site-packages/pyparsing.py /^anyOpenTag, anyCloseTag = makeHTMLTags(Word(alphas, alphanums + "_:").setName('any tag'))$/;" v +anyCloseTag adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^anyOpenTag,anyCloseTag = makeHTMLTags(Word(alphas,alphanums+"_:").setName('any tag'))$/;" v +AnyConverter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class AnyConverter(BaseConverter):$/;" c +AnyDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class AnyDecoder(AbstractSimpleDecoder):$/;" c +AnyEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class AnyEncoder(OctetStringEncoder):$/;" c +AnyEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class AnyEncoder(AbstractItemEncoder):$/;" c +AnyExp adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^import caffe2.contrib.playground.AnyExp as AnyExp$/;" I +AnyExpTrainer adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^class AnyExpTrainer(object):$/;" c +anyExtendedKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^anyExtendedKeyUsage = _OID(id_ce_extKeyUsage, 0)$/;" v +anyExtendedKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^anyExtendedKeyUsage = _buildOid(id_ce_extKeyUsage, 0)$/;" v +anyOpenTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^anyOpenTag, anyCloseTag = makeHTMLTags(Word(alphas, alphanums + "_:").setName('any tag'))$/;" v +anyOpenTag adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^anyOpenTag,anyCloseTag = makeHTMLTags(Word(alphas,alphanums+"_:").setName('any tag'))$/;" v +anyOpenTag adpepsenv/lib/python3.8/site-packages/pyparsing.py /^anyOpenTag, anyCloseTag = makeHTMLTags(Word(alphas, alphanums + "_:").setName('any tag'))$/;" v +anyOpenTag adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^anyOpenTag,anyCloseTag = makeHTMLTags(Word(alphas,alphanums+"_:").setName('any tag'))$/;" v +anyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^anyPolicy = _OID(id_ce_certificatePolicies, 0)$/;" v +anyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^anyPolicy = _buildOid(id_ce_certificatePolicies, 0)$/;" v +anythingElse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.AfterHeadPhase +anythingElse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InHeadNoscriptPhase +anythingElse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InHeadPhase +anythingElse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InitialPhase +anythingElse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.AfterHeadPhase +anythingElse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InHeadNoscriptPhase +anythingElse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InHeadPhase +anythingElse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def anythingElse(self):$/;" m class:getPhases.InitialPhase +any_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def any_(iterable):$/;" f +any_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def any_sparse(classes):$/;" f +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: 0.0*k$/;" f function:roots_hermite file: +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: 0.0*k$/;" f function:roots_hermitenorm file: +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: np.where(k == 0, (b-a)\/(2+a+b), 0.0)$/;" f function:roots_jacobi file: +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: 0.0 * k$/;" f function:roots_gegenbauer file: +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: 0.0 * k$/;" f function:roots_legendre file: +an_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ an_func = lambda k: 2 * k + alpha + 1$/;" f function:roots_genlaguerre file: +AOTConfig adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^AOTConfig = _reflection.GeneratedProtocolMessageType('AOTConfig', (_message.Message,), {$/;" v +aot_compile_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def aot_compile_cpu(args):$/;" f +aot_compile_cpu_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def aot_compile_cpu_meta_graph_def(checkpoint_path,$/;" f +ApexContingencyKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class ApexContingencyKey(univ.Sequence):$/;" c +ApexUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class ApexUpdateConfirm(univ.Choice):$/;" c +Api adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^Api = _reflection.GeneratedProtocolMessageType('Api', (_message.Message,), {$/;" v +api adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ import torch.distributed.rpc.api as api$/;" I member:DistAutogradTest.test_trainer_ps_torchscript_functions file: +api adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ import torch.distributed.rpc.api as api$/;" I member:JitRpcTest.test_remote_script_module file: +api adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ import torch.distributed.rpc.api as api$/;" I member:RpcTest._test_rref_leak file: +api adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^ import torch.distributed.rpc.api as api$/;" I function:dist_init.new_test_method file: +APIAnalysisSpec adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class APIAnalysisSpec(object):$/;" c +APIChangeSpec adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class APIChangeSpec(object):$/;" c +ApiDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^ApiDef = _reflection.GeneratedProtocolMessageType('ApiDef', (_message.Message,), {$/;" v +ApiDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^ApiDef = _reflection.GeneratedProtocolMessageType('ApiDef', (_message.Message,), {$/;" v +ApiDefMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ApiDefMap(object):$/;" c +ApiDefs adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^ApiDefs = _reflection.GeneratedProtocolMessageType('ApiDefs', (_message.Message,), {$/;" v +ApiDefs adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^ApiDefs = _reflection.GeneratedProtocolMessageType('ApiDefs', (_message.Message,), {$/;" v +ApiServer adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^ApiServer = _reflection.GeneratedProtocolMessageType('ApiServer', (_message.Message,), {$/;" v +API_ATTRS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^API_ATTRS = tf_export.API_ATTRS$/;" v +API_ATTRS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^API_ATTRS = {$/;" v +API_ATTRS_V1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^API_ATTRS_V1 = tf_export.API_ATTRS_V1$/;" v +API_ATTRS_V1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^API_ATTRS_V1 = {$/;" v +api_available adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def api_available(self):$/;" m class:_LocalCloudTpuClient +api_available adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def api_available(self):$/;" m class:Client +API_BLAS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_BLAS = 39$/;" v +api_boundary adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def api_boundary(fun):$/;" f +API_C10 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_C10 = 1339$/;" v +API_CAFFE2 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_CAFFE2 = 1338$/;" v +API_DRIVER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_DRIVER = 37$/;" v +api_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^class api_export(object): # pylint: disable=invalid-name$/;" c +API_FFT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_FFT = 43$/;" v +API_LAST adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_LAST = 42$/;" v +API_PYTORCH adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_PYTORCH = 1337$/;" v +API_RAND adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_RAND = 41$/;" v +API_ROCTX adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_ROCTX = 45$/;" v +API_RTC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_RTC = 44$/;" v +API_RUNTIME adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_RUNTIME = 38$/;" v +API_SPARSE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^API_SPARSE = 40$/;" v +api_version adpepsenv/lib/python3.8/site-packages/h5py/version.py /^api_version = "%d.%d" % api_version_tuple$/;" v +api_version_tuple adpepsenv/lib/python3.8/site-packages/h5py/version.py /^api_version_tuple = (1,8)$/;" v +APNG_BLEND_OP_OVER adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^APNG_BLEND_OP_OVER = 1$/;" v +APNG_BLEND_OP_SOURCE adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^APNG_BLEND_OP_SOURCE = 0$/;" v +APNG_DISPOSE_OP_BACKGROUND adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^APNG_DISPOSE_OP_BACKGROUND = 1$/;" v +APNG_DISPOSE_OP_NONE adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^APNG_DISPOSE_OP_NONE = 0$/;" v +APNG_DISPOSE_OP_PREVIOUS adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^APNG_DISPOSE_OP_PREVIOUS = 2$/;" v +app adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^app = flask.Flask($/;" v +app adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def app(f, *args):$/;" f member:JaxprTrace.process_call file: +APP adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def APP(self, marker):$/;" f +apparent_encoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def apparent_encoding(self):$/;" m class:Response +apparent_encoding adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def apparent_encoding(self):$/;" m class:Response +appauthor adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ appauthor = "MyCompany"$/;" v +appauthor adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ appauthor = "MyCompany"$/;" v +appauthor adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ appauthor = "MyCompany"$/;" v +AppDirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^class AppDirs(object):$/;" c +AppDirs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^class AppDirs(object):$/;" c +AppDirs adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^class AppDirs(object):$/;" c +append adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def append(ins, outs):$/;" f member:TestOperators.test_weighted_sample_blobs_queue file: +append adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def append(ins, outs):$/;" f member:TestReBatchingQueue.test_rebatching_parallel_producer_consumer file: +append adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def append(self, val, label=None):$/;" m class:_ymd +append adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def append(self, value):$/;" m class:RepeatedCompositeFieldContainer +append adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def append(self, value):$/;" m class:RepeatedScalarFieldContainer +append adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def append(self, value):$/;" m class:ListValue +append adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def append(self, points):$/;" m class:PointSelection +append adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def append(arr, values, axis: Optional[int] = None):$/;" f +append adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def append(arr, values, axis=None):$/;" f +append adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def append(a, b, axis=None):$/;" f +append adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^def append(node, name, value):$/;" f +append adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def append(self, chunk):$/;" m class:getchunks.collector +append adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def append(fp, cid, *data):$/;" f function:getchunks file: +append adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def append(self, error):$/;" m class:HashErrors +append adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def append(self, node):$/;" m class:ActiveFormattingElements +append adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def append(self, item):$/;" m class:ParseResults +append adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def append(self, other):$/;" m class:ParseExpression +append adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def append( self, item ):$/;" m class:ParseResults +append adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def append( self, other ):$/;" m class:ParseExpression +append adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def append(self, value):$/;" m class:SequenceOfAndSetOfBase +append adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def append(self, item):$/;" m class:ParseResults +append adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def append(self, other):$/;" m class:ParseExpression +append adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def append(self, c, d):$/;" m class:LowRankMatrix +append adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^def append(a, vancestors):$/;" f +append adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def append(self, item):$/;" m class:FileList +append adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def append(self, item):$/;" m class:FileList +append adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ append = add$/;" v class:OrderedSet +append adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def append( self, item ):$/;" m class:ParseResults +append adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def append( self, other ):$/;" m class:ParseExpression +append adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def append(self, filename, file_content, binary_mode=False):$/;" m class:LocalFileSystem +append adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def append(self, node):$/;" m class:ActiveFormattingElements +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def append(self, value):$/;" m class:TensorList +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def append(self, item):$/;" m class:Menu +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def append(self, line, font_attr_segs=None):$/;" m class:RichTextLines +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def append(self, callback):$/;" m class:CallbackList +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def append(arr, values, axis=None):$/;" f +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^ def append(s):$/;" f function:get_header_from_ops_and_kernels file: +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def append(self, value):$/;" m class:List +append adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def append(self, value):$/;" m class:ListWrapper +append adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def append(s):$/;" f function:build_table file: +append adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def append(self: T, module: Module) -> T:$/;" m class:ModuleList +append adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def append(self: T, parameter: 'Parameter') -> T:$/;" m class:ParameterList +append adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def append(g, self, tensor):$/;" f +append adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def append(self, x):$/;" m class:GraphPy +append adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def append(self, item):$/;" m class:ImmutableListMixin +appendChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def appendChild(self, node):$/;" m class:Node +appendChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def appendChild(self, node):$/;" m class:getDomBuilder.NodeBuilder +appendChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def appendChild(self, node):$/;" m class:getDomBuilder.TreeBuilder +appendChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def appendChild(self, node):$/;" m class:getETreeBuilder.Element +appendChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def appendChild(self, element):$/;" m class:Document +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def appendChild(self, node):$/;" m class:Node +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def appendChild(self, node):$/;" m class:getDomBuilder.NodeBuilder +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def appendChild(self, node):$/;" m class:getDomBuilder.TreeBuilder +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def appendChild(self, node):$/;" m class:getETreeBuilder.Element +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def appendChild(self, child):$/;" m class:TreeBuilder.__init__.Element +appendChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def appendChild(self, element):$/;" m class:Document +AppendData adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def AppendData(self, data, custom_properties=None):$/;" m class:DataTable +appenddecl adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def appenddecl(decl, decl2, force=1):$/;" f +AppendDocstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^class AppendDocstring(object):$/;" c +AppendingTiffWriter adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^class AppendingTiffWriter:$/;" c +appendmultiline adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def appendmultiline(group, context_name, ml):$/;" f +AppendNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AppendNet(self, net, device_option=None):$/;" m class:Net +AppendOutputRecordField adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AppendOutputRecordField(self, field_name, record):$/;" m class:Net +appendpath adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def appendpath(prefix, path):$/;" f +AppendSparseGenerators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def AppendSparseGenerators(self, sparse_generators):$/;" m class:IR +append_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def append_axes(self, position, size, pad=None, add_to_figure=True,$/;" m class:AxesDivider +append_composite_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor_utils.py /^def append_composite_tensor(target, to_append):$/;" f +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = ''$/;" v class:wx_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = ''$/;" v class:_pkg_config_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'freetype2'$/;" v class:freetype2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gdk'$/;" v class:gdk_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gdk-2.0'$/;" v class:gdk_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gdk-pixbuf-2.0'$/;" v class:gdk_pixbuf_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gdk-pixbuf-xlib-2.0'$/;" v class:gdk_pixbuf_xlib_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gdk-x11-2.0'$/;" v class:gdk_x11_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gtk+-2.0'$/;" v class:gtkp_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'gtk+-x11-2.0'$/;" v class:gtkp_x11_2_info +append_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ append_config_exe = 'xft'$/;" v class:xft_info +append_cpu_child adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def append_cpu_child(self, child):$/;" m class:FunctionEvent +append_cr adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def append_cr(line):$/;" f function:_write_requirements file: +append_cts adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ append_cts = lambda x, d: cts_in_flat.extend([x] * len(tree_flatten(d)[0]))$/;" f function:_flatten_bwd file: +append_examples_from_iterable adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^ def append_examples_from_iterable(iterable, examples):$/;" f function:example_protos_from_path file: +append_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def append_fields(base, names, data, dtypes=None,$/;" f +append_flags_into_file adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def append_flags_into_file(self, filename):$/;" m class:FlagValues +append_flag_values adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def append_flag_values(self, flag_values):$/;" m class:FlagValues +append_kernel adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def append_kernel(self, name, device, start, end):$/;" m class:FunctionEvent +append_needs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^def append_needs(need, flag=1):$/;" f +append_rich_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def append_rich_line(self, rich_line):$/;" m class:RichTextLines +append_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def append_size(self, position, size):$/;" m class:Divider +append_slash_redirect adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def append_slash_redirect(environ, code=301):$/;" f +append_std14_if_no_std_present adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def append_std14_if_no_std_present(cflags) -> None:$/;" f member:BuildExtension.build_extensions file: +append_to adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def append_to(self, extlib):$/;" m class:Configuration +AppEngineManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^class AppEngineManager(RequestMethods):$/;" c +AppEngineManager adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^class AppEngineManager(RequestMethods):$/;" c +AppEnginePlatformError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^class AppEnginePlatformError(HTTPError):$/;" c +AppEnginePlatformError adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^class AppEnginePlatformError(HTTPError):$/;" c +AppEnginePlatformWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^class AppEnginePlatformWarning(HTTPWarning):$/;" c +AppEnginePlatformWarning adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^class AppEnginePlatformWarning(HTTPWarning):$/;" c +application adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def application(environ, start_response):$/;" f member:LocalManager.make_middleware file: +application adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def application(environ, start_response):$/;" f member:ProxyMiddleware.proxy_to file: +application adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def application(*args):$/;" f member:BaseRequest.application file: +application adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def application(cls, f):$/;" m class:BaseRequest +ApplicationSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class ApplicationSyntax(univ.Choice):$/;" c +ApplicationSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class ApplicationSyntax(univ.Choice):$/;" c +apply adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def apply($/;" m class:BeamSearchForwardOnly +apply adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def apply($/;" m class:LSTMWithAttentionDecoder +apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply(self, model, input_t, seq_lengths, states, timestep):$/;" m class:RNNCell +apply adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def apply(self, headers, token=None):$/;" m class:AnonymousCredentials +apply adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def apply(self, headers, token=None):$/;" m class:Credentials +apply adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def apply(self):$/;" m class:FormDialog +apply adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def apply(self, im, imOut=None):$/;" m class:ImageCmsTransform +apply adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def apply(self, op, im1, im2=None, mode=None):$/;" m class:_Operand +apply adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def apply(self, image):$/;" m class:MorphOp +apply adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def apply(self, response):$/;" m class:BaseHeuristic +apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def apply(self, transformation_func):$/;" m class:DatasetV1 +apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def apply(self, transformation_func):$/;" m class:DatasetV2 +apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def apply(self, inputs, *args, **kwargs):$/;" m class:Layer +apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def apply(self, inputs, *args, **kwargs):$/;" m class:Layer +Apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^ def Apply(f, *args):$/;" f function:_TensorStridedSliceUpdateGrad file: +apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def apply(self, var_list=None):$/;" m class:ExponentialMovingAverage +apply adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def apply(self, *args):$/;" m class:BackwardCFunction +apply adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def apply(self: T, fn: Callable[["Module"], None]) -> T:$/;" m class:_RemoteModule +apply adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def apply(self: T, fn: Callable[['Module'], None]) -> T:$/;" m class:Module +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name):$/;" m class:Identity +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, *args, **kwargs):$/;" m class:BasePruningMethod +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, amount):$/;" m class:L1Unstructured +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, amount):$/;" m class:RandomUnstructured +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, amount, dim=-1):$/;" m class:RandomStructured +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, amount, n, dim):$/;" m class:LnStructured +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply(cls, module, name, mask):$/;" m class:CustomFromMask +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def apply(module: Module, name: str, n_power_iterations: int, dim: int, eps: float) -> 'Spec/;" m class:SpectralNorm +apply adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^ def apply(module, name: str, dim: int) -> 'WeightNorm':$/;" m class:WeightNorm +ApplyAdadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdadelta = tf_export("raw_ops.ApplyAdadelta")(_ops.to_raw_op(apply_adadelta))$/;" v +ApplyAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdagrad = tf_export("raw_ops.ApplyAdagrad")(_ops.to_raw_op(apply_adagrad))$/;" v +ApplyAdagradDA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdagradDA = tf_export("raw_ops.ApplyAdagradDA")(_ops.to_raw_op(apply_adagrad_da))$/;" v +ApplyAdagradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdagradV2 = tf_export("raw_ops.ApplyAdagradV2")(_ops.to_raw_op(apply_adagrad_v2))$/;" v +ApplyAdam adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdam = tf_export("raw_ops.ApplyAdam")(_ops.to_raw_op(apply_adam))$/;" v +ApplyAdaMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAdaMax = tf_export("raw_ops.ApplyAdaMax")(_ops.to_raw_op(apply_ada_max))$/;" v +ApplyAddSign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyAddSign = tf_export("raw_ops.ApplyAddSign")(_ops.to_raw_op(apply_add_sign))$/;" v +ApplyCenteredRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyCenteredRMSProp = tf_export("raw_ops.ApplyCenteredRMSProp")(_ops.to_raw_op(apply_centered_r/;" v +ApplyFtrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyFtrl = tf_export("raw_ops.ApplyFtrl")(_ops.to_raw_op(apply_ftrl))$/;" v +ApplyFtrlV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyFtrlV2 = tf_export("raw_ops.ApplyFtrlV2")(_ops.to_raw_op(apply_ftrl_v2))$/;" v +ApplyGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyGradientDescent = tf_export("raw_ops.ApplyGradientDescent")(_ops.to_raw_op(apply_gradient_d/;" v +ApplyMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyMomentum = tf_export("raw_ops.ApplyMomentum")(_ops.to_raw_op(apply_momentum))$/;" v +ApplyPowerSign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyPowerSign = tf_export("raw_ops.ApplyPowerSign")(_ops.to_raw_op(apply_power_sign))$/;" v +ApplyProximalAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyProximalAdagrad = tf_export("raw_ops.ApplyProximalAdagrad")(_ops.to_raw_op(apply_proximal_a/;" v +ApplyProximalGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyProximalGradientDescent = tf_export("raw_ops.ApplyProximalGradientDescent")(_ops.to_raw_op(/;" v +ApplyRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ApplyRMSProp = tf_export("raw_ops.ApplyRMSProp")(_ops.to_raw_op(apply_rms_prop))$/;" v +applyrules adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def applyrules(rules, d, var={}):$/;" f +ApplyTransform adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def ApplyTransform(transform_key, net):$/;" f +applyTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def applyTransform(im, transform, inPlace=False):$/;" f +ApplyTransformIfFaster adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def ApplyTransformIfFaster(transform_key, net, init_net, **kwargs):$/;" f +apply_adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adadelta(var, accum, accum_update, lr, rho, epsilon, grad, use_locking=False, name=Non/;" f +apply_adadelta_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adadelta_eager_fallback(var, accum, accum_update, lr, rho, epsilon, grad, use_locking,/;" f +apply_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad(var, accum, lr, grad, use_locking=False, update_slots=True, name=None):$/;" f +apply_adagrad_da adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad_da(var, gradient_accumulator, gradient_squared_accumulator, grad, lr, l1, l2, /;" f +apply_adagrad_da_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad_da_eager_fallback(var, gradient_accumulator, gradient_squared_accumulator, gra/;" f +apply_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad_eager_fallback(var, accum, lr, grad, use_locking, update_slots, name, ctx):$/;" f +apply_adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad_v2(var, accum, lr, epsilon, grad, use_locking=False, update_slots=True, name=N/;" f +apply_adagrad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adagrad_v2_eager_fallback(var, accum, lr, epsilon, grad, use_locking, update_slots, na/;" f +apply_adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adam(var, m, v, beta1_power, beta2_power, lr, beta1, beta2, epsilon, grad, use_locking/;" f +apply_adam_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_adam_eager_fallback(var, m, v, beta1_power, beta2_power, lr, beta1, beta2, epsilon, gr/;" f +apply_ada_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ada_max(var, m, v, beta1_power, lr, beta1, beta2, epsilon, grad, use_locking=False, na/;" f +apply_ada_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ada_max_eager_fallback(var, m, v, beta1_power, lr, beta1, beta2, epsilon, grad, use_lo/;" f +apply_add_sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_add_sign(var, m, lr, alpha, sign_decay, beta, grad, use_locking=False, name=None):$/;" f +apply_add_sign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_add_sign_eager_fallback(var, m, lr, alpha, sign_decay, beta, grad, use_locking, name, /;" f +apply_affine_transform adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def apply_affine_transform(x, theta=0, tx=0, ty=0, shear=0, zx=1, zy=1,$/;" f +apply_affine_transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^apply_affine_transform = image.apply_affine_transform$/;" v +apply_aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def apply_aggregation(strategy, value, aggregation, destinations):$/;" f +apply_along_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def apply_along_axis(func1d, axis: int, arr, *args, **kwargs):$/;" f +apply_along_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def apply_along_axis(func1d, axis, arr, *args, **kwargs):$/;" f +apply_along_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def apply_along_axis(func1d, axis, arr, *args, **kwargs):$/;" f +apply_along_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def apply_along_fields(func, arr):$/;" f +apply_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def apply_aspect(self, position=None):$/;" m class:_AxesBase +apply_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def apply_aspect(self, position=None):$/;" m class:SecondaryAxis +apply_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def apply_aspect(self, position=None):$/;" m class:ParasiteAxesAuxTransBase +apply_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def apply_aspect(self, position=None):$/;" m class:Axes3D +apply_assignments adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def apply_assignments(net, blob_assignments):$/;" f +apply_brightness_shift adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def apply_brightness_shift(x, brightness):$/;" f +apply_brightness_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^apply_brightness_shift = image.apply_brightness_shift$/;" v +apply_callback adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^ def apply_callback(data):$/;" f function:figure_edit file: +apply_callbacks adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def apply_callbacks(self, attrs, is_new):$/;" m class:LinkifyFilter +apply_centered_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_centered_rms_prop(var, mg, ms, mom, lr, rho, momentum, epsilon, grad, use_locking=Fals/;" f +apply_centered_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_centered_rms_prop_eager_fallback(var, mg, ms, mom, lr, rho, momentum, epsilon, grad, u/;" f +apply_channel_shift adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def apply_channel_shift(x, intensity, channel_axis=0):$/;" f +apply_channel_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^apply_channel_shift = image.apply_channel_shift$/;" v +apply_client_authentication_options adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ def apply_client_authentication_options($/;" m class:OAuthClientAuthHandler +apply_crf adpepsenv/lib/python3.8/site-packages/caffe2/python/crf_predict.py /^def apply_crf(init_net, net, transitions, predictions, num_classes):$/;" f +apply_default_optimizations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ apply_default_optimizations = options.create_option($/;" v class:OptimizationOptions +apply_dot_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def apply_dot_attention($/;" f +apply_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^ def apply_filter(self, x, axis=-1, mode='constant', cval=0):$/;" m class:_UpFIRDn +apply_final_net_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_final_net_modifiers($/;" m class:LayerModelHelper +apply_flat_fun adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def apply_flat_fun(fun, io_tree, *py_args):$/;" f +apply_flat_fun_nokwargs adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def apply_flat_fun_nokwargs(fun, io_tree, py_args):$/;" f +apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def apply_fn(dataset):$/;" f function:make_batched_features_dataset_v2 file: +apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def apply_fn(dataset):$/;" f function:make_csv_dataset_v2 file: +apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def apply_fn():$/;" f member:LossScaleOptimizer._apply_gradients_cross_replica file: +apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def apply_fn():$/;" f member:MixedPrecisionLossScaleOptimizer._distributed_apply file: +apply_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def apply_fn(input, target, *params):$/;" f member:CriterionTest.__call__ file: +apply_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def apply_fn(input1, input2, target, *params):$/;" f member:CriterionTest.__call__ file: +apply_ftrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ftrl(var, accum, linear, grad, lr, l1, l2, lr_power, use_locking=False, multiply_linea/;" f +apply_ftrl_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ftrl_eager_fallback(var, accum, linear, grad, lr, l1, l2, lr_power, use_locking, multi/;" f +apply_ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ftrl_v2(var, accum, linear, grad, lr, l1, l2, l2_shrinkage, lr_power, use_locking=Fals/;" f +apply_ftrl_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_ftrl_v2_eager_fallback(var, accum, linear, grad, lr, l1, l2, l2_shrinkage, lr_power, u/;" f +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:_pooling_layer.PoolingLayer file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ apply_fun = lambda params, inputs, **kwargs: fun(inputs, **fun_kwargs)$/;" f function:elementwise file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ apply_fun = lambda params, inputs, **kwargs: inputs$/;" f function:Identity file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ apply_fun = lambda params, inputs, **kwargs: sum(inputs)$/;" f function:FanInSum file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ apply_fun = lambda params, inputs, **kwargs: [inputs] * num$/;" f function:FanOut file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:Dense file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:Dropout file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:FanInConcat file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:Flatten file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:GeneralConv file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:GeneralConvTranspose file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:parallel file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:serial file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, inputs, **kwargs):$/;" f function:shape_dependent file: +apply_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def apply_fun(params, x, **kwargs):$/;" f function:BatchNorm file: +apply_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def apply_grad(self, grad, local_step=0, name=None):$/;" m class:ConditionalAccumulator +apply_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def apply_grad(self,$/;" m class:SparseConditionalAccumulator +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def apply_gradients(self,$/;" m class:LossScaleOptimizer +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def apply_gradients(self, grads_and_vars):$/;" m class:TFOptimizer +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def apply_gradients(self,$/;" m class:OptimizerV2 +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def apply_gradients(self, gradients, name: Text = None):$/;" m class:TPUEmbedding +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def apply_gradients(self, grads_and_vars, global_step=None, name=None):$/;" m class:CrossShardOptimizer +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def apply_gradients(self, grads_and_vars, global_step=None, name=None):$/;" m class:MixedPrecisionLossScaleOptimizer +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def apply_gradients(self, grads_and_vars, global_step=None, name=None):$/;" m class:Optimizer +apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def apply_gradients(self, grads_and_vars, global_step=None, name=None):$/;" m class:SyncReplicasOptimizer +apply_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_gradient_descent(var, alpha, delta, use_locking=False, name=None):$/;" f +apply_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_gradient_descent_eager_fallback(var, alpha, delta, use_locking, name, ctx):$/;" f +apply_grad_to_update_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def apply_grad_to_update_var(var, grad):$/;" f member:OptimizerV2._distributed_apply file: +apply_hack_for_nccl adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def apply_hack_for_nccl():$/;" f +apply_indexed_slices_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def apply_indexed_slices_grad(self, grad, local_step=0, name=None):$/;" m class:SparseConditionalAccumulator +apply_in_place adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def apply_in_place(self, im):$/;" m class:ImageCmsTransform +apply_ith_rotation adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def apply_ith_rotation(i, H_row):$/;" f function:_apply_givens_rotations file: +apply_legacy_rebatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def apply_legacy_rebatch():$/;" f function:DistributedDataset._make_rebatch_fn.rebatch_fn file: +apply_mask adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def apply_mask(arrays, mask):$/;" f member:Axes.errorbar file: +apply_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def apply_mask(y_p, sw, mask):$/;" f +apply_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def apply_mask(self, prediction_result):$/;" m class:PartialBatchPaddingHandler +apply_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def apply_mask(self, module):$/;" m class:BasePruningMethod +apply_match adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fuse.py /^ def apply_match(pattern, node, match):$/;" f member:Fuser._find_matches file: +apply_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_momentum(var, accum, lr, grad, momentum, use_locking=False, use_nesterov=False, name=N/;" f +apply_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_momentum_eager_fallback(var, accum, lr, grad, momentum, use_locking, use_nesterov, nam/;" f +apply_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def apply_op(op_type_name, name=None, **keywords): # pylint: disable=invalid-name$/;" f +apply_operator adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_operator(net, in_record, out_record, **kwargs):$/;" f function:LayerModelHelper.__getattr__.wrapper file: +apply_optimizers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_optimizers($/;" m class:LayerModelHelper +apply_outfeed_rewriter adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def apply_outfeed_rewriter(jaxpr: core.Jaxpr) -> core.Jaxpr:$/;" f +apply_override adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply_override($/;" m class:BasicRNNCell +apply_override adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply_override($/;" m class:LSTMCell +apply_override adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply_override($/;" m class:RNNCell +apply_over_axes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def apply_over_axes(func, a, axes):$/;" f +apply_over_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def apply_over_axes(func, a, axes):$/;" f +apply_over_axes adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def apply_over_axes(func, a, axes):$/;" f +apply_over_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def apply_over_sequence($/;" m class:LSTMWithAttentionDecoder +apply_over_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply_over_sequence($/;" m class:RNNCell +apply_over_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def apply_over_sequence($/;" m class:UnrolledCell +apply_parallel_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def apply_parallel_primitive(prim, *args, **params):$/;" f function:omnistaging_disabler file: +apply_patch adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def apply_patch(parent, attribute, replacement):$/;" f +apply_permutation adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^def apply_permutation(tensor, permutation, dim=1):$/;" f +apply_permutation adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^def apply_permutation(tensor: Tensor, permutation: Tensor, dim: int = 1) -> Tensor:$/;" f +apply_permutation adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^def apply_permutation(tensor: Tensor, permutation: Tensor, dim: int = 1) -> Tensor:$/;" f +apply_post_grad_net_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_post_grad_net_modifiers($/;" m class:LayerModelHelper +apply_power_sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_power_sign(var, m, lr, logbase, sign_decay, beta, grad, use_locking=False, name=None):$/;" f +apply_power_sign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_power_sign_eager_fallback(var, m, lr, logbase, sign_decay, beta, grad, use_locking, na/;" f +apply_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def apply_primitive(prim, *args, **params):$/;" f +apply_proximal_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_proximal_adagrad(var, accum, lr, l1, l2, grad, use_locking=False, name=None):$/;" f +apply_proximal_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_proximal_adagrad_eager_fallback(var, accum, lr, l1, l2, grad, use_locking, name, ctx):$/;" f +apply_proximal_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_proximal_gradient_descent(var, alpha, l1, l2, delta, use_locking=False, name=None):$/;" f +apply_proximal_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_proximal_gradient_descent_eager_fallback(var, alpha, l1, l2, delta, use_locking, name,/;" f +apply_rebatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def apply_rebatch():$/;" f function:DistributedDataset._make_rebatch_fn.rebatch_fn file: +apply_recurrent_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def apply_recurrent_attention($/;" f +apply_recurrent_blob_assignments adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def apply_recurrent_blob_assignments(op, blob_assignments, canonical_name):$/;" f +apply_regularizers_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_regularizers_after_optimizer($/;" m class:LayerModelHelper +apply_regularizers_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_regularizers_on_loss($/;" m class:LayerModelHelper +apply_regular_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def apply_regular_attention($/;" f +apply_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_rms_prop(var, ms, mom, lr, rho, momentum, epsilon, grad, use_locking=False, name=None)/;" f +apply_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def apply_rms_prop_eager_fallback(var, ms, mom, lr, rho, momentum, epsilon, grad, use_locking, n/;" f +apply_round adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def apply_round(v, rot):$/;" f +apply_scale adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def apply_scale(val):$/;" f member:GradScaler.scale file: +apply_seed adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def apply_seed(self, net):$/;" m class:LayerModelHelper +apply_soft_coverage_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def apply_soft_coverage_attention($/;" f +apply_tag adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def apply_tag(tag, cases):$/;" f +apply_test adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def apply_test(data):$/;" f +apply_tickdir adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def apply_tickdir(self, tickdir):$/;" m class:Tick +apply_tickdir adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def apply_tickdir(self, tickdir):$/;" m class:XTick +apply_tickdir adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def apply_tickdir(self, tickdir):$/;" m class:YTick +apply_todos adpepsenv/lib/python3.8/site-packages/jax/core.py /^def apply_todos(todos, outs):$/;" f +apply_to_single_assignments adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def apply_to_single_assignments(targets, values, apply_fn):$/;" f +apply_to_single_assignments adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def apply_to_single_assignments(self, targets, values, apply_fn):$/;" m class:Base +apply_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def apply_to_tensor(self, tensor, assign_tuple_sharding=False):$/;" m class:Sharding +apply_transform adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def apply_transform(self, x, transform_parameters):$/;" m class:ImageDataGenerator +apply_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def apply_value(name: str, value: Union['FieldValue',$/;" f member:StructuredTensor._with_updates_impl file: +apply_window adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def apply_window(x, window, axis=0, return_window=None):$/;" f +appname adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ appname = "MyApp"$/;" v +appname adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ appname = "MyApp"$/;" v +appname adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ appname = "MyApp"$/;" v +approx adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def approx(a, b, fill_value=True, rtol=1e-5, atol=1e-8):$/;" f +ApproximateEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ApproximateEqual = tf_export("raw_ops.ApproximateEqual")(_ops.to_raw_op(approximate_equal))$/;" v +approximate_duality_gap adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def approximate_duality_gap(self):$/;" m class:_SDCAModel +approximate_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def approximate_equal(x, y, tolerance=1e-05, name=None):$/;" f +approximate_equal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def approximate_equal_eager_fallback(x, y, tolerance, name, ctx):$/;" f +approximate_taylor_polynomial adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^def approximate_taylor_polynomial(f,x,degree,scale,order=None):$/;" f +approx_derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def approx_derivative(fun, x0, method='3-point', rel_step=None, abs_step=None,$/;" f +approx_fhess_p adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def approx_fhess_p(x0, p, fprime, epsilon, *args):$/;" f +approx_fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def approx_fprime(xk, f, epsilon, *args):$/;" f +approx_heatmap_keypoint adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/detectron_keypoints.py /^def approx_heatmap_keypoint(heatmaps_in, bboxes_in):$/;" f +approx_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^def approx_jacobian(x, func, epsilon, *args):$/;" f +app_engine adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^ import google.auth.app_engine as app_engine$/;" I function:_get_gae_credentials file: +app_identity adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ app_identity = None$/;" v +APP_NAME adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/tool/__main__.py /^APP_NAME = "google-oauthlib-tool"$/;" v +aps01_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps01_f(x):$/;" f +aps01_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps01_fp(x):$/;" f +aps01_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps01_fpp(x):$/;" f +aps02_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps02_f(x):$/;" f +aps02_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps02_fp(x):$/;" f +aps02_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps02_fpp(x):$/;" f +aps03_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps03_f(x, a, b):$/;" f +aps03_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps03_fp(x, a, b):$/;" f +aps03_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps03_fpp(x, a, b):$/;" f +aps04_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps04_f(x, n, a):$/;" f +aps04_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps04_fp(x, n, a):$/;" f +aps04_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps04_fpp(x, n, a):$/;" f +aps05_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps05_f(x):$/;" f +aps05_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps05_fp(x):$/;" f +aps05_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps05_fpp(x):$/;" f +aps06_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps06_f(x, n):$/;" f +aps06_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps06_fp(x, n):$/;" f +aps06_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps06_fpp(x, n):$/;" f +aps07_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps07_f(x, n):$/;" f +aps07_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps07_fp(x, n):$/;" f +aps07_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps07_fpp(x, n):$/;" f +aps08_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps08_f(x, n):$/;" f +aps08_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps08_fp(x, n):$/;" f +aps08_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps08_fpp(x, n):$/;" f +aps09_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps09_f(x, n):$/;" f +aps09_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps09_fp(x, n):$/;" f +aps09_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps09_fpp(x, n):$/;" f +aps10_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps10_f(x, n):$/;" f +aps10_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps10_fp(x, n):$/;" f +aps10_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps10_fpp(x, n):$/;" f +aps11_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps11_f(x, n):$/;" f +aps11_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps11_fp(x, n):$/;" f +aps11_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps11_fpp(x, n):$/;" f +aps12_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps12_f(x, n):$/;" f +aps12_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps12_fp(x, n):$/;" f +aps12_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps12_fpp(x, n):$/;" f +aps13_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps13_f(x):$/;" f +aps13_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps13_fp(x):$/;" f +aps13_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps13_fpp(x):$/;" f +aps14_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps14_f(x, n):$/;" f +aps14_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps14_fp(x, n):$/;" f +aps14_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps14_fpp(x, n):$/;" f +aps15_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps15_f(x, n):$/;" f +aps15_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps15_fp(x, n):$/;" f +aps15_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def aps15_fpp(x, n):$/;" f +APTX adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ APTX = 0x0025$/;" v class:WAVE_FORMAT +aquireDatasets adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def aquireDatasets(opts):$/;" f +Arabic adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Arabic(unicode_set):$/;" c class:pyparsing_unicode +Arabic adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Arabic(unicode_set):$/;" c class:pyparsing_unicode +arange adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def arange(start, stop=None, step=None, dtype=None):$/;" f +arange adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arange = _convert2ma('arange', params=dict(fill_value=None, hardmask=False))$/;" v +arange adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def arange(start, stop=None, step=1, dtype='int32'):$/;" f +arange adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def arange(start, stop=None, step=1, dtype=None):$/;" f +arange adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def arange(g, *args):$/;" f +arange adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def arange(g, *args):$/;" f +Arc adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Arc(_Base):$/;" c class:ConnectionStyle +Arc adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Arc(Ellipse):$/;" c +arc adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def arc(cls, theta1, theta2, n=None, is_wedge=False):$/;" m class:Path +arc adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def arc(self, xy, start, end, fill=None, width=1):$/;" m class:ImageDraw +arc adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def arc(self, xy, start, end, *options):$/;" m class:Draw +Arc3 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Arc3(_Base):$/;" c class:ConnectionStyle +arccos adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arccos = _one_to_one_unop(np.arccos, lax.acos, True)$/;" v +arccos adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def arccos(x):$/;" f +arccos adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arccos = _MaskedUnaryOperation(umath.arccos, 0.0,$/;" v +arccos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arccos(x):$/;" f +arccosh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def arccosh(x):$/;" f +arccosh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arccosh = _MaskedUnaryOperation(umath.arccosh, 1.0,$/;" v +arccosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arccosh(x):$/;" f +ArcCosineFeatureMap adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/arc_cosine_feature_map.py /^class ArcCosineFeatureMap(ModelLayer):$/;" c +ARCH adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ARCH = distutils.util.get_platform().replace('-', '_').replace('.', '_')$/;" v +archive adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def archive(self, build_dir):$/;" m class:InstallRequirement +ArchiveCutoff adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class ArchiveCutoff(useful.GeneralizedTime):$/;" c +ArchiveCutoff adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ArchiveCutoff = rfc2560.ArchiveCutoff$/;" v +ArchiveInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^class ArchiveInfo(object):$/;" c +archiver adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ archiver = _command_property('archiver')$/;" v class:FCompiler +ARCHIVE_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^ARCHIVE_EXTENSIONS = ($/;" v +ARCHIVE_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ARCHIVE_EXTENSIONS = ('.tar.gz', '.tar.bz2', '.tar', '.zip',$/;" v +ARCHIVE_FORMATS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^ARCHIVE_FORMATS = {$/;" v +arcmin adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^arcmin = arcminute = degree \/ 60$/;" v +arcsec adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^arcsec = arcsecond = arcmin \/ 60$/;" v +arcsin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arcsin = _one_to_one_unop(np.arcsin, lax.asin, True)$/;" v +arcsin adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def arcsin(x):$/;" f +arcsin adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arcsin = _MaskedUnaryOperation(umath.arcsin, 0.0,$/;" v +arcsin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arcsin(x):$/;" f +arcsine adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^arcsine = arcsine_gen(a=0.0, b=1.0, name='arcsine')$/;" v +arcsine_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class arcsine_gen(rv_continuous):$/;" c +arcsinh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arcsinh = _one_to_one_unop(np.arcsinh, lax.asinh, True)$/;" v +arcsinh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arcsinh = _MaskedUnaryOperation(umath.arcsinh)$/;" v +arcsinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arcsinh(x):$/;" f +arctan adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arctan = _one_to_one_unop(np.arctan, lax.atan, True)$/;" v +arctan adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arctan = _MaskedUnaryOperation(umath.arctan)$/;" v +arctan adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def arctan(z, rho, cost_only):$/;" f +arctan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arctan(x):$/;" f +arctan2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arctan2 = _one_to_one_binop(np.arctan2, lax.atan2, True)$/;" v +arctan2 adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arctan2 = _MaskedBinaryOperation(umath.arctan2, 0.0, 1.0)$/;" v +arctan2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arctan2(x1, x2):$/;" f +arctanh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^arctanh = _one_to_one_unop(np.arctanh, lax.atanh, True)$/;" v +arctanh adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def arctanh(x):$/;" f +arctanh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^arctanh = _MaskedUnaryOperation(umath.arctanh, 0.0,$/;" v +arctanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def arctanh(x):$/;" f +arc_spine adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def arc_spine(cls, axes, spine_type, center, radius, theta1, theta2,$/;" m class:Spine +AREA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ AREA = 'area'$/;" v class:ResizeMethod +AREA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ AREA = 3$/;" v class:ResizeMethodV1 +AREGTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^AREGTYPE = b"\\0" # regular file$/;" v +are_all_symbolic_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def are_all_symbolic_tensors(tensors):$/;" f +are_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def are_compatible(spec1, spec2):$/;" f +are_floats adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^ def are_floats(values):$/;" f function:example_protos_from_path file: +are_relatively_prime adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def are_relatively_prime(a: int, b: int) -> bool:$/;" f +are_valid_constraints adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def are_valid_constraints(value):$/;" f member:LegacyMetadata.check file: +ArffError adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class ArffError(IOError):$/;" c +arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^arg = caffe2_pb2.Argument()$/;" v +arg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ arg = np.array([-1, -2, 0, 1], dtype=dtype)$/;" v +Arg adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^class Arg(object):$/;" c +argmax adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def argmax(operand: Array, axis: int,$/;" f +argmax adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def argmax(a, axis: Optional[int] = None, out=None):$/;" f +argmax adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def argmax(a, axis=None, out=None):$/;" f +argmax adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def argmax(self, axis=None, fill_value=None, out=None):$/;" m class:MaskedArray +argmax adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^argmax = _frommethod('argmax')$/;" v +argmax adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def argmax(self, axis=None, out=None):$/;" m class:matrix +argmax adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def argmax(self, axis=None, out=None):$/;" m class:_minmax_mixin +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def argmax(x, axis=-1):$/;" f +ArgMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ArgMax = tf_export("raw_ops.ArgMax")(_ops.to_raw_op(arg_max))$/;" v +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def argmax(input,$/;" f +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def argmax(a, axis=None):$/;" f +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.math_ops import argmax_v2 as argmax$/;" x +argmax adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def argmax(g, input, dim, keepdim):$/;" f +argmax adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def argmax(g, input, dim, keepdim):$/;" f +ArgMaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ArgMaxOptions = 40$/;" v class:BuiltinOptions +ArgMaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ArgMaxOptions(object):$/;" c +ArgMaxOptionsAddOutputType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMaxOptionsAddOutputType(builder, outputType): builder.PrependInt8Slot(0, outputType, 0)$/;" f +ArgMaxOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArgMaxOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ArgMaxOptions +ArgMaxOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMaxOptionsEnd(builder): return builder.EndObject()$/;" f +ArgMaxOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMaxOptionsStart(builder): builder.StartObject(1)$/;" f +ArgMaxOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ArgMaxOptionsT(object):$/;" c +argmax_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^argmax_p = standard_primitive(_argminmax_shape_rule, _argminmax_dtype_rule,$/;" v +argmax_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^ def argmax_ref(X):$/;" f member:TestArgOps.test_argmax file: +argmax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def argmax_v2(input, axis=None, output_type=dtypes.int64, name=None):$/;" f +argmin adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def argmin(operand: Array, axis: int,$/;" f +argmin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def argmin(a, axis: Optional[int] = None, out=None):$/;" f +argmin adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def argmin(a, axis=None, out=None):$/;" f +argmin adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def argmin(self, axis=None, fill_value=None, out=None):$/;" m class:MaskedArray +argmin adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^argmin = _frommethod('argmin')$/;" v +argmin adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def argmin(self, axis=None, out=None):$/;" m class:matrix +argmin adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def argmin(self, axis=None, out=None):$/;" m class:_minmax_mixin +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def argmin(x, axis=-1):$/;" f +ArgMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ArgMin = tf_export("raw_ops.ArgMin")(_ops.to_raw_op(arg_min))$/;" v +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def argmin(input,$/;" f +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def argmin(a, axis=None):$/;" f +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.math_ops import argmin_v2 as argmin$/;" x +argmin adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def argmin(g, input, dim, keepdim):$/;" f +argmin adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def argmin(g, input, dim, keepdim):$/;" f +ArgMinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ArgMinOptions = 57$/;" v class:BuiltinOptions +ArgMinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ArgMinOptions(object):$/;" c +ArgMinOptionsAddOutputType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMinOptionsAddOutputType(builder, outputType): builder.PrependInt8Slot(0, outputType, 0)$/;" f +ArgMinOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArgMinOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ArgMinOptions +ArgMinOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMinOptionsEnd(builder): return builder.EndObject()$/;" f +ArgMinOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ArgMinOptionsStart(builder): builder.StartObject(1)$/;" f +ArgMinOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ArgMinOptionsT(object):$/;" c +argmin_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^argmin_p = standard_primitive(_argminmax_shape_rule, _argminmax_dtype_rule,$/;" v +argmin_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^ def argmin_ref(X):$/;" f member:TestArgOps.test_argmin file: +argmin_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def argmin_v2(input, axis=None, output_type=dtypes.int64, name=None):$/;" f +argnums_partial adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def argnums_partial(f, dyn_argnums, args):$/;" f +argnums_partial_except adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def argnums_partial_except(f: lu.WrappedFun, static_argnums: Tuple[int, ...],$/;" f +argpartition adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^argpartition = _not_implemented(np.argpartition)$/;" v +argpartition adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def argpartition(a, kth, axis=-1, kind='introselect', order=None):$/;" f +argpartition adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def argpartition(self, *args, **kwargs):$/;" m class:MaskedArray +argrelextrema adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def argrelextrema(data, comparator, axis=0, order=1, mode='clip'):$/;" f +argrelmax adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def argrelmax(data, axis=0, order=1, mode='clip'):$/;" f +argrelmin adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def argrelmin(data, axis=0, order=1, mode='clip'):$/;" f +args adpeps/__main__.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^args, _ = parser.parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^ args = GetArgumentParser().parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ args = []$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/benchmark_generator.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/test_ideep_net.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^ args = GetArgumentParser().parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^args = None$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mul_gradient_benchmark.py /^ args, extra_args = parser.parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^ args, extra_args = parser.parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/lstm_comparison.py /^ args = lstm_benchmark.GetArgumentParser().parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/certifi/__main__.py /^args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ args = parser.parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def args(self):$/;" m class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +args adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/__main__.py /^args = parser.parse_args()$/;" v +ARGS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^ARGS = (0.0, 0.0, 1.0) # 1st, 2nd, and 3rd order terms$/;" v +args adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ args = ()$/;" v class:TestAsLinearOperator.setup_method.make_cases.BaseMatlike +args adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ args = ()$/;" v class:TestAsLinearOperator.setup_method.make_cases.HasAdjoint +args adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ args = ()$/;" v class:TestAsLinearOperator.setup_method.make_cases.HasRmatvec +args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^ args = parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^args, remaining = parser.parse_known_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^ args = parse_args()$/;" v +args adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def args(self):$/;" m class:EnvironBuilder +args adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def args(self, value):$/;" m class:EnvironBuilder +args adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def args(self):$/;" m class:BaseRequest +argsort adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def argsort(a, axis: Optional[int] = -1, kind='quicksort', order=None):$/;" f +argsort adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def argsort(self, axis=-1, kind=None, order=None):$/;" m class:chararray +argsort adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def argsort(a, axis=-1, kind=None, order=None):$/;" f +argsort adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def argsort(self, axis=np._NoValue, kind=None, order=None,$/;" m class:MaskedArray +argsort adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def argsort(a, axis=np._NoValue, kind=None, order=None, endwith=True, fill_value=None):$/;" f +argsort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def argsort(a, axis=-1, kind='quicksort', order=None): # pylint: disable=missing-docstring$/;" f +argsort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^def argsort(values, axis=-1, direction='ASCENDING', stable=False, name=None):$/;" f +argsort_which adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def argsort_which(eigenvalues, typ, k, which,$/;" f +ArgSpec adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^ArgSpec = collections.namedtuple('ArgSpec', 'args varargs keywords defaults')$/;" v +ArgSpec adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ArgSpec = collections.namedtuple($/;" v +ArgSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^ArgSpec = _inspect.ArgSpec$/;" v +ArgSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^ArgSpec = _inspect.ArgSpec$/;" v +argsreduce adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def argsreduce(cond, *args):$/;" f +argstoarray adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def argstoarray(*args):$/;" f +ArgsToDict adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def ArgsToDict(args):$/;" f +ARGS_AND_BODY_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ ARGS_AND_BODY_SCOPE = ($/;" v class:NodeAnno +args_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def args_fn(n):$/;" f member:RpcTest.test_multi_builtin_remote_ret file: +args_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ args_key = cbook._deprecate_privatize_attribute("3.3")$/;" v class:MovieWriter +args_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def args_key(self):$/;" m class:HTMLWriter +args_maker adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def args_maker(self, rng: Rng) -> Sequence:$/;" m class:Harness +ARGS_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ ARGS_SCOPE = 'The scope for the argument list of a function call.'$/;" v class:Static +ARGS_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ ARGS_SCOPE = 'The scope for the argument list of a function call.'$/;" v class:NodeAnno +args_search adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^args_search = []$/;" v +ARGS_SHAPES_TESTS adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ ARGS_SHAPES_TESTS = [$/;" v class:TestMultivariateT +args_to_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def args_to_indices(self):$/;" m class:FunctionSpec +args_to_matching_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def args_to_matching_eager(l, ctx, allowed_dtypes, default_dtype=None):$/;" f +args_to_mixed_eager_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def args_to_mixed_eager_tensors(lists, ctx):$/;" f +ARGS_TO_SKIP adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ARGS_TO_SKIP = {$/;" v +Argument adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^Argument = _reflection.GeneratedProtocolMessageType('Argument', (_message.Message,), {$/;" v +Argument adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^Argument = Optional[Union[$/;" v +ArgumentExtractorType adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ArgumentExtractorType = typing.Callable[..., typing.Tuple["Dispatchable", ...]]$/;" v +ArgumentParser adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^class ArgumentParser(argparse.ArgumentParser):$/;" c +ArgumentParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class ArgumentParser(six.with_metaclass(_ArgumentParserCache, object)):$/;" c +ArgumentParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^ArgumentParser = _argument_parser.ArgumentParser$/;" v +ArgumentReplacerType adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ArgumentReplacerType = typing.Callable[$/;" v +ArgumentSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class ArgumentSerializer(object):$/;" c +ArgumentSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^ArgumentSerializer = _argument_parser.ArgumentSerializer$/;" v +ArgumentValidationError adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class ArgumentValidationError(ValueError):$/;" c +ARGUMENT_MAP adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ARGUMENT_MAP = {$/;" v +argus adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^argus = argus_gen(name='argus', longname="An Argus Function", a=0.0, b=1.0)$/;" v +argus_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class argus_gen(rv_continuous):$/;" c +argv adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^ argv = ['', __file__, '--with-numpydoctest']$/;" v +argv_cases adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_shell_utils.py /^argv_cases = [$/;" v +argwhere adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def argwhere(a):$/;" f +argwhere adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def argwhere(a):$/;" f +arg_casts adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def arg_casts(arg):$/;" f +arg_compare adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def arg_compare(a1, a2):$/;" f member:BaseVisitor.visit_Call_arguments35 file: +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ arg_constraints = {'probs': constraints.unit_interval,$/;" v class:Bernoulli +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ arg_constraints = {'concentration1': constraints.positive, 'concentration0': constraints.pos/;" v class:Beta +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ arg_constraints = {'total_count': constraints.nonnegative_integer,$/;" v class:Binomial +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ arg_constraints = {'probs': constraints.simplex,$/;" v class:Categorical +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:Cauchy +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/chi2.py /^ arg_constraints = {'df': constraints.positive}$/;" v class:Chi2 +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ arg_constraints = {'probs': constraints.unit_interval,$/;" v class:ContinuousBernoulli +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ arg_constraints = {'concentration': constraints.positive}$/;" v class:Dirichlet +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ arg_constraints = {}$/;" v class:Distribution +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def arg_constraints(self):$/;" m class:Distribution +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ arg_constraints = {'rate': constraints.positive}$/;" v class:Exponential +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ arg_constraints = {'df1': constraints.positive, 'df2': constraints.positive}$/;" v class:FisherSnedecor +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ arg_constraints = {'concentration': constraints.positive, 'rate': constraints.positive}$/;" v class:Gamma +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ arg_constraints = {'probs': constraints.unit_interval,$/;" v class:Geometric +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:Gumbel +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ arg_constraints = {'scale': constraints.positive}$/;" v class:HalfCauchy +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ arg_constraints = {'scale': constraints.positive}$/;" v class:HalfNormal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ arg_constraints = {}$/;" v class:Independent +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:Laplace +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:LogisticNormal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:LogNormal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ arg_constraints = {"loc": constraints.real,$/;" v class:LowRankMultivariateNormal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ arg_constraints = {}$/;" v class:MixtureSameFamily +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ arg_constraints = {'probs': constraints.simplex,$/;" v class:Multinomial +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ arg_constraints = {'loc': constraints.real_vector,$/;" v class:MultivariateNormal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ arg_constraints = {'total_count': constraints.greater_than_eq(0),$/;" v class:NegativeBinomial +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ arg_constraints = {'loc': constraints.real, 'scale': constraints.positive}$/;" v class:Normal +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ arg_constraints = {'probs': constraints.simplex,$/;" v class:OneHotCategorical +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ arg_constraints = {'alpha': constraints.positive, 'scale': constraints.positive}$/;" v class:Pareto +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ arg_constraints = {'rate': constraints.positive}$/;" v class:Poisson +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ arg_constraints = {'probs': constraints.unit_interval,$/;" v class:LogitRelaxedBernoulli +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ arg_constraints = {'probs': constraints.unit_interval,$/;" v class:RelaxedBernoulli +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ arg_constraints = {'probs': constraints.simplex,$/;" v class:ExpRelaxedCategorical +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ arg_constraints = {'probs': constraints.simplex,$/;" v class:RelaxedOneHotCategorical +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ arg_constraints = {'df': constraints.positive, 'loc': constraints.real, 'scale': constraints/;" v class:StudentT +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ arg_constraints = {}$/;" v class:TransformedDistribution +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ arg_constraints = {'low': constraints.dependent, 'high': constraints.dependent}$/;" v class:Uniform +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ arg_constraints = {'loc': constraints.real, 'concentration': constraints.positive}$/;" v class:VonMises +arg_constraints adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ arg_constraints = {'scale': constraints.positive, 'concentration': constraints.positive}$/;" v class:Weibull +arg_is_blockwise adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def arg_is_blockwise(block_dimensions, arg, arg_split_dim):$/;" f +arg_location adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def arg_location(tup):$/;" f member:BaseVisitor.visit_Call_arguments file: +ARG_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ARG_MAX = 56$/;" v class:BuiltinOperator +arg_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def arg_max(input, dimension, output_type=_dtypes.int64, name=None):$/;" f +arg_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^arg_max = deprecation.deprecated(None, "Use `tf.math.argmax` instead")(arg_max) # pylint: disab/;" v +arg_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def arg_max_eager_fallback(input, dimension, output_type, name, ctx):$/;" f +ARG_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ARG_MIN = 79$/;" v class:BuiltinOperator +arg_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def arg_min(input, dimension, output_type=_dtypes.int64, name=None):$/;" f +arg_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^arg_min = deprecation.deprecated(None, "Use `tf.math.argmin` instead")(arg_min) # pylint: disab/;" v +arg_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def arg_min_eager_fallback(input, dimension, output_type, name, ctx):$/;" f +arg_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def arg_names(self):$/;" m class:FunctionSpec +arg_names_and_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def arg_names_and_types(args):$/;" f +arg_rev adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def arg_rev(self):$/;" m class:RevOptions +arg_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^arg_rules = [$/;" v +arg_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/arg_scope.py /^def arg_scope(single_helper_or_list, **kwargs):$/;" f +arg_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def arg_scope(self):$/;" m class:ModelHelper +arg_search adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^arg_search = caffe2_pb2.Argument()$/;" v +arg_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ arg_shape = (2, 3)$/;" v +arg_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def arg_spec(x):$/;" f +ARModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^class ARModel(model.TimeSeriesModel):$/;" c +arm_softfloat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^arm_softfloat = False if hosttype is None else hosttype.endswith('gnueabi')$/;" v +arnoldi_process adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def arnoldi_process(carry):$/;" f function:_gmres_batched file: +arnoldi_qr_step adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def arnoldi_qr_step(carry):$/;" f function:_gmres_incremental file: +around adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^around = round$/;" v +around adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def around(a, decimals=0, out=None):$/;" f +around adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^around = _MaskedUnaryOperation(np.round_)$/;" v +around adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def around(a, decimals=0): # pylint: disable=missing-docstring$/;" f +ArpackError adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class ArpackError(RuntimeError):$/;" c +ArpackNoConvergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class ArpackNoConvergence(ArpackError):$/;" c +arpack_int adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^arpack_int = _arpack.timing.nbx.dtype$/;" v +Array adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^Array = Any$/;" v +Array adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^Array = Any$/;" v +array adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def array(shape):$/;" f +Array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^Array = Any$/;" v +Array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^Array = Any$/;" v +Array adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^Array = Any$/;" v +array adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def array(object, dtype=None, copy=True, order="K", ndmin=0):$/;" f +array adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def array(obj, itemsize=None, copy=True, unicode=None, order=None):$/;" f +array adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def array(obj, dtype=None, shape=None, offset=0, strides=None, formats=None,$/;" f +array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ array = np.array(1)$/;" v class:test_interface_no_shape.ArrayLike +Array adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^class Array:$/;" c +array adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ array = np.array$/;" v class:TestQR +array adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ array = np.array$/;" v class:_TestNorm2D +array adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def array(data, dtype=None, copy=False, order=None,$/;" f +array adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^ array = np.matrix$/;" v class:TestQRMatrix +array adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^ array = np.matrix$/;" v class:_TestNorm2DMatrix +array adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def array(val, dtype=None, copy=True, ndmin=0): # pylint: disable=redefined-outer-name$/;" f +array2string adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def array2string(a, max_line_width=None, precision=None,$/;" f +ArrayIndices adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArrayIndices(self):$/;" m class:DimensionMetadata +ArrayIndicesType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArrayIndicesType(self):$/;" m class:DimensionMetadata +Arrayish adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ class Arrayish:$/;" c member:TestLinspace.test_array_interface file: +ArrayLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class ArrayLike:$/;" c member:TestIndexing.test_scalar_return_type file: +ArrayLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class ArrayLike:$/;" c function:test_interface_no_shape file: +ArrayLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ class ArrayLike:$/;" c member:TestMultiply.test_no_seq_repeat_basic_array_like file: +ArrayLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ class ArrayLike:$/;" c function:test_block_dispatcher file: +ArrayLike adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^class ArrayLike(np.lib.mixins.NDArrayOperatorsMixin):$/;" c +ArrayLikeTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^class ArrayLikeTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +ArrayMapping adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ArrayMapping = OrderedDictType[MeshAxisName, int]$/;" v +ArrayProxy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class ArrayProxy:$/;" c member:TestNumPyFunctions.test_sum_on_mock_array file: +arrays adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def arrays(dims, dtype=np.float32, elements=None, unique=False):$/;" f +ArraySegments adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArraySegments(self):$/;" m class:DimensionMetadata +ArraySegmentsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ArraySegmentsType(self):$/;" m class:DimensionMetadata +ArraysExtraInfo adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^ArraysExtraInfo = _reflection.GeneratedProtocolMessageType('ArraysExtraInfo', (_message.Message,/;" v +ArraySubclass adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class ArraySubclass(np.ndarray):$/;" c function:test_reshape2d file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestMethods.test_ravel_subclass file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestRequire.test_ensure_array file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestRequire.test_preserve_subtype file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestCholesky.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestEig.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestEigh.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestEigvals.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestEigvalsh.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestInv.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestSolve.test_0_size file: +ArraySubclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ class ArraySubclass(np.ndarray):$/;" c member:TestSolve.test_0_size_k file: +Arrayterator adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^class Arrayterator:$/;" c +ArrayVar adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^ArrayVar = taggedtuple('ArrayVar', [])$/;" v +ArrayWrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class ArrayWrap(np.ndarray):$/;" c member:TestOut.test_out_wrap_subok file: +array_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ array_1d = np.arange(2 * 3 * 4) + 1$/;" v class:TestGeometricStandardDeviation +array_3d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ array_3d = array_1d.reshape(2, 3, 4)$/;" v class:TestGeometricStandardDeviation +array_abstraction_level adpepsenv/lib/python3.8/site-packages/jax/core.py /^ array_abstraction_level = 0$/;" v class:ConcreteArray +array_abstraction_level adpepsenv/lib/python3.8/site-packages/jax/core.py /^ array_abstraction_level = 1$/;" v class:ShapedArray +array_abstraction_level adpepsenv/lib/python3.8/site-packages/jax/core.py /^ array_abstraction_level = 2$/;" v class:UnshapedArray +ARRAY_ALIGN adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^ARRAY_ALIGN = 64 # plausible values are powers of 2 between 16 and 4096$/;" v +array_equal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def array_equal(a1, a2, equal_nan=False):$/;" f +array_equal adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def array_equal(a1, a2, equal_nan=False):$/;" f +array_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def array_equal(a1, a2): # pylint: disable=missing-function-docstring$/;" f +array_equiv adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def array_equiv(a1, a2):$/;" f +array_equiv adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def array_equiv(a1, a2):$/;" f +array_from_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def array_from_header(self, hdr, process=True):$/;" m class:VarReader4 +array_from_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def array_from_header(self, header):$/;" m class:MatVarReader +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^def array_function_dispatch(dispatcher, module=None, verify=True,$/;" f +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^array_function_dispatch = functools.partial($/;" v +array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^array_function_dispatch = functools.partial($/;" v +ARRAY_FUNCTION_ENABLED adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^ARRAY_FUNCTION_ENABLED = bool($/;" v +array_function_errmsg_formatter adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def array_function_errmsg_formatter(public_api, types):$/;" f +array_function_from_c_func_and_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^array_function_from_c_func_and_dispatcher = functools.partial($/;" v +array_function_from_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^def array_function_from_dispatcher($/;" f +array_impl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def array_impl(self):$/;" f member:TestBinop.test_ufunc_binop_interaction file: +array_like adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class array_like:$/;" c member:Test_I0.test_non_array file: +array_precision adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^array_precision = {_nx.half: 0,$/;" v +array_repr adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^array_repr = np.array_repr$/;" v +array_repr adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def array_repr(arr, max_line_width=None, precision=None, suppress_small=None):$/;" f +array_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def array_result_handler(sharding_spec, indices, aval: ShapedArray):$/;" f +array_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def array_result_handler(device: Optional[Device], aval: core.ShapedArray):$/;" f +array_shapes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def array_shapes(draw, min_dims=1, max_dims=None, min_side=1, max_side=None, max_numel=None):$/;" f +array_split adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def array_split(ary, indices_or_sections, axis: int = 0):$/;" f +array_split adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def array_split(ary, indices_or_sections, axis=0):$/;" f +array_str adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^array_str = np.array_str$/;" v +array_str adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def array_str(a, max_line_width=None, precision=None, suppress_small=None):$/;" f +array_to_img adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def array_to_img(x, data_format='channels_last', scale=True, dtype='float32'):$/;" f +array_to_img adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^def array_to_img(x, data_format=None, scale=True, dtype=None):$/;" f +array_type adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^array_type = [[_nx.half, _nx.single, _nx.double, _nx.longdouble],$/;" v +array_types adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^array_types = {np.ndarray, np.bool_,$/;" v +array_ufunc_errmsg_formatter adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def array_ufunc_errmsg_formatter(dummy, ufunc, method, *inputs, **kwargs):$/;" f +array_ufunc_impl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def array_ufunc_impl(self, ufunc, method, *args, **kwargs):$/;" f member:TestBinop.test_ufunc_binop_interaction file: +arrow adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def arrow(self, x, y, dx, dy, **kwargs):$/;" m class:Axes +Arrow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Arrow(Patch):$/;" c +arrow adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def arrow(x, y, dx, dy, **kwargs):$/;" f +ArrowAxisClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ ArrowAxisClass = _FancyAxislineStyle.FilledArrow$/;" v class:AxislineStyle.FilledArrow +ArrowAxisClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ ArrowAxisClass = _FancyAxislineStyle.SimpleArrow$/;" v class:AxislineStyle.SimpleArrow +ArrowStyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class ArrowStyle(_Style):$/;" c +arr_dtype_number adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def arr_dtype_number(arr, num):$/;" f +arr_equal adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def arr_equal(self, arr1, arr2):$/;" m class:Array +arr_to_2d adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^def arr_to_2d(arr, oned_as='row'):$/;" f +arr_to_chars adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def arr_to_chars(arr):$/;" f +Artist adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^class Artist:$/;" c +ARTIST adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ARTIST = 315$/;" v +ArtistAnimation adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class ArtistAnimation(TimedAnimation):$/;" c +ArtistInspector adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^class ArtistInspector:$/;" c +artist_picker adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def artist_picker(self, artist, evt):$/;" m class:DraggableBase +ar_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ ar_exe = m.lib$/;" v class:CompaqVisualFCompiler +ar_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ ar_exe = 'lib.exe'$/;" v class:CompaqVisualFCompiler +ar_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ ar_exe = 'lib.exe'$/;" v class:IntelVisualFCompiler +ar_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ ar_exe = IntelVisualFCompiler.ar_exe$/;" v class:IntelItaniumVisualFCompiler +ar_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ ar_exe = 'lib.exe'$/;" v class:PGroupFlangCompiler +asanyarray adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^def asanyarray(a, dtype=None, order=None):$/;" f +asanyarray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def asanyarray(a, dtype=None):$/;" f +asanyarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def asanyarray(a, dtype=None):$/;" f +asarray adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def asarray(a, dtype=None, order=None):$/;" f +asarray adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def asarray(obj, itemsize=None, unicode=None, order=None):$/;" f +asarray adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^def asarray(a, dtype=None, order=None):$/;" f +asarray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def asarray(a, dtype=None, order=None):$/;" f +asarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def asarray(a, dtype=None):$/;" f +asarray_chkfinite adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def asarray_chkfinite(a, dtype=None, order=None):$/;" f +asBinary adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asBinary(self):$/;" m class:BitString +asbytes adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def asbytes(s):$/;" f +asbytes_nested adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def asbytes_nested(x):$/;" f +ASC adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ASC = 2 # ascii capital letter$/;" v +ASC adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ASC = 2 # ascii capital letter$/;" v +ascend_resolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def ascend_resolver(self):$/;" m class:BaseResolver +ascent adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^def ascent():$/;" f +ASCII adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^ASCII = 2$/;" v +asciiLetters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^asciiLetters = frozenset(string.ascii_letters)$/;" v +asciiLetters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^asciiLetters = frozenset(string.ascii_letters)$/;" v +asciiLettersBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^asciiLettersBytes = frozenset([item.encode("ascii") for item in asciiLetters])$/;" v +asciiLettersBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^asciiLettersBytes = frozenset([item.encode("ascii") for item in asciiLetters])$/;" v +asciiLowercase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^asciiLowercase = frozenset(string.ascii_lowercase)$/;" v +asciiLowercase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^asciiLowercase = frozenset(string.ascii_lowercase)$/;" v +asciiUpper2Lower adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^asciiUpper2Lower = {ord(c): ord(c.lower()) for c in string.ascii_uppercase}$/;" v +asciiUpper2Lower adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^asciiUpper2Lower = dict([(ord(c), ord(c.lower()))$/;" v +asciiUppercase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^asciiUppercase = frozenset(string.ascii_uppercase)$/;" v +asciiUppercase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^asciiUppercase = frozenset(string.ascii_uppercase)$/;" v +asciiUppercaseBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^asciiUppercaseBytes = frozenset([item.encode("ascii") for item in asciiUppercase])$/;" v +asciiUppercaseBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^asciiUppercaseBytes = frozenset([item.encode("ascii") for item in asciiUppercase])$/;" v +ascii_host adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def ascii_host(self):$/;" m class:BaseURL +ascii_lower adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def ascii_lower(string):$/;" f +ascii_lower adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def ascii_lower(string):$/;" f +ascii_punctuation_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ascii_punctuation_re = re.compile("[\\u0009-\\u000D\\u0020-\\u002F\\u003A-\\u0040\\u005C\\u005B-/;" v +ascii_punctuation_re adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ascii_punctuation_re = re.compile("[\\u0009-\\u000D\\u0020-\\u002F\\u003A-\\u0040\\u005C\\u005B-/;" v +ascontiguousarray adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^def ascontiguousarray(a, dtype=None):$/;" f +ascontiguousarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ascontiguousarray(a, dtype=None):$/;" f +asDateTime adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def asDateTime(self):$/;" m class:TimeMixIn +asDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def asDict(self):$/;" m class:ParseResults +asDict adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def asDict( self ):$/;" m class:ParseResults +asDict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def asDict(self):$/;" m class:ParseResults +asDict adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def asDict( self ):$/;" m class:ParseResults +asfarray adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def asfarray(a, dtype=_nx.float_):$/;" f +asformat adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def asformat(self, format, copy=False):$/;" m class:spmatrix +asfortranarray adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^def asfortranarray(a, dtype=None):$/;" f +asfptype adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def asfptype(self):$/;" m class:spmatrix +ASGD adpepsenv/lib/python3.8/site-packages/torch/optim/asgd.py /^class ASGD(Optimizer):$/;" c +ASGD adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/asgd.py /^class ASGD(Optimizer):$/;" c +ASId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class ASId(univ.Integer):$/;" c +ASID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^class ASID(univ.Integer):$/;" c +ASIdentifierChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class ASIdentifierChoice(univ.Choice):$/;" c +ASIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class ASIdentifiers(univ.Sequence):$/;" c +ASIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8360.py /^ASIdentifiers = rfc3779.ASIdentifiers$/;" v +ASIdOrRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class ASIdOrRange(univ.Choice):$/;" c +asin adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def asin(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +asin adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^asin = np.arcsin$/;" v +asin adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def asin(x: Array) -> Array:$/;" f +Asin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Asin = tf_export("raw_ops.Asin")(_ops.to_raw_op(asin))$/;" v +asin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def asin(x, name=None):$/;" f +asin adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def asin(g, self):$/;" f +asinh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def asinh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +asinh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^asinh = np.arcsinh$/;" v +asinh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def asinh(x: Array) -> Array:$/;" f +Asinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Asinh = tf_export("raw_ops.Asinh")(_ops.to_raw_op(asinh))$/;" v +asinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def asinh(x, name=None):$/;" f +asinh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def asinh_eager_fallback(x, name, ctx):$/;" f +asinh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^asinh_p = standard_unop(_float | _complex, 'asinh')$/;" v +AsInt32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_grad.py /^ def AsInt32(x):$/;" f function:_DynamicStitchGrads file: +asInteger adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asInteger(self):$/;" m class:BitString +asin_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def asin_eager_fallback(x, name, ctx):$/;" f +asin_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^asin_p = standard_unop(_float | _complex, 'asin',$/;" v +asin_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def asin_translation_rule(x):$/;" f +asjacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def asjacobian(J):$/;" f +ask adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def ask(message, options):$/;" f +ask_input adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def ask_input(message):$/;" f +ask_password adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def ask_password(message):$/;" f +ask_path_exists adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def ask_path_exists(message, options):$/;" f +ask_to_proceed_with_overwrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/io_utils.py /^def ask_to_proceed_with_overwrite(filepath):$/;" f +aslinearoperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^def aslinearoperator(A):$/;" f +asList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def asList(self):$/;" m class:ParseResults +asList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def asList( self ):$/;" m class:ParseResults +asList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def asList(self):$/;" m class:ParseResults +asList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def asList( self ):$/;" m class:ParseResults +asmatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^def asmatrix(data, dtype=None):$/;" f +asmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def asmatrix(data, dtype=None):$/;" f +Asn1Item adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^class Asn1Item(object):$/;" c +Asn1ItemBase adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^Asn1ItemBase = Asn1Type$/;" v +asn1Object adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def asn1Object(self):$/;" m class:NamedType +Asn1Type adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^class Asn1Type(Asn1Item):$/;" c +AsnPrivKey adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ class AsnPrivKey(univ.Sequence):$/;" c member:PrivateKey._save_pkcs1_der file: +AsnPubKey adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^class AsnPubKey(univ.Sequence):$/;" c +asNumbers adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def asNumbers(self, padding=True):$/;" m class:AbstractCharacterString +asNumbers adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asNumbers(self):$/;" m class:OctetString +asNumbers adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asNumbers(self):$/;" m class:BitString +ASO adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ASO = 7 # accent small other$/;" v +ASO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ASO = 7 # accent small other$/;" v +asOctets adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def asOctets(self, padding=True):$/;" m class:AbstractCharacterString +asOctets adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asOctets(self):$/;" m class:OctetString +asOctets adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asOctets(self):$/;" m class:BitString +ASPECT_RATIO adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ ASPECT_RATIO = 1.6$/;" v class:Config +aspreconditioner adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def aspreconditioner(self):$/;" m class:Jacobian +ASRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class ASRange(univ.Sequence):$/;" c +ASS adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ASS = 3 # ascii small letter$/;" v +ASS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ASS = 3 # ascii small letter$/;" v +asscalar adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def asscalar(a):$/;" f +assembleAllOutputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def assembleAllOutputs(self):$/;" m class:AnyExpTrainer +assembleAllOutputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/output_generator.py /^def assembleAllOutputs(self):$/;" f +Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def Assert(condition, data, summarize=None, name=None):$/;" f +Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^Assert = tf_export("raw_ops.Assert")(_ops.to_raw_op(_assert))$/;" v +Assert adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def Assert(condition, message):$/;" f +assertAC adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def assertAC(self, x, y, check_dtype=False):$/;" m class:LinearOperatorDerivedClassTest +assertAllClose adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def assertAllClose(self, x, y, *, check_dtypes=True, atol=None, rtol=None,$/;" m class:JaxTestCase +assertAllClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllClose(self, a, b, rtol=1e-6, atol=1e-6, msg=None):$/;" m class:TensorFlowTestCase +assertAllCloseAccordingToType adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllCloseAccordingToType(self,$/;" m class:TensorFlowTestCase +assertAllCloseOrEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def assertAllCloseOrEqual(self, a, b, msg=None):$/;" m class:PreprocessingLayerTest +assertAllEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllEqual(self, a, b, msg=None):$/;" m class:TensorFlowTestCase +assertAllGreater adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllGreater(self, a, comparison_target):$/;" m class:TensorFlowTestCase +assertAllGreaterEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllGreaterEqual(self, a, comparison_target):$/;" m class:TensorFlowTestCase +assertAllInRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllInRange(self,$/;" m class:TensorFlowTestCase +assertAllInSet adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllInSet(self, target, expected_set):$/;" m class:TensorFlowTestCase +assertAllLess adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllLess(self, a, comparison_target):$/;" m class:TensorFlowTestCase +assertAllLessEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertAllLessEqual(self, a, comparison_target):$/;" m class:TensorFlowTestCase +assertAllNotClose adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def assertAllNotClose(self, t1, t2):$/;" m class:BaseDNNWarmStartingTest +assertAllNotClose adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def assertAllNotClose(self, t1, t2):$/;" m class:BaseDNNWarmStartingTest +assertArgsEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def assertArgsEqual(self, spec_args, op_args):$/;" m class:LayersTestCase +assertArrayEqual adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def assertArrayEqual(self, dset, arr, message=None, precision=None):$/;" m class:TestCase +assertArrayNear adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertArrayNear(self, farray1, farray2, err, msg=None):$/;" m class:TensorFlowTestCase +assertArraysAllClose adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def assertArraysAllClose(self, x, y, *, check_dtypes=True, atol=None,$/;" m class:JaxTestCase +assertArraysEqual adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def assertArraysEqual(self, x, y, *, check_dtypes=True):$/;" m class:JaxTestCase +assertAutodiffNode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertAutodiffNode(self, graph, should_autodiff_node, nonfusible_nodes, fusible_nodes):$/;" m class:JitTestCase +assertBetween adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertBetween(self, value, minv, maxv, msg=None):$/;" m class:TestCase +assertBlobsEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def assertBlobsEqual(self, spec_blobs, op_blobs):$/;" m class:LayersTestCase +AssertCardinalityDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^AssertCardinalityDataset = tf_export("raw_ops.AssertCardinalityDataset")(_ops.to_raw_op(assert_c/;" v +assertCommandFails adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertCommandFails(self, command, regexes, env=None, close_fds=True,$/;" m class:TestCase +assertCommandSucceeds adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertCommandSucceeds(self, command, regexes=(b'',), env=None,$/;" m class:TestCase +assertCompatibleSystem adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def assertCompatibleSystem(self, A, xtrue):$/;" m class:TestLSMR +assertContainsExactSubsequence adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertContainsExactSubsequence(self, container, subsequence, msg=None):$/;" m class:TestCase +assertContainsInOrder adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertContainsInOrder(self, strings, target, msg=None):$/;" m class:TestCase +assertContainsSubsequence adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertContainsSubsequence(self, container, subsequence, msg=None):$/;" m class:TestCase +assertContainsSubset adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertContainsSubset(self, expected_subset, actual_set, msg=None):$/;" m class:TestCase +assertCountEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertCountEqual(self, expected_seq, actual_seq, msg=None):$/;" m class:TestCase +assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertCountEqual adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertCountEqual adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertCountEqual adpepsenv/lib/python3.8/site-packages/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertCountEqual adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def assertCountEqual(self, *args, **kwargs):$/;" f +assertDatasetProduces adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def assertDatasetProduces(self,$/;" m class:DatasetTestBase +assertDatasetsEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def assertDatasetsEqual(self, dataset1, dataset2):$/;" m class:DatasetTestBase +assertDatasetsRaiseSameError adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def assertDatasetsRaiseSameError(self,$/;" m class:DatasetTestBase +assertDeviceChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def assertDeviceChecks($/;" m class:HypothesisTestCase +assertDeviceEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertDeviceEqual(self, device1, device2, msg=None):$/;" m class:TensorFlowTestCase +assertDictEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertDictEqual(self, a, b, msg=None):$/;" m class:TestCase +assertDictEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertDictEqual(self, *args):$/;" m class:AutoGraphTestCase +assertDTypeEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertDTypeEqual(self, target, expected_dtype):$/;" m class:TensorFlowTestCase +assertDtypesMatch adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def assertDtypesMatch(self, x, y, *, canonicalize_dtypes=True):$/;" m class:JaxToTfTestCase +assertDtypesMatch adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def assertDtypesMatch(self, x, y, *, canonicalize_dtypes=True):$/;" m class:JaxTestCase +assertEmpty adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertEmpty(self, container, msg=None):$/;" m class:TestCase +assertEndsWith adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertEndsWith(self, actual, expected_end, msg=None):$/;" m class:TestCase +assertEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertEqual(self, *args):$/;" m class:AutoGraphTestCase +assertEqual adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertEqual(self, x, y, msg: Optional[str] = None, *,$/;" m class:TestCase +assertEqualIgnoreType adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertEqualIgnoreType(self, *args, **kwargs) -> None:$/;" m class:TestCase +assertEqualTypeString adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertEqualTypeString(self, x, y) -> None:$/;" m class:TestCase +assertExpected adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertExpected(self, s, subname=None):$/;" m class:TestCase +assertExpectedGraph adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertExpectedGraph(self, trace, *args, **kwargs):$/;" m class:JitTestCase +assertExpectedInline adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def assertExpectedInline(self, actual, expect, skip=0):$/;" m class:TestCase +assertExpectedONNXGraph adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertExpectedONNXGraph(self, g, *args, **kwargs):$/;" m class:JitTestCase +assertExpectedRaises adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertExpectedRaises(self, exc_type, callable, *args, **kwargs):$/;" m class:TestCase +assertExpectedRaisesInline adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def assertExpectedRaisesInline(self, exc_type, callable, expect, *args, **kwargs):$/;" m class:TestCase +assertExpectedStripMangled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertExpectedStripMangled(self, s, subname=None):$/;" m class:TestCase +assertExportImport adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertExportImport(self, trace, inputs):$/;" m class:JitTestCase +assertExportImportModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertExportImportModule(self, m, inputs):$/;" m class:JitTestCase +assertGradientChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def assertGradientChecks($/;" m class:HypothesisTestCase +assertGraphContains adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertGraphContains(self, op_regex, n):$/;" m class:AutoGraphTestCase +assertGraphContains adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertGraphContains(self, graph, kind):$/;" m class:JitTestCase +assertGraphContainsExactly adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertGraphContainsExactly(self, graph, kind, num_kind_nodes, consider_subgraphs=False):$/;" m class:JitTestCase +AssertingNonAffineTransform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ class AssertingNonAffineTransform(mtransforms.Transform):$/;" c function:test_non_affine_caching file: +assertion adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertion(graph):$/;" f member:AutoGraphTestCase.assertGraphContains file: +AssertionValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AssertionValue(univ.OctetString):$/;" c +assertItemsEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertItemsEqual(self, expected_seq, actual_seq, msg=None):$/;" m class:TestCase +assertItemsEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ assertItemsEqual = googletest.TestCase.assertCountEqual$/;" v class:TensorFlowTestCase +assertJsonEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertJsonEqual(self, first, second, msg=None):$/;" m class:TestCase +assertLeaksNoCudaTensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertLeaksNoCudaTensors(self, name=None):$/;" m class:TestCase +assertLen adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertLen(self, container, expected_len, msg=None):$/;" m class:TestCase +assertMarkdownRenders adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def assertMarkdownRenders(self, source, expected, **kwargs):$/;" m class:TestCase +assertMultiLineEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertMultiLineEqual(self, first, second, msg=None, **kwargs):$/;" m class:TestCase +assertMultiLineStrippedEqual adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def assertMultiLineStrippedEqual(self, expected, what):$/;" m class:JaxTestCase +assertNDArrayNear adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertNDArrayNear(self, ndarray1, ndarray2, err, msg=None):$/;" m class:TensorFlowTestCase +assertNear adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertNear(self, f1, f2, err, msg=None):$/;" m class:TensorFlowTestCase +assertNested adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def assertNested(self):$/;" m class:Builder +assertNetContainOps adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def assertNetContainOps(self, net, op_specs):$/;" m class:LayersTestCase +AssertNextDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^AssertNextDataset = tf_export("raw_ops.AssertNextDataset")(_ops.to_raw_op(assert_next_dataset))$/;" v +assertNoCommonElements adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertNoCommonElements(self, expected_seq, actual_seq, msg=None):$/;" m class:TestCase +assertNoOpsCreated adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertNoOpsCreated(self):$/;" m class:AutoGraphTestCase +assertNotAllClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertNotAllClose(self, a, b, **kwargs):$/;" m class:TensorFlowTestCase +assertNotAllEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertNotAllEqual(self, a, b, msg=None):$/;" m class:TensorFlowTestCase +assertNotEmpty adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertNotEmpty(self, container, msg=None):$/;" m class:TestCase +assertNotEndsWith adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertNotEndsWith(self, actual, unexpected_end, msg=None):$/;" m class:TestCase +assertNotEqual adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertNotEqual(self, x, y, msg: Optional[str] = None, *, /;" m class:TestCase +assertNotNested adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def assertNotNested(self):$/;" m class:Builder +assertNotRegex adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertNotRegex(self, *args, **kwargs):$/;" m class:TestCase +assertNotRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def assertNotRegex(self, *args, **kwargs):$/;" f +assertNotRegex adpepsenv/lib/python3.8/site-packages/six.py /^def assertNotRegex(self, *args, **kwargs):$/;" f +assertNotRegex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ assertNotRegex = unittest.TestCase.assertNotRegexpMatches$/;" v class:TestCase +assertNotStartsWith adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertNotStartsWith(self, actual, unexpected_start, msg=None):$/;" m class:TestCase +assertNotWarn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertNotWarn(self, callable, msg=''):$/;" m class:TestCase +assertNumpyBehavior adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def assertNumpyBehavior(self, dset, arr, s):$/;" m class:TestCase +assertObjectIn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def assertObjectIn(self, obj: Any, iterable: Iterable[Any]) -> None:$/;" m class:TestCase +assertOpCreated adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertOpCreated(self, op_type):$/;" m class:AutoGraphTestCase +assertOperatorListEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def assertOperatorListEqual(self, operatorDefList1, operatorDefList2):$/;" m class:TestGradientCalculation +assertOperatorListEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def assertOperatorListEqual(self, operatorDefList1, operatorDefList2):$/;" m class:TestAutoNaming +assertOpsNotCreated adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertOpsNotCreated(self, op_types):$/;" m class:AutoGraphTestCase +assertParseAndCheckDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def assertParseAndCheckDict($/;" m class:pyparsing_test.TestParseResultsAsserts +assertParseAndCheckDict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def assertParseAndCheckDict($/;" m class:pyparsing_test.TestParseResultsAsserts +assertParseAndCheckList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def assertParseAndCheckList($/;" m class:pyparsing_test.TestParseResultsAsserts +assertParseAndCheckList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def assertParseAndCheckList($/;" m class:pyparsing_test.TestParseResultsAsserts +assertParseResultsEquals adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def assertParseResultsEquals($/;" m class:pyparsing_test.TestParseResultsAsserts +assertParseResultsEquals adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def assertParseResultsEquals($/;" m class:pyparsing_test.TestParseResultsAsserts +assertPrints adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def assertPrints(self, expected_result):$/;" m class:TestCase +assertProtoEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^ def assertProtoEqual(self, *args, **kwargs):$/;" m class:ProtoAssertions +assertProtoEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^def assertProtoEqual(self, a, b, check_initialized=True, # pylint: disable=invalid-name$/;" f +assertProtoEquals adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertProtoEquals(self, expected_message_maybe_ascii, message, msg=None):$/;" m class:TensorFlowTestCase +assertProtoEqualsVersion adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertProtoEqualsVersion($/;" m class:TensorFlowTestCase +assertRaisesError adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def assertRaisesError(self, msg):$/;" m class:LinearOperatorDerivedClassTest +assertRaisesOpError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertRaisesOpError(self, expected_err_re_or_predicate):$/;" m class:TensorFlowTestCase +assertRaisesParseException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def assertRaisesParseException(self, exc_type=ParseException, msg=None):$/;" m class:pyparsing_test.TestParseResultsAsserts +assertRaisesParseException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def assertRaisesParseException(self, exc_type=ParseException, msg=None):$/;" m class:pyparsing_test.TestParseResultsAsserts +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertRaisesRegex(self, *args, **kwargs):$/;" m class:TestCase +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ assertRaisesRegex = unittest.TestCase.assertRaisesRegexp$/;" v class:TestCase +assertRaisesRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def assertRaisesRegex(self, *args, **kwargs):$/;" f +assertRaisesRegexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ assertRaisesRegexp = googletest.TestCase.assertRaisesRegex$/;" v class:TensorFlowTestCase +assertRaisesRegexWithHighlight adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def assertRaisesRegexWithHighlight(self, exception, regex, highlight):$/;" m class:JitTestCase +assertRaisesRuntime adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def assertRaisesRuntime(self, *args):$/;" m class:AutoGraphTestCase +assertRaisesWithLiteralMatch adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertRaisesWithLiteralMatch(self, expected_exception,$/;" m class:TestCase +assertRaisesWithPredicateMatch adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertRaisesWithPredicateMatch(self, expected_exception, predicate,$/;" m class:TestCase +assertRaisesWithPredicateMatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertRaisesWithPredicateMatch(self, exception_type,$/;" m class:TensorFlowTestCase +assertReferenceChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def assertReferenceChecks($/;" m class:HypothesisTestCase +assertReferenceChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def assertReferenceChecks($/;" m class:SerializedTestCase +assertRegex adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertRegex(self, *args, **kwargs):$/;" m class:TestCase +assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegex adpepsenv/lib/python3.8/site-packages/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ assertRegex = unittest.TestCase.assertRegexpMatches$/;" v class:TestCase +assertRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def assertRegex(self, *args, **kwargs):$/;" f +assertRegexMatch adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertRegexMatch(self, actual_str, regexes, message=None):$/;" m class:TestCase +assertRunOpRaises adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def assertRunOpRaises($/;" m class:HypothesisTestCase +assertRunTestResults adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def assertRunTestResults($/;" m class:pyparsing_test.TestParseResultsAsserts +assertRunTestResults adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def assertRunTestResults($/;" m class:pyparsing_test.TestParseResultsAsserts +assertSameElements adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertSameElements(self, expected_seq, actual_seq, msg=None):$/;" m class:TestCase +assertSameElements adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def assertSameElements(self, a, b):$/;" m class:TestCase +assertSameOutputs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/test_utils.py /^ def assertSameOutputs(self, outputs1, outputs2, decimal=7):$/;" m class:TestCase +assertSameStructure adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertSameStructure(self, a, b, aname='a', bname='b', msg=None):$/;" m class:TestCase +assertSequenceAlmostEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertSequenceAlmostEqual(self, expected_seq, actual_seq, places=None,$/;" m class:TestCase +assertSequenceStartsWith adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertSequenceStartsWith(self, prefix, whole, msg=None):$/;" m class:TestCase +assertSerializedOperatorChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def assertSerializedOperatorChecks($/;" m class:SerializedTestCase +assertShapeEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertShapeEqual(self, np_array, tf_tensor, msg=None):$/;" m class:TensorFlowTestCase +assertStartsWith adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertStartsWith(self, actual, expected_start, msg=None):$/;" m class:TestCase +assertStartsWith adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def assertStartsWith(self, actual, expected_start, msg=None):$/;" m class:TensorFlowTestCase +assertStatisticsContains adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def assertStatisticsContains(self, handle, tag, num_events=-1, offset=0):$/;" m class:StatsDatasetTestBase +assertStatisticsHasCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def assertStatisticsHasCount(self,$/;" m class:StatsDatasetTestBase +assertStatisticsHasRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def assertStatisticsHasRange(self,$/;" m class:StatsDatasetTestBase +assertStatisticsHasScalarValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def assertStatisticsHasScalarValue(self,$/;" m class:StatsDatasetTestBase +assertStatisticsHasSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def assertStatisticsHasSum(self,$/;" m class:StatsDatasetTestBase +assertStructIsInline adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def assertStructIsInline(self, obj):$/;" m class:Builder +assertTotallyOrdered adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertTotallyOrdered(self, *groups, **kwargs):$/;" m class:TestCase +AssertTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/asserts.py /^class AssertTransformer(converter.Base):$/;" c +assertTrigonometricChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^ def assertTrigonometricChecks(self, op_name, input, reference, gc, dc):$/;" m class:TestTrigonometricOp +AssertTypeLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^class AssertTypeLayer(base_layer.Layer):$/;" c +assertUrlEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def assertUrlEqual(self, a, b, msg=None):$/;" m class:TestCase +assertValidationChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def assertValidationChecks($/;" m class:HypothesisTestCase +assertValuesEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def assertValuesEqual(self, expected, actual):$/;" m class:DatasetTestBase +assertVlenArrayEqual adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def assertVlenArrayEqual(self, dset, arr, message=None, precision=None):$/;" m class:TestVlen +assert_ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_(val, msg=''):$/;" f +assert_ae adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ assert_ae = lambda x, y: assert_almost_equal(x, y, decimal=14)$/;" f member:TestRegression.test_linregress file: +assert_all adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^def assert_all(x):$/;" f +assert_allclose adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_allclose(actual, desired, rtol=1e-7, atol=0, equal_nan=True,$/;" f +assert_allclose adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def assert_allclose(actual, expected, rtol=None, atol=None, equal_nan=True, msg='') -> None:$/;" f +assert_allclose_cast adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def assert_allclose_cast(actual, desired, rtol=1e-7, atol=0):$/;" f +assert_allclose_cc adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def assert_allclose_cc(actual, desired, **kw):$/;" f +assert_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/debugging/__init__.py /^from tensorflow.python.ops.numerics import verify_tensor_all_finite as assert_all_finite$/;" x +assert_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.numerics import verify_tensor_all_finite_v2 as assert_all_finite$/;" x +assert_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.numerics import verify_tensor_all_finite_v2 as assert_all_finite$/;" x +assert_almost_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def assert_almost_equal(x, y):$/;" m class:_LogitHelper +assert_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def assert_almost_equal(a, b, single_decimal=6, double_decimal=12, **kw):$/;" f +assert_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_almost_equal(actual, desired, decimal=7, err_msg='', verbose=True):$/;" f +assert_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_almost_equal(actual,desired,decimal=7,err_msg='',verbose=True):$/;" f +assert_and_get_unique_device adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def assert_and_get_unique_device(module):$/;" f +assert_any_equal adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def assert_any_equal(output, alternatives):$/;" f +assert_approx_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_approx_equal(actual,desired,significant=7,err_msg='',verbose=True):$/;" f +assert_arctan2_isnan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_arctan2_isnan(x, y):$/;" f +assert_arctan2_isninf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_arctan2_isninf(x, y):$/;" f +assert_arctan2_isnzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_arctan2_isnzero(x, y):$/;" f +assert_arctan2_ispinf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_arctan2_ispinf(x, y):$/;" f +assert_arctan2_ispzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_arctan2_ispzero(x, y):$/;" f +assert_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^def assert_armijo(s, phi, c1=1e-4, err_msg=""):$/;" f +assert_array_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_array_almost_equal(x, y, decimal=6, err_msg='', verbose=True):$/;" f +assert_array_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_almost_equal(x, y, decimal=6, err_msg='', verbose=True):$/;" f +assert_array_almost_equal_nulp adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_almost_equal_nulp(x, y, nulp=1):$/;" f +assert_array_approx_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_array_approx_equal(x, y, decimal=6, err_msg='', verbose=True):$/;" f +assert_array_compare adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_array_compare(comparison, x, y, err_msg='', verbose=True, header='',$/;" f +assert_array_compare adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def assert_array_compare(self, comparison, x, y, err_msg='', header='',$/;" m class:ModuleTester +assert_array_compare adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_compare(comparison, x, y, err_msg='', verbose=True,$/;" f +assert_array_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_array_equal(x, y, err_msg='', verbose=True):$/;" f +assert_array_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def assert_array_equal(self, x, y, err_msg=''):$/;" m class:ModuleTester +assert_array_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_equal(x, y, err_msg='', verbose=True):$/;" f +assert_array_equal_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def assert_array_equal_dtype(x, y, **kwargs):$/;" f +assert_array_identical adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^def assert_array_identical(a, b):$/;" f +assert_array_less adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_array_less(x, y, err_msg='', verbose=True):$/;" f +assert_array_less adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_less(x, y, err_msg='', verbose=True):$/;" f +assert_array_lines_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_test_utils.py /^def assert_array_lines_close(test, expected_array, array_lines):$/;" f +assert_array_max_ulp adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_array_max_ulp(a, b, maxulp=1, dtype=None):$/;" f +assert_array_strict_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^def assert_array_strict_equal(x, y):$/;" f +assert_bbox_eq adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def assert_bbox_eq(bbox1, bbox2):$/;" f +assert_bijective_and_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_bijective_and_finite($/;" f +assert_bool adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def assert_bool(dist, attr, value):$/;" f +assert_broadcastable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/weights_broadcast_ops.py /^def assert_broadcastable(weights, values):$/;" f +assert_c adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assert_c(arr):$/;" f member:TestMethods.test_copy file: +assert_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^def assert_cardinality(expected_cardinality):$/;" f +assert_cardinality_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def assert_cardinality_dataset(input_dataset, cardinality, output_types, output_shapes, name=Non/;" f +assert_cardinality_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def assert_cardinality_dataset_eager_fallback(input_dataset, cardinality, output_types, output_s/;" f +assert_close adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^assert_close = assert_almost_equal$/;" v +assert_close adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def assert_close(a, b, msg):$/;" f member:TestJacobianDotSolve._check_dot file: +assert_close adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def assert_close(expected, actual, rtol=1e-04, message='', name='assert_close'):$/;" f +assert_close adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def assert_close(expected, actual, rtol=1e-04, name='assert_close'):$/;" f +assert_close adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def assert_close(expected, actual, rtol=1e-04, message='', name='assert_close'):$/;" f +assert_close adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^def assert_close(expected, actual, rtol=1e-04, name='assert_close'):$/;" f +assert_compatible_matrix_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def assert_compatible_matrix_dimensions(operator, x):$/;" f +assert_complex_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def assert_complex_equal(x, y):$/;" f member:TestPower.test_power_complex file: +assert_complex_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def assert_complex_equal(x, y):$/;" f member:TestPower.test_power_zero file: +assert_consumed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_consumed(self):$/;" m class:CheckpointLoadStatus +assert_consumed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_consumed(self):$/;" m class:InitializationOnlyStatus +assert_consumed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_consumed(self):$/;" m class:NameBasedSaverStatus +assert_consumed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_consumed(self):$/;" m class:_LoadStatus +assert_contract_order adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def assert_contract_order(func, test_data, max_size, benchmark):$/;" f +assert_copy_equivalent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def assert_copy_equivalent(operation, args, out, **kwargs):$/;" f +assert_csc_almost_equal adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^def assert_csc_almost_equal(r, l):$/;" f +assert_deadline_disabled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def assert_deadline_disabled():$/;" f +assert_deallocated adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^def assert_deallocated(func, *args, **kwargs):$/;" f +assert_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def assert_deprecated(self, function, num=1, ignore_others=False,$/;" m class:_DeprecationTestCase +assert_dictlist_has_keys adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def assert_dictlist_has_keys(dictlist, keys):$/;" f member:OpHint._validate_children_inputs_mappings file: +assert_dicts_equal adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/op_benchmark.py /^def assert_dicts_equal(dict_0, dict_1):$/;" f +assert_dot_close adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assert_dot_close(A, X, desired):$/;" f member:TestDot.test_accelerate_framework_sgemv_fix file: +assert_dot_close adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def assert_dot_close(A, X, desired):$/;" f member:TestSgemv.test_sgemv_on_osx file: +assert_dot_precision adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def assert_dot_precision(expected_precision, fun, *args):$/;" f +assert_dtype_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def assert_dtype_equal(a, b):$/;" f +assert_dtype_equal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def assert_dtype_equal(act, des):$/;" f +assert_dtype_not_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def assert_dtype_not_equal(a, b):$/;" f +assert_eig_valid adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def assert_eig_valid(self, w, v, x):$/;" m class:TestCDF2RDF +assert_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_equal(actual, desired, err_msg=''):$/;" f +assert_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_equal(actual, desired, err_msg='', verbose=True):$/;" f +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_equal(x, y, data=None, summarize=None, message=None, name=None): # pylint: disable=m/;" f +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.check_ops import assert_equal_v2 as assert_equal$/;" x +assert_equal_ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def assert_equal_(o1, o2):$/;" f +assert_equal_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_equal_graph_def(actual, expected, checkpoint_v2=False,$/;" f +assert_equal_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/test/__init__.py /^from tensorflow.python.framework.test_util import assert_equal_graph_def_v1 as assert_equal_grap/;" x +assert_equal_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/test/__init__.py /^from tensorflow.python.framework.test_util import assert_equal_graph_def_v2 as assert_equal_grap/;" x +assert_equal_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/test/__init__.py /^from tensorflow.python.framework.test_util import assert_equal_graph_def_v2 as assert_equal_grap/;" x +assert_equal_graph_def_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_equal_graph_def_v1(actual, expected, checkpoint_v2=False,$/;" f +assert_equal_graph_def_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_equal_graph_def_v2(expected, actual):$/;" f +assert_equal_records adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_equal_records(a, b):$/;" f +assert_equal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_equal_v2(x, y, message=None, summarize=None, name=None):$/;" f +assert_equal_w_dt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def assert_equal_w_dt(a, b, err_msg):$/;" f member:TestNonzero.test_count_nonzero_axis_all_dtypes file: +assert_existing_objects_matched adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_existing_objects_matched(self):$/;" m class:CheckpointLoadStatus +assert_existing_objects_matched adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_existing_objects_matched(self):$/;" m class:InitializationOnlyStatus +assert_existing_objects_matched adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_existing_objects_matched(self):$/;" m class:NameBasedSaverStatus +assert_existing_objects_matched adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_existing_objects_matched(self):$/;" m class:_LoadStatus +assert_extracted_output_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def assert_extracted_output_equal(self, combiner, acc1, acc2, msg=None):$/;" m class:PreprocessingLayerTest +assert_features_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^def assert_features_equal(actual, desired, fname):$/;" f +assert_fingerprint adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ assert_fingerprint = None$/;" v class:HTTPSConnection +assert_fingerprint adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def assert_fingerprint(cert, fingerprint):$/;" f +assert_fingerprint adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ assert_fingerprint = None$/;" v class:HTTPSConnection +assert_fingerprint adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def assert_fingerprint(cert, fingerprint):$/;" f +assert_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_finite(array):$/;" f +assert_fit_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def assert_fit_warnings(dist):$/;" f +assert_fortran adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assert_fortran(arr):$/;" f member:TestMethods.test_copy file: +assert_fp_equal adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^def assert_fp_equal(x, y, err_msg="", nulp=50):$/;" f +assert_func_equal adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^def assert_func_equal(func, results, points, rtol=None, atol=None,$/;" f +assert_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def assert_global_step(global_step_tensor):$/;" f +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_greater(x, y, data=None, summarize=None, message=None, name=None): # pylint: disable/;" f +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_v2 as assert_greater$/;" x +assert_greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_greater_equal(x, y, data=None, summarize=None, message=None,$/;" f +assert_greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_equal_v2 as assert_greater_equal$/;" x +assert_greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_greater_equal_v2 as assert_greater_equal$/;" x +assert_greater_equal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_greater_equal_v2(x, y, message=None, summarize=None, name=None):$/;" f +assert_greater_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_greater_v2(x, y, message=None, summarize=None, name=None):$/;" f +assert_has_rank adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def assert_has_rank(self, rank):$/;" m class:TensorShape +assert_has_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def assert_has_rank(self, rank):$/;" m class:TensorShape +assert_header_parsing adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/response.py /^def assert_header_parsing(headers):$/;" f +assert_header_parsing adpepsenv/lib/python3.8/site-packages/urllib3/util/response.py /^def assert_header_parsing(headers):$/;" f +assert_hermitian_spectrum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def assert_hermitian_spectrum(self, name="assert_hermitian_spectrum"):$/;" m class:_BaseLinearOperatorCirculant +assert_hulls_equal adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^def assert_hulls_equal(points, facets_1, facets_2):$/;" f +assert_hypot_isinf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_hypot_isinf(x, y):$/;" f +assert_hypot_isnan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def assert_hypot_isnan(x, y):$/;" f +assert_identical adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^def assert_identical(a, b):$/;" f +assert_in adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def assert_in(member, collection, msg=None):$/;" f +assert_incompatible_shapes_raise adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def assert_incompatible_shapes_raise(input_shapes):$/;" f +assert_input_compatibility adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^def assert_input_compatibility(input_spec, inputs, layer_name):$/;" f +assert_input_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def assert_input_types(self, inputs):$/;" m class:AssertTypeLayer +assert_int adpepsenv/lib/python3.8/site-packages/rsa/core.py /^def assert_int(var: int, name: str) -> None:$/;" f +assert_integer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_integer(x, message=None, name=None):$/;" f +assert_integer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_integer_v2 as assert_integer$/;" x +assert_integer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_integer_v2 as assert_integer$/;" x +assert_integer_form adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def assert_integer_form(x,$/;" f +assert_integer_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_integer_v2(x, message=None, name=None):$/;" f +assert_int_or_pair adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def assert_int_or_pair(arg, arg_name, message):$/;" f +assert_isin_equal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def assert_isin_equal(a, b):$/;" f member:TestSetOps.test_isin file: +assert_is_batch_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def assert_is_batch_matrix(tensor):$/;" f +assert_is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def assert_is_compatible_with(self, other):$/;" m class:Dimension +assert_is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def assert_is_compatible_with(self, other):$/;" m class:TensorShape +assert_is_convertible_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def assert_is_convertible_with(self, other):$/;" m class:Dimension +assert_is_convertible_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def assert_is_convertible_with(self, other):$/;" m class:TensorShape +assert_is_fully_defined adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def assert_is_fully_defined(self):$/;" m class:TensorShape +assert_is_fully_defined adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def assert_is_fully_defined(self):$/;" m class:TensorShape +assert_key_is_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def assert_key_is_string(key):$/;" f +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_less(x, y, data=None, summarize=None, message=None, name=None):$/;" f +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_v2 as assert_less$/;" x +assert_less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_less_equal(x, y, data=None, summarize=None, message=None, name=None):$/;" f +assert_less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_equal_v2 as assert_less_equal$/;" x +assert_less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_less_equal_v2 as assert_less_equal$/;" x +assert_less_equal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_less_equal_v2(x, y, message=None, summarize=None, name=None):$/;" f +assert_less_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_less_v2(x, y, message=None, summarize=None, name=None):$/;" f +assert_like_rnncell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def assert_like_rnncell(cell_name, cell):$/;" f +assert_like_rnncell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^assert_like_rnncell = rnn_cell_impl.assert_like_rnncell$/;" v +ASSERT_LIKE_RNNCELL_ERROR_REGEXP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ASSERT_LIKE_RNNCELL_ERROR_REGEXP = "is not an RNNCell"$/;" v +ASSERT_LIKE_RNNCELL_ERROR_REGEXP adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^ASSERT_LIKE_RNNCELL_ERROR_REGEXP = rnn_cell_impl.ASSERT_LIKE_RNNCELL_ERROR_REGEXP # pylint: dis/;" v +assert_lines_equal_ignoring_whitespace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_test_utils.py /^def assert_lines_equal_ignoring_whitespace(test, expected_lines, actual_lines):$/;" f +assert_line_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^def assert_line_armijo(x, p, s, f, **kw):$/;" f +assert_line_wolfe adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^def assert_line_wolfe(x, p, s, f, fprime, **kw):$/;" f +assert_lower adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/mklabels.py /^def assert_lower(string):$/;" f +assert_lower adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/mklabels.py /^def assert_lower(string):$/;" f +assert_mask_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def assert_mask_equal(m1, m2, err_msg=''):$/;" f +assert_mask_matches adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def assert_mask_matches(arr, expected_mask):$/;" f +assert_ma_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^def assert_ma_equal(discovered, ma_like):$/;" f +assert_meta_graph_protos_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_meta_graph_protos_equal(tester, a, b):$/;" f +assert_module_parameters_are adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def assert_module_parameters_are(tensor_type, device_id=None):$/;" f member:NewModuleTest._do_test file: +assert_mpmath_equal adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def assert_mpmath_equal(*a, **kw):$/;" f +assert_mt19937_state_equal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^def assert_mt19937_state_equal(a, b):$/;" f +assert_near adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_near($/;" f +assert_near adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_near_v2 as assert_near$/;" x +assert_near adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_near_v2 as assert_near$/;" x +assert_near_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_near_v2(x, y, rtol=None, atol=None, message=None, summarize=None,$/;" f +assert_negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_negative(x, data=None, summarize=None, message=None, name=None): # pylint: disable=m/;" f +assert_negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_negative_v2 as assert_negative$/;" x +assert_negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_negative_v2 as assert_negative$/;" x +assert_negative_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_negative_v2(x, message=None, summarize=None, name=None):$/;" f +assert_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^def assert_next(transformations):$/;" f +assert_next_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def assert_next_dataset(input_dataset, transformations, output_types, output_shapes, name=None):$/;" f +assert_next_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def assert_next_dataset_eager_fallback(input_dataset, transformations, output_types, output_shap/;" f +assert_none_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_none_equal($/;" f +assert_none_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_none_equal_v2 as assert_none_equal$/;" x +assert_none_equal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_none_equal_v2 as assert_none_equal$/;" x +assert_none_equal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_none_equal_v2(x, y, summarize=None, message=None, name=None):$/;" f +assert_nontrivial_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_nontrivial_match(self):$/;" m class:CheckpointLoadStatus +assert_nontrivial_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_nontrivial_match(self):$/;" m class:InitializationOnlyStatus +assert_nontrivial_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_nontrivial_match(self):$/;" m class:NameBasedSaverStatus +assert_nontrivial_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def assert_nontrivial_match(self):$/;" m class:_LoadStatus +assert_non_negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_non_negative(x, data=None, summarize=None, message=None, name=None): # pylint: disab/;" f +assert_non_negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_non_negative_v2 as assert_non_negative$/;" x +assert_non_negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_non_negative_v2 as assert_non_negative$/;" x +assert_non_negative_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_non_negative_v2(x, message=None, summarize=None, name=None):$/;" f +assert_non_positive adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_non_positive(x, data=None, summarize=None, message=None, name=None): # pylint: disab/;" f +assert_non_positive adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_non_positive_v2 as assert_non_positive$/;" x +assert_non_positive adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_non_positive_v2 as assert_non_positive$/;" x +assert_non_positive_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_non_positive_v2(x, message=None, summarize=None, name=None):$/;" f +assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def assert_non_singular(self, name="assert_non_singular"):$/;" m class:LinearOperator +assert_normclose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def assert_normclose(a, b, tol=1e-8):$/;" f +assert_not_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def assert_not_deprecated(self, function, args=(), kwargs={}):$/;" m class:_DeprecationTestCase +assert_not_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^assert_not_equal = fail_if_equal$/;" v +assert_not_in_testcase_subclass adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def assert_not_in_testcase_subclass(self):$/;" m class:parameterized +assert_not_ref_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def assert_not_ref_type(x, arg_name):$/;" f +assert_no_entries_with_modulus_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def assert_no_entries_with_modulus_zero($/;" f +assert_no_garbage_created adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_no_garbage_created(f):$/;" f +assert_no_gc_cycles adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_no_gc_cycles(*args, **kwargs):$/;" f +assert_no_leak adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/memory_tests/memory_test_util.py /^def assert_no_leak(f, num_iters=100000, increase_threshold_absolute_mb=10):$/;" f +assert_no_leak_if_all_possibly_except_one adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def assert_no_leak_if_all_possibly_except_one(self):$/;" m class:MemoryChecker +assert_no_leak_if_all_possibly_except_one adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def assert_no_leak_if_all_possibly_except_one(self):$/;" m class:_PythonMemoryChecker +assert_no_legacy_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def assert_no_legacy_layers(layers):$/;" f +assert_no_new_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def assert_no_new_objects(self, threshold=None):$/;" m class:_PythonMemoryChecker +assert_no_new_pyobjects_executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_no_new_pyobjects_executing_eagerly(func=None, warmup_iters=2):$/;" f +assert_no_new_python_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def assert_no_new_python_objects(self, threshold=None):$/;" m class:MemoryChecker +assert_no_new_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_no_new_tensors(f):$/;" f +assert_no_overwrite adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^def assert_no_overwrite(call, shapes, dtypes=None):$/;" f +assert_no_path_traversal adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def assert_no_path_traversal(dest_dir_path, target_path):$/;" f function:_install_wheel file: +assert_no_warnings adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_no_warnings(*args, **kwargs):$/;" f +assert_num_jit_and_pmap_compilations adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def assert_num_jit_and_pmap_compilations(times):$/;" f +assert_ops_in_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def assert_ops_in_graph(expected_ops, graph):$/;" f +assert_op_cancelled adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def assert_op_cancelled(self, op):$/;" m class:DatasetTestBase +assert_op_raises_fpe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def assert_op_raises_fpe(self, fpeerr, flop, sc1, sc2):$/;" m class:TestFloatExceptions +assert_partitioned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assert_partitioned(self, d, kth):$/;" m class:TestMethods +assert_path_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def assert_path_equal(self, comp, benchmark):$/;" m class:TestEinsumPath +assert_poly_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def assert_poly_almost_equal(p1, p2, msg=""):$/;" f +assert_positive adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_positive(x, data=None, summarize=None, message=None, name=None): # pylint: disable=m/;" f +assert_positive adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_positive_v2 as assert_positive$/;" x +assert_positive adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_positive_v2 as assert_positive$/;" x +assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def assert_positive_definite(self, name="assert_positive_definite"):$/;" m class:LinearOperator +assert_positive_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_positive_v2(x, message=None, summarize=None, name=None):$/;" f +assert_proper_iterable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_proper_iterable(values):$/;" f +assert_quad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^def assert_quad(value_and_err, tabled_value, errTol=1.5e-8):$/;" f +assert_raises adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_raises(*args, **kwargs):$/;" f +assert_raises adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def assert_raises(exception, function, *args, **kwargs):$/;" f +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_byteordercodes.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_miobase.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_pathological.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^from pytest import raises as assert_raises, warns$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linear_assignment.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nnls.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^from pytest import raises as assert_raises, warns$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^from pytest import raises as assert_raises, warns$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_max_len_seq.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_utils.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^from pytest import raises as assert_raises, warns$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__threadsafety.py /^from pytest import raises as assert_raises$/;" x +assert_raises adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^from pytest import raises as assert_raises, deprecated_call$/;" x +assert_raises_fpe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^def assert_raises_fpe(strmatch, callable, *args, **kwargs):$/;" f +assert_raises_fpe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def assert_raises_fpe(self, fpeerr, flop, x, y):$/;" m class:TestFloatExceptions +assert_raises_regex adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_raises_regex(exception_class, expected_regexp, *args, **kwargs):$/;" f +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank(x, rank, data=None, summarize=None, message=None, name=None):$/;" f +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_v2 as assert_rank$/;" x +assert_rank_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank_at_least($/;" f +assert_rank_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_at_least_v2 as assert_rank_at_least$/;" x +assert_rank_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_at_least_v2 as assert_rank_at_least$/;" x +assert_rank_at_least_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank_at_least_v2(x, rank, message=None, name=None):$/;" f +assert_rank_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank_in($/;" f +assert_rank_in adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_in_v2 as assert_rank_in$/;" x +assert_rank_in adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_rank_in_v2 as assert_rank_in$/;" x +assert_rank_in_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank_in_v2(x, ranks, message=None, name=None):$/;" f +assert_rank_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_rank_v2(x, rank, message=None, name=None):$/;" f +assert_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/assert_test.py /^ def assert_ref(X):$/;" f member:TestAssert.test_assert file: +assert_relative adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^def assert_relative(path):$/;" f +assert_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def assert_replica_context(strategy):$/;" f +assert_rp_almost_equal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def assert_rp_almost_equal(r, p, r_true, p_true, decimal=7):$/;" m class:TestPartialFractionExpansion +assert_same_as_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def assert_same_as_ufunc(shape0, shape1, transposed=False, flipped=False):$/;" f +assert_same_float_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_same_float_dtype(tensors=None, dtype=None):$/;" f +assert_same_rank adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def assert_same_rank(self, other):$/;" m class:TensorShape +assert_same_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def assert_same_rank(self, other):$/;" m class:TensorShape +assert_same_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def assert_same_structure(nest1, nest2, check_types=True):$/;" f +assert_same_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def assert_same_structure(nest1, nest2, check_types=True,$/;" f +assert_same_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^def assert_same_type(expected_type, values, value_name):$/;" f +assert_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_scalar(tensor, name=None, message=None):$/;" f +assert_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_scalar_v2 as assert_scalar$/;" x +assert_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_scalar_v2 as assert_scalar$/;" x +assert_scalar_congruency adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_scalar_congruency(bijector,$/;" f +assert_scalar_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_scalar_v2(tensor, message=None, name=None):$/;" f +assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def assert_self_adjoint(self, name="assert_self_adjoint"):$/;" m class:LinearOperator +assert_shallow_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def assert_shallow_structure(shallow_tree, input_tree, check_types=True):$/;" f +assert_shallow_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def assert_shallow_structure(shallow_tree,$/;" f +assert_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_shapes(shapes, data=None, summarize=None, message=None, name=None):$/;" f +assert_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_shapes_v2 as assert_shapes$/;" x +assert_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_shapes_v2 as assert_shapes$/;" x +assert_shapes_correct adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def assert_shapes_correct(input_shapes, expected_shape):$/;" f +assert_shapes_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def assert_shapes_equal(expected, actual):$/;" f function:layer_test file: +assert_shapes_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_shapes_v2(shapes, data=None, summarize=None, message=None,$/;" f +assert_shape_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def assert_shape_match(inp, out):$/;" f member:ResidualWrapperBase._call_wrapped_cell file: +assert_source_matches_version adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def assert_source_matches_version(self):$/;" m class:InstallRequirement +assert_splits_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^def assert_splits_match(nested_splits_lists):$/;" f +assert_startswith adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^def assert_startswith(a, b):$/;" f +ASSERT_STATEMENTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ ASSERT_STATEMENTS = 'ASSERT_STATEMENTS'$/;" v class:Feature +assert_state_equal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def assert_state_equal(actual, target):$/;" f +assert_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/exceptions.py /^def assert_stmt(expression1, expression2):$/;" f +assert_strictly_decreasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_strictly_decreasing(array):$/;" f +assert_strictly_increasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_strictly_increasing(array):$/;" f +assert_strictly_monotonic adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^def assert_strictly_monotonic(array):$/;" f +assert_string_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_string_equal(actual, desired):$/;" f +assert_string_list adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def assert_string_list(dist, attr, value):$/;" f +assert_string_or_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def assert_string_or_int(dtype, prefix):$/;" f +assert_summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def assert_summaries(self,$/;" m class:FakeSummaryWriter +assert_symmetric adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def assert_symmetric(matrix):$/;" f +assert_thresholds_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def assert_thresholds_range(thresholds):$/;" f +assert_training_mode adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def assert_training_mode(op_mode, op_name):$/;" f +assert_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_type(tensor, tf_type, message=None, name=None):$/;" f +assert_type adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_type_v2 as assert_type$/;" x +assert_type adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from tensorflow.python.ops.check_ops import assert_type_v2 as assert_type$/;" x +assert_type_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def assert_type_v2(tensor, tf_type, message=None, name=None):$/;" f +assert_unitary adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def assert_unitary(a, rtol=None, atol=None, assert_sqr=True):$/;" f +assert_unordered_allclose adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def assert_unordered_allclose(self, arr1, arr2, rtol=1e-7):$/;" m class:Test_HalfspaceIntersection +assert_unordered_tuple_list_equal adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^def assert_unordered_tuple_list_equal(a, b, tpl=tuple):$/;" f +assert_unreachable adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def assert_unreachable(x):$/;" f +assert_upper_tri adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def assert_upper_tri(a, rtol=None, atol=None):$/;" f +assert_variables_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def assert_variables_initialized(var_list=None):$/;" f +assert_warns adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def assert_warns(warning_class, *args, **kwargs):$/;" f +assert_warns adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^from pytest import warns as assert_warns$/;" x +assert_warn_len_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def assert_warn_len_equal(mod, n_in_context, py34=None, py37=None):$/;" f +assert_wolfe adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^def assert_wolfe(s, phi, derphi, c1=1e-4, c2=0.9, err_msg=""):$/;" f +assert_zero_imag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def assert_zero_imag_part(x, message=None, name="assert_zero_imag_part"):$/;" f +Asset adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class Asset(base.Trackable):$/;" c +AssetFileDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^AssetFileDef = _reflection.GeneratedProtocolMessageType('AssetFileDef', (_message.Message,), {$/;" v +AssetFileDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^AssetFileDef = _reflection.GeneratedProtocolMessageType('AssetFileDef', (_message.Message,), {$/;" v +assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^ def assets(self):$/;" m class:PluginAsset +ASSETS_DIRECTORY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^ASSETS_DIRECTORY = "assets"$/;" v +ASSETS_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^ASSETS_KEY = "saved_model_assets"$/;" v +ASSET_FILEPATHS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ ASSET_FILEPATHS = "asset_filepaths"$/;" v class:GraphKeys +asset_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def asset_path(self):$/;" m class:Asset +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def assign(obj, ind, val):$/;" f member:TestIndexErrors.test_iterators_exceptions file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def assign(obj, ind, val):$/;" f member:TestIndexErrors.test_mapping file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def assign(self, a, ind, val):$/;" m class:TestBroadcastedAssignments +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assign(a, b):$/;" f member:TestAssignment.test_assignment_broadcasting file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assign(v):$/;" f member:TestAssignment.test_assignment_errors file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assign(x, i, v):$/;" f member:TestScalarIndexing.test_invalid_subscript_assignment file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def assign(x, i, v):$/;" f member:TestZeroRank.test_invalid_subscript_assignment file: +assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def assign(a, b, c):$/;" f member:TestRegression.test_nonarray_assignment file: +assign adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def assign():$/;" f member:TestMaskedArrayAttributes.test_assign_dtype file: +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:PackedDistributedVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:PackedVarAndDevice +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def assign(self, *args, **kwargs):$/;" m class:AggregatingVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:ShardedVariableMixin +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, *args, **kwargs):$/;" m class:TPUSyncOnReadVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUDistributedVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUMirroredVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, var, *args, **kwargs):$/;" m class:TPUOnReadPolicy +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, var, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUAutoPolicy +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign(self, var, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUOnWritePolicy +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def assign(var, value, use_locking=False, name=None, read_value=True):$/;" f +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:DistributedVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:SyncOnReadVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign(self, var, value, use_locking=False, name=None, read_value=True):$/;" m class:AutoPolicy +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign(self, var, value, use_locking=False, name=None, read_value=True):$/;" m class:OnReadPolicy +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:AutoCastVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^ def assign(val, name=None):$/;" f function:strided_slice file: +Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^Assign = tf_export("raw_ops.Assign")(_ops.to_raw_op(assign))$/;" v +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign(ref, value, validate_shape=True, use_locking=True, name=None):$/;" f +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:BaseResourceVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign(self, value, use_locking=None, name=None, read_value=True):$/;" m class:_UnreadVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def assign(ref, value, validate_shape=None, use_locking=None, name=None):$/;" f +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:PartitionedVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:RefVariable +assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign(self, value, use_locking=False, name=None, read_value=True):$/;" m class:Variable +AssignAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^AssignAdd = tf_export("raw_ops.AssignAdd")(_ops.to_raw_op(assign_add))$/;" v +AssignAddVariableOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^AssignAddVariableOp = tf_export("raw_ops.AssignAddVariableOp")(_ops.to_raw_op(assign_add_variabl/;" v +ASSIGNED_PORTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ASSIGNED_PORTS = set()$/;" v +AssignmentAlgorithm adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^class AssignmentAlgorithm(enum.Enum):$/;" c +AssignSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^AssignSub = tf_export("raw_ops.AssignSub")(_ops.to_raw_op(assign_sub))$/;" v +AssignSubVariableOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^AssignSubVariableOp = tf_export("raw_ops.AssignSubVariableOp")(_ops.to_raw_op(assign_sub_variabl/;" v +assignValue adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def assignValue(self, value):$/;" m class:netcdf_variable +AssignValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class AssignValues:$/;" c +AssignVariableOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^AssignVariableOp = tf_export("raw_ops.AssignVariableOp")(_ops.to_raw_op(assign_variable_op))$/;" v +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign_add(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:PackedDistributedVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign_add(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:PackedVarAndDevice +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def assign_add(self, *args, **kwargs):$/;" m class:AggregatingVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def assign_add(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:ShardedVariableMixin +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, *args, **kwargs):$/;" m class:TPUSyncOnReadVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUDistributedVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, value, use_locking=False, name=None,$/;" m class:TPUMirroredVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, var, *args, **kwargs):$/;" m class:TPUOnReadPolicy +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, var, value, use_locking=False, name=None,$/;" m class:TPUAutoPolicy +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_add(self, var, value, use_locking=False, name=None,$/;" m class:TPUOnWritePolicy +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def assign_add(var, value, use_locking=False, name=None, read_value=True):$/;" f +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_add(self, value, use_locking=False, name=None, read_value=True):$/;" m class:DistributedVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_add(self, value, use_locking=False, name=None, read_value=True):$/;" m class:SyncOnReadVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_add(self, var, value, use_locking=False, name=None,$/;" m class:AutoPolicy +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_add(self, var, value, use_locking=False, name=None,$/;" m class:OnReadPolicy +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def assign_add(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:AutoCastVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign_add(ref, value, use_locking=False, name=None):$/;" f +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign_add(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:BaseResourceVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign_add(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:_UnreadVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def assign_add(ref, value, use_locking=None, name=None):$/;" f +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_add(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:RefVariable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_add(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:Variable +assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_add(self, value, use_locking=False, name=None, read_value=True):$/;" m class:PartitionedVariable +assign_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign_add_eager_fallback(ref, value, use_locking, name, ctx):$/;" f +assign_add_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ assign_add_fn = lambda var, *a, **kw: var.assign_add(*a, **kw)$/;" f member:PackedDistributedVariable.assign_add file: +assign_add_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ assign_add_fn = lambda var, *a, **kw: var.assign_add(*a, **kw)$/;" f member:AggregatingVariable.assign_add file: +assign_add_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ assign_add_fn = lambda var, *a, **kw: var.assign_add(*a, **kw)$/;" f function:on_write_assign_add file: +assign_add_on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def assign_add_on_device(device, variable, tensor):$/;" f +assign_add_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_add_variable_op(resource, value, name=None):$/;" f +assign_add_variable_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_add_variable_op_eager_fallback(resource, value, name, ctx):$/;" f +assign_attrs adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ def assign_attrs(self, elem, attrs):$/;" m class:AttrListTreeprocessor +ASSIGN_CHECK_SIZE_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ASSIGN_CHECK_SIZE_TEMPLATE = CT("""\\$/;" v +assign_device adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def assign_device(cls, core):$/;" m class:Sharding +assign_device adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def assign_device(tensor,$/;" f +assign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign_eager_fallback(ref, value, validate_shape, use_locking, name, ctx):$/;" f +assign_fields_by_name adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def assign_fields_by_name(dst, src, zero_unassigned=True):$/;" f +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ assign_fn = lambda var, *a, **kw: var.assign(*a, **kw)$/;" f member:PackedDistributedVariable.assign file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ assign_fn = lambda var, *a, **kw: var.assign(*a, **kw)$/;" f member:AggregatingVariable.assign file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_fn(var, value, use_locking=False, name=None, read_value=True): # pylint: disable=m/;" f function:_make_raw_assign_fn file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ assign_fn = lambda var, *a, **kw: var.assign(*a, **kw)$/;" f function:on_write_assign file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def assign_fn():$/;" f member:UnliftedInitializerVariable.__init__ file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ assign_fn = lambda var, r_value: var.assign($/;" f member:PartitionedVariable.assign file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ assign_fn = lambda var, r_value: var.assign_add($/;" f member:PartitionedVariable.assign_add file: +assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ assign_fn = lambda var, r_value: var.assign_sub($/;" f member:PartitionedVariable.assign_sub file: +assign_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def assign_index(i):$/;" f function:test_iter_flags_errors file: +assign_invalid_column adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def assign_invalid_column(x):$/;" f member:TestRecord.test_invalid_assignment file: +assign_iter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def assign_iter(i):$/;" f function:test_iter_buffering_delayed_alloc file: +assign_iterindex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def assign_iterindex(i):$/;" f function:test_iter_flags_errors file: +assign_iterrange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def assign_iterrange(i):$/;" f function:test_iter_flags_errors file: +assign_moving_average adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^def assign_moving_average(variable, value, decay, zero_debias=True, name=None):$/;" f +assign_multi_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def assign_multi_index(i):$/;" f function:test_iter_flags_errors file: +assign_on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def assign_on_device(device, variable, tensor):$/;" f +assign_on_each_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def assign_on_each_device(var, assign_func, value, read_value):$/;" f +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign_sub(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:PackedDistributedVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def assign_sub(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:PackedVarAndDevice +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def assign_sub(self, *args, **kwargs):$/;" m class:AggregatingVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def assign_sub(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:ShardedVariableMixin +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, *args, **kwargs):$/;" m class:TPUSyncOnReadVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, value, use_locking=False, name=None, read_value=True):$/;" m class:TPUDistributedVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, value, use_locking=False, name=None,$/;" m class:TPUMirroredVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, var, *args, **kwargs):$/;" m class:TPUOnReadPolicy +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, var, value, use_locking=False, name=None,$/;" m class:TPUAutoPolicy +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def assign_sub(self, var, value, use_locking=False, name=None,$/;" m class:TPUOnWritePolicy +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def assign_sub(var, value, use_locking=False, name=None, read_value=True):$/;" f +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_sub(self, value, use_locking=False, name=None, read_value=True):$/;" m class:DistributedVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_sub(self, value, use_locking=False, name=None, read_value=True):$/;" m class:SyncOnReadVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_sub(self, var, value, use_locking=False, name=None,$/;" m class:AutoPolicy +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def assign_sub(self, var, value, use_locking=False, name=None,$/;" m class:OnReadPolicy +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def assign_sub(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:AutoCastVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign_sub(ref, value, use_locking=False, name=None):$/;" f +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign_sub(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:BaseResourceVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def assign_sub(self, delta, use_locking=None, name=None, read_value=True):$/;" m class:_UnreadVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def assign_sub(ref, value, use_locking=None, name=None):$/;" f +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_sub(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:RefVariable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_sub(self, delta, use_locking=False, name=None, read_value=True):$/;" m class:Variable +assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def assign_sub(self, value, use_locking=False, name=None, read_value=True):$/;" m class:PartitionedVariable +assign_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def assign_sub_eager_fallback(ref, value, use_locking, name, ctx):$/;" f +assign_sub_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ assign_sub_fn = lambda var, *a, **kw: var.assign_sub(*a, **kw)$/;" f member:PackedDistributedVariable.assign_sub file: +assign_sub_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ assign_sub_fn = lambda var, *a, **kw: var.assign_sub(*a, **kw)$/;" f member:AggregatingVariable.assign_sub file: +assign_sub_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ assign_sub_fn = lambda var, *a, **kw: var.assign_sub(*a, **kw)$/;" f function:on_write_assign_sub file: +assign_sub_on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def assign_sub_on_device(device, variable, tensor):$/;" f +assign_sub_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_sub_variable_op(resource, value, name=None):$/;" f +assign_sub_variable_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_sub_variable_op_eager_fallback(resource, value, name, ctx):$/;" f +assign_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_variable_op(resource, value, name=None):$/;" f +assign_variable_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def assign_variable_op_eager_fallback(resource, value, name, ctx):$/;" f +associative_scan adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def associative_scan(fn: Callable, elems, reverse: bool = False, axis: int = 0):$/;" f +assoc_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def assoc_laguerre(x, n, k=0.0):$/;" f +assoc_legendre_p_boost_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def assoc_legendre_p_boost_(nu, mu, x):$/;" f +assorted_types_args_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def assorted_types_args_kwargs($/;" f +asstr adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def asstr(s):$/;" f +AsString adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^AsString = tf_export("raw_ops.AsString")(_ops.to_raw_op(as_string))$/;" v +assubr adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^def assubr(rout):$/;" f +assume_not_overflowing adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def assume_not_overflowing(tensor, qparams):$/;" f +ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def ast(self):$/;" m class:QN +Ast2ToGAst adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^class Ast2ToGAst(AstToGAst):$/;" c +Ast3ToGAst adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^class Ast3ToGAst(AstToGAst):$/;" c +AstAnnotator adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^class AstAnnotator(BaseVisitor):$/;" c +ASTCodeUpgrader adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class ASTCodeUpgrader(object):$/;" c +ASTEdgePattern adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^class ASTEdgePattern(collections.namedtuple($/;" c +AsteriskPolygonCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class AsteriskPolygonCollection(RegularPolyCollection):$/;" c +AsteriskProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class AsteriskProcessor(InlineProcessor):$/;" c +astimezone adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def astimezone(self, tzinfo):$/;" m class:test_date2num_dst.dt_tzaware +AstToCfg adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class AstToCfg(gast.NodeVisitor):$/;" c +AstToGAst adpepsenv/lib/python3.8/site-packages/gast/astn.py /^AstToGAst = _generate_translators(gast)$/;" v +asTuple adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def asTuple(self):$/;" m class:ObjectIdentifier +astype adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def astype(self, dtype):$/;" m class:Dataset +astype adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def astype(self, typecode):$/;" m class:container +astype adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def astype(self, dtype, casting='unsafe', copy=True):$/;" m class:spmatrix +astype adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def astype(self, dtype, casting='unsafe', copy=True):$/;" m class:_data_matrix +astype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def astype(self, dtype):$/;" m class:ndarray +AstypeContext adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^class AstypeContext(object):$/;" c +ast_to_gast adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^def ast_to_gast(node):$/;" f +ast_to_gast adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^def ast_to_gast(node):$/;" f +ASub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class ASub(A):$/;" c member:TestSpecialMethods.test_ufunc_override_mro file: +asunicode adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def asunicode(s):$/;" f +asunicode_nested adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def asunicode_nested(x):$/;" f +ASV adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ASV = 6 # accent small vowel$/;" v +ASV adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ASV = 6 # accent small vowel$/;" v +asXML adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def asXML(self, doctag=None, namedItemsOnly=False, indent="", formatted=True):$/;" m class:ParseResults +asXML adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def asXML( self, doctag=None, namedItemsOnly=False, indent="", formatted=True ):$/;" m class:ParseResults +asXML adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def asXML(self, doctag=None, namedItemsOnly=False, indent="", formatted=True):$/;" m class:ParseResults +asXML adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def asXML( self, doctag=None, namedItemsOnly=False, indent="", formatted=True ):$/;" m class:ParseResults +AsymmetricDecryptKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^class AsymmetricDecryptKeyIdentifier(univ.OctetString):$/;" c +AsymmetricKeyPackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class AsymmetricKeyPackage(univ.SequenceOf):$/;" c +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:BidirectionalSequenceLSTMOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:BidirectionalSequenceRNNOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:FullyConnectedOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:LSTMOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:RNNOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:SequenceRNNOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:SVDFOptions +AsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def AsymmetricQuantizeInputs(self):$/;" m class:UnidirectionalSequenceLSTMOptions +ASYNC adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ASYNC = 1$/;" v +ASYNC adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ ASYNC = "async"$/;" v class:RPCExecMode +AsyncCheckpointSaverHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^class AsyncCheckpointSaverHook(basic_session_run_hooks.CheckpointSaverHook):$/;" c +AsyncContextManager adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ AsyncContextManager = typing.AsyncContextManager$/;" v +AsyncContextManager adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class AsyncContextManager(typing.Generic[T_co],$/;" c +AsyncExecutionClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class AsyncExecutionClass:$/;" c +AsyncGenerator adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class AsyncGenerator(AsyncIterator[T_co], typing.Generic[T_co, T_contra],$/;" c +AsyncIterable adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class AsyncIterable(typing.Generic[T_co],$/;" c +AsyncIterator adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class AsyncIterator(AsyncIterable[T_co],$/;" c +async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def async_add(to: str, x: Tensor, y: Tensor) -> Future[Tensor]:$/;" f +async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add(to, x, y):$/;" f +async_add_chained adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add_chained(to, x, y, z):$/;" f +async_add_chained_multi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add_chained_multi(to, x, num, step):$/;" f +async_add_multi_fanout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add_multi_fanout(to, x, num, step):$/;" f +async_add_nested adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add_nested(to, x, y, z):$/;" f +async_add_with_future_ctor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_add_with_future_ctor(to, x, y, z):$/;" f +async_clear_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def async_clear_error():$/;" f +async_execution adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/functions.py /^def async_execution(fn):$/;" f +ASYNC_GENERATOR adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ ASYNC_GENERATOR = 1$/;" v class:_APIStyle +ASYNC_JIT adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ ASYNC_JIT = "async_jit"$/;" v class:RPCExecMode +async_raise_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_raise_func():$/;" f +async_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def async_scope():$/;" f +ASYNC_STATEFUL_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ASYNC_STATEFUL_OPS = [$/;" v +async_wait adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def async_wait():$/;" f member:TPUExtended.__init__ file: +async_wait adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def async_wait():$/;" f +async_wrapped adpepsenv/lib/python3.8/site-packages/absl/testing/_parameterized_async.py /^def async_wrapped(func):$/;" f +async_wrong_decorator_order adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def async_wrong_decorator_order($/;" f member:JitRpcTest.test_async_function_wrong_decorator_order file: +async_wrong_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def async_wrong_type() -> Tensor:$/;" f +async_wrong_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def async_wrong_type():$/;" f +as_aligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def as_aligned(arr, align, dtype, order='C'):$/;" f member:TestDot.test_accelerate_framework_sgemv_fix file: +as_aligned adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def as_aligned(arr, align, dtype, order='C'):$/;" f member:TestSgemv.test_sgemv_on_osx file: +as_ann adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def as_ann(ann):$/;" f function:try_real_annotations file: +as_array adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ as_array = _dummy$/;" v +as_array adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def as_array(obj, shape=None):$/;" f +as_bytes adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^def as_bytes(bytes_or_text, encoding="utf-8"):$/;" f +as_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def as_bytes(bytes_or_text, encoding='utf-8'):$/;" f +as_bytes adpepsenv/lib/python3.8/site-packages/wheel/util.py /^def as_bytes(s):$/;" f +as_cluster_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def as_cluster_def(self):$/;" m class:ClusterSpec +as_column_strings adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def as_column_strings(self):$/;" m class:_Row +as_completed adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^def as_completed(fs, timeout=None):$/;" f +as_ctypes adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ as_ctypes = _dummy$/;" v +as_ctypes adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def as_ctypes(obj):$/;" f +as_ctypes_type adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def as_ctypes_type(dtype):$/;" f +as_datatype_enum adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def as_datatype_enum(self):$/;" m class:DType +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def as_default(self):$/;" m class:BaseSession +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def as_default(self):$/;" m class:BaseDebugWrapperSession +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def as_default(self):$/;" m class:FuncGraph +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def as_default(self):$/;" m class:Graph +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def as_default(self, step=None):$/;" m class:NoopSummaryWriter +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def as_default(self, step=None):$/;" m class:ResourceSummaryWriter +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def as_default(self, step=None):$/;" m class:SummaryWriter +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def as_default(self):$/;" m class:_EagerTemplateVariableStore +as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def as_default(self):$/;" m class:EagerVariableStore +as_dense_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def as_dense_shapes(shapes, classes):$/;" f +as_dense_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def as_dense_types(types, classes):$/;" f +as_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def as_dict(self):$/;" m class:ModelInputs +as_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def as_dict(self):$/;" m class:ClusterSpec +as_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def as_dict(self):$/;" m class:_StopSignals +as_dimension adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def as_dimension(value):$/;" f +as_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def as_dimension(value):$/;" f +as_dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def as_dot(self):$/;" m class:Graph +as_dtype adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^def as_dtype(type_value):$/;" f +as_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^def as_dtype(type_value):$/;" f +as_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^ def as_estimator_spec(self):$/;" m class:_TPUEstimatorSpec +as_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def as_estimator_spec(self):$/;" m class:TPUEstimatorSpec +as_frame adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def as_frame(self):$/;" m class:OriginInfo +as_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def as_graph_def(self, from_version=None, add_shapes=False):$/;" m class:Graph +as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def as_graph_element(self, obj, allow_tensor=True, allow_operation=True):$/;" m class:Graph +as_hashable_function adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def as_hashable_function(closure):$/;" f +as_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def as_header(self):$/;" m class:CommandSpec +as_latex adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def as_latex(self, obj):$/;" m class:TestLatexRepr +as_list adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def as_list(self):$/;" m class:_AxesStack +as_list adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def as_list(seq):$/;" f +as_list adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def as_list(self):$/;" m class:TensorShape +as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def as_list(self):$/;" m class:TensorShape +as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def as_list(self):$/;" m class:ModelInputs +as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def as_list(self):$/;" m class:ListWrapper +as_numpy_dtype adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def as_numpy_dtype(self):$/;" m class:DType +as_numpy_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def as_numpy_dtype(self):$/;" m class:DType +as_numpy_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def as_numpy_iterator(self):$/;" m class:DatasetV2 +as_proto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def as_proto(self):$/;" m class:TensorShape +as_proto adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def as_proto(self):$/;" m class:Metric +as_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def as_proto(self):$/;" m class:TensorShape +as_record adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def as_record(value):$/;" f +as_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def as_requirement(self):$/;" m class:Distribution +as_requirement adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def as_requirement(self):$/;" m class:Distribution +as_requirement adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def as_requirement(self):$/;" m class:VersionlessRequirement +as_row_name adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def as_row_name(self) -> str:$/;" m class:Measurement +as_saver_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def as_saver_def(self):$/;" m class:Saver +as_scalar_stopping_signal adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def as_scalar_stopping_signal(signals):$/;" m class:_StopSignals +as_series adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def as_series(alist, trim=True):$/;" f +as_set adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def as_set(self, include_weak=False):$/;" m class:ETags +as_set adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def as_set(self, preserve_casing=False):$/;" m class:HeaderSet +as_shape adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def as_shape(shape):$/;" f +as_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def as_shape(shape):$/;" f +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:ClassificationOutput +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:ExportOutput +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:PredictOutput +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:RegressionOutput +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:_SupervisedOutput +as_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def as_signature_def(self, receiver_tensors):$/;" m class:_NoStatePredictOutput +as_standardized adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def as_standardized(self) -> "CallgrindStats":$/;" m class:CallgrindStats +as_str adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^ as_str = as_bytes$/;" v +as_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def as_str(bytes_or_text, encoding='utf-8'):$/;" f +as_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def as_stream(self):$/;" m class:Resource +as_strided adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def as_strided(x, shape=None, strides=None, subok=False, writeable=True):$/;" f +as_strided adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def as_strided(g, self, sizes, strides, offset=None):$/;" f +as_strided_writeable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def as_strided_writeable():$/;" f +as_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def as_string(input, precision=-1, scientific=False, shortest=False, width=-1, fill="", name=Non/;" f +as_string_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def as_string_eager_fallback(input, precision, scientific, shortest, width, fill, name, ctx):$/;" f +as_str_any adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^def as_str_any(value):$/;" f +as_str_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def as_str_any(value):$/;" f +as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def as_tensor(self):$/;" m class:PartitionedVariable +as_text adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^def as_text(bytes_or_text, encoding="utf-8"):$/;" f +as_text adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def as_text(bytes_or_text, encoding='utf-8'):$/;" f +as_tuple adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def as_tuple(self, value):$/;" m class:BaseConfigurator +as_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def as_tuple(self):$/;" m class:ConversionOptions +as_unicode adpepsenv/lib/python3.8/site-packages/wheel/util.py /^def as_unicode(s):$/;" f +AT adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^AT = L("@").suppress()$/;" v +AT adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^AT = L("@").suppress()$/;" v +AT adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^AT = L("@").suppress()$/;" v +atan adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def atan(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +atan adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^atan = np.arctan$/;" v +atan adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def atan(x: Array) -> Array:$/;" f +Atan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Atan = tf_export("raw_ops.Atan")(_ops.to_raw_op(atan))$/;" v +atan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atan(x, name=None):$/;" f +atan adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def atan(g, self):$/;" f +atan2 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def atan2(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +atan2 adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^atan2 = np.arctan2$/;" v +atan2 adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def atan2(x: Array, y: Array) -> Array:$/;" f +atan2 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/clip_path.py /^def atan2(dy, dx):$/;" f +atan2 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^atan2 = _broadcasting_binary_op(math_ops.atan2)$/;" v +Atan2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Atan2 = tf_export("raw_ops.Atan2")(_ops.to_raw_op(atan2))$/;" v +atan2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atan2(y, x, name=None):$/;" f +atan2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atan2_eager_fallback(y, x, name, ctx):$/;" f +atan2_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^atan2_p = standard_naryop([_float, _float], 'atan2')$/;" v +atanh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def atanh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +atanh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^atanh = np.arctanh$/;" v +atanh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def atanh(x: Array) -> Array:$/;" f +Atanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Atanh = tf_export("raw_ops.Atanh")(_ops.to_raw_op(atanh))$/;" v +atanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atanh(x, name=None):$/;" f +atanh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atanh_eager_fallback(x, name, ctx):$/;" f +atanh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^atanh_p = standard_unop(_float | _complex, 'atanh')$/;" v +atan_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def atan_eager_fallback(x, name, ctx):$/;" f +atan_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^atan_p = standard_unop(_float | _complex, 'atan',$/;" v +atan_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def atan_translation_rule(x):$/;" f +AtEnd adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def AtEnd(self):$/;" m class:Tokenizer +atexit_done adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^atexit_done = False$/;" v +AtlasNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class AtlasNotFoundError(NotFoundError):$/;" c +atlas_3_10_blas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_3_10_blas_info(atlas_3_10_info):$/;" c +atlas_3_10_blas_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_3_10_blas_threads_info(atlas_3_10_blas_info):$/;" c +atlas_3_10_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_3_10_info(atlas_info):$/;" c +atlas_3_10_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_3_10_threads_info(atlas_3_10_info):$/;" c +atlas_blas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_blas_info(atlas_info):$/;" c +atlas_blas_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_blas_threads_info(atlas_blas_info):$/;" c +atlas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_info(system_info):$/;" c +atlas_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class atlas_threads_info(atlas_info):$/;" c +atlas_version_c_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^atlas_version_c_text = r'''$/;" v +atleast_1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def atleast_1d(*arys):$/;" f +atleast_1d adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def atleast_1d(*arys):$/;" f +atleast_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^atleast_1d = _fromnxfunction_allargs('atleast_1d')$/;" v +atleast_1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def atleast_1d(*arys):$/;" f +atleast_1d adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def atleast_1d(*tensors):$/;" f +atleast_2d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def atleast_2d(*arys):$/;" f +atleast_2d adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def atleast_2d(*arys):$/;" f +atleast_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^atleast_2d = _fromnxfunction_allargs('atleast_2d')$/;" v +atleast_2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def atleast_2d(*arys):$/;" f +atleast_2d adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def atleast_2d(*tensors):$/;" f +atleast_3d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def atleast_3d(*arys):$/;" f +atleast_3d adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def atleast_3d(*arys):$/;" f +atleast_3d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^atleast_3d = _fromnxfunction_allargs('atleast_3d')$/;" v +atleast_3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def atleast_3d(*arys): # pylint: disable=missing-docstring$/;" f +atleast_3d adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def atleast_3d(*tensors):$/;" f +atm adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^atm = atmosphere = _cd('standard atmosphere')$/;" v +atol adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ atol = 1e-8$/;" v class:TestAllclose +atol adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ atol = 1e-8$/;" v class:TestIsclose +atol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ atol = 1e-6$/;" v class:ODE +Atom adpepsenv/lib/python3.8/site-packages/jax/core.py /^Atom = Union[Var, Literal]$/;" v +atomic adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def atomic(self):$/;" m class:File +atomic adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def atomic(self, value):$/;" m class:File +AtomicCounter adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^class AtomicCounter(object):$/;" c +AtomicString adpepsenv/lib/python3.8/site-packages/markdown/util.py /^class AtomicString(str):$/;" c +atomic_write_string_to_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def atomic_write_string_to_file(filename, contents, overwrite=True):$/;" f +atrous_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def atrous_conv2d(value, filters, rate, padding, name=None):$/;" f +atrous_conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def atrous_conv2d_transpose(value,$/;" f +attached_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def attached_dependencies(self):$/;" m class:ObjectGraphView +attach_metadata_to_scalars adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def attach_metadata_to_scalars(field, metadata):$/;" f +attach_note adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def attach_note(self, text, positionRect=[-100, -100, 0, 0]):$/;" m class:PdfPages +attach_scale adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def attach_scale(self, dset):$/;" m class:DimensionProxy +attach_tz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def attach_tz(dt, zi):$/;" f function:test_rrulewrapper file: +attach_tz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def attach_tz(dt, zi):$/;" f function:test_rrulewrapper_pytz file: +AttCertIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttCertIssuer(univ.Choice):$/;" c +AttCertIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttCertIssuer(univ.Choice):$/;" c +AttCertValidityPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttCertValidityPeriod(univ.Sequence):$/;" c +AttCertValidityPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttCertValidityPeriod(univ.Sequence):$/;" c +AttCertVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttCertVersion(univ.Integer):$/;" c +AttCertVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttCertVersion(univ.Integer):$/;" c +AttCertVersionV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AttCertVersionV1(univ.Integer):$/;" c +AttCertVersionV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AttCertVersionV1(univ.Integer):$/;" c +Attempt adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^class Attempt(object):$/;" c +Attention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^class Attention(BaseDenseAttention):$/;" c +AttentionCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class AttentionCell(RNNCell):$/;" c +AttentionType adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^class AttentionType:$/;" c +atto adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^atto = 1e-18$/;" v +attr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def attr(field):$/;" f function:_cairo_font_args_from_font_prop file: +attr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def attr(self, node, attr_name, attr_vals, deps=None, default=None):$/;" m class:AstAnnotator +attr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def attr(self, node, attr_name, attr_vals, deps=None, default=None):$/;" m class:BaseVisitor +attr adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def attr(self, node, attr_name, attr_vals, deps=None, default=None):$/;" m class:Printer +Attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Attr = tf_export("raw_ops.Attr")(_ops.to_raw_op(attr))$/;" v +attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr(a, name=None):$/;" f +AttrBool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrBool = tf_export("raw_ops.AttrBool")(_ops.to_raw_op(attr_bool))$/;" v +AttrBoolList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrBoolList = tf_export("raw_ops.AttrBoolList")(_ops.to_raw_op(attr_bool_list))$/;" v +AttrConstraint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^class AttrConstraint(univ.Sequence):$/;" c +AttrConstraintList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^class AttrConstraintList(univ.SequenceOf):$/;" c +AttrDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrDefault = tf_export("raw_ops.AttrDefault")(_ops.to_raw_op(attr_default))$/;" v +AttrDict adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^class AttrDict(dict):$/;" c +AttrEmptyListDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrEmptyListDefault = tf_export("raw_ops.AttrEmptyListDefault")(_ops.to_raw_op(attr_empty_list_/;" v +AttrEnum adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrEnum = tf_export("raw_ops.AttrEnum")(_ops.to_raw_op(attr_enum))$/;" v +AttrEnumList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrEnumList = tf_export("raw_ops.AttrEnumList")(_ops.to_raw_op(attr_enum_list))$/;" v +AttrFloat adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrFloat = tf_export("raw_ops.AttrFloat")(_ops.to_raw_op(attr_float))$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^Attribute = rfc5280.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^Attribute = rfc5280.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class Attribute(univ.Sequence):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^Attribute = rfc5280.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Attribute = rfc5280.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^Attribute = rfc5652.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^Attribute = rfc7191.SingleAttribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^Attribute = rfc7191.SingleAttribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^Attribute = rfc5652.Attribute$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class Attribute(object):$/;" c +Attribute adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ Attribute = collections.namedtuple("Attribute", ["value", "type"])$/;" v +Attribute adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def Attribute(value, type): # type: ignore$/;" f +Attribute adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^class Attribute(Proxy):$/;" c +attributeCallback adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^ def attributeCallback(match):$/;" f member:LegacyAttrs.handleAttributes file: +AttributeCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttributeCertificate(univ.Sequence):$/;" c +AttributeCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttributeCertificate(univ.Sequence):$/;" c +AttributeCertificateInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttributeCertificateInfo(univ.Sequence):$/;" c +AttributeCertificateInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttributeCertificateInfo(univ.Sequence):$/;" c +AttributeCertificateInfoV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AttributeCertificateInfoV1(univ.Sequence):$/;" c +AttributeCertificateInfoV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AttributeCertificateInfoV1(univ.Sequence):$/;" c +AttributeCertificateV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AttributeCertificateV1(univ.Sequence):$/;" c +AttributeCertificateV1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AttributeCertificateV1(univ.Sequence):$/;" c +AttributeCertificateV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AttributeCertificateV2(rfc3281.AttributeCertificate):$/;" c +AttributeCertificateV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AttributeCertificateV2(rfc3281.AttributeCertificate):$/;" c +AttributeCopier adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class AttributeCopier:$/;" c +AttributeDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^AttributeDef = _reflection.GeneratedProtocolMessageType('AttributeDef', (_message.Message,), {$/;" v +AttributeDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeDescription(LDAPString):$/;" c +AttributeDescriptionList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeDescriptionList(univ.SequenceOf):$/;" c +AttributeList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeList(univ.SequenceOf):$/;" c +AttributeManager adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^class AttributeManager(base.MutableMappingHDF5, base.CommonStateObject):$/;" c +attributeMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ attributeMap = dict$/;" v +attributeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def attributeNameState(self):$/;" m class:HTMLTokenizer +attributeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def attributeNameState(self):$/;" m class:HTMLTokenizer +attributes adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def attributes(self):$/;" m class:Optimizer +attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ attributes = property(getAttributes, setAttributes)$/;" v class:getDomBuilder.NodeBuilder +attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ attributes = property(_getAttributes, _setAttributes)$/;" v class:getETreeBuilder.Element +attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ attributes = property(_getAttributes, _setAttributes)$/;" v class:TreeBuilder.__init__.Element +Attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Attributes(MutableMapping):$/;" c member:TreeBuilder.__init__ file: +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class Attributes(univ.SetOf):$/;" c +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Attributes(univ.SetOf):$/;" c +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^class Attributes(univ.SetOf):$/;" c +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class Attributes(univ.SetOf):$/;" c +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class Attributes(univ.SetOf):$/;" c +Attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class Attributes(univ.SetOf):$/;" c +attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ attributes = property(getAttributes, setAttributes)$/;" v class:getDomBuilder.NodeBuilder +attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ attributes = property(_getAttributes, _setAttributes)$/;" v class:getETreeBuilder.Element +attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ attributes = property(_getAttributes, _setAttributes)$/;" v class:TreeBuilder.__init__.Element +Attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Attributes(dict):$/;" c member:TreeBuilder.__init__ file: +ATTRIBUTES adpepsenv/lib/python3.8/site-packages/termcolor.py /^ATTRIBUTES = dict($/;" v +AttributeSentinel adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^class AttributeSentinel(object):$/;" c +AttributeSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class AttributeSet(univ.SetOf):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeType(LDAPString):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^AttributeType = rfc5280.AttributeType$/;" v +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^AttributeType = rfc5280.AttributeType$/;" v +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class AttributeType(univ.ObjectIdentifier):$/;" c +AttributeTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AttributeTypeAndValue(univ.Sequence):$/;" c +AttributeTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^AttributeTypeAndValue = rfc5280.AttributeTypeAndValue$/;" v +AttributeTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AttributeTypeAndValue(univ.Sequence):$/;" c +AttributeTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class AttributeTypeAndValue(univ.Sequence):$/;" c +AttributeTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AttributeTypeAndValue(univ.Sequence):$/;" c +AttributeTypeAndValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeTypeAndValues(univ.Sequence):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeValue(univ.OctetString):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^AttributeValue = rfc5280.AttributeValue$/;" v +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^AttributeValue = rfc5280.AttributeValue$/;" v +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class AttributeValue(univ.Any):$/;" c +AttributeValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class AttributeValue(univ.Any):$/;" c +AttributeValueAssertion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AttributeValueAssertion(univ.Sequence):$/;" c +AttributeValueAssertion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class AttributeValueAssertion(univ.Sequence):$/;" c +attributeValueDoubleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def attributeValueDoubleQuotedState(self):$/;" m class:HTMLTokenizer +attributeValueDoubleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def attributeValueDoubleQuotedState(self):$/;" m class:HTMLTokenizer +AttributeValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class AttributeValues(univ.SetOf):$/;" c +AttributeValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class AttributeValues(univ.SetOf):$/;" c +AttributeValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class AttributeValues(univ.SetOf):$/;" c +attributeValueSingleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def attributeValueSingleQuotedState(self):$/;" m class:HTMLTokenizer +attributeValueSingleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def attributeValueSingleQuotedState(self):$/;" m class:HTMLTokenizer +attributeValueUnQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def attributeValueUnQuotedState(self):$/;" m class:HTMLTokenizer +attributeValueUnQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def attributeValueUnQuotedState(self):$/;" m class:HTMLTokenizer +AttributeWrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class AttributeWrapper(object):$/;" c +attribute_filter_factory adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^def attribute_filter_factory(attributes):$/;" f +attribute_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def attribute_names(o):$/;" f +AttrList adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ class AttrList(MutableMapping):$/;" c function:getDomBuilder file: +AttrList adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ class AttrList(MutableMapping):$/;" c function:getDomBuilder file: +AttrListDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrListDefault = tf_export("raw_ops.AttrListDefault")(_ops.to_raw_op(attr_list_default))$/;" v +AttrListExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^class AttrListExtension(Extension):$/;" c +AttrListMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrListMin = tf_export("raw_ops.AttrListMin")(_ops.to_raw_op(attr_list_min))$/;" v +AttrListTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^class AttrListTreeprocessor(Treeprocessor):$/;" c +AttrListTypeDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrListTypeDefault = tf_export("raw_ops.AttrListTypeDefault")(_ops.to_raw_op(attr_list_type_def/;" v +AttrMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrMin = tf_export("raw_ops.AttrMin")(_ops.to_raw_op(attr_min))$/;" v +AttrOrOID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^class AttrOrOID(univ.Choice):$/;" c +AttrPartialShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrPartialShape = tf_export("raw_ops.AttrPartialShape")(_ops.to_raw_op(attr_partial_shape))$/;" v +AttrPartialShapeList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrPartialShapeList = tf_export("raw_ops.AttrPartialShapeList")(_ops.to_raw_op(attr_partial_sha/;" v +attrs adpeps/utils/ctmtensors.py /^attrs = ['all_Cs', 'all_Ts']$/;" v +attrs adpeps/utils/ctmtensors.py /^attrs = ['Cs', 'Ts', 'B_Cs', 'B_Ts', 'Bd_Cs', 'Bd_Ts', 'BB_Cs', 'BB_Ts']$/;" v +attrs adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ attrs = ['trans_list', 'trans_list_utc', 'trans_idx', 'ttinfo_list',$/;" v class:_tzfile +attrs adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def attrs(self):$/;" m class:HLObject +attrs adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def attrs(self):$/;" m class:File +AttrShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrShape = tf_export("raw_ops.AttrShape")(_ops.to_raw_op(attr_shape))$/;" v +AttrShapeList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrShapeList = tf_export("raw_ops.AttrShapeList")(_ops.to_raw_op(attr_shape_list))$/;" v +AttrSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class AttrSpec(univ.SequenceOf):$/;" c +AttrSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class AttrSpec(univ.SequenceOf):$/;" c +attrs_with_prefix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def attrs_with_prefix(module, prefix):$/;" f +AttrTypeDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^AttrTypeDefault = tf_export("raw_ops.AttrTypeDefault")(_ops.to_raw_op(attr_type_default))$/;" v +AttrValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^AttrValue = _reflection.GeneratedProtocolMessageType('AttrValue', (_message.Message,), {$/;" v +AttrValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^AttrValue = _reflection.GeneratedProtocolMessageType('AttrValue', (_message.Message,), {$/;" v +attr_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_bool(a, name=None):$/;" f +attr_bool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_bool_eager_fallback(a, name, ctx):$/;" f +attr_bool_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_bool_list(a, name=None):$/;" f +attr_bool_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_bool_list_eager_fallback(a, name, ctx):$/;" f +attr_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_default(a="banana", name=None):$/;" f +attr_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_default_eager_fallback(a, name, ctx):$/;" f +attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_eager_fallback(a, name, ctx):$/;" f +attr_empty_list_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_empty_list_default(a=[], name=None):$/;" f +attr_empty_list_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_empty_list_default_eager_fallback(a, name, ctx):$/;" f +attr_enum adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_enum(a, name=None):$/;" f +attr_enum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_enum_eager_fallback(a, name, ctx):$/;" f +attr_enum_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_enum_list(a, name=None):$/;" f +attr_enum_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_enum_list_eager_fallback(a, name, ctx):$/;" f +attr_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_float(a, name=None):$/;" f +attr_float_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_float_eager_fallback(a, name, ctx):$/;" f +attr_formatter adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def attr_formatter(name):$/;" f +attr_list_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_default(a=[5, 15], name=None):$/;" f +attr_list_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_default_eager_fallback(a, name, ctx):$/;" f +attr_list_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_min(a, name=None):$/;" f +attr_list_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_min_eager_fallback(a, name, ctx):$/;" f +attr_list_type_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_type_default(a, b, name=None):$/;" f +attr_list_type_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_list_type_default_eager_fallback(a, b, name, ctx):$/;" f +attr_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_min(a, name=None):$/;" f +attr_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_min_eager_fallback(a, name, ctx):$/;" f +attr_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ attr_names = attribute_names(o)$/;" v +attr_partial_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_partial_shape(a, name=None):$/;" f +attr_partial_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_partial_shape_eager_fallback(a, name, ctx):$/;" f +attr_partial_shape_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_partial_shape_list(a, name=None):$/;" f +attr_partial_shape_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_partial_shape_list_eager_fallback(a, name, ctx):$/;" f +attr_pattern adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^attr_pattern = re.compile("^(.+)_([ifstgz])$")$/;" v +ATTR_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^ATTR_RE = re.compile(r'\\{@([^\\}]*)=([^\\}]*)}') # {@id=123}$/;" v +attr_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_shape(a, name=None):$/;" f +attr_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_shape_eager_fallback(a, name, ctx):$/;" f +attr_shape_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_shape_list(a, name=None):$/;" f +attr_shape_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_shape_list_eager_fallback(a, name, ctx):$/;" f +attr_type_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_type_default(a, name=None):$/;" f +attr_type_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def attr_type_default_eager_fallback(a, name, ctx):$/;" f +attr_value_proto adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_proto_graph.py /^def attr_value_proto(dtype, shape, s):$/;" f +attr_val_is_uri adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^attr_val_is_uri = frozenset(($/;" v +attr_val_is_uri adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^attr_val_is_uri = frozenset(($/;" v +at_clearanceSponsor adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^at_clearanceSponsor = rfc5280.Attribute()$/;" v +at_deviceOwner adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5916.py /^at_deviceOwner = rfc5280.Attribute()$/;" v +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self) -> AbstractValue:$/;" m class:UnshapedArray +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self): return self$/;" m class:AbstractToken +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self): return self$/;" m class:AbstractUnit +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self):$/;" m class:AbstractValue +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self):$/;" m class:ConcreteArray +at_least_vspace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def at_least_vspace(self):$/;" m class:ShapedArray +at_line_start adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def at_line_start(self):$/;" m class:HTMLExtractorExtra +at_line_start adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def at_line_start(self):$/;" m class:HTMLExtractor +at_pskc_algorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_algorithm(char.UTF8String):$/;" c +at_pskc_algorithmParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_algorithmParameters(PSKCAlgorithmParameters):$/;" c +at_pskc_counter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_counter(univ.Integer):$/;" c +at_pskc_deviceBinding adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_deviceBinding(char.UTF8String):$/;" c +at_pskc_deviceExpiryDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_deviceExpiryDate(useful.GeneralizedTime):$/;" c +at_pskc_deviceStartDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_deviceStartDate(useful.GeneralizedTime):$/;" c +at_pskc_deviceUserId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_deviceUserId(char.UTF8String):$/;" c +at_pskc_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_friendlyName(FriendlyName):$/;" c +at_pskc_issueNo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_issueNo(char.UTF8String):$/;" c +at_pskc_issuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_issuer(char.UTF8String):$/;" c +at_pskc_keyExpiryDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyExpiryDate(useful.GeneralizedTime):$/;" c +at_pskc_keyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyId(char.UTF8String):$/;" c +at_pskc_keyProfileId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyProfileId(char.UTF8String):$/;" c +at_pskc_keyReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyReference(char.UTF8String):$/;" c +at_pskc_keyStartDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyStartDate(useful.GeneralizedTime):$/;" c +at_pskc_keyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyUsage(PSKCKeyUsages):$/;" c +at_pskc_keyUserId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_keyUserId(char.UTF8String):$/;" c +at_pskc_manufacturer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_manufacturer(char.UTF8String):$/;" c +at_pskc_model adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_model(char.UTF8String):$/;" c +at_pskc_moduleId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_moduleId(char.UTF8String):$/;" c +at_pskc_numberOfTransactions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_numberOfTransactions(univ.Integer):$/;" c +at_pskc_pinPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_pinPolicy(PINPolicy):$/;" c +at_pskc_serialNo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_serialNo(char.UTF8String):$/;" c +at_pskc_time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_time(rfc6019.BinaryTime):$/;" c +at_pskc_timeDrift adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_timeDrift(univ.Integer):$/;" c +at_pskc_timeInterval adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_timeInterval(univ.Integer):$/;" c +at_pskc_valueMAC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class at_pskc_valueMAC(ValueMac):$/;" c +at_validation_parameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8479.py /^at_validation_parameters = rfc5652.Attribute()$/;" v +au adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^au = astronomical_unit = 149597870700.0$/;" v +AUC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class AUC(Metric):$/;" c +auc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def auc(labels,$/;" f +AUC adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC = 'auc'$/;" v class:MetricKeys +AUCCurve adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^class AUCCurve(Enum):$/;" c +AUCSummationMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^class AUCSummationMethod(Enum):$/;" c +AUC_AT_CLASS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC_AT_CLASS = 'auc\/class%d'$/;" v class:MetricKeys +AUC_AT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC_AT_NAME = 'auc\/%s'$/;" v class:MetricKeys +AUC_PR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC_PR = 'auc_precision_recall'$/;" v class:MetricKeys +AUC_PR_AT_CLASS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC_PR_AT_CLASS = 'auc_precision_recall\/class%d'$/;" v class:MetricKeys +AUC_PR_AT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ AUC_PR_AT_NAME = 'auc_precision_recall\/%s'$/;" v class:MetricKeys +Audio adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Audio(self, tag):$/;" m class:EventAccumulator +AUDIO adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^AUDIO = tag_types.AUDIO$/;" v +Audio adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Audio(self, run, tag):$/;" m class:EventMultiplexer +AUDIO adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^AUDIO = "audio"$/;" v +audio adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^audio = summary_v2.audio$/;" v +audio adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary_v2.py /^def audio($/;" f +audio adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^audio = _audio_summary.op$/;" v +audio adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def audio(name, tensor, sample_rate, max_outputs, family=None, step=None):$/;" f +audio adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def audio(name, tensor, sample_rate, max_outputs=3, collections=None,$/;" f +audio adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def audio(tag, tensor, sample_rate=44100):$/;" f +AudioEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^AudioEvent = namedtuple($/;" v +AUDIOFILE_AF10 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ AUDIOFILE_AF10 = 0x0026$/;" v class:WAVE_FORMAT +AUDIOFILE_AF36 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ AUDIOFILE_AF36 = 0x0024$/;" v class:WAVE_FORMAT +AudioMicrofrontend adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^AudioMicrofrontend = tf_export("raw_ops.AudioMicrofrontend")(_ops.to_raw_op(audio_microfrontend)/;" v +AudioPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^class AudioPlugin(base_plugin.TBPlugin):$/;" c +AudioPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^AudioPluginData = _reflection.GeneratedProtocolMessageType('AudioPluginData', (_message.Message,/;" v +AudioSpectrogram adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^AudioSpectrogram = tf_export("raw_ops.AudioSpectrogram")(_ops.to_raw_op(audio_spectrogram))$/;" v +AudioSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^AudioSummary = tf_export("raw_ops.AudioSummary")(_ops.to_raw_op(audio_summary))$/;" v +AudioSummaryV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^AudioSummaryV2 = tf_export("raw_ops.AudioSummaryV2")(_ops.to_raw_op(audio_summary_v2))$/;" v +audio_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^from tensorboard.plugins.audio import metadata as audio_metadata$/;" x +audio_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.audio import metadata as audio_metadata$/;" x +audio_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^from tensorboard.plugins.audio import metadata as audio_metadata$/;" x +audio_microfrontend adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^def audio_microfrontend(audio, sample_rate=16000, window_size=25, window_step=10, num_channels=3/;" f +audio_microfrontend adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/python/ops/audio_microfrontend_op.py /^def audio_microfrontend(audio,$/;" f +audio_microfrontend_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^def audio_microfrontend_eager_fallback(audio, sample_rate, window_size, window_step, num_channel/;" f +audio_ops adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary_v2.py /^ from tensorflow.python.ops import gen_audio_ops as audio_ops$/;" x function:audio file: +audio_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^audio_pb = _audio_summary.pb$/;" v +audio_spectrogram adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def audio_spectrogram(input, window_size, stride, magnitude_squared=False, name=None):$/;" f +audio_spectrogram_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def audio_spectrogram_eager_fallback(input, window_size, stride, magnitude_squared, name, ctx):$/;" f +audio_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def audio_summary(tag, tensor, sample_rate, max_outputs=3, name=None):$/;" f +audio_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def audio_summary(tag,$/;" f +audio_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def audio_summary_eager_fallback(tag, tensor, sample_rate, max_outputs, name, ctx):$/;" f +audio_summary_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def audio_summary_v2(tag, tensor, sample_rate, max_outputs=3, name=None):$/;" f +audio_summary_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def audio_summary_v2_eager_fallback(tag, tensor, sample_rate, max_outputs, name, ctx):$/;" f +augassign_map adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ augassign_map = {$/;" v class:StmtBuilder +augmented_system_projections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^def augmented_system_projections(A, m, n, orth_tol, max_refin, tol):$/;" f +augment_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def augment_jaxpr(jaxpr, res_indices):$/;" f function:_join_cond_outputs file: +augment_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def augment_jaxpr(jaxpr, res_indices):$/;" f function:_join_cond_pe_staged_jaxpr_inputs file: +augment_metadata adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^def augment_metadata(metadata, compression):$/;" f +augment_model_with_bundled_inputs adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^def augment_model_with_bundled_inputs($/;" f +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body():$/;" f function:_known_len_tf_for_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body():$/;" f function:_tf_if_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body():$/;" f function:_tf_iterator_for_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body():$/;" f function:_tf_ragged_for_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body():$/;" f function:_tf_range_for_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_body(*loop_vars):$/;" f function:_tf_while_stmt file: +aug_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def aug_body(*aug_loop_vars):$/;" f function:_tf_while_stmt file: +aug_dynamics adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def aug_dynamics(augmented_state, t, *args):$/;" f function:_odeint_rev file: +aug_get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_get_state():$/;" f function:_known_len_tf_for_stmt file: +aug_get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_get_state():$/;" f function:_tf_iterator_for_stmt file: +aug_get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_get_state():$/;" f function:_tf_ragged_for_stmt file: +aug_get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_get_state():$/;" f function:_tf_range_for_stmt file: +aug_orelse adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_orelse():$/;" f function:_tf_if_stmt file: +aug_set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_set_state(aug_loop_vars):$/;" f function:_known_len_tf_for_stmt file: +aug_set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_set_state(aug_loop_vars):$/;" f function:_tf_iterator_for_stmt file: +aug_set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_set_state(aug_loop_vars):$/;" f function:_tf_ragged_for_stmt file: +aug_set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_set_state(aug_loop_vars):$/;" f function:_tf_range_for_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_test():$/;" f function:_known_len_tf_for_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_test():$/;" f function:_tf_iterator_for_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_test():$/;" f function:_tf_ragged_for_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_test():$/;" f function:_tf_range_for_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def aug_test(*loop_vars):$/;" f function:_tf_while_stmt file: +aug_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def aug_test(*aug_loop_vars):$/;" f function:_tf_while_stmt file: +auth adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^ def auth(self):$/;" m class:RequestContext +auth adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def auth(self):$/;" m class:BaseURL +AuthAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AuthAttributes(univ.SetOf):$/;" c +AuthAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AuthAttributes(univ.SetOf):$/;" c +AuthBase adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^class AuthBase(object):$/;" c +AuthBase adpepsenv/lib/python3.8/site-packages/requests/auth.py /^class AuthBase(object):$/;" c +AuthContext adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^class AuthContext(object):$/;" c +authenticate adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^ def authenticate(self, environ):$/;" m class:AuthProvider +AuthenticatedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class AuthenticatedData(univ.Sequence):$/;" c +AuthenticatedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class AuthenticatedData(univ.Sequence):$/;" c +AuthenticatedSafe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class AuthenticatedSafe(univ.SequenceOf):$/;" c +authenticate_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def authenticate_client(self, request, *args, **kwargs):$/;" m class:RequestValidator +authenticate_client_id adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def authenticate_client_id(self, client_id, request, *args, **kwargs):$/;" m class:RequestValidator +AuthenticationChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class AuthenticationChoice(univ.Choice):$/;" c +AuthenticationContext adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^class AuthenticationContext(univ.Sequence):$/;" c +AuthenticationContexts adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^class AuthenticationContexts(univ.SequenceOf):$/;" c +Authenticator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class Authenticator(char.UTF8String):$/;" c +Authenticator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class Authenticator(char.UTF8String):$/;" c +AuthEnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5083.py /^class AuthEnvelopedData(univ.Sequence):$/;" c +AuthInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ AuthInfo = Tuple[Optional[str], Optional[str]]$/;" v +AuthMetadataContext adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class AuthMetadataContext(six.with_metaclass(abc.ABCMeta)):$/;" c +AuthMetadataPlugin adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^class AuthMetadataPlugin(grpc.AuthMetadataPlugin):$/;" c +AuthMetadataPlugin adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class AuthMetadataPlugin(six.with_metaclass(abc.ABCMeta)):$/;" c +AuthMetadataPluginCallback adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class AuthMetadataPluginCallback(six.with_metaclass(abc.ABCMeta)):$/;" c +author docs/source/conf.py /^author = 'Boris Ponsioen'$/;" v +authority adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^authority = r"(?: %(userinfo)s @)? %(host)s (?: : %(port)s)?" % locals()$/;" v +AuthorityClearanceConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^class AuthorityClearanceConstraints(univ.SequenceOf):$/;" c +AuthorityInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AuthorityInfoAccessSyntax(univ.SequenceOf):$/;" c +AuthorityInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AuthorityInfoAccessSyntax(univ.SequenceOf):$/;" c +AuthorityInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AuthorityInfoAccessSyntax(univ.SequenceOf):$/;" c +AuthorityInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^AuthorityInfoAccessSyntax = rfc5280.AuthorityInfoAccessSyntax$/;" v +AuthorityInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^AuthorityInfoAccessSyntax = rfc5280.AuthorityInfoAccessSyntax$/;" v +AuthorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class AuthorityKeyIdentifier(univ.Sequence):$/;" c +AuthorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class AuthorityKeyIdentifier(univ.Sequence):$/;" c +AuthorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class AuthorityKeyIdentifier(univ.Sequence):$/;" c +AuthorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^AuthorityKeyIdentifier = rfc5280.AuthorityKeyIdentifier$/;" v +Authorization adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class Authorization(ImmutableDictMixin, dict):$/;" c +authorization adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/auth.py /^ def authorization(self):$/;" m class:AuthorizationMixin +AuthorizationCodeGrant adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^class AuthorizationCodeGrant(GrantTypeBase):$/;" c +AuthorizationCodeGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/authorization_code.py /^class AuthorizationCodeGrant(GrantTypeBase):$/;" c +AuthorizationCodeGrantDispatcher adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^class AuthorizationCodeGrantDispatcher(Dispatcher):$/;" c +AuthorizationEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/authorization.py /^class AuthorizationEndpoint(BaseEndpoint):$/;" c +AuthorizationEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^class AuthorizationEndpoint(BaseEndpoint):$/;" c +AuthorizationMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/auth.py /^class AuthorizationMixin(object):$/;" c +AuthorizationTokenGrantDispatcher adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^class AuthorizationTokenGrantDispatcher(Dispatcher):$/;" c +authorization_url adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def authorization_url(self, **kwargs):$/;" m class:Flow +authorization_url adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def authorization_url(self, url, request_token=None, **kwargs):$/;" m class:OAuth1Session +authorization_url adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def authorization_url(self, url, state=None, **kwargs):$/;" m class:OAuth2Session +authorized adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def authorized(self):$/;" m class:OAuth1Session +authorized adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def authorized(self):$/;" m class:OAuth2Session +AuthorizedHttp adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^class AuthorizedHttp(urllib3.request.RequestMethods):$/;" c +AuthorizedSession adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^class AuthorizedSession(requests.Session):$/;" c +AuthorizedSession adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^class AuthorizedSession(aiohttp.ClientSession):$/;" c +authorized_session adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def authorized_session(self):$/;" m class:Flow +AuthProvider adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^class AuthProvider(object):$/;" c +AuthPublish adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class AuthPublish(BodyPartID):$/;" c +AuthPublish adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class AuthPublish(BodyPartID):$/;" c +auth_context adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def auth_context(self) -> Mapping[str, Iterable[bytes]]:$/;" m class:ServicerContext +auth_context adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def auth_context(self):$/;" m class:_Context +auth_context adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def auth_context(self):$/;" m class:ServicerContext +AUTH_HEADER adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^AUTH_HEADER = 'auth_header'$/;" v +auth_lib adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^from tensorboard import auth as auth_lib$/;" x +auth_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ auth_property = staticmethod(auth_property)$/;" v class:WWWAuthenticate +auth_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def auth_property(name, doc=None): # noqa: B902$/;" m class:WWWAuthenticate +AUTH_SUBCOMMAND_FLAG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^AUTH_SUBCOMMAND_FLAG = "_uploader__subcommand_auth"$/;" v +AUTH_SUBCOMMAND_KEY_REVOKE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^AUTH_SUBCOMMAND_KEY_REVOKE = "REVOKE"$/;" v +auto adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def auto(inputs, output, size_dict, memory_limit=None):$/;" f +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ AUTO = 0$/;" v class:AutoShardPolicy +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ AUTO = "AUTO"$/;" v class:CommunicationImplementation +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^ AUTO = 'auto'$/;" v class:ReductionV2 +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ AUTO = 0$/;" v class:VariableSynchronization +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ AUTO = 0$/;" v class:DeviceOrderMode +AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ AUTO = 0$/;" v class:PaddingSpec +autocast adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^class autocast(object):$/;" c +AutoCastDistributedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ class AutoCastDistributedVariable(AutoCastVariable, variable.__class__):$/;" c function:create_autocast_variable file: +AutocastTestLists adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/autocast_test_lists.py /^class AutocastTestLists(object):$/;" c +AutoCastVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^class AutoCastVariable(variables.Variable, core.Tensor):$/;" c +autoclass_content docs/source/conf.py /^autoclass_content = "both"$/;" v +autocomplete adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/autocompletion.py /^def autocomplete():$/;" f +autocontrast adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def autocontrast(image, cutoff=0, ignore=None, mask=None):$/;" f +autocorrect_location_header adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ autocorrect_location_header = True$/;" v class:BaseResponse +AutoDateFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class AutoDateFormatter(ticker.Formatter):$/;" c +AutoDateLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class AutoDateLocator(DateLocator):$/;" c +autodoc_typehints docs/source/conf.py /^autodoc_typehints = "description"$/;" v +autodoc_type_aliases docs/source/conf.py /^autodoc_type_aliases = {'Tensor_like': 'adpeps.types.TensorType'}$/;" v +autofmt_xdate adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def autofmt_xdate($/;" m class:Figure +AutoFormatTest adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^class AutoFormatTest(with_metaclass(AutoFormatTestMeta, test_utils.TestCase)):$/;" c +AutoFormatTestMeta adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^class AutoFormatTestMeta(type):$/;" c +AUTOGEN_GRAD_SUFFIX adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ AUTOGEN_GRAD_SUFFIX = "_autogen_grad"$/;" v class:IR +autograd_prof_summary adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^autograd_prof_summary = """$/;" v +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^autograph = lazy_loader.LazyLoader($/;" v +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^from tensorflow.python.autograph.impl import api as autograph$/;" x +autograph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^autograph = lazy_loader.LazyLoader($/;" v +AutoGraphError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class AutoGraphError(errors.PyCTError):$/;" c +AutoGraphTestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^class AutoGraphTestCase(test.TestCase):$/;" c +autograph_artifact adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def autograph_artifact(entity, extras=None):$/;" f +autograph_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^autograph_ctx = lazy_loader.LazyLoader($/;" v +autograph_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^from tensorflow.python.autograph.core import ag_ctx as autograph_ctx$/;" x +autograph_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^from tensorflow.python.autograph.core import ag_ctx as autograph_ctx$/;" x +autograph_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^from tensorflow.python.autograph.core import ag_ctx as autograph_ctx$/;" x +autograph_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^from tensorflow.python.autograph.core import ag_ctx as autograph_ctx$/;" x +AutoHeightChar adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class AutoHeightChar(Hlist):$/;" c +AutolinkInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class AutolinkInlineProcessor(InlineProcessor):$/;" c +AUTOLINK_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^AUTOLINK_RE = r'<((?:[Ff]|[Hh][Tt])[Tt][Pp][Ss]?:\/\/[^<>]*)>'$/;" v +AutoLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class AutoLocator(MaxNLocator):$/;" c +AutomailInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class AutomailInlineProcessor(InlineProcessor):$/;" c +AUTOMAIL_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^AUTOMAIL_RE = r'<([^<> !]*@[^@<> ]*)>'$/;" v +automatically_set_content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ automatically_set_content_length = True$/;" v class:BaseResponse +AutomaticControlDependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^class AutomaticControlDependencies(object):$/;" c +automatic_control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^def automatic_control_dependencies(f):$/;" f +AutoMinorLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class AutoMinorLocator(Locator):$/;" c +AutoParallelOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^AutoParallelOptions = _reflection.GeneratedProtocolMessageType('AutoParallelOptions', (_message./;" v +AutoParallelOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^AutoParallelOptions = _reflection.GeneratedProtocolMessageType('AutoParallelOptions', (_message./;" v +AutoPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class AutoPolicy(VariablePolicy):$/;" c +autorange adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def autorange(self, callback=None):$/;" m class:Timer +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def autoscale(self, enable=True, axis='both', tight=None):$/;" m class:_AxesBase +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def autoscale(self):$/;" m class:ScalarMappable +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale(self, A):$/;" m class:LogNorm +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale(self, A):$/;" m class:Normalize +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale(self, A):$/;" m class:SymLogNorm +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def autoscale(self):$/;" m class:AutoDateLocator +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def autoscale(self):$/;" m class:RRuleLocator +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def autoscale(self):$/;" m class:YearLocator +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def autoscale(self):$/;" m class:RadialLocator +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def autoscale(self):$/;" m class:ThetaLocator +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def autoscale(enable=True, axis='both', tight=None):$/;" f +autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def autoscale(self):$/;" m class:Locator +autoscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def autoscale(self, enable=True, axis='both', tight=None):$/;" m class:Axes3D +AutoscaleTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class AutoscaleTests(object):$/;" c +autoscale_None adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def autoscale_None(self):$/;" m class:ScalarMappable +autoscale_None adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale_None(self, A):$/;" m class:LogNorm +autoscale_None adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale_None(self, A):$/;" m class:Normalize +autoscale_None adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale_None(self, A):$/;" m class:SymLogNorm +autoscale_None adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def autoscale_None(self, A):$/;" m class:TwoSlopeNorm +autoscale_view adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def autoscale_view(self, tight=None, scalex=True, scaley=True):$/;" m class:_AxesBase +autoscale_view adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def autoscale_view(self, tight=None, scalex=True, scaley=True,$/;" m class:Axes3D +AutoShardDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^AutoShardDataset = tf_export("raw_ops.AutoShardDataset")(_ops.to_raw_op(auto_shard_dataset))$/;" v +AutoShardPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^class AutoShardPolicy(enum.IntEnum):$/;" c +autostrip adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def autostrip(self, method):$/;" m class:LineSplitter +autosummary_generate docs/source/conf.py /^autosummary_generate = True$/;" v +AutoTrackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class AutoTrackable(base.Trackable):$/;" c +autotune adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ autotune = options.create_option($/;" v class:OptimizationOptions +AUTOTUNE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^AUTOTUNE = -1$/;" v +autotune_buffers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ autotune_buffers = options.create_option($/;" v class:OptimizationOptions +autotune_cpu_budget adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ autotune_cpu_budget = options.create_option($/;" v class:OptimizationOptions +autotune_ram_budget adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ autotune_ram_budget = options.create_option($/;" v class:OptimizationOptions +AutoWidthChar adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class AutoWidthChar(Hlist):$/;" c +auto_adjust_subplotpars adpepsenv/lib/python3.8/site-packages/matplotlib/tight_layout.py /^def auto_adjust_subplotpars($/;" f +auto_cast_partition_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_config.py /^def auto_cast_partition_dtype():$/;" f +auto_chmod adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def auto_chmod(func, arg, exc):$/;" f +auto_complete_paths adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/autocompletion.py /^def auto_complete_paths(current, completion_type):$/;" f +AUTO_CONTROL_DEPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ AUTO_CONTROL_DEPS = 'AUTO_CONTROL_DEPS'$/;" v class:Feature +auto_decode adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/encoding.py /^def auto_decode(data):$/;" f +auto_delim adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def auto_delim(self, s, loc, toks):$/;" m class:Parser +auto_format_test_generator adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def auto_format_test_generator(input_file):$/;" f member:AutoFormatTestMeta.__new__ file: +auto_hq adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def auto_hq(inputs, output, size_dict, memory_limit=None):$/;" f +AUTO_REUSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ AUTO_REUSE = 1$/;" v class:_ReuseMode +AUTO_REUSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^AUTO_REUSE = _ReuseMode.AUTO_REUSE$/;" v +auto_scale_xyz adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def auto_scale_xyz(self, X, Y, Z=None, had_data=None):$/;" m class:Axes3D +auto_set_column_width adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def auto_set_column_width(self, col):$/;" m class:Table +auto_set_font_size adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def auto_set_font_size(self, renderer):$/;" m class:Cell +auto_set_font_size adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def auto_set_font_size(self, value=True):$/;" m class:Table +auto_shard_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_ops.py /^def auto_shard_dataset(dataset, num_shards, index, num_replicas_in_sync=None):$/;" f +auto_shard_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def auto_shard_dataset(input_dataset, num_workers, index, output_types, output_shapes, auto_shar/;" f +auto_shard_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def auto_shard_dataset_eager_fallback(input_dataset, num_workers, index, output_types, output_sh/;" f +auto_shard_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ auto_shard_policy = options.create_option($/;" v class:DistributeOptions +auto_to_manual_spmd_partition adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def auto_to_manual_spmd_partition(tensor, manual_sharding):$/;" f +autumn adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def autumn():$/;" f +AuxOptimizerParams adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^AuxOptimizerParams = namedtuple("AuxOptimizerParams", ["local", "shared"])$/;" v +AuxTransformBox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class AuxTransformBox(OffsetBox):$/;" c +aux_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^aux_rules = [$/;" v +avail adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def avail(self):$/;" m class:MovieWriterRegistry +available adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^available = []$/;" v +available adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def available(self, o):$/;" m class:LockDraw +available adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def available(self):$/;" m class:BaseEndpoint +available adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def available(self, available):$/;" m class:BaseEndpoint +AvailableDeviceInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^AvailableDeviceInfo = _reflection.GeneratedProtocolMessageType('AvailableDeviceInfo', (_message./;" v +AvailableDistributions adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^AvailableDistributions = Environment$/;" v +AvailableDistributions adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^AvailableDistributions = Environment$/;" v +available_dense_methods adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^available_dense_methods = ('QRFactorization', 'SVDFactorization')$/;" v +available_fcompilers_for_platform adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def available_fcompilers_for_platform(osname=None, platform=None):$/;" f +available_sparse_methods adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ available_sparse_methods = ("AugmentedSystem",)$/;" v +available_sparse_methods adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ available_sparse_methods = ("NormalEquation", "AugmentedSystem")$/;" v +aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def aval(self): return abstract_unit$/;" m class:DropVar +aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def aval(self): return abstract_unit$/;" m class:UnitVar +aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def aval(self):$/;" m class:Literal +aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def aval(self):$/;" m class:Tracer +aval adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def aval(self):$/;" m class:CallbackTracer +aval adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def aval(self):$/;" m class:DoublingTracer +aval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def aval(self):$/;" m class:TensorFlowTracer +aval adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def aval(self):$/;" m class:JetTracer +aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def aval(self):$/;" m class:JVPTracer +aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def aval(self):$/;" m class:BatchTracer +aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def aval(self):$/;" m class:MaskTracer +aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def aval(self) -> AbstractValue:$/;" m class:JaxprTracer +aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def aval(v):$/;" f function:jaxpr_subcomp file: +AvalDimSharding adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^AvalDimSharding = Union[Unstacked, Chunked, NoSharding]$/;" v +avals_to_results_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def avals_to_results_handler(nrep, npart, out_specs, unmapped_local_out_avals):$/;" f +aval_method adpepsenv/lib/python3.8/site-packages/jax/core.py /^aval_method = namedtuple("aval_method", ["fun"])$/;" v +aval_property adpepsenv/lib/python3.8/site-packages/jax/core.py /^aval_property = namedtuple("aval_property", ["fget"])$/;" v +aval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def aval_to_result_handler(sharding_spec: Optional[ShardingSpec],$/;" f +aval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def aval_to_result_handler(device: Optional[Device], aval: core.AbstractValue) -> Callable:$/;" f +aval_to_xla_shapes adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def aval_to_xla_shapes(aval):$/;" f +aval_zeros_likers adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^aval_zeros_likers: Dict[Type[core.AbstractValue], Array] = {}$/;" v +AVConvBase adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class AVConvBase(FFMpegBase):$/;" c +AVConvFileWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class AVConvFileWriter(AVConvBase, FFMpegFileWriter):$/;" c +AVConvWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class AVConvWriter(AVConvBase, FFMpegWriter):$/;" c +average adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def average(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, weights=None,$/;" f +average adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def average(a, axis=None, weights=None, returned=False):$/;" f +average adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def average(a, axis=None, weights=None, returned=False):$/;" f +average adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def average(y):$/;" f +Average adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Average(_Merge):$/;" c +average adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def average(inputs, **kwargs):$/;" f +average adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def average(a, axis=None, weights=None, returned=False): # pylint: disable=missing-docstring$/;" f +average adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def average(self, var):$/;" m class:ExponentialMovingAverage +AveragedModel adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^class AveragedModel(Module):$/;" c +AverageMeter adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class AverageMeter(object):$/;" c +AveragePool adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def AveragePool(self, *args, **kwargs):$/;" m class:CNNModelHelper +AveragePooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class AveragePooling1D(Pooling1D):$/;" c +AveragePooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class AveragePooling1D(keras_layers.AveragePooling1D, base.Layer):$/;" c +AveragePooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^AveragePooling1D = pooling.AveragePooling1D$/;" v +AveragePooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class AveragePooling2D(Pooling2D):$/;" c +AveragePooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class AveragePooling2D(keras_layers.AveragePooling2D, base.Layer):$/;" c +AveragePooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^AveragePooling2D = pooling.AveragePooling2D$/;" v +AveragePooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class AveragePooling3D(Pooling3D):$/;" c +AveragePooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class AveragePooling3D(keras_layers.AveragePooling3D, base.Layer):$/;" c +AveragePooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^AveragePooling3D = pooling.AveragePooling3D$/;" v +AVERAGE_LOSS_METRIC_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^AVERAGE_LOSS_METRIC_KEY = 'average_loss'$/;" v +average_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def average_name(self, var):$/;" m class:ExponentialMovingAverage +average_pool adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/pooling.py /^def average_pool(model, blob_in, blob_out, use_cudnn=False, order="NCHW",$/;" f +average_pooling1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def average_pooling1d(inputs, pool_size, strides,$/;" f +average_pooling1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^average_pooling1d = pooling.average_pooling1d$/;" v +average_pooling2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def average_pooling2d(inputs,$/;" f +average_pooling2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^average_pooling2d = pooling.average_pooling2d$/;" v +average_pooling3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def average_pooling3d(inputs,$/;" f +average_pooling3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^average_pooling3d = pooling.average_pooling3d$/;" v +AVERAGE_POOL_2D adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ AVERAGE_POOL_2D = 1$/;" v class:BuiltinOperator +average_precision_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def average_precision_at_k(labels,$/;" f +average_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def average_rank(a):$/;" f member:TestRankData.test_rankdata_object_string file: +AvgPool adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^AvgPool = _pooling_layer(lax.add, 0., _normalize_by_window_size)$/;" v +AvgPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^AvgPool = tf_export("raw_ops.AvgPool")(_ops.to_raw_op(avg_pool))$/;" v +AvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling1D as AvgPool1D$/;" x +AvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling1D as AvgPool1D$/;" x +AvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling1D as AvgPool1D$/;" x +AvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling1D as AvgPool1D$/;" x +AvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^AvgPool1D = AveragePooling1D$/;" v +AvgPool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AvgPool1d(_AvgPoolNd):$/;" c +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling2D as AvgPool2D$/;" x +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling2D as AvgPool2D$/;" x +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling2D as AvgPool2D$/;" x +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling2D as AvgPool2D$/;" x +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^AvgPool2D = AveragePooling2D$/;" v +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^AvgPool2D = AveragePooling2D$/;" v +AvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^AvgPool2D = AveragePooling2D$/;" v +AvgPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AvgPool2d(_AvgPoolNd):$/;" c +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling3D as AvgPool3D$/;" x +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling3D as AvgPool3D$/;" x +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling3D as AvgPool3D$/;" x +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import AveragePooling3D as AvgPool3D$/;" x +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^AvgPool3D = AveragePooling3D$/;" v +AvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^AvgPool3D = tf_export("raw_ops.AvgPool3D")(_ops.to_raw_op(avg_pool3d))$/;" v +AvgPool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class AvgPool3d(_AvgPoolNd):$/;" c +AvgPool3DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^AvgPool3DGrad = tf_export("raw_ops.AvgPool3DGrad")(_ops.to_raw_op(avg_pool3d_grad))$/;" v +AvgPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^AvgPoolGrad = tf_export("raw_ops.AvgPoolGrad")(_ops.to_raw_op(avg_pool_grad))$/;" v +avg_fn adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def avg_fn(averaged_model_parameter, model_parameter, num_averaged):$/;" f member:AveragedModel.__init__ file: +avg_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^ def avg_op(X):$/;" f member:TestLossOps.test_averaged_loss file: +avg_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def avg_op(X):$/;" f member:TestReductionOps.test_elementwise_avg file: +avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool(value, ksize, strides, padding, data_format="NHWC", name=None):$/;" f +avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def avg_pool(value, ksize, strides, padding, data_format="NHWC",$/;" f +avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import avg_pool_v2 as avg_pool$/;" x +avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import avg_pool_v2 as avg_pool$/;" x +avg_pool1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def avg_pool1d(input, ksize, strides, padding, data_format="NWC", name=None): # pylint: disable/;" f +avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^avg_pool1d = _add_docstr(torch.avg_pool1d, r"""$/;" v +avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^avg_pool1d = _avg_pool('avg_pool1d', _single)$/;" v +avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^avg_pool1d = _avg_pool('avg_pool1d', _single)$/;" v +avg_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^avg_pool1d = _avg_pool('avg_pool1d', _single)$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^avg_pool2d = average_pooling2d$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^avg_pool2d = average_pooling2d$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def avg_pool2d(input, ksize, strides, padding, data_format="NHWC", name=None): # pylint: disabl/;" f +avg_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import avg_pool as avg_pool2d$/;" x +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^avg_pool2d = _add_docstr(torch._C._nn.avg_pool2d, r"""$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def avg_pool2d(input, kernel_size, stride=None, padding=0, ceil_mode=False,$/;" f +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def avg_pool2d(g, input, kernel_size, stride, padding, ceil_mode, count_include_pad, divisor_ove/;" f +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^avg_pool2d = _avg_pool('avg_pool2d', _pair)$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^avg_pool2d = _avg_pool('avg_pool2d', _pair)$/;" v +avg_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^avg_pool2d = _avg_pool('avg_pool2d', _pair)$/;" v +avg_pool3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool3d(input, ksize, strides, padding, data_format="NDHWC", name=None):$/;" f +avg_pool3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def avg_pool3d(input, ksize, strides, padding, data_format="NDHWC", name=None): # pylint: disab/;" f +avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^avg_pool3d = _add_docstr(torch._C._nn.avg_pool3d, r"""$/;" v +avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def avg_pool3d(input, kernel_size, stride=None, padding=0, ceil_mode=False,$/;" f +avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^avg_pool3d = _avg_pool('avg_pool3d', _triple)$/;" v +avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^avg_pool3d = _avg_pool('avg_pool3d', _triple)$/;" v +avg_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^avg_pool3d = _avg_pool('avg_pool3d', _triple)$/;" v +avg_pool3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool3d_eager_fallback(input, ksize, strides, padding, data_format, name, ctx):$/;" f +avg_pool3d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool3d_grad(orig_input_shape, grad, ksize, strides, padding, data_format="NDHWC", name=N/;" f +avg_pool3d_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool3d_grad_eager_fallback(orig_input_shape, grad, ksize, strides, padding, data_format,/;" f +avg_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool_eager_fallback(value, ksize, strides, padding, data_format, name, ctx):$/;" f +avg_pool_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool_grad(orig_input_shape, grad, ksize, strides, padding, data_format="NHWC", name=None/;" f +avg_pool_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def avg_pool_grad_eager_fallback(orig_input_shape, grad, ksize, strides, padding, data_format, n/;" f +avg_pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def avg_pool_v2(input, ksize, strides, padding, data_format=None, name=None): # pylint: disable/;" f +avoid_vpmaddubsw_overflow adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def avoid_vpmaddubsw_overflow($/;" f +avoid_vpmaddubsw_overflow_fc adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def avoid_vpmaddubsw_overflow_fc($/;" f +avx_ufuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^avx_ufuncs = {'sqrt' :[1, 0., 100.],$/;" v +Awaitable adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Awaitable(typing.Generic[T_co], metaclass=_ExtensionsGenericMeta,$/;" c +AWAY_FROM_ZERO adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ AWAY_FROM_ZERO = 0$/;" v class:RoundingMethod +AWS_ACCESS_KEY_ID adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^AWS_ACCESS_KEY_ID = "AWS_ACCESS_KEY_ID"$/;" v +AWS_REGION adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^AWS_REGION = "AWS_REGION"$/;" v +AWS_SECRET_ACCESS_KEY adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^AWS_SECRET_ACCESS_KEY = "AWS_SECRET_ACCESS_KEY"$/;" v +AWS_SESSION_TOKEN adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^AWS_SESSION_TOKEN = "AWS_SESSION_TOKEN"$/;" v +ax adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def ax(self):$/;" m class:ContourSet +ax adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def ax(self):$/;" m class:Quiver +ax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^def ax():$/;" f +axbottom adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axbottom = cbook.deprecated("3.3", name="axbottom")($/;" v class:SubplotTool +Axes adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Axes(self, j):$/;" m class:PocketFftDescriptor +axes adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def axes(self):$/;" m class:Artist +axes adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def axes(self, new_axes):$/;" m class:Artist +Axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^class Axes(_AxesBase):$/;" c +axes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ axes = property(get_axes, doc="""$/;" v class:Figure +axes adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def axes(self, ax):$/;" m class:Line2D +axes adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def axes(self, ax):$/;" m class:OffsetBox +axes adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axes(arg=None, **kwargs):$/;" f +Axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^class Axes(maxes.Axes):$/;" c +Axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class Axes(maxes.Axes):$/;" c +Axes3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^class Axes3D(Axes):$/;" c +AxesAsNumpy adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def AxesAsNumpy(self):$/;" m class:PocketFftDescriptor +AxesDivider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class AxesDivider(Divider):$/;" c +AxesGrid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^AxesGrid = ImageGrid$/;" v +AxesGrid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^AxesGrid = ImageGrid$/;" v +AxesImage adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^class AxesImage(_ImageBase):$/;" c +AxesIsNone adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def AxesIsNone(self):$/;" m class:PocketFftDescriptor +AxesLength adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def AxesLength(self):$/;" m class:PocketFftDescriptor +AxesLocator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class AxesLocator:$/;" c +AXESPAD adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ AXESPAD = 0.02$/;" v class:Table +AxesStack adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^class AxesStack(_AxesStack):$/;" c +AxesWidget adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class AxesWidget(Widget):$/;" c +AxesX adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class AxesX(_Base):$/;" c +AxesY adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class AxesY(_Base):$/;" c +AxesZero adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class AxesZero(Axes):$/;" c +axes_grid_orig adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^import mpl_toolkits.axes_grid1.axes_grid as axes_grid_orig$/;" I +axes_to_axis adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^axes_to_axis = lambda func: lambda operand, axes: func(operand, axis=axes)$/;" f +axhline adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def axhline(self, y=0, xmin=0, xmax=1, **kwargs):$/;" m class:Axes +axhline adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axhline(y=0, xmin=0, xmax=1, **kwargs):$/;" f +axhspace adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axhspace = cbook.deprecated("3.3", name="axhspace")($/;" v class:SubplotTool +axhspan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def axhspan(self, ymin, ymax, xmin=0, xmax=1, **kwargs):$/;" m class:Axes +axhspan adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axhspan(ymin, ymax, xmin=0, xmax=1, **kwargs):$/;" f +axis adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ axis = 0$/;" v +axis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def axis(self, *args, emit=True, **kwargs):$/;" m class:_AxesBase +Axis adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class Axis(martist.Artist):$/;" c +axis adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axis(*args, emit=True, **kwargs):$/;" f +axis adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ axis = None$/;" v class:TickHelper +axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def axis(self):$/;" m class:Axes +axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def axis(self):$/;" m class:Axes +Axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^class Axis(maxis.XAxis):$/;" c +Axis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Axis(self):$/;" m class:ConcatenationOptions +Axis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Axis(self):$/;" m class:GatherOptions +Axis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Axis(self):$/;" m class:OneHotOptions +Axis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Axis(self):$/;" m class:PackOptions +Axis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Axis(self):$/;" m class:UnpackOptions +AxisArtist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class AxisArtist(martist.Artist):$/;" c +AxisArtistHelper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class AxisArtistHelper:$/;" c +AxisArtistHelperRectlinear adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class AxisArtistHelperRectlinear:$/;" c +AxisConcatenator adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class AxisConcatenator:$/;" c +AxisDict adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ class AxisDict(dict):$/;" c class:Axes +AxisEnv adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^class AxisEnv(NamedTuple):$/;" c +AxisEnvFrame adpepsenv/lib/python3.8/site-packages/jax/core.py /^AxisEnvFrame = namedtuple('AxisEnvFrame', ['name', 'size', 'main_trace'])$/;" v +AxisError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class AxisError(ValueError, IndexError):$/;" c +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def axisinfo(unit, axis):$/;" m class:StrCategoryConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def axisinfo(self, unit, axis):$/;" m class:ConciseDateConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def axisinfo(unit, axis):$/;" m class:DateConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def axisinfo(unit, axis):$/;" m class:EpochConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^ def axisinfo(unit, axis):$/;" m class:StrConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^ def axisinfo(unit, axis):$/;" m class:UnitDblConverter +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def axisinfo(unit, axis):$/;" m class:ConversionInterface +axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def axisinfo(unit, axis):$/;" m class:DecimalConverter +AxisInfo adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^class AxisInfo:$/;" c +AxisLabel adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class AxisLabel(AttributeCopier, LabelBase):$/;" c +AxislineStyle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^class AxislineStyle(_Style):$/;" c +AxisName adpepsenv/lib/python3.8/site-packages/jax/api.py /^AxisName = Any$/;" v +AxisName adpepsenv/lib/python3.8/site-packages/jax/core.py /^AxisName = Hashable$/;" v +AxisName adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^AxisName = core.AxisName$/;" v +AxisNamePos adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class AxisNamePos(FrozenDict):$/;" c +AxisScaleBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class AxisScaleBase(ToolToggleBase):$/;" c +axis_aligned_extrema adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def axis_aligned_extrema(self):$/;" m class:BezierSegment +axis_date adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def axis_date(self, tz=None):$/;" m class:Axis +axis_frame adpepsenv/lib/python3.8/site-packages/jax/core.py /^def axis_frame(axis_name):$/;" f +axis_groups adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def axis_groups(axis_env: AxisEnv, name):$/;" f +axis_index adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def axis_index(axis_name):$/;" f +axis_index_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^axis_index_p = core.Primitive('axis_index')$/;" v +axis_name adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ axis_name = 'x' #: Read-only name identifying the axis.$/;" v class:XAxis +axis_name adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ axis_name = 'y' #: Read-only name identifying the axis.$/;" v class:YAxis +axis_name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ axis_name = 'radius' #: Read-only name identifying the axis.$/;" v class:RadialAxis +axis_name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ axis_name = 'theta' #: Read-only name identifying the axis.$/;" v class:ThetaAxis +axis_read adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def axis_read(axis_env, axis_name):$/;" f +axis_reverse adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def axis_reverse(a, axis=-1):$/;" f +axis_slice adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def axis_slice(a, start=None, stop=None, step=None, axis=-1):$/;" f +axis_test adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^def axis_test(axis, labels):$/;" f +axleft adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axleft = cbook.deprecated("3.3", name="axleft")($/;" v class:SubplotTool +axline adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def axline(self, xy1, xy2=None, *, slope=None, **kwargs):$/;" m class:Axes +axline adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axline(xy1, xy2=None, *, slope=None, **kwargs):$/;" f +axright adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axright = cbook.deprecated("3.3", name="axright")($/;" v class:SubplotTool +axtop adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axtop = cbook.deprecated("3.3", name="axtop")($/;" v class:SubplotTool +axvline adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def axvline(self, x=0, ymin=0, ymax=1, **kwargs):$/;" m class:Axes +axvline adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axvline(x=0, ymin=0, ymax=1, **kwargs):$/;" f +axvspan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def axvspan(self, xmin, xmax, ymin=0, ymax=1, **kwargs):$/;" m class:Axes +axvspan adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def axvspan(xmin, xmax, ymin=0, ymax=1, **kwargs):$/;" f +axwspace adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ axwspace = cbook.deprecated("3.3", name="axwspace")($/;" v class:SubplotTool +ax_subspace adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def ax_subspace(self): # Validated$/;" m class:SHGO +A_coo adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ A_coo = rand($/;" v class:TestClarksonWoodruffTransform +A_csc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ A_csc = rand($/;" v class:TestClarksonWoodruffTransform +A_csr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ A_csr = rand($/;" v class:TestClarksonWoodruffTransform +A_dense adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ A_dense = rng.randn(n_rows, n_cols)$/;" v class:TestClarksonWoodruffTransform +a_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def a_eager_fallback(name, ctx):$/;" f +A_eq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^A_eq = None$/;" v +A_EXTRA adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ A_EXTRA = dop853_coefficients.A[n_stages + 1:]$/;" v class:DOP853 +a_inverse adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^ def a_inverse(rhs):$/;" f function:triangular_solve_jvp_rule_a file: +A_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def A_matvec(x):$/;" f function:test_reentering file: +a_specialized_dims adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^a_specialized_dims = {'a': '(*)'}$/;" v +A_ub adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^A_ub = None$/;" v +B adpeps/utils/ctmtensors.py /^ B: TList = field(default=None, metadata={'init_tlist': True})$/;" v class:CTMTensors +b adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^b = np.random.randn(3, 4).astype(np.float32)$/;" v +b adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/observer_test.py /^b = np.array([0, 1]).astype(np.float32)$/;" v +B adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class B(A):$/;" c function:test_setattr_cm file: +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class B(A):$/;" c member:TestGetImplementingArgs.test_many_duck_arrays file: +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class B(A, np.float64):$/;" c +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class B(A):$/;" c member:TestSpecialMethods.test_priority file: +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class B:$/;" c member:TestSpecialMethods.test_ufunc_override_mro file: +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class B:$/;" c member:TestSpecialMethods.test_ufunc_override_out file: +B adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class B:$/;" c member:TestSpecialMethods.test_ufunc_override_with_super file: +b adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ b = 2$/;" v class:TestVectorize.test_UnboundMethod_ticket_1156.Foo +B adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ B = np.array($/;" v class:TestCorrCoef +b adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def b(s):$/;" f +b adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def b(s):$/;" f +b adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def b(s):$/;" f +B adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^B = A[N_STAGES, :N_STAGES]$/;" v +B adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ B = dop853_coefficients.B$/;" v class:DOP853 +B adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ B = NotImplemented$/;" v class:RungeKutta +B adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ B = np.array([2\/9, 1\/3, 4\/9])$/;" v class:RK23 +B adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ B = np.array([35\/384, 0, 500\/1113, 125\/192, -2187\/6784, 11\/84])$/;" v class:RK45 +B adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ class B(BSpline):$/;" c member:TestBSpline.test_subclassing file: +B adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ class B(BPoly):$/;" c class:TestPolySubclassing +B adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^B = A.astype(complex)$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^b = np.array([0.074, 1.014, -0.383])$/;" v +B adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def B(self):$/;" m class:StateSpace +B adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def B(self, B):$/;" m class:StateSpace +b adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ b = zeros(A.shape[0])$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^b = np.array(io.mmread(f)).ravel()$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^b = array([1,2,3,4,5,6])$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^b = array([1, 2, 3, 4, 5, 6])$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^b = normal(size=n)$/;" v +b adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ b = [1, 2, 5, 7]$/;" v class:TestItemfreq +b adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def b(s):$/;" f +b adpepsenv/lib/python3.8/site-packages/six.py /^ def b(s):$/;" f +B adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^B = tf_export("raw_ops.B")(_ops.to_raw_op(b))$/;" v +b adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def b(name=None):$/;" f +b adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def b(i, r):$/;" f function:matrix_exponential file: +b adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def b(s):$/;" f +B0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class B0(np.float64, A):$/;" c +B1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class B1(np.float64, HasNew):$/;" c +b16 adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^from ._binary import i16be as b16$/;" x +b32 adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^from ._binary import i32be as b32$/;" x +BACK adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ BACK = 8$/;" v class:MouseButton +back adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def back(self, *args):$/;" m class:NavigationToolbar2 +back adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def back(self):$/;" m class:ToolViewsPositions +back adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def back(self):$/;" m class:Stack +BACK adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ def BACK(self, n=1):$/;" m class:AnsiCursor +Back adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^Back = AnsiBack()$/;" v +back adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def back(self, back=None, light=False, on_stderr=False):$/;" m class:WinTerm +back adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def back(self, title, next, name = "Back", active = 1):$/;" m class:PyDialog +Backend adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^Backend = Any # xc.LocalBackend (why does mypy not like this?)$/;" v +backend adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^import scipy.linalg._interpolative_backend as backend$/;" I +backend adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def backend():$/;" f +Backend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^class Backend(object):$/;" c +BACKEND adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^BACKEND = os.environ["BACKEND"]$/;" v +BackendApplicationClient adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/backend_application.py /^class BackendApplicationClient(Client):$/;" c +BackendApplicationServer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^class BackendApplicationServer(TokenEndpoint, IntrospectEndpoint,$/;" c +BackendInvalid adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class BackendInvalid(Exception):$/;" c +BackendInvalid adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^class BackendInvalid(Exception):$/;" c +BackendOptions adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^BackendOptions = _reflection.GeneratedProtocolMessageType('BackendOptions', (_message.Message,),/;" v +backends adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^backends = ['numpy', torch_if_found, cupy_if_found]$/;" v +backends adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def backends(self):$/;" m class:VcsSupport +BackendType adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^BackendType = enum.Enum(value="BackendType", names={})$/;" v +BackendUnavailable adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class BackendUnavailable(Exception):$/;" c +BackendUnavailable adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^class BackendUnavailable(Exception):$/;" c +BackendValue adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^BackendValue = collections.namedtuple($/;" v +backend_compile adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def backend_compile(backend, built_c, options):$/;" f +backend_mod adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ class backend_mod(matplotlib.backend_bases._Backend):$/;" c function:switch_backend file: +backend_registered adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def backend_registered(backend_name):$/;" f +backend_specific_translations adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^backend_specific_translations: Dict[str, Dict[core.Primitive, Callable]] = defaultdict(dict)$/;" v +backend_test adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/onnx_backend_test.py /^backend_test = onnx.backend.test.BackendTest(c2, __name__)$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^backend_version = 'v2.2'$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^backend_version = cairo.version$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^backend_version = "%s.%s.%s" % ($/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^backend_version = 'Level II'$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^backend_version = __version__$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^backend_version = mpl.__version__$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^backend_version = tk.TkVersion$/;" v +backend_version adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ backend_version = "unknown"$/;" v class:_Backend +backing_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def backing_device(self):$/;" m class:_EagerTensorBase +BACKOFF adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^BACKOFF = 0.001$/;" v +Backoff adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^class Backoff(object):$/;" c +BACKOFF_MAX adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ BACKOFF_MAX = 120$/;" v class:Retry +BACKOFF_MAX adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ BACKOFF_MAX = 120$/;" v class:Retry +backport_makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/backports/makefile.py /^def backport_makefile($/;" f +backport_makefile adpepsenv/lib/python3.8/site-packages/urllib3/packages/backports/makefile.py /^def backport_makefile($/;" f +backslashreplace_decode adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ backslashreplace_decode = "backslashreplace_decode"$/;" v +backslashreplace_decode_fn adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ def backslashreplace_decode_fn(err):$/;" f +BACKSPACE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ BACKSPACE_KEY = ord("\\b")$/;" v class:CursesUI +BacktickInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class BacktickInlineProcessor(InlineProcessor):$/;" c +backtickrepl adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^def backtickrepl(m):$/;" f +BACKTICK_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^BACKTICK_RE = r'(?:(? Any:$/;" m class:Function +backward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def backward(self, *gradients: Any) -> Any: # type: ignore$/;" m class:NestedIOFunction +backward adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^ def backward(ctx, grad_output):$/;" m class:Resize +backward adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^ def backward(ctx, grad_output):$/;" m class:Type +backward adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def backward($/;" f +backward adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def backward(ctx, grad_output):$/;" m class:_Dirichlet +backward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^ def backward(ctx, grad_output):$/;" m class:CrossMapLRN2d +backward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^ def backward(self, grad_output):$/;" m class:SyncBatchNorm +backward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def backward(ctx, *grad_output):$/;" m class:Scatter +backward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def backward(ctx, *grad_outputs):$/;" m class:Broadcast +backward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def backward(ctx, *grad_outputs):$/;" m class:ReduceAddCoalesced +backward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def backward(ctx, grad_output):$/;" m class:Gather +backward adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def backward(ctx, dY):$/;" m class:_LearnableFakeQuantizePerChannelOp +backward adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def backward(ctx, dY):$/;" m class:_LearnableFakeQuantizePerTensorOp +backward adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def backward(self, gradient=None, retain_graph=None, create_graph=False):$/;" m class:Tensor +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref.MyFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_no_grad_copy.MyFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_no_grad_copy.MyFuncSingleGrad +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_no_grad_copy.NonContGradFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_no_grad_copy_sparse.MyFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, grad):$/;" m class:DistAutogradTest.test_no_grad_copy_sparse.NonContGradFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, input):$/;" m class:DistAutogradTest.MyBackwardFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, input):$/;" m class:DistAutogradTest.TestDebugInfoFunc +backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def backward(ctx, input):$/;" m class:SimulateBackwardError +backward adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^ def backward(ctx, *args):$/;" m class:CheckpointFunction +backward adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def backward(ctx, D_grad, U_grad):$/;" m class:LOBPCGAutogradFunction +BackwardCFunction adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class BackwardCFunction(_C._FunctionBase, _ContextMethodMixin, _HookMixin):$/;" c +backward_clear_ctx adpeps/tensor/config.py /^backward_clear_ctx = True$/;" v +backward_extended adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def backward_extended(self, *grad_output: Any) -> None:$/;" m class:NestedIOFunction +BACKWARD_FUNCTION_ATTRIBUTE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^BACKWARD_FUNCTION_ATTRIBUTE_NAME = "backward_function_name"$/;" v +backward_pass adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def backward_pass(jaxpr: core.Jaxpr, consts, primals_in, cotangents_in):$/;" f +backward_time adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def backward_time(xi, yi):$/;" f function:get_integrator file: +BACK_ARROW_TEXT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ BACK_ARROW_TEXT = "<--"$/;" v class:CursesNavigationHistory +back_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def back_prop(self):$/;" m class:XLACompileContext +back_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def back_prop(self):$/;" m class:CondContext +back_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def back_prop(self):$/;" m class:ControlFlowContext +back_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def back_prop(self):$/;" m class:WhileContext +back_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def back_prop(self):$/;" m class:TPUReplicateContext +back_up adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^ def back_up(self, epoch):$/;" m class:WorkerTrainingState +Bad adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class Bad(np.ndarray):$/;" c member:TestSpecialMethods.test_failing_out_wrap file: +BAD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^BAD = "\\033[2m\\033[91m"$/;" v +bad1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bad1(x, t):$/;" f function:test_odeint_errors file: +bad2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bad2(x, t):$/;" f function:test_odeint_errors file: +BadCoefficients adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^class BadCoefficients(UserWarning):$/;" c +BadCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class BadCommand(PipError):$/;" c +baddbmm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def baddbmm(g, self, batch1, batch2, beta, alpha):$/;" f +BadFortranFormat adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^class BadFortranFormat(SyntaxError):$/;" c +BadGateway adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class BadGateway(HTTPException):$/;" c +BadHost adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class BadHost(BadRequest):$/;" c +BadInterface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class BadInterface:$/;" c member:TestCreation.test_bad_array_like_attributes file: +badjac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def badjac(x, t):$/;" f function:test_odeint_bad_shapes file: +BadModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class BadModule:$/;" c +badnames adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^badnames = {}$/;" v +BadRequest adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class BadRequest(HTTPException):$/;" c +BadRequestKeyError adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^BadRequestKeyError = BadRequest.wrap(KeyError)$/;" v +badrhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def badrhs(x, t):$/;" f function:test_odeint_bad_shapes file: +BadSequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class BadSequence:$/;" c member:TestCreation.test_bad_array_like_bad_length file: +BadType adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ class BadType(object):$/;" c member:TestStateSpace.test_operators file: +badtype_read adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def badtype_read():$/;" f member:TestHeader.test_badtype_parsing file: +BadZipFile adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^ from zipfile import BadZipfile as BadZipFile$/;" x +bad_arcsinh adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def bad_arcsinh():$/;" f +BAD_FUNCS adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^BAD_FUNCS = {$/;" v +bad_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def bad_grad(x):$/;" f member:TestOptimizeSimple.test_no_increase file: +bad_jac1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bad_jac1(x, t):$/;" f function:test_odeint_errors file: +bad_jac2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bad_jac2(x, t):$/;" f function:test_odeint_errors file: +bad_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class bad_sequence:$/;" c member:TestAssignment.test_stringlike_empty_list file: +bad_type adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def bad_type(x):$/;" f member:TestLinsolve.test_gssv_badinput file: +bad_version_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^bad_version_magic = [$/;" v +bad_y adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def bad_y(self, n):$/;" m class:TestIsValidY +bad_y adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def bad_y(self, n):$/;" m class:TestNumObsY +BagObj adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^class BagObj:$/;" c +bagtypes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^bagtypes = _OID(pkcs_12, 10, 1)$/;" v +BAG_TYPE adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class BAG_TYPE(univ.Sequence):$/;" c +BakomaFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class BakomaFonts(TruetypeFonts):$/;" c +ball_consistency adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class ball_consistency:$/;" c +banded5x5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^import scipy.integrate._test_odeint_banded as banded5x5$/;" I +BandedTriangularSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BandedTriangularSolve = tf_export("raw_ops.BandedTriangularSolve")(_ops.to_raw_op(banded_triangu/;" v +banded_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def banded_triangular_solve(matrix, rhs, lower=True, adjoint=False, name=None):$/;" f +banded_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def banded_triangular_solve($/;" f +banded_triangular_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def banded_triangular_solve_eager_fallback(matrix, rhs, lower, adjoint, name, ctx):$/;" f +band_dict adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^band_dict = {'band': 'bandpass',$/;" v +band_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^band_part = array_ops.matrix_band_part$/;" v +band_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import matrix_band_part as band_part$/;" x +band_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import matrix_band_part as band_part$/;" x +band_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import matrix_band_part as band_part$/;" x +band_stop_obj adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def band_stop_obj(wp, ind, passb, stopb, gpass, gstop, type):$/;" f +BAR adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^BAR = 1$/;" v +bar adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def bar(self, x, height, width=0.8, bottom=None, *, align="center",$/;" m class:Axes +Bar adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Bar(_Base):$/;" c class:ConnectionStyle +bar adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def bar($/;" f +bar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def bar(self, left, height, zs=0, zdir='z', *args, **kwargs):$/;" m class:Axes3D +Bar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Bar(np.ndarray):$/;" c class:TestArrayPriority +bar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def bar(self, a):$/;" m class:TestVectorize.test_UnboundMethod_ticket_1156.Foo +Bar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class Bar(Progress):$/;" c +bar adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^bar = 1e5$/;" v +Bar adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ class Bar(Foo):$/;" c function:test_inherit_docstring_from file: +Bar adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class Bar:$/;" c member:DistributedTest._DistTestBase.test_gather_object file: +bar3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def bar3d(self, x, y, z, dx, dy, dz, color=None,$/;" m class:Axes3D +BarAB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class BarAB(_Bracket):$/;" c class:ArrowStyle +barbs adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def barbs(self, *args, **kw):$/;" m class:Axes +barbs adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def barbs(*args, data=None, **kw):$/;" f +Barbs adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^class Barbs(mcollections.PolyCollection):$/;" c +barbs_doc adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ barbs_doc = _barbs_doc$/;" v class:Barbs +BarContainer adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^class BarContainer(Container):$/;" c +barh adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def barh(self, y, width, height=0.8, left=None, *, align="center",$/;" m class:Axes +barh adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def barh(y, width, height=0.8, left=None, *, align='center', **kwargs):$/;" f +barrier adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def barrier(comm):$/;" f +Barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class Barrier(object):$/;" c +Barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^Barrier = tf_export("raw_ops.Barrier")(_ops.to_raw_op(barrier))$/;" v +barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier(component_types, shapes=[], capacity=-1, container="", shared_name="", name=None):$/;" f +barrier adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def barrier(group=group.WORLD,$/;" f +Barrier adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class Barrier(object):$/;" c +BarrierClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^BarrierClose = tf_export("raw_ops.BarrierClose")(_ops.to_raw_op(barrier_close))$/;" v +BarrierIncompleteSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^BarrierIncompleteSize = tf_export("raw_ops.BarrierIncompleteSize")(_ops.to_raw_op(barrier_incomp/;" v +BarrierInsertMany adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^BarrierInsertMany = tf_export("raw_ops.BarrierInsertMany")(_ops.to_raw_op(barrier_insert_many))$/;" v +BarrierReadySize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^BarrierReadySize = tf_export("raw_ops.BarrierReadySize")(_ops.to_raw_op(barrier_ready_size))$/;" v +BarrierSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^class BarrierSubproblem:$/;" c +BarrierTakeMany adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^BarrierTakeMany = tf_export("raw_ops.BarrierTakeMany")(_ops.to_raw_op(barrier_take_many))$/;" v +barrier_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_close(handle, cancel_pending_enqueues=False, name=None):$/;" f +barrier_close_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_close_eager_fallback(handle, cancel_pending_enqueues, name, ctx):$/;" f +barrier_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_eager_fallback(component_types, shapes, capacity, container, shared_name, name, ctx)/;" f +barrier_id adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ barrier_id = 0$/;" v class:Barrier +barrier_incomplete_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_incomplete_size(handle, name=None):$/;" f +barrier_incomplete_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_incomplete_size_eager_fallback(handle, name, ctx):$/;" f +barrier_insert_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_insert_many(handle, keys, values, component_index, name=None):$/;" f +barrier_insert_many_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_insert_many_eager_fallback(handle, keys, values, component_index, name, ctx):$/;" f +barrier_ready_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_ready_size(handle, name=None):$/;" f +barrier_ready_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_ready_size_eager_fallback(handle, name, ctx):$/;" f +barrier_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def barrier_ref(self):$/;" m class:Barrier +barrier_take_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_take_many(handle, num_elements, component_types, allow_small_batch=False, wait_for_i/;" f +barrier_take_many_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def barrier_take_many_eager_fallback(handle, num_elements, component_types, allow_small_batch, w/;" f +barrier_tap adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def barrier_tap(dev_idx, _):$/;" f function:barrier_wait file: +barrier_wait adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def barrier_wait(logging_name: Optional[str] = None):$/;" f +barthann adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def barthann(M, sym=True):$/;" f +bartlett adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bartlett = _wrap_numpy_nullary_function(np.bartlett)$/;" v +bartlett adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def bartlett(M):$/;" f +bartlett adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def bartlett(M, sym=True):$/;" f +bartlett adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def bartlett(*args):$/;" f +BartlettResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^BartlettResult = namedtuple('BartlettResult', ('statistic', 'pvalue'))$/;" v +BarycentricInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^class BarycentricInterpolator(_Interpolator1D):$/;" c +barycentric_interpolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^def barycentric_interpolate(xi, yi, x, axis=0):$/;" f +barycentric_transform adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def barycentric_transform(tr, x):$/;" f member:TestUtilities._check_barycentric_transforms file: +bar_prefix adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ bar_prefix = " "$/;" v class:BlueEmojiBar +bar_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ bar_prefix = ' '$/;" v class:ChargingBar +bar_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ bar_prefix = ' |'$/;" v class:Bar +bar_suffix adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ bar_suffix = " "$/;" v class:BlueEmojiBar +bar_suffix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ bar_suffix = ' '$/;" v class:ChargingBar +bar_suffix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ bar_suffix = '| '$/;" v class:Bar +BAR_TYPES adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^BAR_TYPES = {$/;" v +base adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ base = property(lambda self: self._transform.base)$/;" v class:LogScale +base adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ base = property(lambda self: self._transform.base)$/;" v class:SymmetricalLogScale +base adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def base(self):$/;" m class:FuncScaleLog +base adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def base(self, base):$/;" m class:LogFormatter +base adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def base(self, base):$/;" m class:LogLocator +base adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ base = np.dtype('complex128')$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +base adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ base = ma.array(list(zip(ilist, flist, slist)), mask=mask, dtype=ddtype)$/;" v class:TestMRecords +Base adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class Base:$/;" c +base adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline PyObject* base(self) nogil:$/;" m class:numpy +Base adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^class Base(transformer.Base):$/;" c +Base adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class Base(NodeStateTracker, gast.NodeTransformer):$/;" c +BaseAdapter adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^class BaseAdapter(object):$/;" c +BaseAdapter adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^class BaseAdapter(object):$/;" c +BaseArgumentTypes adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^BaseArgumentTypes = Union[str, int, float, bool, torch.dtype, torch.Tensor]$/;" v +BaseAttrs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class BaseAttrs(TestCase):$/;" c +BaseAttrs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class BaseAttrs(TestCase):$/;" c +BaseAxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class BaseAxpy(object):$/;" c +BaseCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^class BaseCache(object):$/;" c +BaseCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ BaseCandidate = Union[$/;" v +baseChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^baseChar = """$/;" v +baseChar adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^baseChar = """$/;" v +baseclass adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def baseclass(self):$/;" m class:MaskedArray +BaseConfigurator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class BaseConfigurator(object):$/;" c +BaseConstructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class BaseConstructor:$/;" c +BaseContainer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class BaseContainer(object):$/;" c +BaseConverter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class BaseConverter(object):$/;" c +BaseCopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class BaseCopy(object):$/;" c +BaseCRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BaseCRLNumber(CRLNumber):$/;" c +BaseCRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BaseCRLNumber(CRLNumber):$/;" c +BaseCRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BaseCRLNumber(CRLNumber):$/;" c +BaseDataset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class BaseDataset(TestCase):$/;" c +BaseDataset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^class BaseDataset(TestCase):$/;" c +BaseDebugWrapperSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class BaseDebugWrapperSession(session.SessionInterface):$/;" c +BaseDenseAttention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^class BaseDenseAttention(Layer):$/;" c +BaseDigest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class BaseDigest(object):$/;" c +basedir adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def basedir(self):$/;" m class:NavigationToolbar2QT +BaseDistance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BaseDistance(univ.Integer):$/;" c +BaseDistance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BaseDistance(univ.Integer):$/;" c +BaseDistance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BaseDistance(univ.Integer):$/;" c +BaseDNNClassifierEvaluateTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNClassifierEvaluateTest(object):$/;" c +BaseDNNClassifierEvaluateTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNClassifierEvaluateTest(object):$/;" c +BaseDNNClassifierPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNClassifierPredictTest(object):$/;" c +BaseDNNClassifierPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNClassifierPredictTest(object):$/;" c +BaseDNNClassifierTrainTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNClassifierTrainTest(object):$/;" c +BaseDNNClassifierTrainTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNClassifierTrainTest(object):$/;" c +BaseDNNLogitFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNLogitFnTest(object):$/;" c +BaseDNNLogitFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNLogitFnTest(object):$/;" c +BaseDNNModelFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNModelFnTest(object):$/;" c +BaseDNNModelFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNModelFnTest(object):$/;" c +BaseDNNRegressorEvaluateTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNRegressorEvaluateTest(object):$/;" c +BaseDNNRegressorEvaluateTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNRegressorEvaluateTest(object):$/;" c +BaseDNNRegressorPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNRegressorPredictTest(object):$/;" c +BaseDNNRegressorPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNRegressorPredictTest(object):$/;" c +BaseDNNRegressorTrainTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNRegressorTrainTest(object):$/;" c +BaseDNNRegressorTrainTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNRegressorTrainTest(object):$/;" c +BaseDNNWarmStartingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class BaseDNNWarmStartingTest(object):$/;" c +BaseDNNWarmStartingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class BaseDNNWarmStartingTest(object):$/;" c +BaseDownloadProgressBar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class BaseDownloadProgressBar(WindowsMixin, InterruptibleMixin,$/;" c +BaseDumper adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^class BaseDumper(Emitter, Serializer, BaseRepresenter, BaseResolver):$/;" c +BaseEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^class BaseEndpoint(object):$/;" c +BaseEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^class BaseEndpoint(object):$/;" c +BaseException adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/error.py /^class BaseException(Exception): pass$/;" c +BaseExperiment adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/base_experiment.py /^class BaseExperiment(metaclass=abc.ABCMeta):$/;" c +BaseExperimentFormatter adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^class BaseExperimentFormatter(object):$/;" c +BaseFilter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ class BaseFilter:$/;" c function:test_agg_filter file: +BaseGemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class BaseGemv(object):$/;" c +BaseGroup adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class BaseGroup(TestCase):$/;" c +BaseHeuristic adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^class BaseHeuristic(object):$/;" c +BaseHTTPError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^from pip._vendor.urllib3.exceptions import HTTPError as BaseHTTPError$/;" x +BaseHTTPError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^from urllib3.exceptions import HTTPError as BaseHTTPError$/;" x +BaseHTTPSHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ from .compat import (HTTPSHandler as BaseHTTPSHandler, match_hostname,$/;" x +BaseInstalledDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class BaseInstalledDistribution(Distribution):$/;" c +BaseIntelFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class BaseIntelFCompiler(FCompiler):$/;" c +BaseLinearClassifierEvaluationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearClassifierEvaluationTest(object):$/;" c +BaseLinearClassifierEvaluationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearClassifierEvaluationTest(object):$/;" c +BaseLinearClassifierIntegrationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearClassifierIntegrationTest(object):$/;" c +BaseLinearClassifierIntegrationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearClassifierIntegrationTest(object):$/;" c +BaseLinearClassifierPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearClassifierPredictTest(object):$/;" c +BaseLinearClassifierPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearClassifierPredictTest(object):$/;" c +BaseLinearClassifierTrainingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearClassifierTrainingTest(object):$/;" c +BaseLinearClassifierTrainingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearClassifierTrainingTest(object):$/;" c +BaseLinearLogitFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearLogitFnTest(object):$/;" c +BaseLinearLogitFnTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearLogitFnTest(object):$/;" c +BaseLinearOperatorIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^class BaseLinearOperatorIdentity(linear_operator.LinearOperator):$/;" c +BaseLinearRegressorEvaluationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearRegressorEvaluationTest(object):$/;" c +BaseLinearRegressorEvaluationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearRegressorEvaluationTest(object):$/;" c +BaseLinearRegressorIntegrationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearRegressorIntegrationTest(object):$/;" c +BaseLinearRegressorIntegrationTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearRegressorIntegrationTest(object):$/;" c +BaseLinearRegressorPartitionerTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearRegressorPartitionerTest(object):$/;" c +BaseLinearRegressorPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearRegressorPredictTest(object):$/;" c +BaseLinearRegressorPredictTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearRegressorPredictTest(object):$/;" c +BaseLinearRegressorTrainingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearRegressorTrainingTest(object):$/;" c +BaseLinearRegressorTrainingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearRegressorTrainingTest(object):$/;" c +BaseLinearWarmStartingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^class BaseLinearWarmStartingTest(object):$/;" c +BaseLinearWarmStartingTest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class BaseLinearWarmStartingTest(object):$/;" c +BaselineClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineClassifierV2 as Baseli/;" x +BaselineClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineClassifier(estimator.Estimator):$/;" c +BaselineClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineClassifierV2 as Baseli/;" x +BaselineClassifierV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineClassifierV2(estimator.EstimatorV2):$/;" c +BaselineEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineEstimatorV2 as Baselin/;" x +BaselineEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineEstimator(estimator.Estimator):$/;" c +BaselineEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineEstimatorV2 as Baselin/;" x +BaselineEstimatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineEstimatorV2(estimator.EstimatorV2):$/;" c +BaselineRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineRegressorV2 as Baselin/;" x +BaselineRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineRegressor(estimator.Estimator):$/;" c +BaselineRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.baseline import BaselineRegressorV2 as Baselin/;" x +BaselineRegressorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^class BaselineRegressorV2(estimator.EstimatorV2):$/;" c +baseline_dir adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^baseline_dir, result_dir = _image_directories(lambda: 'dummy func')$/;" v +baseline_images adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def baseline_images(request, fontset, index):$/;" f +baseline_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def baseline_logit_fn(features):$/;" f function:_baseline_logit_fn_builder file: +BaseListParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class BaseListParser(ArgumentParser):$/;" c +BaseListParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^BaseListParser = _argument_parser.BaseListParser$/;" v +BaseLoader adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^class BaseLoader(Reader, Scanner, Parser, Composer, BaseConstructor, BaseResolver):$/;" c +BaseLogger adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class BaseLogger(Callback):$/;" c +BaseMapping adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class BaseMapping(BaseGroup):$/;" c +BaseMatlike adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class BaseMatlike(interface.LinearOperator):$/;" c function:TestAsLinearOperator.setup_method.make_cases file: +BaseMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class BaseMixin(object):$/;" c +BaseMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^class BaseMixin(object):$/;" c +BaseMonitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^class BaseMonitor(object):$/;" c +BaseNAGFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^class BaseNAGFCompiler(FCompiler):$/;" c +basepath adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ basepath = str(cbook._get_data_path('fonts\/afm'))$/;" v class:StandardPsFonts +BasePruningMethod adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class BasePruningMethod(ABC):$/;" c +BaseQRdelete adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class BaseQRdelete(BaseQRdeltas):$/;" c +BaseQRdeltas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class BaseQRdeltas(object):$/;" c +BaseQRinsert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class BaseQRinsert(BaseQRdeltas):$/;" c +BaseQRupdate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class BaseQRupdate(BaseQRdeltas):$/;" c +BaseQuadraticSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^class BaseQuadraticSubproblem(object):$/;" c +BaseRedirectHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ HTTPRedirectHandler as BaseRedirectHandler, text_type,$/;" x +BaseReporter adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^class BaseReporter(object):$/;" c +BaseRepresenter adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^class BaseRepresenter:$/;" c +BaseRequest adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^class BaseRequest(object):$/;" c +BaseRequestHandler adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^BaseRequestHandler = WSGIRequestHandler$/;" v +BaseResolver adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/base.py /^class BaseResolver(object):$/;" c +BaseResolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^class BaseResolver:$/;" c +BaseResourceVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class BaseResourceVariable(variables.VariableV1, core.Tensor):$/;" c +BaseResponse adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^class BaseResponse(object):$/;" c +BaseSaverBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^class BaseSaverBuilder(object):$/;" c +BaseScal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class BaseScal(object):$/;" c +BaseSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class BaseSession(SessionInterface):$/;" c +BaseSlicing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class BaseSlicing(TestCase):$/;" c +BaseSpecifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class BaseSpecifier(with_metaclass(abc.ABCMeta, object)): # type: ignore$/;" c +BaseSpecifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class BaseSpecifier(with_metaclass(abc.ABCMeta, object)):$/;" c +BaseSpecifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class BaseSpecifier(with_metaclass(abc.ABCMeta, object)):$/;" c +BaseSSLError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ BaseSSLError = ssl.SSLError$/;" v +BaseSSLError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ class BaseSSLError(BaseException):$/;" c +BaseSSLError adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ BaseSSLError = ssl.SSLError$/;" v +BaseSSLError adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ class BaseSSLError(BaseException):$/;" c +BaseStagingArea adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class BaseStagingArea(object):$/;" c +basestring adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^basestring = str$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ basestring = str$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ basestring = (str, bytes)$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ basestring = basestring$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ basestring = str$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^from .packages.six import string_types as basestring, PY3$/;" x +basestring adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ basestring = str$/;" v +basestring adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ basestring = str$/;" v +basestring adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ basestring = (str, bytes)$/;" v +basestring adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ basestring = basestring$/;" v +basestring adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ basestring = str$/;" v +BaseSwap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class BaseSwap(object):$/;" c +baseTag adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def baseTag(self):$/;" m class:TagSet +BaseTest adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^class BaseTest(TestCase):$/;" c +BaseTranslate adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def BaseTranslate(layer, caffe2_type):$/;" f +BaseUI adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^class BaseUI(object):$/;" c +BaseURL adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^class BaseURL(_URLTuple):$/;" c +BaseVisitor adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^class BaseVisitor(ast.NodeVisitor):$/;" c +BaseWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^class BaseWrapper(object):$/;" c +BaseWSGIServer adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^class BaseWSGIServer(HTTPServer, object):$/;" c +BaseZipExtFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from zipfile import ZipExtFile as BaseZipExtFile$/;" x +BaseZipFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^from zipfile import ZipFile as BaseZipFile$/;" x +BASE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ BASE_ATTR = cli_shared.COLOR_BLACK + "_on_" + cli_shared.COLOR_WHITE$/;" v class:ScrollBar +base_bad_size_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def base_bad_size_zi(self, b, a, x, axis, zi):$/;" m class:_TestLinearFilter +BASE_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^BASE_COLORS = {$/;" v +BASE_COMPLETION adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^BASE_COMPLETION = """$/;" v +base_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ base_data = [2.0, 5.0, 10.0, np.pi, np.e]$/;" v class:TestLogFormatterExponent +BASE_DIR adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^BASE_DIR = os.path.abspath(os.path.dirname(__file__))$/;" v +BASE_DIR adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^BASE_DIR = os.path.abspath(os.path.dirname(__file__))$/;" v +BASE_DOCSTRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^BASE_DOCSTRING = """Instantiates the {name} architecture.$/;" v +BASE_DOCSTRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^BASE_DOCSTRING = """Instantiates the {name} architecture.$/;" v +base_dtype adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def base_dtype(self):$/;" m class:DType +base_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def base_dtype(self):$/;" m class:DType +base_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def base_dtype(dtype):$/;" f +base_economic_p_col_xxx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_economic_p_col_xxx(self, p):$/;" m class:BaseQRinsert +base_economic_p_row_xxx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_economic_p_row_xxx(self, ndel):$/;" m class:BaseQRdelete +base_exception adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ base_exception = Exception$/;" v class:AbstractResolver +base_exception adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ base_exception = ResolverException$/;" v class:Resolver +BASE_EXEC_PREFIX adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^BASE_EXEC_PREFIX = os.path.normpath(sys.base_exec_prefix)$/;" v +base_fat_p_row_xxx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_fat_p_row_xxx(self, p):$/;" m class:BaseQRinsert +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^from tensorflow.python.layers import base as base_layer$/;" x +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.keras.legacy_tf_layers import base as base_layer$/;" x +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^base_layer = LazyLoader($/;" v +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save.py /^base_layer = LazyLoader($/;" v +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^base_layer = LazyLoader($/;" v +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^base_layer = LazyLoader($/;" v +base_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^base_layer = LazyLoader($/;" v +base_layer_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^base_layer_v1 = LazyLoader($/;" v +BASE_LIBRARY_PATH adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^BASE_LIBRARY_PATH = os.path.join(mpl.get_data_path(), 'stylelib')$/;" v +base_non_simple_strides adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_non_simple_strides(self, adjust_strides, k, p, which):$/;" m class:BaseQRinsert +base_non_simple_strides adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_non_simple_strides(self, adjust_strides, ks, p, which,$/;" m class:BaseQRdelete +base_non_simple_strides adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_non_simple_strides(self, adjust_strides, mode, p, overwriteable):$/;" m class:BaseQRupdate +base_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def base_operator(self):$/;" m class:LinearOperatorLowRankUpdate +base_overwrite_qr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_overwrite_qr(self, which, p, test_C, test_F, mode='full'):$/;" m class:BaseQRdelete +BASE_PREFIX adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^BASE_PREFIX = os.path.normpath(sys.base_prefix)$/;" v +base_py_dll_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ base_py_dll_path = os.path.join(sys.base_exec_prefix, 'Library', 'bin')$/;" v +BASE_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ BASE_RE = r'\\{\\:?[ ]*([^\\}\\n ][^\\}\\n]*)[ ]*\\}'$/;" v class:AttrListTreeprocessor +base_repr adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def base_repr(number, base=2, padding=0):$/;" f +base_sim adpeps/ipeps/config.py /^base_sim: Union[str, None] = None$/;" v +base_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ base_str = (bytes, str)$/;" v +base_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ base_str = (str, unicode)$/;" v +base_string_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def base_string_uri(uri, host=None):$/;" f +base_tall_p_col_xxx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def base_tall_p_col_xxx(self, p):$/;" m class:BaseQRinsert +base_types adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^base_types = BaseArgumentTypes.__args__ # type: ignore$/;" v +base_uri adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ base_uri = csp_property("base-uri")$/;" v class:ContentSecurityPolicy +base_url adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def base_url(self):$/;" m class:EnvironBuilder +base_url adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def base_url(self, value):$/;" m class:EnvironBuilder +base_url adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def base_url(self):$/;" m class:BaseRequest +base_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:LegacyVersion +base_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:Version +base_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:LegacyVersion +base_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:Version +base_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def base_version(self):$/;" m class:LegacyVersion +base_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def base_version(self):$/;" m class:Version +base_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:LegacyVersion +base_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def base_version(self):$/;" m class:Version +BASE_WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^BASE_WEIGHTS_PATH = 'https:\/\/storage.googleapis.com\/keras-applications\/'$/;" v +BASE_WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^BASE_WEIGHTS_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +BASE_WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^BASE_WEIGHTS_PATH = ($/;" v +BASE_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^BASE_WEIGHT_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +BASE_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^BASE_WEIGHT_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +BASE_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^BASE_WEIGHT_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +BASE_WEIGHT_URL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^BASE_WEIGHT_URL = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +BASE_WEIGTHS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^BASE_WEIGTHS_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +basic adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ basic = 1$/;" v class:ClientAuthType +basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^ basic = np.arange(1500).astype(dtype)$/;" v +BASIC adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BASIC = 1$/;" v class:LSTMKernelType +Basic adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^class Basic(NoValue):$/;" c +BasicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BasicConstraints(univ.Sequence):$/;" c +BasicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BasicConstraints(univ.Sequence):$/;" c +BasicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BasicConstraints(univ.Sequence):$/;" c +BasicLoader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^class BasicLoader(TBLoader):$/;" c +BasicLSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class BasicLSTMCell(LayerRNNCell):$/;" c +BasicLSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^BasicLSTMCell = rnn_cell_impl.BasicLSTMCell$/;" v +BasicOCSPResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class BasicOCSPResponse(univ.Sequence):$/;" c +BasicOCSPResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class BasicOCSPResponse(univ.Sequence):$/;" c +BasicRef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/compat_util.py /^class BasicRef(object):$/;" c +BasicReport adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^class BasicReport(ReportBase):$/;" c +BasicRNN adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^BasicRNN = functools.partial(_LSTM, BasicRNNCell)$/;" v +BasicRNNCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class BasicRNNCell(RNNCell):$/;" c +BasicRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class BasicRNNCell(LayerRNNCell):$/;" c +BasicRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^BasicRNNCell = rnn_cell_impl.BasicRNNCell$/;" v +BasicRNNCellTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^class BasicRNNCellTest(hu.HypothesisTestCase):$/;" c +basic_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^basic_arrays = []$/;" v +basic_block adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def basic_block($/;" m class:ResNetModelHelper +basic_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ basic_data = [$/;" v class:TestMaxNLocator +basic_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^def basic_decorator(f):$/;" f +basic_rnn_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^def basic_rnn_reference(input, hidden_initial,$/;" f +basic_sequential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def basic_sequential():$/;" f +basic_sequential_deferred adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def basic_sequential_deferred():$/;" f +basic_stats adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def basic_stats(data):$/;" f +basic_train_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_loops.py /^def basic_train_loop(supervisor,$/;" f +basinhopping adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^def basinhopping(func, x0, niter=100, T=1.0, stepsize=0.5,$/;" f +BasinHoppingRunner adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class BasinHoppingRunner(object):$/;" c +basis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def basis(cls, deg, domain=None, window=None):$/;" m class:ABCPolyBase +basis adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def basis(A):$/;" f +basis_element adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def basis_element(cls, t, extrapolate=True):$/;" m class:BSpline +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ basis_name = 'T'$/;" v class:Chebyshev +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ basis_name = 'H'$/;" v class:Hermite +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ basis_name = 'He'$/;" v class:HermiteE +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ basis_name = 'L'$/;" v class:Laguerre +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ basis_name = 'P'$/;" v class:Legendre +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ basis_name = None$/;" v class:Polynomial +basis_name adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def basis_name(self):$/;" m class:ABCPolyBase +basis_size adpeps/simulation/run_ipeps_exci.py /^ def basis_size(self):$/;" m class:iPEPSExciSimulation +Batch adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^Batch = collections.namedtuple('Batch', [$/;" v +batch adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch(fun: lu.WrappedFun, axis_name, axis_size, in_dims, out_dim_dests,$/;" f +batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def batch(self, batch_size, drop_remainder=False):$/;" m class:DatasetV1 +batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def batch(self, batch_size, drop_remainder=False):$/;" m class:DatasetV2 +Batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^Batch = tf_export("raw_ops.Batch")(_ops.to_raw_op(batch))$/;" v +batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def batch(in_tensors, num_batch_threads, max_batch_size, batch_timeout_micros, grad_timeout_micr/;" f +batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def batch(tensors, batch_size, num_threads=1, capacity=32,$/;" f +BatchableTypeSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^class BatchableTypeSpec(TypeSpec):$/;" c +BatchCholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchCholesky = tf_export("raw_ops.BatchCholesky")(_ops.to_raw_op(batch_cholesky))$/;" v +BatchCholeskyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchCholeskyGrad = tf_export("raw_ops.BatchCholeskyGrad")(_ops.to_raw_op(batch_cholesky_grad))$/;" v +BatchConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class BatchConfig($/;" c +BatchCountingCB adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class BatchCountingCB(keras.callbacks.Callback):$/;" c +BatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class BatchDataset(UnaryDataset):$/;" c +BatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^BatchDataset = tf_export("raw_ops.BatchDataset")(_ops.to_raw_op(batch_dataset))$/;" v +BatchDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^BatchDatasetV2 = tf_export("raw_ops.BatchDatasetV2")(_ops.to_raw_op(batch_dataset_v2))$/;" v +BatchDim adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BatchDim(self):$/;" m class:ReverseSequenceOptions +batched_boarders_and_data adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def batched_boarders_and_data($/;" f +batched_fun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def batched_fun(*args, **kwargs):$/;" f function:vmap file: +batched_fwd_jaxpr_thunk adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def batched_fwd_jaxpr_thunk():$/;" f function:_custom_vjp_call_jaxpr_vmap file: +batched_jvp_jaxpr_thunk adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def batched_jvp_jaxpr_thunk():$/;" f function:_custom_jvp_call_jaxpr_vmap file: +batched_tpu_computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def batched_tpu_computation(*args):$/;" f function:inference_on_tpu file: +batched_tpu_computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def batched_tpu_computation(*tensor_args):$/;" f function:call_computation file: +BatchFeeder adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^class BatchFeeder(State):$/;" c +BatchFFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchFFT = tf_export("raw_ops.BatchFFT")(_ops.to_raw_op(batch_fft))$/;" v +BatchFFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchFFT2D = tf_export("raw_ops.BatchFFT2D")(_ops.to_raw_op(batch_fft2d))$/;" v +BatchFFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchFFT3D = tf_export("raw_ops.BatchFFT3D")(_ops.to_raw_op(batch_fft3d))$/;" v +BatchFromFilesMixin adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^class BatchFromFilesMixin():$/;" c +batchfun adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batchfun(axis_name, axis_size, in_dims, *in_vals):$/;" f +BatchFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^BatchFunction = tf_export("raw_ops.BatchFunction")(_ops.to_raw_op(batch_function))$/;" v +BatchHuberLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_huber_loss.py /^class BatchHuberLoss(ModelLayer):$/;" c +BatchIFFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchIFFT = tf_export("raw_ops.BatchIFFT")(_ops.to_raw_op(batch_ifft))$/;" v +BatchIFFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchIFFT2D = tf_export("raw_ops.BatchIFFT2D")(_ops.to_raw_op(batch_ifft2d))$/;" v +BatchIFFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^BatchIFFT3D = tf_export("raw_ops.BatchIFFT3D")(_ops.to_raw_op(batch_ifft3d))$/;" v +BatchingRule adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^BatchingRule = Callable[..., Tuple[Any, Union[int, Tuple[int, ...]]]]$/;" v +batching_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def batching_fn(bucket_id, grouped_dataset):$/;" f function:bucket_by_sequence_length file: +BatchLRLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_lr_loss.py /^class BatchLRLoss(ModelLayer):$/;" c +BatchMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^BatchMatMul = tf_export("raw_ops.BatchMatMul")(_ops.to_raw_op(batch_mat_mul))$/;" v +BatchMatMulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BatchMatMulOptions = 101$/;" v class:BuiltinOptions +BatchMatMulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BatchMatMulOptions(object):$/;" c +BatchMatMulOptionsAddAdjX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchMatMulOptionsAddAdjX(builder, adjX): builder.PrependBoolSlot(0, adjX, 0)$/;" f +BatchMatMulOptionsAddAdjY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchMatMulOptionsAddAdjY(builder, adjY): builder.PrependBoolSlot(1, adjY, 0)$/;" f +BatchMatMulOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BatchMatMulOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:BatchMatMulOptions +BatchMatMulOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchMatMulOptionsEnd(builder): return builder.EndObject()$/;" f +BatchMatMulOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchMatMulOptionsStart(builder): builder.StartObject(2)$/;" f +BatchMatMulOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BatchMatMulOptionsT(object):$/;" c +BatchMatMulV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^BatchMatMulV2 = tf_export("raw_ops.BatchMatMulV2")(_ops.to_raw_op(batch_mat_mul_v2))$/;" v +BatchMatrixBandPart adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchMatrixBandPart = tf_export("raw_ops.BatchMatrixBandPart")(_ops.to_raw_op(batch_matrix_band_/;" v +BatchMatrixDeterminant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchMatrixDeterminant = tf_export("raw_ops.BatchMatrixDeterminant")(_ops.to_raw_op(batch_matrix/;" v +BatchMatrixDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchMatrixDiag = tf_export("raw_ops.BatchMatrixDiag")(_ops.to_raw_op(batch_matrix_diag))$/;" v +BatchMatrixDiagPart adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchMatrixDiagPart = tf_export("raw_ops.BatchMatrixDiagPart")(_ops.to_raw_op(batch_matrix_diag_/;" v +BatchMatrixInverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchMatrixInverse = tf_export("raw_ops.BatchMatrixInverse")(_ops.to_raw_op(batch_matrix_inverse/;" v +BatchMatrixSetDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchMatrixSetDiag = tf_export("raw_ops.BatchMatrixSetDiag")(_ops.to_raw_op(batch_matrix_set_dia/;" v +BatchMatrixSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchMatrixSolve = tf_export("raw_ops.BatchMatrixSolve")(_ops.to_raw_op(batch_matrix_solve))$/;" v +BatchMatrixSolveLs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchMatrixSolveLs = tf_export("raw_ops.BatchMatrixSolveLs")(_ops.to_raw_op(batch_matrix_solve_l/;" v +BatchMatrixTriangularSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchMatrixTriangularSolve = tf_export("raw_ops.BatchMatrixTriangularSolve")(_ops.to_raw_op(batc/;" v +BatchMSELoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_mse_loss.py /^class BatchMSELoss(ModelLayer):$/;" c +BatchNorm adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def BatchNorm(axis=(0, 1, 2), epsilon=1e-5, center=True, scale=True,$/;" f +BatchNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^BatchNorm = BatchNormalization$/;" v +BatchNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/normalization.py /^BatchNorm = BatchNormalization$/;" v +BatchNorm adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class BatchNorm(QuantizeHandler):$/;" c +BATCHNORM adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^ BATCHNORM = 4$/;" v class:LintCode +BatchNorm1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class BatchNorm1d(_BatchNorm):$/;" c +BatchNorm2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class BatchNorm2d(_BatchNorm):$/;" c +BatchNorm2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^class BatchNorm2d(torch.nn.BatchNorm2d):$/;" c +BatchNorm3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class BatchNorm3d(_BatchNorm):$/;" c +BatchNorm3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^class BatchNorm3d(torch.nn.BatchNorm3d):$/;" c +BatchNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^class BatchNormalization(ModelLayer):$/;" c +BatchNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^class BatchNormalization(BatchNormalizationBase):$/;" c +BatchNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^class BatchNormalization(normalization.BatchNormalizationBase):$/;" c +BatchNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^class BatchNormalization(keras_normalization.BatchNormalization, base.Layer):$/;" c +BatchNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/normalization.py /^BatchNormalization = normalization.BatchNormalization$/;" v +BatchNormalizationBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^class BatchNormalizationBase(Layer):$/;" c +BatchNormalizationV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ BatchNormalizationV1 = BatchNormalization$/;" v +BatchNormalizationV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.normalization import BatchNormalization as BatchNormalizat/;" x +BatchNormalizationV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ BatchNormalizationV2 = BatchNormalization$/;" v +BatchNormalizationV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.normalization_v2 import BatchNormalization as BatchNormali/;" x +BatchNormalizer adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^class BatchNormalizer(Normalizer):$/;" c +BatchNormNet adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class BatchNormNet(nn.Module):$/;" c +BatchNormWithGlobalNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^BatchNormWithGlobalNormalization = tf_export("raw_ops.BatchNormWithGlobalNormalization")(_ops.to/;" v +BatchNormWithGlobalNormalizationGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^BatchNormWithGlobalNormalizationGrad = tf_export("raw_ops.BatchNormWithGlobalNormalizationGrad")/;" v +batchnorm_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^def batchnorm_example(optimizer_fn,$/;" f +BatchSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class BatchSampler(Sampler[List[int]]):$/;" c +BatchSelfAdjointEig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchSelfAdjointEig = tf_export("raw_ops.BatchSelfAdjointEig")(_ops.to_raw_op(batch_self_adjoint/;" v +BatchSelfAdjointEigV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchSelfAdjointEigV2 = tf_export("raw_ops.BatchSelfAdjointEigV2")(_ops.to_raw_op(batch_self_adj/;" v +BatchSigmoidCrossEntropyLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_sigmoid_cross_entropy_loss.py /^class BatchSigmoidCrossEntropyLoss(ModelLayer):$/;" c +BatchSoftmaxLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_softmax_loss.py /^class BatchSoftmaxLoss(ModelLayer):$/;" c +BatchSvd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^BatchSvd = tf_export("raw_ops.BatchSvd")(_ops.to_raw_op(batch_svd))$/;" v +BatchToSpace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchToSpace = tf_export("raw_ops.BatchToSpace")(_ops.to_raw_op(batch_to_space))$/;" v +BatchToSpaceND adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BatchToSpaceND = tf_export("raw_ops.BatchToSpaceND")(_ops.to_raw_op(batch_to_space_nd))$/;" v +BatchToSpaceNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BatchToSpaceNDOptions = 24$/;" v class:BuiltinOptions +BatchToSpaceNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BatchToSpaceNDOptions(object):$/;" c +BatchToSpaceNDOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BatchToSpaceNDOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:BatchToSpaceNDOptions +BatchToSpaceNDOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchToSpaceNDOptionsEnd(builder): return builder.EndObject()$/;" f +BatchToSpaceNDOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BatchToSpaceNDOptionsStart(builder): builder.StartObject(0)$/;" f +BatchToSpaceNDOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BatchToSpaceNDOptionsT(object):$/;" c +BatchTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^class BatchTrace(Trace):$/;" c +BatchTracer adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^class BatchTracer(Tracer):$/;" c +batch_all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def batch_all_gather(strategy, *value_flat):$/;" f member:ReplicaContext.all_gather file: +batch_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def batch_all_reduce(self,$/;" m class:CollectiveReplicaLauncher +batch_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def batch_all_reduce(strategy, *value_flat):$/;" f member:ReplicaContextBase.all_reduce file: +batch_box_cox adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def batch_box_cox(self, inputs, gc, dc):$/;" m class:TestBatchBoxCox +batch_call adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^ def batch_call(batch_examples):$/;" f function:call_servo file: +batch_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_cholesky(input, name=None):$/;" f +batch_cholesky_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_cholesky_eager_fallback(input, name, ctx):$/;" f +batch_cholesky_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_cholesky_grad(l, grad, name=None):$/;" f +batch_cholesky_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_cholesky_grad_eager_fallback(l, grad, name, ctx):$/;" f +batch_custom_jvp_subtrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_custom_jvp_subtrace(main, in_dims, *in_vals):$/;" f +batch_custom_vjp_bwd adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_custom_vjp_bwd(bwd, axis_name, axis_size, in_dims, out_dim_dests):$/;" f +batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def batch_dataset(input_dataset, batch_size, output_types, output_shapes, name=None):$/;" f +batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def batch_dataset_eager_fallback(input_dataset, batch_size, output_types, output_shapes, name, c/;" f +batch_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def batch_dataset_v2(input_dataset, batch_size, drop_remainder, output_types, output_shapes, par/;" f +batch_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def batch_dataset_v2_eager_fallback(input_dataset, batch_size, drop_remainder, output_types, out/;" f +batch_dense_to_sparse_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^ def batch_dense_to_sparse_ref(L, I, D):$/;" f member:TestBatchSparseToDense.test_batch_dense_to_sparse file: +batch_dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def batch_dot(x, y, axes=None):$/;" f +batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def batch_eager_fallback(in_tensors, num_batch_threads, max_batch_size, batch_timeout_micros, gr/;" f +batch_fft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft(input, name=None):$/;" f +batch_fft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft2d(input, name=None):$/;" f +batch_fft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft2d_eager_fallback(input, name, ctx):$/;" f +batch_fft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft3d(input, name=None):$/;" f +batch_fft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft3d_eager_fallback(input, name, ctx):$/;" f +batch_fft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_fft_eager_fallback(input, name, ctx):$/;" f +batch_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def batch_flatten(x):$/;" f +batch_fun2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_fun2(fun: lu.WrappedFun, in_dims):$/;" f +batch_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/batch_ops.py /^def batch_function(num_batch_threads,$/;" f +batch_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def batch_function(in_tensors, captured_tensors, f, num_batch_threads, max_batch_size, batch_tim/;" f +batch_function_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def batch_function_eager_fallback(in_tensors, captured_tensors, f, num_batch_threads, max_batch_/;" f +batch_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def batch_gather(params, indices, name=None):$/;" f +batch_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_batch_gather_ops.py /^def batch_gather(params, indices, name=None):$/;" f +batch_gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def batch_gather_nd(params, indices, batch_dims, name=None):$/;" f +batch_gather_with_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_batch_gather_with_default_op.py /^def batch_gather_with_default(params,$/;" f +batch_get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def batch_get_value(tensors):$/;" f +batch_ifft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft(input, name=None):$/;" f +batch_ifft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft2d(input, name=None):$/;" f +batch_ifft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft2d_eager_fallback(input, name, ctx):$/;" f +batch_ifft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft3d(input, name=None):$/;" f +batch_ifft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft3d_eager_fallback(input, name, ctx):$/;" f +batch_ifft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def batch_ifft_eager_fallback(input, name, ctx):$/;" f +batch_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def batch_jacobian(self,$/;" m class:GradientTape +batch_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/gradients.py /^def batch_jacobian(output, inp, use_pfor=True, parallel_iterations=None):$/;" f +batch_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def batch_jacobian(self,$/;" m class:LossScaleGradientTape +batch_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def batch_jaxpr(jaxpr, axis_size, in_batched, instantiate, axis_name):$/;" f function:omnistaging_disabler file: +batch_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_jaxpr(closed_jaxpr, axis_size, in_batched, instantiate, axis_name):$/;" f +batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def batch_join(tensors_list, batch_size, capacity=32, enqueue_many=False,$/;" f +batch_matmul adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def batch_matmul(lhs: Array, rhs: Array,$/;" f +BATCH_MATMUL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BATCH_MATMUL = 126$/;" v class:BuiltinOperator +batch_matrix_band_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_band_part(input, num_lower, num_upper, name=None):$/;" f +batch_matrix_band_part_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_band_part_eager_fallback(input, num_lower, num_upper, name, ctx):$/;" f +batch_matrix_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_determinant(input, name=None):$/;" f +batch_matrix_determinant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_determinant_eager_fallback(input, name, ctx):$/;" f +batch_matrix_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_diag(diagonal, name=None):$/;" f +batch_matrix_diag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_diag_eager_fallback(diagonal, name, ctx):$/;" f +batch_matrix_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_diag_part(input, name=None):$/;" f +batch_matrix_diag_part_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_diag_part_eager_fallback(input, name, ctx):$/;" f +batch_matrix_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_inverse(input, adjoint=False, name=None):$/;" f +batch_matrix_inverse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_inverse_eager_fallback(input, adjoint, name, ctx):$/;" f +batch_matrix_set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_set_diag(input, diagonal, name=None):$/;" f +batch_matrix_set_diag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_matrix_set_diag_eager_fallback(input, diagonal, name, ctx):$/;" f +batch_matrix_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_solve(matrix, rhs, adjoint=False, name=None):$/;" f +batch_matrix_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_solve_eager_fallback(matrix, rhs, adjoint, name, ctx):$/;" f +batch_matrix_solve_ls adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_solve_ls(matrix, rhs, l2_regularizer, fast=True, name=None):$/;" f +batch_matrix_solve_ls_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_solve_ls_eager_fallback(matrix, rhs, l2_regularizer, fast, name, ctx):$/;" f +batch_matrix_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_triangular_solve(matrix, rhs, lower=True, adjoint=False, name=None):$/;" f +batch_matrix_triangular_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_matrix_triangular_solve_eager_fallback(matrix, rhs, lower, adjoint, name, ctx):$/;" f +batch_mat_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/algebra.py /^def batch_mat_mul(model, blob_in, blob_out,$/;" f +batch_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def batch_mat_mul(x, y, adj_x=False, adj_y=False, name=None):$/;" f +batch_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def batch_mat_mul_eager_fallback(x, y, adj_x, adj_y, name, ctx):$/;" f +batch_mat_mul_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def batch_mat_mul_v2(x, y, adj_x=False, adj_y=False, name=None):$/;" f +batch_mat_mul_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def batch_mat_mul_v2_eager_fallback(x, y, adj_x, adj_y, name, ctx):$/;" f +batch_moments_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def batch_moments_nchw_ref(self, X):$/;" m class:TestBatchMomentsOp +batch_moments_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def batch_moments_nhwc_ref(self, X):$/;" m class:TestBatchMomentsOp +batch_noise adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def batch_noise(s, inner_seed):$/;" f member:DropoutWrapperBase.__init__ file: +batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^batch_norm = batch_normalization$/;" v +batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/normalization.py /^batch_norm = batch_normalization$/;" v +batch_norm adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def batch_norm(input, running_mean, running_var, weight=None, bias=None,$/;" f +batch_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def batch_norm(g, input, weight, bias, running_mean, running_var, training, momentum, eps, cudnn/;" f +batch_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def batch_normalization(x, mean, var, beta, gamma, axis=-1, epsilon=1e-3):$/;" f +batch_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^def batch_normalization(inputs,$/;" f +batch_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/normalization.py /^batch_normalization = normalization.batch_normalization$/;" v +batch_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def batch_normalization(x,$/;" f +BATCH_NORM_BETA_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^BATCH_NORM_BETA_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/beta'$/;" v +BATCH_NORM_BETA_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^BATCH_NORM_BETA_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/beta'$/;" v +BATCH_NORM_GAMMA_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^BATCH_NORM_GAMMA_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/gamma'$/;" v +BATCH_NORM_GAMMA_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^BATCH_NORM_GAMMA_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/gamma'$/;" v +BATCH_NORM_MEAN_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^BATCH_NORM_MEAN_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/moving_mean'$/;" v +BATCH_NORM_MEAN_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^BATCH_NORM_MEAN_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/batchnorm_%d\/moving_mean'$/;" v +batch_norm_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def batch_norm_ref(X, scale, bias, running_mean, running_var):$/;" f member:TestSpatialBN.test_spatialbn_train_mode file: +BATCH_NORM_VARIANCE_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^BATCH_NORM_VARIANCE_NAME_PATTERN = ($/;" v +BATCH_NORM_VARIANCE_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^BATCH_NORM_VARIANCE_NAME_PATTERN = ($/;" v +batch_norm_with_global_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def batch_norm_with_global_normalization(t=None,$/;" f +batch_norm_with_global_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import batch_norm_with_global_normalization_v2 as batch_norm_/;" x +batch_norm_with_global_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import batch_norm_with_global_normalization_v2 as batch_norm_/;" x +batch_norm_with_global_normalization_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def batch_norm_with_global_normalization_grad(t, m, v, gamma, backprop, variance_epsilon, scale_/;" f +batch_norm_with_global_normalization_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def batch_norm_with_global_normalization_grad_eager_fallback(t, m, v, gamma, backprop, variance_/;" f +batch_norm_with_global_normalization_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def batch_norm_with_global_normalization_v2(input,$/;" f +batch_parallel adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def batch_parallel(computation,$/;" f +batch_permutation_ref adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_permutation_dnnlowp_op_test.py /^ def batch_permutation_ref(X, indices):$/;" f member:DNNLowPBatchPermutationOpTest.test_batch_permutation file: +batch_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def batch_reduce(self, reduce_op, value_destination_pairs, options=None):$/;" m class:CrossDeviceOps +batch_reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def batch_reduce_implementation(self, reduce_op, value_destination_pairs,$/;" m class:AllReduceCrossDeviceOps +batch_reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def batch_reduce_implementation(self, reduce_op, value_destination_pairs,$/;" m class:CollectiveAllReduce +batch_reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def batch_reduce_implementation(self, reduce_op, value_destination_pairs,$/;" m class:CrossDeviceOps +batch_reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def batch_reduce_implementation(self, reduce_op, value_destination_pairs,$/;" m class:ReductionToOneDevice +batch_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def batch_reduce_to(self, reduce_op, value_destination_pairs, options=None):$/;" m class:StrategyExtendedV2 +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def batch_scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def batch_scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def batch_scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def batch_scatter_update(ref, indices, updates, use_locking=True, name=None):$/;" f +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def batch_scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +batch_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def batch_scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +batch_self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_self_adjoint_eig(input, name=None):$/;" f +batch_self_adjoint_eig_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_self_adjoint_eig_eager_fallback(input, name, ctx):$/;" f +batch_self_adjoint_eig_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_self_adjoint_eig_v2(input, compute_v=True, name=None):$/;" f +batch_self_adjoint_eig_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_self_adjoint_eig_v2_eager_fallback(input, compute_v, name, ctx):$/;" f +batch_set_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def batch_set_value(tuples):$/;" f +batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def batch_shape(self):$/;" m class:Distribution +batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def batch_shape(self):$/;" m class:LinearOperator +batch_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def batch_shape(self):$/;" m class:Distribution +batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def batch_shape_tensor(self, name="batch_shape_tensor"):$/;" m class:Distribution +batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def batch_shape_tensor(self, name="batch_shape_tensor"):$/;" m class:LinearOperator +batch_shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def batch_shuffle(index_array, batch_size):$/;" f +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:CompositeTensorDataAdapter +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:DataAdapter +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:DatasetAdapter +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:GeneratorDataAdapter +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:ListsOfScalarsDataAdapter +batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def batch_size(self):$/;" m class:TensorLikeDataAdapter +batch_sizes_for_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^def batch_sizes_for_worker(global_batch_size, num_workers,$/;" f +batch_size_for_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def batch_size_for_input_fn(self):$/;" m class:_InternalTPUContext +batch_size_for_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def batch_size_for_model_fn(self):$/;" m class:_InternalTPUContext +batch_size_per_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def batch_size_per_core(self):$/;" m class:TPUEmbedding +batch_sparse_to_dense_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^ def batch_sparse_to_dense_ref(L, I, V, S=None):$/;" f member:TestBatchSparseToDense.test_batch_sparse_to_dense file: +batch_subtrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_subtrace(main, in_dims, *in_vals):$/;" f +batch_subtrace_instantiate adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def batch_subtrace_instantiate(instantiate, axis_size, main, in_dims, *in_vals):$/;" f +batch_svd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_svd(input, compute_uv=True, full_matrices=False, name=None):$/;" f +batch_svd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def batch_svd_eager_fallback(input, compute_uv, full_matrices, name, ctx):$/;" f +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def batch_to_space(input, crops, block_size, name=None, block_shape=None): # pylint: disable=re/;" f +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_to_space(input, crops, block_size, name=None):$/;" f +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import batch_to_space_v2 as batch_to_space$/;" x +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import batch_to_space_v2 as batch_to_space$/;" x +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import batch_to_space_v2 as batch_to_space$/;" x +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import batch_to_space_v2 as batch_to_space$/;" x +batch_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import batch_to_space_v2 as batch_to_space$/;" x +batch_to_space_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_to_space_eager_fallback(input, crops, block_size, name, ctx):$/;" f +BATCH_TO_SPACE_ND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BATCH_TO_SPACE_ND = 37$/;" v class:BuiltinOperator +batch_to_space_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_to_space_nd(input, block_shape, crops, name=None):$/;" f +batch_to_space_nd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def batch_to_space_nd_eager_fallback(input, block_shape, crops, name, ctx):$/;" f +batch_to_space_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def batch_to_space_v2(input, block_shape, crops, name=None): # pylint: disable=redefined-builti/;" f +batch_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def batch_wrapper(dataset, batch_size, distribution, repeat=None):$/;" f +batch_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def batch_wrapper(dataset, batch_size, repeat=None):$/;" f +bayes_mvs adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def bayes_mvs(data, alpha=0.90):$/;" f +Bazaar adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^class Bazaar(VersionControl):$/;" c +bbl adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^bbl = barrel = 42 * gallon_US # for oil$/;" v +Bbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class Bbox(BboxBase):$/;" c +BboxBase adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BboxBase(TransformNode):$/;" c +BboxConnector adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class BboxConnector(Patch):$/;" c +BboxConnectorPatch adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class BboxConnectorPatch(BboxConnector):$/;" c +BboxImage adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^class BboxImage(_ImageBase):$/;" c +BboxPatch adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class BboxPatch(Patch):$/;" c +BboxTransform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BboxTransform(Affine2DBase):$/;" c +BboxTransformFrom adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BboxTransformFrom(Affine2DBase):$/;" c +BboxTransformTo adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BboxTransformTo(Affine2DBase):$/;" c +BboxTransformToMaxOnly adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BboxTransformToMaxOnly(BboxTransformTo):$/;" c +bbox_artist adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^def bbox_artist(*args, **kwargs):$/;" f +bbox_artist adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^def bbox_artist(artist, renderer, props=None, fill=True):$/;" f +bbox_transform adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def bbox_transform(boxes, deltas, weights=(1.0, 1.0, 1.0, 1.0)):$/;" f +bbox_transform_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^ def bbox_transform_ref(rois, deltas, im_info):$/;" f member:TestBBoxTransformOp.test_bbox_transform file: +bbox_transform_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^ def bbox_transform_ref(rois, deltas, im_info):$/;" f member:TestBBoxTransformOp.test_bbox_transform_batch file: +bbox_transform_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def bbox_transform_ref():$/;" f member:TorchIntegration.test_bbox_transform file: +bbox_transform_rotated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def bbox_transform_rotated($/;" f +bbox_xywh_to_xyxy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def bbox_xywh_to_xyxy(self, boxes):$/;" m class:RoIAlignRotatedOp +BB_Cs adpeps/utils/ctmtensors.py /^ BB_Cs: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +BB_Ts adpeps/utils/ctmtensors.py /^ BB_Ts: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^ bc = lambda ya, yb, p: exp_bc(ya, yb)$/;" f function:test_parameter_validation file: +bcast_dims adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ bcast_dims = lambda shape: tuple(range(len(out_shape) - len(shape),$/;" f function:_broadcasting_select file: +bce adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^bce = BCE = binary_crossentropy$/;" v +bce adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^bce = BCE = binary_crossentropy$/;" v +BCELoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class BCELoss(_WeightedLoss):$/;" c +bceloss_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bceloss_no_reduce_scalar_test():$/;" f +bceloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bceloss_no_reduce_test():$/;" f +bceloss_weights_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bceloss_weights_no_reduce_scalar_test():$/;" f +bceloss_weights_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bceloss_weights_no_reduce_test():$/;" f +BCEWithLogitsLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class BCEWithLogitsLoss(_Loss):$/;" c +bce_with_logistic_legacy_enum_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bce_with_logistic_legacy_enum_test():$/;" f +bce_with_logistic_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bce_with_logistic_no_reduce_scalar_test():$/;" f +bce_with_logistic_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def bce_with_logistic_no_reduce_test():$/;" f +bcolors adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^class bcolors:$/;" c +BCPPCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^class BCPPCompiler(CCompiler) :$/;" c +bc_jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def bc_jac_wrapped(ya, yb, p):$/;" f function:wrap_functions file: +bc_jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def bc_jac_wrapped(ya, yb, _):$/;" f function:wrap_functions file: +bc_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def bc_wrapped(x, y, p):$/;" f function:wrap_functions file: +bc_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def bc_wrapped(ya, yb, _):$/;" f function:wrap_functions file: +Bd adpeps/utils/ctmtensors.py /^ Bd: TList = field(default=None, metadata={'init_tlist': True})$/;" v class:CTMTensors +BDF adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^class BDF(OdeSolver):$/;" c +BdfDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^class BdfDenseOutput(DenseOutput):$/;" c +BdfFontFile adpepsenv/lib/python3.8/site-packages/PIL/BdfFontFile.py /^class BdfFontFile(FontFile.FontFile):$/;" c +bdf_char adpepsenv/lib/python3.8/site-packages/PIL/BdfFontFile.py /^def bdf_char(f):$/;" f +bdf_slant adpepsenv/lib/python3.8/site-packages/PIL/BdfFontFile.py /^bdf_slant = {$/;" v +bdf_spacing adpepsenv/lib/python3.8/site-packages/PIL/BdfFontFile.py /^bdf_spacing = {"P": "Proportional", "M": "Monospaced", "C": "Cell"}$/;" v +bdim_at_front adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def bdim_at_front(x, bdim, size):$/;" f +bdist adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^class bdist(Command):$/;" c +bdist_dumb adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^class bdist_dumb(Command):$/;" c +bdist_egg adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^class bdist_egg(Command):$/;" c +bdist_msi adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^class bdist_msi(Command):$/;" c +bdist_rpm adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/bdist_rpm.py /^class bdist_rpm(old_bdist_rpm):$/;" c +bdist_rpm adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_rpm.py /^class bdist_rpm(orig.bdist_rpm):$/;" c +bdist_rpm adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^class bdist_rpm(Command):$/;" c +bdist_wheel adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^class bdist_wheel(Command):$/;" c +bdist_wininst adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_wininst.py /^class bdist_wininst(orig.bdist_wininst):$/;" c +bdist_wininst adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^class bdist_wininst(Command):$/;" c +bdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bdtr(double x0, dl_number_t x1, double x2) nogil$/;" f +bdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bdtrc(double x0, dl_number_t x1, double x2) nogil$/;" f +bdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bdtri(double x0, dl_number_t x1, double x2) nogil$/;" f +bdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bdtrik(double x0, double x1, double x2) nogil$/;" f +bdtrik_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def bdtrik_comp(y, n, p):$/;" f +bdtrin adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bdtrin(double x0, double x1, double x2) nogil$/;" f +Bd_Cs adpeps/utils/ctmtensors.py /^ Bd_Cs: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +Bd_Ts adpeps/utils/ctmtensors.py /^ Bd_Ts: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +beam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^beam = 5$/;" v +BeamSearchForwardOnly adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^class BeamSearchForwardOnly(object):$/;" c +BearerToken adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^class BearerToken(TokenBase):$/;" c +before adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def before(self, dt, inc=False):$/;" m class:rrulebase +beforeAttributeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def beforeAttributeNameState(self):$/;" m class:HTMLTokenizer +beforeAttributeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def beforeAttributeNameState(self):$/;" m class:HTMLTokenizer +beforeAttributeValueState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def beforeAttributeValueState(self):$/;" m class:HTMLTokenizer +beforeAttributeValueState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def beforeAttributeValueState(self):$/;" m class:HTMLTokenizer +beforeDoctypeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypeNameState(self):$/;" m class:HTMLTokenizer +beforeDoctypeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypeNameState(self):$/;" m class:HTMLTokenizer +beforeDoctypePublicIdentifierState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypePublicIdentifierState(self):$/;" m class:HTMLTokenizer +beforeDoctypePublicIdentifierState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypePublicIdentifierState(self):$/;" m class:HTMLTokenizer +beforeDoctypeSystemIdentifierState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypeSystemIdentifierState(self):$/;" m class:HTMLTokenizer +beforeDoctypeSystemIdentifierState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def beforeDoctypeSystemIdentifierState(self):$/;" m class:HTMLTokenizer +BeforeHeadPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class BeforeHeadPhase(Phase):$/;" c function:getPhases file: +BeforeHeadPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class BeforeHeadPhase(Phase):$/;" c function:getPhases file: +BeforeHtmlPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class BeforeHtmlPhase(Phase):$/;" c function:getPhases file: +BeforeHtmlPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class BeforeHtmlPhase(Phase):$/;" c function:getPhases file: +beforethisafter adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^beforethisafter = r'\\s*(?P%s(?=\\s*(\\b(%s)\\b)))' + \\$/;" v +before_eval adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def before_eval(self):$/;" m class:_ContinuousEvalListener +before_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def before_iteration(self):$/;" m class:_PythonLoopChecker +before_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def before_iteration(self):$/;" m class:_PythonLoopChecker +before_request adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def before_request(self, request, method, url, headers):$/;" m class:AnonymousCredentials +before_request adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def before_request(self, request, method, url, headers):$/;" m class:Credentials +before_request adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def before_request(self, request, method, url, headers):$/;" m class:OnDemandCredentials +before_request adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^ async def before_request(self, request, method, url, headers):$/;" m class:Credentials +before_request adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def before_request(self, request, method, url, headers):$/;" m class:UserAccessTokenCredentials +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def before_run(self, run_context):$/;" m class:CheckpointInputPipelineHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def before_run(self, run_context):$/;" m class:DumpingDebugHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def before_run(self, run_context):$/;" m class:GrpcDebugHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def before_run(self, run_context):$/;" m class:LocalCLIDebugHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def before_run(self, run_context):$/;" m class:TensorBoardDebugHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:AsyncCheckpointSaverHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:CheckpointSaverHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:FeedFnHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:LoggingTensorHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:NanTensorHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:StepCounterHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:StopAtStepHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:SummarySaverHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context):$/;" m class:GlobalStepWaiterHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_run(self, run_context):$/;" m class:ProfilerHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def before_run(self, run_context):$/;" m class:_MultiStepStopAfterNEvalsHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def before_run(self, run_context):$/;" m class:_StopAfterNEvalsHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:SessionRunHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def before_run(self, run_context):$/;" m class:_StopAtAttemptsHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def before_run(self, run_context):$/;" m class:_SummaryHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def before_run(self, run_context):$/;" m class:_LossRelativeChangeHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def before_run(self, run_context):$/;" m class:_SDCAUpdateWeightsHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def before_run(self, run_context):$/;" m class:_SummaryHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def before_run(self, run_context):$/;" m class:_CheckForStoppingHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def before_run(self, run_context):$/;" m class:_MultiWorkerEarlyStoppingHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def before_run(self, run_context):$/;" m class:_StopOnPredicateHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def before_run(self, run_context): # pylint: disable=unused-argument$/;" m class:_StopAtCheckpointStepHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def before_run(self, run_context):$/;" m class:InstallSignalHandlerHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def before_run(self, run_context):$/;" m class:TPUInfeedOutfeedSessionHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def before_run(self, run_context):$/;" m class:_OutfeedHostCallHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def before_run(self, run_context):$/;" m class:_StoppingPredictHook +before_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def before_run(self, run_context):$/;" m class:_TPUStopAtStepHook +before_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def before_save(self):$/;" m class:TPUEmbeddingSaveable +before_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def before_save(self, session, global_step_value):$/;" m class:CheckpointSaverListener +before_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_hook.py /^ def before_save(self):$/;" m class:SaveableHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def begin(self):$/;" m class:CheckpointInputPipelineHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def begin(self):$/;" m class:DumpingDebugHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def begin(self):$/;" m class:LocalCLIDebugHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def begin(self):$/;" m class:AsyncCheckpointSaverHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:CheckpointSaverHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:CheckpointSaverListener +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:GlobalStepWaiterHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:LoggingTensorHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:ProfilerHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:StepCounterHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:StopAtStepHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:SummarySaverHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def begin(self):$/;" m class:_MultiStepStopAtStepHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def begin(self):$/;" m class:_MultiStepStopAfterNEvalsHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def begin(self):$/;" m class:SessionRunHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def begin(self):$/;" m class:_SyncReplicasOptimizerHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def begin(self):$/;" m class:_SummaryHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def begin(self):$/;" m class:_SDCAUpdateWeightsHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def begin(self):$/;" m class:_SummaryHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def begin(self):$/;" m class:CheckPartitionerVarHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def begin(self):$/;" m class:_CheckForStoppingHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def begin(self):$/;" m class:_MultiWorkerEarlyStoppingHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def begin(self):$/;" m class:_StopOnPredicateHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def begin(self):$/;" m class:InMemoryEvaluatorHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def begin(self):$/;" m class:_StopAtCheckpointStepHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def begin(self):$/;" m class:TPUInfeedOutfeedSessionHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def begin(self):$/;" m class:_OutfeedHostCallHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def begin(self):$/;" m class:_SetEvalIterationsHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def begin(self):$/;" m class:_StoppingPredictHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def begin(self):$/;" m class:_TPUStopAtStepHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def begin(self):$/;" m class:_NewCheckpointListenerForEvaluate +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def begin(self):$/;" m class:_StopAtSecsHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def begin(self):$/;" m class:DistributedIteratorInitializerHook +begin adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def begin(self):$/;" m class:_DatasetInitializerHook +BeginMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BeginMask(self):$/;" m class:StridedSliceOptions +beginpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^beginpattern = ''$/;" v +beginpattern77 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^beginpattern77 = re.compile($/;" v +beginpattern90 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^beginpattern90 = re.compile($/;" v +beginStream adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def beginStream(self, id, len, extra=None, png=None):$/;" m class:PdfFile +begin_document adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def begin_document(self, id=None):$/;" m class:PSDraw +BEGIN_INDICES_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^BEGIN_INDICES_KEY = "i0"$/;" v +BEGIN_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^BEGIN_RE = re.compile(r'^-{3}(\\s.*)?')$/;" v +begin_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def begin_statement(self, stmt):$/;" m class:GraphBuilder +begin_typing adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def begin_typing(self, x):$/;" m class:TextBox +bei adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double bei(double x0) nogil$/;" f +beip adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double beip(double x0) nogil$/;" f +beip_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def beip_zeros(nt):$/;" f +bei_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def bei_zeros(nt):$/;" f +BEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^BEL = '\\007'$/;" v +bench adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def bench(self, label='fast', verbose=1, extra_argv=None):$/;" m class:NoseTester +benchmark adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^def benchmark(ws, net, warmups=5, iters=100):$/;" f +benchmark adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^def benchmark(ws, net, warmups=5, iters=100):$/;" f +Benchmark adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def Benchmark(model_gen, arg):$/;" f +Benchmark adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^class Benchmark(object):$/;" c +Benchmark adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def Benchmark(model_gen, arg):$/;" f +Benchmark adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def Benchmark(args):$/;" f +benchmark adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/test_ideep_net.py /^def benchmark(args):$/;" f +Benchmark adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^def Benchmark(args):$/;" f +benchmark adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object benchmark(bitgen_t *bitgen, object lock, Py_ssize_t cnt, object method)$/;" f +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^class Benchmark(six.with_metaclass(_BenchmarkRegistrar, object)):$/;" c +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^Benchmark = benchmark.TensorFlowBenchmark # pylint: disable=invalid-name$/;" v +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^Benchmark = _googletest.Benchmark # pylint: disable=invalid-name$/;" v +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/test/__init__.py /^from tensorflow.python.platform.benchmark import TensorFlowBenchmark as Benchmark$/;" x +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/test/__init__.py /^from tensorflow.python.platform.benchmark import TensorFlowBenchmark as Benchmark$/;" x +Benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/test/__init__.py /^from tensorflow.python.platform.benchmark import TensorFlowBenchmark as Benchmark$/;" x +benchmark adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ benchmark = ContextProp(torch._C._get_cudnn_benchmark, torch._C._set_cudnn_benchmark)$/;" v class:CudnnModule +benchmark adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def benchmark($/;" m class:ThroughputBenchmark +BenchmarkEntries adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^BenchmarkEntries = _reflection.GeneratedProtocolMessageType('BenchmarkEntries', (_message.Messag/;" v +BenchmarkEntry adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^BenchmarkEntry = _reflection.GeneratedProtocolMessageType('BenchmarkEntry', (_message.Message,),/;" v +BenchmarkMeta adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^class BenchmarkMeta(type):$/;" c +BenchmarkNet adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^BenchmarkNet = C.benchmark_net$/;" v +BenchmarkNetOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^BenchmarkNetOnce = C.benchmark_net_once$/;" v +benchmarks_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^def benchmarks_main(true_main, argv=None):$/;" f +benchmark_caffe2_model adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def benchmark_caffe2_model(init_net, predict_net, warmup_iters=3, main_iters=10, layer_details=T/;" f +benchmark_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^def benchmark_config():$/;" f +benchmark_mul_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mul_gradient_benchmark.py /^def benchmark_mul_gradient(args):$/;" f +benchmark_pytorch_model adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def benchmark_pytorch_model(model, inputs, training=False, warmup_iters=3,$/;" f +benchmark_sparse_lengths_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^def benchmark_sparse_lengths_sum($/;" f +benchmark_utils adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^import torch.utils.benchmark as benchmark_utils$/;" I +benchmark_utils adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/fuzzer.py /^import torch.utils.benchmark as benchmark_utils$/;" I +benchmark_utils adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/simple_timeit.py /^import torch.utils.benchmark as benchmark_utils$/;" I +ber adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ber(double x0) nogil$/;" f +bernoulli adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def bernoulli(key: jnp.ndarray,$/;" f +bernoulli adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def bernoulli(n):$/;" f +bernoulli adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^bernoulli = bernoulli_gen(b=1, name='bernoulli')$/;" v +Bernoulli adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^class Bernoulli(distribution.Distribution):$/;" c +Bernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^class Bernoulli(ExponentialFamily):$/;" c +bernoulli_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class bernoulli_gen(binom_gen):$/;" c +bernoulli_scalar adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def bernoulli_scalar():$/;" f +berp adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double berp(double x0) nogil$/;" f +berp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def berp_zeros(nt):$/;" f +ber_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def ber_zeros(nt):$/;" f +bessel adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def bessel(N, Wn, btype='low', analog=False, output='ba', norm='phase',$/;" f +besselap adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def besselap(N, norm='phase'):$/;" f +BesselI0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselI0 = tf_export("raw_ops.BesselI0")(_ops.to_raw_op(bessel_i0))$/;" v +BesselI0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselI0e = tf_export("raw_ops.BesselI0e")(_ops.to_raw_op(bessel_i0e))$/;" v +BesselI1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselI1 = tf_export("raw_ops.BesselI1")(_ops.to_raw_op(bessel_i1))$/;" v +BesselI1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselI1e = tf_export("raw_ops.BesselI1e")(_ops.to_raw_op(bessel_i1e))$/;" v +BesselJ0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselJ0 = tf_export("raw_ops.BesselJ0")(_ops.to_raw_op(bessel_j0))$/;" v +BesselJ1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselJ1 = tf_export("raw_ops.BesselJ1")(_ops.to_raw_op(bessel_j1))$/;" v +BesselK0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselK0 = tf_export("raw_ops.BesselK0")(_ops.to_raw_op(bessel_k0))$/;" v +BesselK0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselK0e = tf_export("raw_ops.BesselK0e")(_ops.to_raw_op(bessel_k0e))$/;" v +BesselK1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselK1 = tf_export("raw_ops.BesselK1")(_ops.to_raw_op(bessel_k1))$/;" v +BesselK1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselK1e = tf_export("raw_ops.BesselK1e")(_ops.to_raw_op(bessel_k1e))$/;" v +besselpoly adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double besselpoly(double x0, double x1, double x2) nogil$/;" f +BesselY0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselY0 = tf_export("raw_ops.BesselY0")(_ops.to_raw_op(bessel_y0))$/;" v +BesselY1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^BesselY1 = tf_export("raw_ops.BesselY1")(_ops.to_raw_op(bessel_y1))$/;" v +bessel_i0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i0(x, name=None):$/;" f +bessel_i0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_i0(x, name=None):$/;" f +bessel_i0e adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def bessel_i0e(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +bessel_i0e adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def bessel_i0e(x): return scipy.special.i0e(x).astype(x.dtype)$/;" f +bessel_i0e adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bessel_i0e(x: Array) -> Array:$/;" f +bessel_i0e adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^bessel_i0e = _unary_op(special_math_ops.bessel_i0e)$/;" v +bessel_i0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i0e(x, name=None):$/;" f +bessel_i0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_i0e(x, name=None):$/;" f +bessel_i0e_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i0e_eager_fallback(x, name, ctx):$/;" f +bessel_i0e_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^bessel_i0e_p = standard_unop(_float, 'bessel_i0e')$/;" v +bessel_i0_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i0_eager_fallback(x, name, ctx):$/;" f +bessel_i1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i1(x, name=None):$/;" f +bessel_i1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_i1(x, name=None):$/;" f +bessel_i1e adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def bessel_i1e(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +bessel_i1e adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def bessel_i1e(x): return scipy.special.i1e(x).astype(x.dtype)$/;" f +bessel_i1e adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bessel_i1e(x: Array) -> Array:$/;" f +bessel_i1e adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^bessel_i1e = _unary_op(special_math_ops.bessel_i1e)$/;" v +bessel_i1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i1e(x, name=None):$/;" f +bessel_i1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_i1e(x, name=None):$/;" f +bessel_i1e_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i1e_eager_fallback(x, name, ctx):$/;" f +bessel_i1e_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^bessel_i1e_p = standard_unop(_float, 'bessel_i1e')$/;" v +bessel_i1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_i1_eager_fallback(x, name, ctx):$/;" f +bessel_j0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_j0(x, name=None):$/;" f +bessel_j0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_j0(x, name=None):$/;" f +bessel_j0_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_j0_eager_fallback(x, name, ctx):$/;" f +bessel_j1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_j1(x, name=None):$/;" f +bessel_j1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_j1(x, name=None):$/;" f +bessel_j1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_j1_eager_fallback(x, name, ctx):$/;" f +bessel_k0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k0(x, name=None):$/;" f +bessel_k0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_k0(x, name=None):$/;" f +bessel_k0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k0e(x, name=None):$/;" f +bessel_k0e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_k0e(x, name=None):$/;" f +bessel_k0e_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k0e_eager_fallback(x, name, ctx):$/;" f +bessel_k0_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k0_eager_fallback(x, name, ctx):$/;" f +bessel_k1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k1(x, name=None):$/;" f +bessel_k1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_k1(x, name=None):$/;" f +bessel_k1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k1e(x, name=None):$/;" f +bessel_k1e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_k1e(x, name=None):$/;" f +bessel_k1e_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k1e_eager_fallback(x, name, ctx):$/;" f +bessel_k1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_k1_eager_fallback(x, name, ctx):$/;" f +bessel_norms adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^bessel_norms = {'bessel': 'phase',$/;" v +bessel_y0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_y0(x, name=None):$/;" f +bessel_y0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_y0(x, name=None):$/;" f +bessel_y0_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_y0_eager_fallback(x, name, ctx):$/;" f +bessel_y1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_y1(x, name=None):$/;" f +bessel_y1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def bessel_y1(x, name=None):$/;" f +bessel_y1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def bessel_y1_eager_fallback(x, name, ctx):$/;" f +best adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def best(cls):$/;" m class:CommandSpec +best adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def best(cls):$/;" m class:ScriptWriter +best adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def best(cls):$/;" m class:WindowsScriptWriter +BEST adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^BEST = "\\033[92m"$/;" v +best adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def best(self):$/;" m class:Accept +BestCandidateResult adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^class BestCandidateResult(object):$/;" c +BestExporter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^class BestExporter(Exporter):$/;" c +bestsize adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def bestsize(self):$/;" m class:IcnsFile +BestVersionAlreadyInstalled adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class BestVersionAlreadyInstalled(PipError):$/;" c +best_match adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def best_match($/;" m class:Environment +best_match adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def best_match($/;" m class:Environment +best_match adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def best_match(self, matches, default=None):$/;" m class:Accept +best_match adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def best_match(self, matches, default=None):$/;" m class:LanguageAccept +beta adpepsenv/lib/python3.8/site-packages/grpc/beta/_metadata.py /^def beta(metadata):$/;" f +beta adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def beta(key: jnp.ndarray,$/;" f +beta adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double beta(double x0, double x1) nogil$/;" f +beta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def beta(a, b, nonzero=False):$/;" f member:TestSystematic.test_beta file: +beta adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^beta = beta_gen(a=0.0, b=1.0, name='beta')$/;" v +Beta adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Beta(self):$/;" m class:LocalResponseNormalizationOptions +Beta adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Beta(self):$/;" m class:SoftmaxOptions +Beta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^class Beta(distribution.Distribution):$/;" c +Beta adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^class Beta(ExponentialFamily):$/;" c +beta0func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def beta0func(alpha, beta, TH, aTH, bTH, cosTH, tanTH, W):$/;" f member:levy_stable_gen._rvs file: +betabinom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^betabinom = betabinom_gen(name='betabinom')$/;" v +betabinom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class betabinom_gen(rv_discrete):$/;" c +betainc adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def betainc(a, b, x): return scipy.special.betainc(a, b, x).astype(x.dtype)$/;" f +betainc adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def betainc(a: Array, b: Array, x: Array) -> Array:$/;" f +betainc adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def betainc(a, b, x):$/;" f +betainc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double betainc(double x0, double x1, double x2) nogil$/;" f +Betainc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Betainc = tf_export("raw_ops.Betainc")(_ops.to_raw_op(betainc))$/;" v +betainc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def betainc(a, b, x, name=None):$/;" f +betaincinv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double betaincinv(double x0, double x1, double x2) nogil$/;" f +betainc_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def betainc_eager_fallback(a, b, x, name, ctx):$/;" f +betainc_gradx adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def betainc_gradx(g, a, b, x):$/;" f +betainc_grad_not_implemented adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def betainc_grad_not_implemented(g, a, b, x):$/;" f +betaln adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def betaln(x, y):$/;" f +betaln adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double betaln(double x0, double x1) nogil$/;" f +betaprime adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^betaprime = betaprime_gen(a=0.0, name='betaprime')$/;" v +betaprime_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class betaprime_gen(rv_continuous):$/;" c +BetaWithSoftplusConcentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^class BetaWithSoftplusConcentration(Beta):$/;" c +beta_create_PredictionService_stub adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^def beta_create_PredictionService_stub(channel):$/;" f +beta_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class beta_gen(rv_continuous):$/;" c +BetterRotatingFileHandler adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class BetterRotatingFileHandler(logging.handlers.RotatingFileHandler):$/;" c +better_flops_first adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def better_flops_first(flops, size, best_flops, best_size):$/;" f +better_size_first adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def better_size_first(flops, size, best_flops, best_size):$/;" f +between adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def between(self, after, before, inc=False, count=1):$/;" m class:rrulebase +betweenDoctypePublicAndSystemIdentifiersState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def betweenDoctypePublicAndSystemIdentifiersState(self):$/;" m class:HTMLTokenizer +betweenDoctypePublicAndSystemIdentifiersState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def betweenDoctypePublicAndSystemIdentifiersState(self):$/;" m class:HTMLTokenizer +BezierPath adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class BezierPath(Line2D):$/;" c +BezierSegment adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^class BezierSegment:$/;" c +BF16 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^BF16 = 16$/;" v +bfa adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def bfa():$/;" f member:TestRegression.test_broadcast_flat_assignment file: +bfb adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def bfb():$/;" f member:TestRegression.test_broadcast_flat_assignment file: +BFGS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^class BFGS(FullHessianUpdateStrategy):$/;" c +bfloat16 adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^bfloat16 = xla_client.bfloat16$/;" v +bfloat16 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bfloat16 = _make_scalar_type(dtypes.bfloat16)$/;" v +bfloat16 adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^bfloat16 = _xla.bfloat16_dtype()$/;" v +bfloat16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^bfloat16 = DType(types_pb2.DT_BFLOAT16)$/;" v +bfloat16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^bfloat16 = DType(types_pb2.DT_BFLOAT16)$/;" v +bfloat16 adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def bfloat16(self: T) -> T:$/;" m class:_RemoteModule +bfloat16 adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def bfloat16(self: T) -> T:$/;" m class:Module +bfloat16 adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def bfloat16(self):$/;" m class:_StorageBase +BFloat16Storage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class BFloat16Storage(_CudaBase, torch._C.CudaBFloat16StorageBase, _StorageBase):$/;" c +BFloat16Storage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class BFloat16Storage(_C.BFloat16StorageBase, _StorageBase):$/;" c +bfloat16_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^bfloat16_ref = DType(types_pb2.DT_BFLOAT16_REF)$/;" v +bfloat16_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^bfloat16_ref = DType(types_pb2.DT_BFLOAT16_REF)$/;" v +bfloat16_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/bfloat16.py /^def bfloat16_scope(name=None):$/;" f +bform adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def bform(X, A, Y):$/;" f +BGLU adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^from ._bglu_dense import BGLU as BGLU$/;" x +bg_update_dense adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def bg_update_dense(plu, perm_r, v, j):$/;" f +BIAS adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ BIAS = 'BIAS'$/;" v class:ParameterTags +Bias adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Bias(self):$/;" m class:LocalResponseNormalizationOptions +Bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class Bias(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_in_call file: +Bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class Bias(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_object file: +Bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class Bias(layers.Layer):$/;" c +bias adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def bias(self):$/;" m class:LinearModel +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def bias(self):$/;" m class:Conv1d +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def bias(self):$/;" m class:Conv2d +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def bias(self):$/;" m class:Conv3d +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def bias(self):$/;" m class:ConvTranspose1d +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def bias(self):$/;" m class:ConvTranspose2d +bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def bias(self):$/;" m class:Linear +BiasAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^BiasAdd = tf_export("raw_ops.BiasAdd")(_ops.to_raw_op(bias_add))$/;" v +BiasAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^BiasAddGrad = tf_export("raw_ops.BiasAddGrad")(_ops.to_raw_op(bias_add_grad))$/;" v +BiasAddTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^class BiasAddTestBase(test.TestCase):$/;" c +BiasAddV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^BiasAddV1 = tf_export("raw_ops.BiasAddV1")(_ops.to_raw_op(bias_add_v1))$/;" v +BIASES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ BIASES = "biases"$/;" v class:GraphKeys +bias_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def bias_add(x, bias, data_format=None):$/;" f +bias_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def bias_add(input_tensor, bias_tensor):$/;" f member:BiasAddTestBase._computeGradient file: +bias_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add(value, bias, data_format="NHWC", name=None):$/;" f +bias_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def bias_add(value, bias, data_format=None, name=None):$/;" f +bias_add_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def bias_add_1(input_tensor):$/;" f member:BiasAddTestBase._computeGradient file: +bias_add_2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def bias_add_2(bias_tensor):$/;" f member:BiasAddTestBase._computeGradient file: +bias_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add_eager_fallback(value, bias, data_format, name, ctx):$/;" f +bias_add_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add_grad(out_backprop, data_format="NHWC", name=None):$/;" f +bias_add_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add_grad_eager_fallback(out_backprop, data_format, name, ctx):$/;" f +bias_add_grad_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def bias_add_grad_function(upstream_gradients):$/;" f member:BiasAddTestBase._computeGradient file: +bias_add_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add_v1(value, bias, name=None):$/;" f +bias_add_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def bias_add_v1(value, bias, name=None):$/;" f +bias_add_v1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def bias_add_v1_eager_fallback(value, bias, name, ctx):$/;" f +bias_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def bias_constraint(self):$/;" m class:ConvLSTM2D +bias_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_constraint(self):$/;" m class:GRU +bias_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_constraint(self):$/;" m class:LSTM +bias_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_constraint(self):$/;" m class:SimpleRNN +bias_correction adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^def bias_correction(float_model, quantized_model, img_data, target_modules=_supported_modules_qu/;" f +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def bias_initializer(_, *args, **kwargs):$/;" f member:ConvLSTM2DCell.build file: +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def bias_initializer(self):$/;" m class:ConvLSTM2D +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def bias_initializer(_, *args, **kwargs):$/;" f member:CuDNNLSTM.build file: +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_initializer(_, *args, **kwargs):$/;" f member:LSTMCell.build file: +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_initializer(self):$/;" m class:GRU +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_initializer(self):$/;" m class:LSTM +bias_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_initializer(self):$/;" m class:SimpleRNN +BIAS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^BIAS_NAME = 'linear\/linear_model\/bias_weights'$/;" v +BIAS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^BIAS_NAME = 'linear\/linear_model\/bias_weights'$/;" v +bias_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def bias_regularizer(self):$/;" m class:ConvLSTM2D +bias_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_regularizer(self):$/;" m class:GRU +bias_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_regularizer(self):$/;" m class:LSTM +bias_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def bias_regularizer(self):$/;" m class:SimpleRNN +bias_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^ def bias_variable(shape):$/;" f function:main file: +bicg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def bicg(A, b, x0=None, tol=1e-5, maxiter=None, M=None, callback=None, atol=None):$/;" f +bicgstab adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def bicgstab(A, b, x0=None, tol=1e-5, maxiter=None, M=None, callback=None, atol=None):$/;" f +BICUBIC adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^BICUBIC = CUBIC = 3$/;" v +BICUBIC adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ BICUBIC = 'bicubic'$/;" v class:ResizeMethod +BICUBIC adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ BICUBIC = 2$/;" v class:ResizeMethodV1 +Bidirectional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^class Bidirectional(Wrapper):$/;" c +BidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BidirectionalSequenceLSTMOptions = 69$/;" v class:BuiltinOptions +BidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BidirectionalSequenceLSTMOptions(object):$/;" c +BidirectionalSequenceLSTMOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInput/;" f +BidirectionalSequenceLSTMOptionsAddCellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddCellClip(builder, cellClip): builder.PrependFloat32Slot(1/;" f +BidirectionalSequenceLSTMOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddFusedActivationFunction(builder, fusedActivationFunction)/;" f +BidirectionalSequenceLSTMOptionsAddMergeOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddMergeOutputs(builder, mergeOutputs): builder.PrependBoolS/;" f +BidirectionalSequenceLSTMOptionsAddProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddProjClip(builder, projClip): builder.PrependFloat32Slot(2/;" f +BidirectionalSequenceLSTMOptionsAddTimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsAddTimeMajor(builder, timeMajor): builder.PrependBoolSlot(4,/;" f +BidirectionalSequenceLSTMOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BidirectionalSequenceLSTMOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=Fals/;" m class:BidirectionalSequenceLSTMOptions +BidirectionalSequenceLSTMOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsEnd(builder): return builder.EndObject()$/;" f +BidirectionalSequenceLSTMOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceLSTMOptionsStart(builder): builder.StartObject(6)$/;" f +BidirectionalSequenceLSTMOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BidirectionalSequenceLSTMOptionsT(object):$/;" c +BidirectionalSequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BidirectionalSequenceRNNOptions = 70$/;" v class:BuiltinOptions +BidirectionalSequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BidirectionalSequenceRNNOptions(object):$/;" c +BidirectionalSequenceRNNOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs/;" f +BidirectionalSequenceRNNOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsAddFusedActivationFunction(builder, fusedActivationFunction):/;" f +BidirectionalSequenceRNNOptionsAddMergeOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsAddMergeOutputs(builder, mergeOutputs): builder.PrependBoolSl/;" f +BidirectionalSequenceRNNOptionsAddTimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsAddTimeMajor(builder, timeMajor): builder.PrependBoolSlot(0, /;" f +BidirectionalSequenceRNNOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BidirectionalSequenceRNNOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False/;" m class:BidirectionalSequenceRNNOptions +BidirectionalSequenceRNNOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsEnd(builder): return builder.EndObject()$/;" f +BidirectionalSequenceRNNOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BidirectionalSequenceRNNOptionsStart(builder): builder.StartObject(4)$/;" f +BidirectionalSequenceRNNOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BidirectionalSequenceRNNOptionsT(object):$/;" c +bidirectional_dynamic_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^def bidirectional_dynamic_rnn(cell_fw,$/;" f +bidirectional_dynamic_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def bidirectional_dynamic_rnn(cell_fw,$/;" f +BIDIRECTIONAL_SEQUENCE_LSTM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BIDIRECTIONAL_SEQUENCE_LSTM = 52$/;" v class:BuiltinOperator +BIDIRECTIONAL_SEQUENCE_RNN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BIDIRECTIONAL_SEQUENCE_RNN = 46$/;" v class:BuiltinOperator +BIG adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^BIG = array([99999991,99999992,99999993,99999994,99999995,99999996,99999997,$/;" v +Big5DistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class Big5DistributionAnalysis(CharDistributionAnalysis):$/;" c +Big5DistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class Big5DistributionAnalysis(CharDistributionAnalysis):$/;" c +Big5Prober adpepsenv/lib/python3.8/site-packages/chardet/big5prober.py /^class Big5Prober(MultiByteCharSetProber):$/;" c +Big5Prober adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5prober.py /^class Big5Prober(MultiByteCharSetProber):$/;" c +BIG5_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^BIG5_CHAR_LEN_TABLE = (0, 1, 1, 2, 0)$/;" v +BIG5_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^BIG5_CHAR_LEN_TABLE = (0, 1, 1, 2, 0)$/;" v +BIG5_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/chardet/big5freq.py /^BIG5_CHAR_TO_FREQ_ORDER = ($/;" v +BIG5_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5freq.py /^BIG5_CHAR_TO_FREQ_ORDER = ($/;" v +BIG5_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^BIG5_CLS = ($/;" v +BIG5_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^BIG5_CLS = ($/;" v +BIG5_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^BIG5_SM_MODEL = {'class_table': BIG5_CLS,$/;" v +BIG5_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^BIG5_SM_MODEL = {'class_table': BIG5_CLS,$/;" v +BIG5_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^BIG5_ST = ($/;" v +BIG5_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^BIG5_ST = ($/;" v +BIG5_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/big5freq.py /^BIG5_TABLE_SIZE = 5376$/;" v +BIG5_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5freq.py /^BIG5_TABLE_SIZE = 5376$/;" v +BIG5_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/chardet/big5freq.py /^BIG5_TYPICAL_DISTRIBUTION_RATIO = 0.75$/;" v +BIG5_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5freq.py /^BIG5_TYPICAL_DISTRIBUTION_RATIO = 0.75$/;" v +BigEndStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class BigEndStruct(ctypes.BigEndianStructure):$/;" c member:TestFromCTypes.test_big_endian_structure_packed file: +big_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_bc(ya, yb):$/;" f +big_bc_with_parameters adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_bc_with_parameters(ya, yb, p):$/;" f +big_bc_with_parameters_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_bc_with_parameters_jac(ya, yb, p):$/;" f +big_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_fun(x, y):$/;" f +big_fun_with_parameters adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_fun_with_parameters(x, y, p):$/;" f +big_fun_with_parameters_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_fun_with_parameters_jac(x, y, p):$/;" f +big_sol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_sol(x, n):$/;" f +big_sol_with_parameters adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def big_sol_with_parameters(x, p):$/;" f +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = False$/;" v class:Transform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:AffineTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:ExpTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:PowerTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:SigmoidTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:StickBreakingTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ bijective = True$/;" v class:TanhTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def bijective(self):$/;" m class:CatTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def bijective(self):$/;" m class:ComposeTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def bijective(self):$/;" m class:StackTransform +bijective adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def bijective(self):$/;" m class:_InverseTransform +Bijector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^class Bijector(object):$/;" c +bijector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def bijector(self):$/;" m class:TransformedDistribution +biject_to adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^biject_to = ConstraintRegistry()$/;" v +BILINEAR adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^BILINEAR = LINEAR = 2$/;" v +bilinear adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def bilinear(b, a, fs=1.0):$/;" f +BILINEAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ BILINEAR = 'bilinear'$/;" v class:ResizeMethod +BILINEAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ BILINEAR = 0$/;" v class:ResizeMethodV1 +bilinear adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def bilinear(input1, input2, weight, bias=None):$/;" f +Bilinear adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^class Bilinear(Module):$/;" c +bilinear_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def bilinear_transpose(lhs_rule, rhs_rule, cotangent, x, y, **kwargs):$/;" f +bilinear_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def bilinear_zpk(z, p, k, fs):$/;" f +bin adpepsenv/lib/python3.8/site-packages/pyasn1/compat/binary.py /^ def bin(value):$/;" f +Binary adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Binary = tf_export("raw_ops.Binary")(_ops.to_raw_op(binary))$/;" v +binary adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def binary(a, b, name=None):$/;" f +BINARY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^BINARY = "binary"$/;" v +BINARY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^BINARY = category_encoding.BINARY$/;" v +BinaryAccuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class BinaryAccuracy(MeanMetricWrapper):$/;" c +BinaryAllowedPredicate adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^ BinaryAllowedPredicate = Callable[[InstallRequirement], bool]$/;" v +BinaryClassHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^class BinaryClassHead(base_head.Head):$/;" c +BinaryCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class BinaryCrossentropy(LossFunctionWrapper):$/;" c +BinaryCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class BinaryCrossentropy(MeanMetricWrapper):$/;" c +BinaryDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^class BinaryDistribution(Distribution):$/;" c +BinaryOpFuzzer adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/binary.py /^class BinaryOpFuzzer(Fuzzer):$/;" c +BinaryRaggedElementwiseDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^class BinaryRaggedElementwiseDispatcher(dispatch.OpDispatcher):$/;" c +BinarySigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6019.py /^class BinarySigningTime(BinaryTime):$/;" c +BinarySigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^BinarySigningTime = rfc6019.BinarySigningTime$/;" v +BinaryTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6019.py /^class BinaryTime(univ.Integer):$/;" c +BinaryTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^BinaryTime = rfc6019.BinaryTime$/;" v +binary_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def binary_accuracy(y_true, y_pred, threshold=0.5):$/;" f +BINARY_BOOL_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^BINARY_BOOL_OPS = [$/;" v +binary_closing adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_closing(input, structure=None, iterations=1, output=None,$/;" f +binary_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def binary_crossentropy(target, output, from_logits=False):$/;" f +binary_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def binary_crossentropy(y_true, y_pred, from_logits=False, label_smoothing=0):$/;" f +binary_cross_entropy adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def binary_cross_entropy(input, target, weight=None, size_average=None,$/;" f +binary_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def binary_cross_entropy_with_logits(input, target, weight=None, size_average=None,$/;" f +binary_dilation adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_dilation(input, structure=None, iterations=1, mask=None,$/;" f +BINARY_DIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^BINARY_DIST = 2$/;" v +BINARY_DIST adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^BINARY_DIST = 2$/;" v +binary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def binary_eager_fallback(a, b, name, ctx):$/;" f +binary_erosion adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_erosion(input, structure=None, iterations=1, mask=None, output=None,$/;" f +binary_extensions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ binary_extensions = ('.egg', '.exe', '.whl')$/;" v class:Locator +binary_fill_holes adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_fill_holes(input, structure=None, output=None, origin=0):$/;" f +BINARY_FLOAT_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^BINARY_FLOAT_OPS = [$/;" v +binary_head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^from tensorflow_estimator.python.estimator.head import binary_class_head as binary_head_lib$/;" x +binary_hit_or_miss adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_hit_or_miss(input, structure1=None, structure2=None,$/;" f +BINARY_INT_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^BINARY_INT_OPS = [$/;" v +binary_opening adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_opening(input, structure=None, iterations=1, output=None,$/;" f +binary_ops adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ binary_ops = [$/;" v class:TestArrayPriority +binary_op_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ def binary_op_wrapper(x, y, name=None):$/;" f function:_binary_op file: +binary_op_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def binary_op_wrapper(x, y):$/;" f function:_OverrideBinaryOperatorHelper file: +binary_op_wrapper_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def binary_op_wrapper_sparse(sp_x, y):$/;" f function:_OverrideBinaryOperatorHelper file: +binary_or_multi_class_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^def binary_or_multi_class_head(n_classes, weight_column, label_vocabulary,$/;" f +binary_propagation adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def binary_propagation(input, structure=None, mask=None,$/;" f +binary_repr adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def binary_repr(num, width=None):$/;" f +binary_search adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def binary_search(n, n1, n2, side):$/;" f function:fisher_exact file: +binary_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ binary_type = str$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ binary_type = str$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ binary_type = str$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ binary_type = str$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/six.py /^ binary_type = str$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ binary_type = bytes$/;" v +binary_type adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ binary_type = str$/;" v +binary_types adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ binary_types = (str,bytearray)$/;" v +binary_types adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ binary_types = (bytes,bytearray)$/;" v +bincount adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def bincount(x, weights=None, minlength=0, *, length=None):$/;" f +bincount adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def bincount(x, weights=None, minlength=None):$/;" f +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def bincount(arr,$/;" f +Bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Bincount = tf_export("raw_ops.Bincount")(_ops.to_raw_op(bincount))$/;" v +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def bincount(arr, size, weights, name=None):$/;" f +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.bincount_ops import bincount_v1 as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.bincount_ops import bincount_v1 as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.bincount_ops import sparse_bincount as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.bincount_ops import bincount_v1 as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.bincount_ops import bincount_v1 as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.bincount_ops import sparse_bincount as bincount$/;" x +bincount adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.bincount_ops import sparse_bincount as bincount$/;" x +bincount_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def bincount_eager_fallback(arr, size, weights, name, ctx):$/;" f +bincount_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def bincount_v1(arr,$/;" f +bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def bind(self, *args, **kwargs):$/;" f function:omnistaging_disabler file: +bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def bind(self, *args, **params):$/;" m class:Primitive +bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def bind(self, fun, *args, **params):$/;" m class:CallPrimitive +bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def bind(self, fun, *args, **params):$/;" m class:MapPrimitive +bind adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def bind(self, fun, fwd, bwd, *args, out_trees):$/;" m class:CustomVJPCallPrimitive +bind adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def bind(self, fun, jvp, *args):$/;" m class:CustomJVPCallPrimitive +bind adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def bind(self, fun, *args, **params):$/;" m class:XMapPrimitive +bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def bind(x):$/;" f function:all_gather file: +bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def bind(x):$/;" f function:all_to_all file: +Bind adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^class Bind(object):$/;" c +bind adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def bind(optional, fn):$/;" f +bind adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def bind($/;" m class:Map +bind adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def bind(self, map, rebind=False):$/;" m class:Rule +BindRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class BindRequest(univ.Sequence):$/;" c +BindResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class BindResponse(univ.Sequence):$/;" c +bind_arguments adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def bind_arguments(func, args, kwargs):$/;" f +bind_index adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def bind_index(func, idx):$/;" f member:TestLineSearch.setup_method file: +bind_method adpepsenv/lib/python3.8/site-packages/torch/_six.py /^def bind_method(fn, obj, obj_type):$/;" f +bind_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def bind_object(self, trackable):$/;" m class:CheckpointPosition +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^ def bind_ref(X_loc, k):$/;" f member:TestFlexibleTopK.test_flexible_top_k file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_1 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_2 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_3 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_4 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_5 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_6 file: +bind_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def bind_ref(X_loc):$/;" f member:TestTopK.test_top_k_axis file: +bind_shapes adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def bind_shapes(polymorphic_shapes, padded_shapes):$/;" f +bind_to_environ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def bind_to_environ(self, environ, server_name=None, subdomain=None):$/;" m class:Map +binEncBase adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ binEncBase = 2 # set to None to choose encoding base automatically$/;" v class:RealEncoder +binEncBase adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ binEncBase = None # binEncBase = 16 is recommended for large numbers$/;" v class:Real +BinnedStatistic2dResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^BinnedStatistic2dResult = namedtuple('BinnedStatistic2dResult',$/;" v +BinnedStatisticddResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^BinnedStatisticddResult = namedtuple('BinnedStatisticddResult',$/;" v +BinnedStatisticResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^BinnedStatisticResult = namedtuple('BinnedStatisticResult',$/;" v +binned_statistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def binned_statistic(x, values, statistic='mean',$/;" f +binned_statistic_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def binned_statistic_2d(x, y, values, statistic='mean',$/;" f +binned_statistic_dd adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def binned_statistic_dd(sample, values, statistic='mean',$/;" f +binom adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def binom(self, s, loc, toks):$/;" m class:Parser +binom adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double binom(double x0, double x1) nogil$/;" f +binom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^binom = binom_gen(name='binom')$/;" v +binomial adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def binomial(n, k, nonzero=False):$/;" f member:TestSystematic.test_binom file: +binomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def binomial(self, shape, counts, probs, dtype=dtypes.int32, name=None):$/;" m class:Generator +Binomial adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^class Binomial(Distribution):$/;" c +binom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class binom_gen(rv_discrete):$/;" c +binom_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def binom_int(n, k):$/;" f member:TestCephes.test_binom_exact file: +binom_matrix adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def binom_matrix(power):$/;" f member:TestPPoly.test_descending file: +binom_test adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def binom_test(x, n=None, p=0.5, alternative='two-sided'):$/;" f +binop adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ binop = { "Add":"+", "Sub":"-", "Mult":"*", "MatMult":"@", "Div":"\/", "Mod":"%",$/;" v class:Unparser +BinopTester adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class BinopTester(object):$/;" c +BinopTester_with_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class BinopTester_with_shape(object):$/;" c +binop_map adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ binop_map = {$/;" v class:ExprBuilder +BinSummary adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^BinSummary = _reflection.GeneratedProtocolMessageType('BinSummary', (_message.Message,), {$/;" v +bint_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^bint_points = [True, False]$/;" v +bin_path adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def bin_path(cls):$/;" m class:ImageMagickBase +bin_path adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def bin_path(cls):$/;" m class:MovieWriter +bin_py adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_py = '\/usr\/local\/bin'$/;" v +bin_py adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_py = os.path.join(sys.prefix, 'bin')$/;" v +bin_py adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_py = os.path.join(sys.prefix, 'Scripts')$/;" v +bin_user adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_user = os.path.join(user_site, 'bin')$/;" v +bin_user adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_user = os.path.join(user_site, 'bin')$/;" v +bin_user adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ bin_user = os.path.join(user_site, 'Scripts')$/;" v +bisect adpepsenv/lib/python3.8/site-packages/scipy/optimize/cython_optimize/_zeros.pxd /^cdef double bisect(callback_type f, double xa, double xb, void* args,$/;" f +bisect adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def bisect(f, a, b, args=(),$/;" f +bisect_percentile_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^ def bisect_percentile_op_ref($/;" f member:TestBisectPercentileOp.compare_reference file: +bisplev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def bisplev(x, y, tck, dx=0, dy=0):$/;" f +bisplrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def bisplrep(x, y, z, w=None, xb=None, xe=None, yb=None, ye=None,$/;" f +BIT2MODE adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^BIT2MODE = {$/;" v +Bitcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Bitcast = tf_export("raw_ops.Bitcast")(_ops.to_raw_op(bitcast))$/;" v +bitcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def bitcast(input, type, name=None):$/;" f +bitcast_convert_type adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def bitcast_convert_type(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +bitcast_convert_type adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def bitcast_convert_type(operand, dtype):$/;" f +bitcast_convert_type adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bitcast_convert_type(operand: Array, new_dtype: DType) -> Array:$/;" f +bitcast_convert_type adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^bitcast_convert_type = array_ops.bitcast$/;" v +bitcast_convert_type_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^bitcast_convert_type_p = standard_primitive($/;" v +bitcast_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def bitcast_eager_fallback(input, type, name, ctx):$/;" f +BitfieldStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class BitfieldStruct(ctypes.Structure):$/;" c member:TestFromCTypes.test_bit_fields file: +BitGenerator adpepsenv/lib/python3.8/site-packages/numpy/random/bit_generator.pxd /^cdef class BitGenerator():$/;" c +BitGenerators adpepsenv/lib/python3.8/site-packages/numpy/random/_pickle.py /^BitGenerators = {'MT19937': MT19937,$/;" v +bitLength adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ def bitLength(number):$/;" f +bitLength adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ bitLength = leadingZeroBits = None$/;" v class:SizedInteger +bitmap adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^ bitmap = None$/;" v class:FontFile +bitmap adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def bitmap(self, xy, bitmap, fill=None):$/;" m class:ImageDraw +BitmapImage adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^class BitmapImage:$/;" c +bitname adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def bitname(obj):$/;" f +Bits adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Bits(univ.OctetString):$/;" c +BITSPERSAMPLE adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^BITSPERSAMPLE = 258$/;" v +BitStream adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^class BitStream:$/;" c +BitString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class BitString(base.SimpleAsn1Type):$/;" c +BitStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class BitStringDecoder(AbstractSimpleDecoder):$/;" c +BitStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^BitStringDecoder = decoder.BitStringDecoder$/;" v +BitStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^class BitStringDecoder(decoder.BitStringDecoder):$/;" c +BitStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^class BitStringDecoder(AbstractScalarDecoder):$/;" c +BitStringEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class BitStringEncoder(AbstractItemEncoder):$/;" c +BitStringEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class BitStringEncoder(AbstractItemEncoder):$/;" c +bitwise adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.ops import bitwise_ops as bitwise$/;" x +BitwiseAnd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^BitwiseAnd = tf_export("raw_ops.BitwiseAnd")(_ops.to_raw_op(bitwise_and))$/;" v +BitwiseOr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^BitwiseOr = tf_export("raw_ops.BitwiseOr")(_ops.to_raw_op(bitwise_or))$/;" v +BitwiseXor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^BitwiseXor = tf_export("raw_ops.BitwiseXor")(_ops.to_raw_op(bitwise_xor))$/;" v +bitwise_and adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^bitwise_and = np.bitwise_and$/;" v +bitwise_and adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bitwise_and(x: Array, y: Array) -> Array:$/;" f +bitwise_and adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bitwise_and = _one_to_one_binop(np.bitwise_and, lax.bitwise_and)$/;" v +bitwise_and adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^bitwise_and = _MaskedBinaryOperation(umath.bitwise_and)$/;" v +bitwise_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_and(x, y, name=None):$/;" f +bitwise_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def bitwise_and(x1, x2):$/;" f +bitwise_and_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_and_eager_fallback(x, y, name, ctx):$/;" f +bitwise_not adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^bitwise_not = np.bitwise_not$/;" v +bitwise_not adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bitwise_not(x: Array) -> Array:$/;" f +bitwise_not adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bitwise_not = _one_to_one_unop(np.bitwise_not, lax.bitwise_not)$/;" v +bitwise_not adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^bitwise_not = invert$/;" v +bitwise_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def bitwise_not(x):$/;" f +bitwise_not adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def bitwise_not(g, inp):$/;" f +bitwise_or adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^bitwise_or = np.bitwise_or$/;" v +bitwise_or adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bitwise_or(x: Array, y: Array) -> Array:$/;" f +bitwise_or adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bitwise_or = _one_to_one_binop(np.bitwise_or, lax.bitwise_or)$/;" v +bitwise_or adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^bitwise_or = _MaskedBinaryOperation(umath.bitwise_or)$/;" v +bitwise_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_or(x, y, name=None):$/;" f +bitwise_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def bitwise_or(x1, x2):$/;" f +bitwise_or_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_or_eager_fallback(x, y, name, ctx):$/;" f +bitwise_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ bitwise_types = [np.dtype(c) for c in '?' + 'bBhHiIlLqQ' + 'O']$/;" v class:TestBitwiseUFuncs +bitwise_xor adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^bitwise_xor = np.bitwise_xor$/;" v +bitwise_xor adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def bitwise_xor(x: Array, y: Array) -> Array:$/;" f +bitwise_xor adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bitwise_xor = _one_to_one_binop(np.bitwise_xor, lax.bitwise_xor)$/;" v +bitwise_xor adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^bitwise_xor = _MaskedBinaryOperation(umath.bitwise_xor)$/;" v +bitwise_xor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_xor(x, y, name=None):$/;" f +bitwise_xor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def bitwise_xor(x1, x2):$/;" f +bitwise_xor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def bitwise_xor_eager_fallback(x, y, name, ctx):$/;" f +bit_gen adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^bit_gen = np.random.PCG64()$/;" v +bit_gen adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^bit_gen = PCG64()$/;" v +bit_generator adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^bit_generator = xffi.bit_generator$/;" v +bit_generator_address adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^bit_generator_address = int(ffi.cast('uintptr_t', bit_generator))$/;" v +bit_size adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def bit_size(num: int) -> int:$/;" f +BivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class BivariateSpline(_BivariateSplineBase):$/;" c +bi_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def bi_zeros(nt):$/;" f +bjac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def bjac(y, t):$/;" f +bjac_cols adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bjac_cols(y, t, c):$/;" f function:test_odeint_banded_jacobian file: +bjac_rows adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def bjac_rows(y, t, c):$/;" f function:test_odeint_banded_jacobian file: +BLACK adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ BLACK = 30$/;" v class:AnsiFore +BLACK adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ BLACK = 40$/;" v class:AnsiBack +BLACK adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ BLACK = 0$/;" v class:WinColor +BLACKLIST adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^BLACKLIST = {"title", "label"}$/;" v +blackman adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^blackman = _wrap_numpy_nullary_function(np.blackman)$/;" v +blackman adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def blackman(M):$/;" f +blackman adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def blackman(M, sym=True):$/;" f +blackmanharris adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def blackmanharris(M, sym=True):$/;" f +black_tophat adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def black_tophat(input, size=None, footprint=None,$/;" f +blank_line_re adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^blank_line_re = re.compile(r'^([ ]*\\n){2}')$/;" v +blas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^import scipy.linalg.cython_blas as blas$/;" I +blas64__opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas64__opt_info(blas_ilp64_opt_info):$/;" c +BlasILP64NotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class BlasILP64NotFoundError(NotFoundError):$/;" c +BlasNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class BlasNotFoundError(NotFoundError):$/;" c +BlasOptNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class BlasOptNotFoundError(NotFoundError):$/;" c +BlasSrcNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class BlasSrcNotFoundError(BlasNotFoundError):$/;" c +blas_exclusions adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^blas_exclusions = ['scabs1', 'xerbla']$/;" v +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.caxpy$/;" v class:TestCaxpy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.ccopy$/;" v class:TestCcopy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.cgemv$/;" v class:TestCgemv +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.cscal$/;" v class:TestCscal +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.cswap$/;" v class:TestCswap +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.saxpy$/;" v class:TestSaxpy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.scopy$/;" v class:TestScopy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.sgemv$/;" v class:TestSgemv +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.sscal$/;" v class:TestSscal +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.sswap$/;" v class:TestSswap +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.daxpy$/;" v class:TestDaxpy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.dcopy$/;" v class:TestDcopy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.dgemv$/;" v class:TestDgemv +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.dscal$/;" v class:TestDscal +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.dswap$/;" v class:TestDswap +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.zaxpy$/;" v class:TestZaxpy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.zcopy$/;" v class:TestZcopy +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.zgemv$/;" v class:TestZgemv +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.zscal$/;" v class:TestZscal +blas_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ blas_func = fblas.zswap$/;" v class:TestZswap +blas_ilp64_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_ilp64_opt_info(blas_opt_info, _ilp64_opt_info_mixin):$/;" c +blas_ilp64_plain_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_ilp64_plain_opt_info(blas_ilp64_opt_info):$/;" c +blas_ilp64_pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def blas_ilp64_pre_build_hook(blas_info):$/;" f +blas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_info(system_info):$/;" c +blas_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_mkl_info(mkl_info):$/;" c +blas_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^blas_mkl_info={}$/;" v +blas_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^blas_mkl_info={}$/;" v +blas_mkl_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^blas_mkl_info={}$/;" v +blas_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_opt_info(system_info):$/;" c +blas_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^blas_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +blas_opt_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^blas_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +blas_opt_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ class blas_opt_info(system_info):$/;" c +blas_opt_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^blas_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +blas_order adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ blas_order = ['mkl', 'blis', 'openblas', 'atlas', 'accelerate', 'blas']$/;" v class:blas_opt_info +blas_order adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ blas_order = ['openblas64_', 'openblas_ilp64']$/;" v class:blas_ilp64_opt_info +blas_pxd_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^blas_pxd_preamble = """# Within scipy, these wrappers can be used via relative or absolute cimpo/;" v +blas_pyx_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^blas_pyx_preamble = '''# cython: boundscheck = False$/;" v +blas_py_wrappers adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^blas_py_wrappers = """$/;" v +blas_src_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blas_src_info(system_info):$/;" c +blas_tests adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_blas.py /^blas_tests = [$/;" v +BleachSanitizerFilter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^class BleachSanitizerFilter(sanitizer.Filter):$/;" c +blend adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def blend(im1, im2, alpha):$/;" f +blend adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def blend(image1, image2, alpha):$/;" f +BlendedAffine2D adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BlendedAffine2D(_BlendedMixin, Affine2DBase):$/;" c +BlendedGenericTransform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class BlendedGenericTransform(_BlendedMixin, Transform):$/;" c +blended_transform_factory adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def blended_transform_factory(x_transform, y_transform):$/;" f +blend_hsv adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def blend_hsv(self, rgb, intensity, hsv_max_sat=None, hsv_max_val=None,$/;" m class:LightSource +blend_overlay adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def blend_overlay(self, rgb, intensity):$/;" m class:LightSource +blend_soft_light adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def blend_soft_light(self, rgb, intensity):$/;" m class:LightSource +blind adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def blind(self, message: int) -> int:$/;" m class:AbstractKey +blinded_decrypt adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def blinded_decrypt(self, encrypted: int) -> int:$/;" m class:PrivateKey +blinded_encrypt adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def blinded_encrypt(self, message: int) -> int:$/;" m class:PrivateKey +blis_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class blis_info(blas_info):$/;" c +blis_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^blis_info={}$/;" v +blis_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^blis_info={}$/;" v +blis_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^blis_info={}$/;" v +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasGTK3Agg +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasMac +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasQT +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkagg.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasTkAgg +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasWxAgg +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^def blit(photoimage, aggimage, offsets, bbox=None):$/;" f +blit adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def blit(self, bbox=None):$/;" m class:FigureCanvasBase +BLKTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^BLKTYPE = b"4" # block special device$/;" v +blob adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^blob = slinch = pound * g \/ 0.0254 # lbf*s**2\/in (added in 1.0.0)$/;" v +Blob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^Blob = _reflection.GeneratedProtocolMessageType('Blob', (_message.Message,), {$/;" v +BlobDeallocationTest adpepsenv/lib/python3.8/site-packages/caffe2/python/test/blob_deallocation_test.py /^class BlobDeallocationTest(unittest.TestCase):$/;" c +BlobIsDefined adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def BlobIsDefined(self, blob):$/;" m class:Net +BlobProfile adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^BlobProfile = _reflection.GeneratedProtocolMessageType('BlobProfile', (_message.Message,), {$/;" v +BlobProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^BlobProto = _reflection.GeneratedProtocolMessageType('BlobProto', (_message.Message,), {$/;" v +BlobReference adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class BlobReference(object):$/;" c +BlobReference adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class BlobReference(object):$/;" c +Blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^Blobs = C.blobs$/;" v +blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^blobs = _BlobDict()$/;" v +BlobSequence adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BlobSequence = _reflection.GeneratedProtocolMessageType('BlobSequence', (_message.Message,), {$/;" v +BlobSequenceDatum adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class BlobSequenceDatum(object):$/;" c +BlobSequenceEntry adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BlobSequenceEntry = _reflection.GeneratedProtocolMessageType('BlobSequenceEntry', (_message.Mess/;" v +BlobSequenceTimeSeries adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class BlobSequenceTimeSeries(_TimeSeries):$/;" c +BlobsMap adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^BlobsMap = _reflection.GeneratedProtocolMessageType('BlobsMap', (_message.Message,), {$/;" v +BlobsQueueDBTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^class BlobsQueueDBTest(unittest.TestCase):$/;" c +BlobsQueueDBTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^class BlobsQueueDBTest(test_util.TestCase):$/;" c +BlobState adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BlobState = enum_type_wrapper.EnumTypeWrapper(_BLOBSTATE)$/;" v +BlobWeightedSum adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/blob_weighted_sum.py /^class BlobWeightedSum(ModelLayer):$/;" c +blob_bytes adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def blob_bytes(self):$/;" m class:UploadStats +blob_bytes_skipped adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def blob_bytes_skipped(self):$/;" m class:UploadStats +blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def blob_key(self):$/;" m class:BlobReference +blob_list adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def blob_list(self):$/;" m class:CheckpointManager +blob_maps adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def blob_maps(self):$/;" m class:NetProcessor +BLOB_NAMES adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ BLOB_NAMES = "blob_names"$/;" v class:CheckpointManager +blob_nbytes adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def blob_nbytes(blob):$/;" f +BLOB_STATE_CURRENT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BLOB_STATE_CURRENT = 2$/;" v +BLOB_STATE_UNFINALIZED adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BLOB_STATE_UNFINALIZED = 1$/;" v +BLOB_STATE_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^BLOB_STATE_UNKNOWN = 0$/;" v +BLOB_STYLE adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^BLOB_STYLE = {'shape': 'octagon'}$/;" v +blob_tracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def blob_tracker(self, blob_bytes):$/;" m class:UploadTracker +blob_uses adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def blob_uses(net, blob):$/;" f +blob_uses adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def blob_uses(net, blob):$/;" f +block adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def block(arrays):$/;" f +block adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def block(arrays):$/;" f +block adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def block(self, request):$/;" m class:TestBlock +block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def block(inputs,$/;" f +block1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def block1(x, filters, kernel_size=3, stride=1, conv_shortcut=True, name=None):$/;" f +block2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def block2(x, filters, kernel_size=3, stride=1, conv_shortcut=False, name=None):$/;" f +block3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def block3(x,$/;" f +blocked_autorange adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def blocked_autorange(self, callback=None, min_run_time=0.2):$/;" m class:Timer +BlockEndToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class BlockEndToken(Token):$/;" c +BlockEntryToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class BlockEntryToken(Token):$/;" c +BlockingContourLabeler adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^class BlockingContourLabeler(BlockingMouseInput):$/;" c +BlockingInput adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^class BlockingInput:$/;" c +BlockingKeyMouseInput adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^class BlockingKeyMouseInput(BlockingInput):$/;" c +BlockingMouseInput adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^class BlockingMouseInput(BlockingInput):$/;" c +blocking_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def blocking_stream_unary(self,$/;" m class:_GenericStub +blocking_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def blocking_stream_unary(self,$/;" m class:GenericStub +blocking_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def blocking_unary_unary(self,$/;" m class:_GenericStub +blocking_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def blocking_unary_unary(self,$/;" m class:GenericStub +BlockLSTM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^BlockLSTM = tf_export("raw_ops.BlockLSTM")(_ops.to_raw_op(block_lstm))$/;" v +BlockLSTMGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^BlockLSTMGrad = tf_export("raw_ops.BlockLSTMGrad")(_ops.to_raw_op(block_lstm_grad))$/;" v +BlockLSTMGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^BlockLSTMGradV2 = tf_export("raw_ops.BlockLSTMGradV2")(_ops.to_raw_op(block_lstm_grad_v2))$/;" v +BlockLSTMV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^BlockLSTMV2 = tf_export("raw_ops.BlockLSTMV2")(_ops.to_raw_op(block_lstmv2))$/;" v +BlockMap adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockMap(self, j):$/;" m class:SparsityParameters +BlockMapAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockMapAsNumpy(self):$/;" m class:SparsityParameters +BlockMapIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockMapIsNone(self):$/;" m class:SparsityParameters +BlockMapLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockMapLength(self):$/;" m class:SparsityParameters +BlockMappingStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class BlockMappingStartToken(Token):$/;" c +BlockParser adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^class BlockParser:$/;" c +BlockProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class BlockProcessor:$/;" c +BlockQuoteProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class BlockQuoteProcessor(BlockProcessor):$/;" c +BlockSequenceStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class BlockSequenceStartToken(Token):$/;" c +blocksize adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ blocksize = 1024$/;" v class:ExFileObject +blocksize adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ blocksize = 16 * 1024$/;" v class:_BZ2Proxy +BLOCKSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^BLOCKSIZE = 512 # length of processing blocks$/;" v +blocksize adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ blocksize = property(fget=_get_blocksize)$/;" v class:bsr_matrix +BlockSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockSize(self):$/;" m class:DepthToSpaceOptions +BlockSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BlockSize(self):$/;" m class:SpaceToDepthOptions +BLOCK_CONFIG adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^BLOCK_CONFIG = {$/;" v +block_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def block_depth(self):$/;" m class:_BaseLinearOperatorCirculant +block_diag adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def block_diag(*arrs):$/;" f +block_diag adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def block_diag(*arrs):$/;" f +block_diag adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def block_diag(mats, format=None, dtype=None):$/;" f +block_diag adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def block_diag(*tensors):$/;" f +BLOCK_LEVEL_ELEMENTS adpepsenv/lib/python3.8/site-packages/markdown/util.py /^BLOCK_LEVEL_ELEMENTS = [$/;" v +block_listed_operators adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset7.py /^block_listed_operators = [$/;" v +block_listed_operators adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^block_listed_operators = [$/;" v +block_lstm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, forget_bias=1, cell_clip=3,/;" f +block_lstmv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstmv2(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, cell_clip=0, use_peephole/;" f +block_lstmv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstmv2_eager_fallback(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, cell_clip,/;" f +block_lstm_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm_eager_fallback(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, forget_bias,/;" f +block_lstm_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm_grad(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, i, cs, f, o, ci, co, h/;" f +block_lstm_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm_grad_eager_fallback(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, i, cs, /;" f +block_lstm_grad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm_grad_v2(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, i, cs, f, o, ci, co/;" f +block_lstm_grad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def block_lstm_grad_v2_eager_fallback(seq_len_max, x, cs_prev, h_prev, w, wci, wcf, wco, b, i, c/;" f +BLOCK_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ BLOCK_RE = re.compile(r'\\n[ ]*{}[ ]*$'.format(BASE_RE))$/;" v class:AttrListTreeprocessor +block_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def block_shape(self):$/;" m class:_BaseLinearOperatorCirculant +block_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def block_shape_tensor(self):$/;" m class:_BaseLinearOperatorCirculant +block_statement adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def block_statement(f):$/;" f +block_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def block_suffix(self, node, indent_level):$/;" m class:AstAnnotator +block_until_ready adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def block_until_ready(self):$/;" m class:ShardedDeviceArray +block_until_ready adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def block_until_ready(self):$/;" m class:_DeviceArray +block_whitespace adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def block_whitespace(self, indent_level):$/;" m class:TokenGenerator +BLP1Decoder adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^class BLP1Decoder(_BLPBaseDecoder):$/;" c +BLP2Decoder adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^class BLP2Decoder(_BLPBaseDecoder):$/;" c +BLPFormatError adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^class BLPFormatError(NotImplementedError):$/;" c +BlpImageFile adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^class BlpImageFile(ImageFile.ImageFile):$/;" c +BLP_ALPHA_ENCODING_DXT1 adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ALPHA_ENCODING_DXT1 = 0$/;" v +BLP_ALPHA_ENCODING_DXT3 adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ALPHA_ENCODING_DXT3 = 1$/;" v +BLP_ALPHA_ENCODING_DXT5 adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ALPHA_ENCODING_DXT5 = 7$/;" v +BLP_ENCODING_DXT adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ENCODING_DXT = 2$/;" v +BLP_ENCODING_UNCOMPRESSED adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ENCODING_UNCOMPRESSED = 1$/;" v +BLP_ENCODING_UNCOMPRESSED_RAW_BGRA adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_ENCODING_UNCOMPRESSED_RAW_BGRA = 3$/;" v +BLP_FORMAT_JPEG adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^BLP_FORMAT_JPEG = 0$/;" v +bltn_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^bltn_open = open$/;" v +BLUE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ BLUE = 34$/;" v class:AnsiFore +BLUE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ BLUE = 44$/;" v class:AnsiBack +BLUE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ BLUE = 1$/;" v class:WinColor +BlueEmojiBar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class BlueEmojiBar(IncrementalBar):$/;" c +blue_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def blue_text(s):$/;" f +BLUR adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class BLUR(BuiltinFilter):$/;" c +bmat adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^def bmat(obj, ldict=None, gdict=None):$/;" f +bmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def bmat(blocks, format=None, dtype=None):$/;" f +bmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^from numpy import block as bmat$/;" x +bmm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def bmm(g, self, other):$/;" f +bmm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def bmm(g, self, other):$/;" f +BmpImageFile adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^class BmpImageFile(ImageFile.ImageFile):$/;" c +BMPString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class BMPString(AbstractCharacterString):$/;" c +BMPString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BMPString(char.BMPString):$/;" c +BMPStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class BMPStringDecoder(OctetStringDecoder):$/;" c +bmuf_process adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^def bmuf_process(filestore_dir, process_id, shared_results,$/;" f +bnds adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ bnds = array([[-inf]*2, [inf]*2]).T$/;" v +bnds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ bnds = ((0, None), (0, None))$/;" v class:TestOldToNew +BNReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class BNReLU2d(torch.nn.Sequential):$/;" c +BNReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^class BNReLU2d(nnq.BatchNorm2d):$/;" c +BNReLU3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class BNReLU3d(torch.nn.Sequential):$/;" c +BNReLU3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^class BNReLU3d(nnq.BatchNorm3d):$/;" c +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: np.sqrt(k)$/;" f function:roots_hermitenorm file: +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: np.sqrt(k\/2.0)$/;" f function:roots_hermite file: +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: -np.sqrt(k * (k + alpha))$/;" f function:roots_genlaguerre file: +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: 2.0 \/ (2.0*k+a+b)*np.sqrt((k+a)*(k+b) \/ (2*k+a+b+1)) \\$/;" f function:roots_jacobi file: +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: k * np.sqrt(1.0 \/ (4 * k * k - 1))$/;" f function:roots_legendre file: +bn_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ bn_func = lambda k: np.sqrt(k * (k + 2 * alpha - 1)$/;" f function:roots_gegenbauer file: +BN_NET adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^BN_NET = BatchNormNet()$/;" v +boarders_to_range adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def boarders_to_range(boarders):$/;" f member:TestGatherRanges.test_gather_ranges file: +boc adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^from . import byteordercodes as boc$/;" x +boc adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^import scipy.io.matlab.byteordercodes as boc$/;" I +boc adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^import scipy.io.matlab.byteordercodes as boc$/;" I +bode adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def bode(self, w=None, n=100):$/;" m class:dlti +bode adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def bode(self, w=None, n=100):$/;" m class:lti +bode adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def bode(system, w=None, n=100):$/;" f +body adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def body(idx_carry):$/;" f function:ControlFlowOpsTest.test_while.func file: +body adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def body(res0, inputs):$/;" f function:ControlFlowOpsTest.test_scan.f_jax file: +body adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def body(res0, inputs):$/;" f function:ControlFlowOpsTest.test_scan_partial_eval.f_jax file: +body adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def body(i, dst):$/;" f function:_memcpy file: +body adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^ def body(k, state):$/;" f function:_lu_unblocked file: +body adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^ def body(state):$/;" f function:line_search file: +body adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^ def body(state):$/;" f function:_zoom file: +BODY adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^BODY = 'body'$/;" v +body adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def body(self):$/;" m class:HashError +body adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def body(self):$/;" m class:HashMismatch +body adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def body(self):$/;" m class:HashMissing +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def body(i):$/;" f member:SessionDebugTestBase.testDebugWhileLoopGeneratesMultipleDumps file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def body(i, *args):$/;" f member:MirroredExtended._experimental_run_steps_on_iterator file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def body(i, *args):$/;" f member:OneDeviceExtended._experimental_run_steps_on_iterator file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ body = lambda i, lv: (i + 1, orig_body(*lv))$/;" f function:while_loop file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ body = lambda i, lv: (i + 1, orig_body(lv))$/;" f function:while_loop file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def body(i, num_elems, *args):$/;" f function:_scan file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def body(not_all_done, indices, *args):$/;" f member:WhileOp.__call__ file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def body(not_all_done, indices, *args):$/;" f member:WhileV2.__call__ file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def body(time, elements_finished, current_input, emit_ta, state,$/;" f function:raw_rnn file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/util_ops.py /^ body = lambda a, b: [b, math_ops.mod(a, b)]$/;" f function:gcd file: +body adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def body(grads, ready_to_update, is_first_iteration):$/;" f function:_compute_gradients_until_finite file: +bodyIdMax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^bodyIdMax = univ.Integer(4294967295)$/;" v +bodyIdMax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^bodyIdMax = univ.Integer(4294967295)$/;" v +BodyNotHttplibCompatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class BodyNotHttplibCompatible(HTTPError):$/;" c +BodyNotHttplibCompatible adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class BodyNotHttplibCompatible(HTTPError):$/;" c +BodyPartID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class BodyPartID(univ.Integer):$/;" c +BodyPartID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class BodyPartID(univ.Integer):$/;" c +BodyPartList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class BodyPartList(univ.SequenceOf):$/;" c +BodyPartList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class BodyPartList(univ.SequenceOf):$/;" c +BodyPartPath adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class BodyPartPath(univ.SequenceOf):$/;" c +BodyPartPath adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class BodyPartPath(univ.SequenceOf):$/;" c +BodyPartReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class BodyPartReference(univ.Choice):$/;" c +BodyPartReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class BodyPartReference(univ.Choice):$/;" c +BodySubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BodySubgraphIndex(self):$/;" m class:WhileOptions +BODY_DEFINITELY_RETURNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^BODY_DEFINITELY_RETURNS = 'BODY_DEFINITELY_RETURNS'$/;" v +body_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def body_fn(carry):$/;" f function:_poisson_knuth file: +body_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def body_fn(carry):$/;" f function:_poisson_rejection file: +body_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def body_fn(i, array):$/;" f member:RadialConstraint._kernel_constraint file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def body_fun(carry, x):$/;" f function:ControlFlowOpsTest.test_scan_remat.f_jax file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def body_fun(state):$/;" f function:_odeint.scan_fun file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def body_fun(i, k):$/;" f function:runge_kutta_step file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def body_fun(vals):$/;" f function:_scan_impl_loop file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def body_fun(i, state):$/;" f function:_searchsorted file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^ def body_fun(state):$/;" f function:minimize_bfgs file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def body_fun(value):$/;" f function:_cg_solve file: +body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def body_fun(value):$/;" f function:_gmres_solve file: +body_function adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def body_function(carry):$/;" f function:_iterative_classical_gram_schmidt file: +BODY_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ BODY_SCOPE = ($/;" v class:Static +BODY_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ BODY_SCOPE = ($/;" v class:NodeAnno +body_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^ def body_wrapper(*inputs):$/;" f function:while_loop file: +body_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^ def body_wrapper(i, *args):$/;" f function:repeat file: +bogusCommentState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def bogusCommentState(self):$/;" m class:HTMLTokenizer +bogusCommentState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def bogusCommentState(self):$/;" m class:HTMLTokenizer +bogusDoctypeState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def bogusDoctypeState(self):$/;" m class:HTMLTokenizer +bogusDoctypeState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def bogusDoctypeState(self):$/;" m class:HTMLTokenizer +bohman adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def bohman(M, sym=True):$/;" f +BOLD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^BOLD = "\\033[1m"$/;" v +BOLD adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ BOLD = '\\033[1m'$/;" v class:bcolors +boltzmann adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^boltzmann = boltzmann_gen(name='boltzmann', a=0,$/;" v +boltzmann_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class boltzmann_gen(rv_discrete):$/;" c +BOMS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/encoding.py /^BOMS = [$/;" v +bone adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def bone():$/;" f +BOOKMARKS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^BOOKMARKS_ROUTE = "\/bookmarks"$/;" v +bool adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^bool = DType(types_pb2.DT_BOOL) # pylint: disable=redefined-builtin$/;" v +BOOL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ BOOL = 6$/;" v class:TensorType +BOOL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^BOOL = 7$/;" v +bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^bool = DType(types_pb2.DT_BOOL) # pylint: disable=redefined-builtin$/;" v +bool adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def bool(self):$/;" m class:_StorageBase +BoolByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def BoolByteSize(field_number, b):$/;" f +BoolDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^BoolDecoder = _ModifiedDecoder($/;" v +boolean adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^boolean = struct.Struct(compat.struct_bool_decl)$/;" v +boolean adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def boolean(self):$/;" m class:_LazyDtypes +Boolean adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^Boolean = c_bool$/;" v +Boolean adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Boolean(Integer):$/;" c +boolean adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^boolean = _Boolean()$/;" v +Boolean adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^Boolean = c_bool$/;" v +booleanAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^booleanAttributes = {$/;" v +booleanAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^booleanAttributes = {$/;" v +BooleanDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class BooleanDecoder(IntegerDecoder):$/;" c +BooleanDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^class BooleanDecoder(decoder.AbstractSimpleDecoder):$/;" c +BooleanEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class BooleanEncoder(AbstractItemEncoder):$/;" c +BooleanEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class BooleanEncoder(encoder.IntegerEncoder):$/;" c +BooleanEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class BooleanEncoder(AbstractItemEncoder):$/;" c +BooleanFlag adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^class BooleanFlag(Flag):$/;" c +BooleanFlag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^BooleanFlag = _flag.BooleanFlag$/;" v +BooleanParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class BooleanParser(ArgumentParser):$/;" c +BooleanParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^BooleanParser = _argument_parser.BooleanParser$/;" v +boolean_dispatch adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def boolean_dispatch(arg_name, arg_index, default, if_true, if_false, module_name, func_name):$/;" f +boolean_dispatched adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^boolean_dispatched: 'weakref.WeakKeyDictionary[Callable, Dict[str, Callable]]' = weakref.WeakKey/;" v +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def boolean_mask(tensor, mask, name="boolean_mask", axis=None):$/;" f +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def boolean_mask(data, mask, name=None):$/;" f +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import boolean_mask_v2 as boolean_mask$/;" x +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import boolean_mask_v2 as boolean_mask$/;" x +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import boolean_mask_v2 as boolean_mask$/;" x +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import boolean_mask_v2 as boolean_mask$/;" x +boolean_mask adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import boolean_mask_v2 as boolean_mask$/;" x +boolean_mask_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def boolean_mask_v2(tensor, mask, axis=None, name="boolean_mask"):$/;" f +boolean_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ boolean_options = old_build_clib.boolean_options + ['inplace', 'warn-error']$/;" v class:build_clib +boolean_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ boolean_options = old_build_ext.boolean_options + ['warn-error']$/;" v class:build_ext +boolean_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ boolean_options = ['force', 'inplace', 'verbose-cfg']$/;" v class:build_src +boolean_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ boolean_options = ['debug', 'noopt', 'noarch']$/;" v class:config_fc +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ boolean_options = option_base.boolean_options + ['remove']$/;" v class:alias +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ boolean_options = [$/;" v class:bdist_egg +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ boolean_options = easy_install.boolean_options + ['uninstall']$/;" v class:develop +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ boolean_options = [$/;" v class:easy_install +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ boolean_options = ['tag-date']$/;" v class:egg_info +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ boolean_options = orig.install.boolean_options + [$/;" v class:install +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ boolean_options = []$/;" v class:rotate +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ boolean_options = option_base.boolean_options + ['remove']$/;" v class:setopt +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ boolean_options = [$/;" v class:option_base +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ boolean_options = upload.boolean_options$/;" v class:upload_docs +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ boolean_options = ['skip-build']$/;" v class:bdist +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ boolean_options = ['keep-temp', 'skip-build', 'relative']$/;" v class:bdist_dumb +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ boolean_options = ['keep-temp', 'no-target-compile', 'no-target-optimize',$/;" v class:bdist_msi +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ boolean_options = ['keep-temp', 'use-rpm-opt-flags', 'rpm3-mode',$/;" v class:bdist_rpm +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ boolean_options = ['keep-temp', 'no-target-compile', 'no-target-optimize',$/;" v class:bdist_wininst +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ boolean_options = ['debug', 'force']$/;" v class:build +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ boolean_options = ['debug', 'force']$/;" v class:build_clib +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ boolean_options = ['inplace', 'debug', 'force', 'swig-cpp', 'user']$/;" v class:build_ext +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ boolean_options = ['compile', 'force']$/;" v class:build_py +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ boolean_options = ['force']$/;" v class:build_scripts +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ boolean_options = ['metadata', 'restructuredtext', 'strict']$/;" v class:check +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ boolean_options = ['all']$/;" v class:clean +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ boolean_options = ['compile', 'force', 'skip-build']$/;" v class:install +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ boolean_options = ['force']$/;" v class:install_data +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ boolean_options = ['force']$/;" v class:install_headers +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ boolean_options = ['force', 'compile', 'skip-build']$/;" v class:install_lib +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ boolean_options = ['force', 'skip-build']$/;" v class:install_scripts +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ boolean_options = PyPIRCCommand.boolean_options + [$/;" v class:register +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ boolean_options = ['use-defaults', 'prune',$/;" v class:sdist +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ boolean_options = PyPIRCCommand.boolean_options + ['sign']$/;" v class:upload +boolean_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ boolean_options = ['show-response']$/;" v class:PyPIRCCommand +boolean_options adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ boolean_options = ['force']$/;" v class:InstallHeaders +boolean_options adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ boolean_options = ['keep-temp', 'skip-build', 'relative', 'universal']$/;" v class:bdist_wheel +boolean_options versioneer.py /^ boolean_options = []$/;" v class:get_cmdclass.cmd_version +BoolEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def BoolEncoder(field_number, is_repeated, is_packed):$/;" f +BoolErrors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class BoolErrors:$/;" c member:TestNonzero.test_nonzero_invalid_object file: +BoolFlags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class BoolFlags(object):$/;" c +BoolFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class BoolFormat:$/;" c +BoolGauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class BoolGauge(Metric):$/;" c +BoolGaugeCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class BoolGaugeCell(object):$/;" c +BoolNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def BoolNet(*blobs_with_bool_value):$/;" f +BOOLOP adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^BOOLOP = L("and") | L("or")$/;" v +BOOLOP adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^BOOLOP = L("and") | L("or")$/;" v +BOOLOP adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^BOOLOP = L("and") | L("or")$/;" v +boolops adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ boolops = {ast.And: 'and', ast.Or: 'or'}$/;" v class:Unparser +boolop_map adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ boolop_map = {$/;" v class:ExprBuilder +BoolSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^BoolSizer = _FixedSizer(1)$/;" v +BoolStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class BoolStorage(_CudaBase, torch._C.CudaBoolStorageBase, _StorageBase):$/;" c +BoolStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class BoolStorage(_C.BoolStorageBase, _StorageBase):$/;" c +BoolValue adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^BoolValue = _reflection.GeneratedProtocolMessageType('BoolValue', (_message.Message,), {$/;" v +bool_ adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^bool_ = np.bool_$/;" v +bool_ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^bool_ = _make_scalar_type(np.bool_)$/;" v +bool_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^bool_ = np_export.np_export_constant(__name__, 'bool_', np.bool_)$/;" v +bool_env adpepsenv/lib/python3.8/site-packages/jax/config.py /^def bool_env(varname: str, default: bool) -> bool:$/;" f +bool_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^bool_ref = DType(types_pb2.DT_BOOL_REF)$/;" v +bool_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^bool_ref = DType(types_pb2.DT_BOOL_REF)$/;" v +bool_to_int8 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def bool_to_int8(f, argnums):$/;" f +bool_values adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ bool_values = {$/;" v class:SafeConstructor +Boom adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Boom:$/;" c member:TestMethods.test_sort_bad_ordering file: +BoostedTreesAggregateStats adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesAggregateStats = tf_export("raw_ops.BoostedTreesAggregateStats")(_ops.to_raw_op(boos/;" v +BoostedTreesBucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesBucketize = tf_export("raw_ops.BoostedTreesBucketize")(_ops.to_raw_op(boosted_trees_/;" v +BoostedTreesCalculateBestFeatureSplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCalculateBestFeatureSplit = tf_export("raw_ops.BoostedTreesCalculateBestFeatureSplit/;" v +BoostedTreesCalculateBestFeatureSplitV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCalculateBestFeatureSplitV2 = tf_export("raw_ops.BoostedTreesCalculateBestFeatureSpl/;" v +BoostedTreesCalculateBestGainsPerFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCalculateBestGainsPerFeature = tf_export("raw_ops.BoostedTreesCalculateBestGainsPerF/;" v +BoostedTreesCenterBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCenterBias = tf_export("raw_ops.BoostedTreesCenterBias")(_ops.to_raw_op(boosted_tree/;" v +BoostedTreesClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class BoostedTreesClassifier(_BoostedTreesBase):$/;" c +BoostedTreesCreateEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCreateEnsemble = tf_export("raw_ops.BoostedTreesCreateEnsemble")(_ops.to_raw_op(boos/;" v +BoostedTreesCreateQuantileStreamResource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesCreateQuantileStreamResource = tf_export("raw_ops.BoostedTreesCreateQuantileStreamRe/;" v +BoostedTreesDeserializeEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesDeserializeEnsemble = tf_export("raw_ops.BoostedTreesDeserializeEnsemble")(_ops.to_r/;" v +BoostedTreesEnsembleResourceHandleOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesEnsembleResourceHandleOp = tf_export("raw_ops.BoostedTreesEnsembleResourceHandleOp")/;" v +BoostedTreesEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class BoostedTreesEstimator(_BoostedTreesBase): # pylint: disable=protected-access$/;" c +BoostedTreesExampleDebugOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesExampleDebugOutputs = tf_export("raw_ops.BoostedTreesExampleDebugOutputs")(_ops.to_r/;" v +BoostedTreesFlushQuantileSummaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesFlushQuantileSummaries = tf_export("raw_ops.BoostedTreesFlushQuantileSummaries")(_op/;" v +BoostedTreesGetEnsembleStates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesGetEnsembleStates = tf_export("raw_ops.BoostedTreesGetEnsembleStates")(_ops.to_raw_o/;" v +BoostedTreesMakeQuantileSummaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesMakeQuantileSummaries = tf_export("raw_ops.BoostedTreesMakeQuantileSummaries")(_ops./;" v +BoostedTreesMakeStatsSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesMakeStatsSummary = tf_export("raw_ops.BoostedTreesMakeStatsSummary")(_ops.to_raw_op(/;" v +BoostedTreesPredict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesPredict = tf_export("raw_ops.BoostedTreesPredict")(_ops.to_raw_op(boosted_trees_pred/;" v +BoostedTreesQuantileStreamResourceAddSummaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesQuantileStreamResourceAddSummaries = tf_export("raw_ops.BoostedTreesQuantileStreamRe/;" v +BoostedTreesQuantileStreamResourceDeserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesQuantileStreamResourceDeserialize = tf_export("raw_ops.BoostedTreesQuantileStreamRes/;" v +BoostedTreesQuantileStreamResourceFlush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesQuantileStreamResourceFlush = tf_export("raw_ops.BoostedTreesQuantileStreamResourceF/;" v +BoostedTreesQuantileStreamResourceGetBucketBoundaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesQuantileStreamResourceGetBucketBoundaries = tf_export("raw_ops.BoostedTreesQuantileS/;" v +BoostedTreesQuantileStreamResourceHandleOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesQuantileStreamResourceHandleOp = tf_export("raw_ops.BoostedTreesQuantileStreamResour/;" v +BoostedTreesRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class BoostedTreesRegressor(_BoostedTreesBase):$/;" c +BoostedTreesSerializeEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesSerializeEnsemble = tf_export("raw_ops.BoostedTreesSerializeEnsemble")(_ops.to_raw_o/;" v +BoostedTreesSparseAggregateStats adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesSparseAggregateStats = tf_export("raw_ops.BoostedTreesSparseAggregateStats")(_ops.to/;" v +BoostedTreesSparseCalculateBestFeatureSplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesSparseCalculateBestFeatureSplit = tf_export("raw_ops.BoostedTreesSparseCalculateBest/;" v +BoostedTreesTrainingPredict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesTrainingPredict = tf_export("raw_ops.BoostedTreesTrainingPredict")(_ops.to_raw_op(bo/;" v +BoostedTreesUpdateEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesUpdateEnsemble = tf_export("raw_ops.BoostedTreesUpdateEnsemble")(_ops.to_raw_op(boos/;" v +BoostedTreesUpdateEnsembleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^BoostedTreesUpdateEnsembleV2 = tf_export("raw_ops.BoostedTreesUpdateEnsembleV2")(_ops.to_raw_op(/;" v +boosted_trees_aggregate_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_aggregate_stats(node_ids, gradients, hessians, feature, max_splits, num_bucket/;" f +boosted_trees_aggregate_stats_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_aggregate_stats_eager_fallback(node_ids, gradients, hessians, feature, max_spl/;" f +boosted_trees_bucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_bucketize(float_values, bucket_boundaries, name=None):$/;" f +boosted_trees_bucketize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_bucketize_eager_fallback(float_values, bucket_boundaries, name, ctx):$/;" f +boosted_trees_calculate_best_feature_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_feature_split(node_id_range, stats_summary, l1, l2, tree_comple/;" f +boosted_trees_calculate_best_feature_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_feature_split_eager_fallback(node_id_range, stats_summary, l1, /;" f +boosted_trees_calculate_best_feature_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_feature_split_v2(node_id_range, stats_summaries_list, split_typ/;" f +boosted_trees_calculate_best_feature_split_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_feature_split_v2_eager_fallback(node_id_range, stats_summaries_/;" f +boosted_trees_calculate_best_gains_per_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_gains_per_feature(node_id_range, stats_summary_list, l1, l2, tr/;" f +boosted_trees_calculate_best_gains_per_feature_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_calculate_best_gains_per_feature_eager_fallback(node_id_range, stats_summary_l/;" f +boosted_trees_center_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_center_bias(tree_ensemble_handle, mean_gradients, mean_hessians, l1, l2, name=/;" f +boosted_trees_center_bias_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_center_bias_eager_fallback(tree_ensemble_handle, mean_gradients, mean_hessians/;" f +boosted_trees_create_ensemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_create_ensemble(tree_ensemble_handle, stamp_token, tree_ensemble_serialized, n/;" f +boosted_trees_create_ensemble_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_create_ensemble_eager_fallback(tree_ensemble_handle, stamp_token, tree_ensembl/;" f +boosted_trees_create_quantile_stream_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_create_quantile_stream_resource(quantile_stream_resource_handle, epsilon, num_/;" f +boosted_trees_create_quantile_stream_resource_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_create_quantile_stream_resource_eager_fallback(quantile_stream_resource_handle/;" f +boosted_trees_deserialize_ensemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_deserialize_ensemble(tree_ensemble_handle, stamp_token, tree_ensemble_serializ/;" f +boosted_trees_deserialize_ensemble_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_deserialize_ensemble_eager_fallback(tree_ensemble_handle, stamp_token, tree_en/;" f +boosted_trees_ensemble_resource_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_ensemble_resource_handle_op(container="", shared_name="", name=None):$/;" f +boosted_trees_ensemble_resource_handle_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_ensemble_resource_handle_op_eager_fallback(container, shared_name, name, ctx):$/;" f +boosted_trees_example_debug_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_example_debug_outputs(tree_ensemble_handle, bucketized_features, logits_dimens/;" f +boosted_trees_example_debug_outputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_example_debug_outputs_eager_fallback(tree_ensemble_handle, bucketized_features/;" f +boosted_trees_flush_quantile_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_flush_quantile_summaries(quantile_stream_resource_handle, num_features, name=N/;" f +boosted_trees_flush_quantile_summaries_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_flush_quantile_summaries_eager_fallback(quantile_stream_resource_handle, num_f/;" f +boosted_trees_get_ensemble_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_get_ensemble_states(tree_ensemble_handle, name=None):$/;" f +boosted_trees_get_ensemble_states_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_get_ensemble_states_eager_fallback(tree_ensemble_handle, name, ctx):$/;" f +boosted_trees_make_quantile_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_make_quantile_summaries(float_values, example_weights, epsilon, name=None):$/;" f +boosted_trees_make_quantile_summaries_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_make_quantile_summaries_eager_fallback(float_values, example_weights, epsilon,/;" f +boosted_trees_make_stats_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_make_stats_summary(node_ids, gradients, hessians, bucketized_features_list, ma/;" f +boosted_trees_make_stats_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_make_stats_summary_eager_fallback(node_ids, gradients, hessians, bucketized_fe/;" f +boosted_trees_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_predict(tree_ensemble_handle, bucketized_features, logits_dimension, name=None/;" f +boosted_trees_predict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_predict_eager_fallback(tree_ensemble_handle, bucketized_features, logits_dimen/;" f +boosted_trees_quantile_stream_resource_add_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_add_summaries(quantile_stream_resource_handle, summar/;" f +boosted_trees_quantile_stream_resource_add_summaries_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_add_summaries_eager_fallback(quantile_stream_resource/;" f +boosted_trees_quantile_stream_resource_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_deserialize(quantile_stream_resource_handle, bucket_b/;" f +boosted_trees_quantile_stream_resource_deserialize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_deserialize_eager_fallback(quantile_stream_resource_h/;" f +boosted_trees_quantile_stream_resource_flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_flush(quantile_stream_resource_handle, num_buckets, g/;" f +boosted_trees_quantile_stream_resource_flush_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_flush_eager_fallback(quantile_stream_resource_handle,/;" f +boosted_trees_quantile_stream_resource_get_bucket_boundaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_get_bucket_boundaries(quantile_stream_resource_handle/;" f +boosted_trees_quantile_stream_resource_get_bucket_boundaries_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_get_bucket_boundaries_eager_fallback(quantile_stream_/;" f +boosted_trees_quantile_stream_resource_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_handle_op(container="", shared_name="", name=None):$/;" f +boosted_trees_quantile_stream_resource_handle_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_quantile_stream_resource_handle_op_eager_fallback(container, shared_name, name/;" f +boosted_trees_serialize_ensemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_serialize_ensemble(tree_ensemble_handle, name=None):$/;" f +boosted_trees_serialize_ensemble_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_serialize_ensemble_eager_fallback(tree_ensemble_handle, name, ctx):$/;" f +boosted_trees_sparse_aggregate_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_sparse_aggregate_stats(node_ids, gradients, hessians, feature_indices, feature/;" f +boosted_trees_sparse_aggregate_stats_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_sparse_aggregate_stats_eager_fallback(node_ids, gradients, hessians, feature_i/;" f +boosted_trees_sparse_calculate_best_feature_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_sparse_calculate_best_feature_split(node_id_range, stats_summary_indices, stat/;" f +boosted_trees_sparse_calculate_best_feature_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_sparse_calculate_best_feature_split_eager_fallback(node_id_range, stats_summar/;" f +boosted_trees_training_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_training_predict(tree_ensemble_handle, cached_tree_ids, cached_node_ids, bucke/;" f +boosted_trees_training_predict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_training_predict_eager_fallback(tree_ensemble_handle, cached_tree_ids, cached_/;" f +boosted_trees_update_ensemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_update_ensemble(tree_ensemble_handle, feature_ids, node_ids, gains, thresholds/;" f +boosted_trees_update_ensemble_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_update_ensemble_eager_fallback(tree_ensemble_handle, feature_ids, node_ids, ga/;" f +boosted_trees_update_ensemble_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_update_ensemble_v2(tree_ensemble_handle, feature_ids, dimension_ids, node_ids,/;" f +boosted_trees_update_ensemble_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def boosted_trees_update_ensemble_v2_eager_fallback(tree_ensemble_handle, feature_ids, dimension/;" f +boost_python_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class boost_python_info(system_info):$/;" c +BOOST_TESTS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^BOOST_TESTS = [$/;" v +bootstrap adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def bootstrap(options):$/;" f function:main file: +bootstrap adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def bootstrap():$/;" f +bootstrap_install_from adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^bootstrap_install_from = None$/;" v +bootup adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def bootup(self, wait=True):$/;" m class:MPIPoolExecutor +bot adpepsenv/lib/python3.8/site-packages/jax/core.py /^bot = Bot()$/;" v +Bot adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Bot(AbstractValue): pass$/;" c +both_mapped adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def both_mapped(in_out_axis, d):$/;" f member:BatchTrace.process_map file: +both_mapped adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def both_mapped(in_out_axis, d):$/;" f member:BatchTrace.post_process_map file: +BottleneckAnalysis adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^BottleneckAnalysis = _reflection.GeneratedProtocolMessageType('BottleneckAnalysis', (_message.Me/;" v +bottleneck_block adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def bottleneck_block($/;" m class:ResNetModelHelper +bound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def bound(self, *args):$/;" m class:TestLeaks.A +boundary adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ boundary = b'----------ThIs_Is_tHe_distlib_index_bouNdaRY_$'$/;" v class:PackageIndex +BoundaryNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class BoundaryNorm(Normalize):$/;" c +BoundedAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^BoundedAdagradParameters = _reflection.GeneratedProtocolMessageType('BoundedAdagradParameters', /;" v +BoundedGradientProjection adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class BoundedGradientProjection(Regularizer):$/;" c +BoundedRosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class BoundedRosenbrock(Rosenbrock):$/;" c +BoundedTensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^class BoundedTensorSpec(TensorSpec):$/;" c +BoundedTensorSpecProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^BoundedTensorSpecProto = _reflection.GeneratedProtocolMessageType('BoundedTensorSpecProto', (_me/;" v +BoundedTensorSpecProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^BoundedTensorSpecProto = _reflection.GeneratedProtocolMessageType('BoundedTensorSpecProto', (_me/;" v +bounded_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def bounded_string(self, s):$/;" m class:TomlDecoder +bounded_uint adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending.pyx /^cdef uint32_t bounded_uint(uint32_t lb, uint32_t ub, bitgen_t *rng) nogil:$/;" f +bounded_uint adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^def bounded_uint(lb, ub, state):$/;" f +bounded_uints adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending.pyx /^def bounded_uints(uint32_t lb, uint32_t ub, Py_ssize_t n):$/;" f +bounded_uints adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^def bounded_uints(lb, ub, n, state):$/;" f +BoundFunctionWrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class BoundFunctionWrapper(_FunctionWrapperBase):$/;" c +bounding_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def bounding_shape(self, axis=None, name=None, out_type=None):$/;" m class:RaggedTensor +BoundMethodDispatcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^class BoundMethodDispatcher(Mapping):$/;" c +bounds adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def bounds(self) -> Tuple[Optional[int], Optional[int]]:$/;" m class:Poly +bounds adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def bounds(self):$/;" m class:BboxBase +bounds adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def bounds(self, bounds):$/;" m class:Bbox +bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^bounds = None$/;" v +Bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^class Bounds(object):$/;" c +boundsLJ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^boundsLJ = list(zip([-4.0] * 6, [4.0] * 6))$/;" v +BoundsMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class BoundsMixin(object):$/;" c +bound_async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def bound_async_add(self, to, x, y, z):$/;" m class:AsyncExecutionClass +bound_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def bound_f():$/;" f function:also_run_as_tf_function.decorated file: +bound_method_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def bound_method_wrapper(*args, **kwargs):$/;" f function:class_method_to_instance_method file: +bound_param_test adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def bound_param_test(self):$/;" f function:_ParameterizedTestIter.__iter__.make_bound_param_test file: +Box adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^Box = namedtuple('Box', 'x y height width')$/;" v +Box adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Box(Node):$/;" c +box adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def box(on=None):$/;" f +BOX adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^BOX = 4$/;" v +BoxBlur adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class BoxBlur(MultibandFilter):$/;" c +boxcar adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def boxcar(M, sym=True):$/;" f +boxcox adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double boxcox(double x0, double x1) nogil$/;" f +boxcox adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def boxcox(x, lmbda=None, alpha=None):$/;" f +boxcox1p adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double boxcox1p(double x0, double x1) nogil$/;" f +boxcox_llf adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def boxcox_llf(lmb, data):$/;" f +boxcox_normmax adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def boxcox_normmax(x, brack=(-2.0, 2.0), method='pearsonr'):$/;" f +boxcox_normplot adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def boxcox_normplot(x, la, lb, plot=None, N=80):$/;" f +boxes_area adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def boxes_area(boxes):$/;" f +boxplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def boxplot(self, x, notch=None, sym=None, vert=None, whis=None,$/;" m class:Axes +boxplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def boxplot($/;" f +boxplot_stats adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def boxplot_stats(X, whis=1.5, bootstrap=None, labels=None,$/;" f +BoxStyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class BoxStyle(_Style):$/;" c +box_intersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def box_intersections(z, d, lb, ub,$/;" f +box_sphere_intersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def box_sphere_intersections(z, d, lb, ub, trust_radius,$/;" f +box_with_nms_limit_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def box_with_nms_limit_ref():$/;" f member:TorchIntegration.test_box_with_nms_limits file: +bp adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ bp = lambda x: x$/;" f function:_info file: +BPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class BPoly(_PPolyBase):$/;" c +BPRLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bpr_loss.py /^class BPRLoss(ModelLayer):$/;" c +BRACELESS_IPV6_ADDRZ_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^BRACELESS_IPV6_ADDRZ_RE = re.compile("^" + IPV6_ADDRZ_PAT[2:-2] + "$")$/;" v +BRACELESS_IPV6_ADDRZ_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^BRACELESS_IPV6_ADDRZ_RE = re.compile("^" + IPV6_ADDRZ_PAT[2:-2] + "$")$/;" v +bracket adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def bracket(func, xa=0.0, xb=1.0, args=(), grow_limit=110.0, maxiter=1000):$/;" f +BracketA adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class BracketA(_Bracket):$/;" c class:ArrowStyle +BracketAB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class BracketAB(_Bracket):$/;" c class:ArrowStyle +BracketB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class BracketB(_Bracket):$/;" c class:ArrowStyle +bradford adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^bradford = bradford_gen(a=0.0, b=1.0, name='bradford')$/;" v +bradford_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class bradford_gen(rv_continuous):$/;" c +branch adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def branch(inputs, output, size_dict, memory_limit=None, **optimizer_kwargs):$/;" f +branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def branch(self):$/;" m class:CondContext +BranchBound adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^class BranchBound(PathOptimizer):$/;" c +branch_1 adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^branch_1 = functools.partial(branch, nbranch=1)$/;" v +branch_2 adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^branch_2 = functools.partial(branch, nbranch=2)$/;" v +branch_all adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^branch_all = functools.partial(branch, nbranch=None)$/;" v +braycurtis adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def braycurtis(u, v, w=None):$/;" f +breakdown_map adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def breakdown_map(self):$/;" m class:LayerModelHelper +breakdown_map adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def breakdown_map(self, breakdown_map):$/;" m class:LayerModelHelper +breaker adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def breaker(instring, loc, doActions=True, callPreParse=True):$/;" f member:ParserElement.setBreak file: +breaker adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def breaker(instring, loc, doActions=True, callPreParse=True):$/;" f member:ParserElement.setBreak file: +breaker adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def breaker(instring, loc, doActions=True, callPreParse=True):$/;" f member:ParserElement.setBreak file: +breaker adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def breaker(instring, loc, doActions=True, callPreParse=True):$/;" f member:ParserElement.setBreak file: +breakoutElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ breakoutElements = frozenset(["b", "big", "blockquote", "body", "br",$/;" v class:getPhases.InForeignContentPhase +breakoutElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ breakoutElements = frozenset(["b", "big", "blockquote", "body", "br",$/;" v class:getPhases.InForeignContentPhase +breakpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def breakpoints(self):$/;" m class:EventListenerBaseServicer +BreakTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^class BreakTransformer(converter.Base):$/;" c +break_args_options adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def break_args_options(line):$/;" f +break_cycles adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def break_cycles():$/;" f +break_now adpeps/tensor/config.py /^break_now = False$/;" v +Brent adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class Brent:$/;" c +brent adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def brent(func, args=(), brack=None, tol=1.48e-8, full_output=0, maxiter=500):$/;" f +brenth adpepsenv/lib/python3.8/site-packages/scipy/optimize/cython_optimize/_zeros.pxd /^cdef double brenth(callback_type f, double xa, double xb, void* args,$/;" f +brenth adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def brenth(f, a, b, args=(),$/;" f +brentq adpepsenv/lib/python3.8/site-packages/scipy/optimize/cython_optimize/_zeros.pxd /^cdef double brentq(callback_type f, double xa, double xb, void* args,$/;" f +brentq adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def brentq(f, a, b, args=(),$/;" f +BrewGPUTest adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^class BrewGPUTest(unittest.TestCase):$/;" c +BrewTest adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^class BrewTest(unittest.TestCase):$/;" c +BRIGHT adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ BRIGHT = 1$/;" v class:AnsiStyle +BRIGHT adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ BRIGHT = 0x08 # bright text, dim background$/;" v class:WinStyle +Brightness adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^class Brightness(_Enhance):$/;" c +BRIGHT_BACKGROUND adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ BRIGHT_BACKGROUND = 0x80 # dim text, bright background$/;" v class:WinStyle +broadcast adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def broadcast(*args):$/;" f member:NCCLOpsTest.test_nccl_broadcast file: +broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def broadcast(params):$/;" f function:_SyncAllParamsDistributed file: +broadcast adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def broadcast(self, target_shape):$/;" m class:FancySelection +broadcast adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def broadcast(self, target_shape):$/;" m class:Selection +broadcast adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def broadcast(self, target_shape):$/;" m class:SimpleSelection +broadcast adpepsenv/lib/python3.8/site-packages/jax/core.py /^ broadcast: ClassVar[Optional[aval_method]] = None$/;" v class:ShapedArray +broadcast adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def broadcast(x, sz, axis):$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def broadcast(operand, sizes):$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def broadcast(lexpr, shape, broadcast_dimensions):$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def broadcast(operand: Array, sizes: Sequence[int]) -> Array:$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def broadcast(x, dims, name=None):$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def broadcast(self, tensor, destinations):$/;" m class:CrossDeviceOps +broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def broadcast(tensor):$/;" f +BROADCAST adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ BROADCAST = 4$/;" v class:InputPipelineConfig +broadcast adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def broadcast(inputs: Sequence[torch.Tensor], root: int = 0, streams=None, comms=None) -> None:$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def broadcast(tensor,$/;" f +broadcast adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def broadcast(tensor, devices=None, *, out=None):$/;" f +Broadcast adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^class Broadcast(Function):$/;" c +broadcastable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def broadcastable(s1, s2):$/;" f member:TestUfunc.compare_matrix_multiply_results file: +BroadcastArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BroadcastArgs = tf_export("raw_ops.BroadcastArgs")(_ops.to_raw_op(broadcast_args))$/;" v +broadcasted_iota adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def broadcasted_iota(dtype: DType, shape: Shape, dimension: int) -> Array:$/;" f +BroadcastGradientArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BroadcastGradientArgs = tf_export("raw_ops.BroadcastGradientArgs")(_ops.to_raw_op(broadcast_grad/;" v +BroadcastingList1 adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^BroadcastingList1 = BroadcastingListCls()$/;" v +BroadcastingListCls adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^class BroadcastingListCls(object):$/;" c +broadcasting_binary_op_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ def broadcasting_binary_op_wrapper(x, y, broadcast_dims=None, name=None):$/;" f function:_broadcasting_binary_op file: +BroadcastTo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^BroadcastTo = tf_export("raw_ops.BroadcastTo")(_ops.to_raw_op(broadcast_to))$/;" v +broadcast_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def broadcast_address(self):$/;" m class:_BaseNetwork +broadcast_all adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def broadcast_all(*values):$/;" f +broadcast_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_args(s0, s1, name=None):$/;" f +broadcast_args_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_args_eager_fallback(s0, s1, name, ctx):$/;" f +broadcast_arrays adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def broadcast_arrays(*args):$/;" f +broadcast_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def broadcast_arrays(*args, subok=False):$/;" f +broadcast_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def broadcast_arrays(*args, **kwargs): # pylint: disable=missing-docstring$/;" f +broadcast_batcher adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def broadcast_batcher(prim, args, dims, **params):$/;" f +broadcast_coalesced adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def broadcast_coalesced(tensors, devices, buffer_size=10485760):$/;" f +broadcast_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def broadcast_dimension(self, axis, lengths):$/;" m class:RaggedTensorDynamicShape +broadcast_dynamic_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def broadcast_dynamic_shape(shape_x, shape_y):$/;" f +broadcast_dynamic_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^def broadcast_dynamic_shape(shape_x, shape_y):$/;" f +broadcast_gradient_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_gradient_args(s0, s1, name=None):$/;" f +broadcast_gradient_args_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_gradient_args_eager_fallback(s0, s1, name, ctx):$/;" f +broadcast_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def broadcast_implementation(self, tensor, destinations):$/;" m class:CrossDeviceOps +broadcast_into adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def broadcast_into(ndim, x, axis):$/;" f function:sm3 file: +broadcast_in_dim adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def broadcast_in_dim(operand, shape, broadcast_dimensions):$/;" f +broadcast_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def broadcast_in_dim(operand: Array, shape: Shape,$/;" f +broadcast_in_dim_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^broadcast_in_dim_p = standard_primitive($/;" v +broadcast_matrix_batch_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def broadcast_matrix_batch_dims(batch_matrices, name=None):$/;" f +broadcast_multigpu adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def broadcast_multigpu(tensor_list,$/;" f +broadcast_object_list adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def broadcast_object_list(object_list, src, group=group.WORLD):$/;" f +broadcast_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^broadcast_p = standard_primitive($/;" v +broadcast_parameters adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def broadcast_parameters(opts, model, num_xpus, broadcast_computed_param=False):$/;" f +broadcast_recv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def broadcast_recv(shape,$/;" f +broadcast_sample_weight_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def broadcast_sample_weight_modes(target_structure, sample_weight_modes):$/;" f +broadcast_send adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/collective_ops.py /^def broadcast_send(t,$/;" f +broadcast_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/common_shapes.py /^def broadcast_shape(shape_x, shape_y):$/;" f +broadcast_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def broadcast_shapes(*shapes):$/;" f +broadcast_static_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def broadcast_static_shape(shape_x, shape_y):$/;" f +broadcast_tensors adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def broadcast_tensors(*tensors):$/;" f +broadcast_to adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def broadcast_to(arr, shape):$/;" f +broadcast_to adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def broadcast_to(array, shape, subok=False):$/;" f +broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def broadcast_to(self, tensor, destinations):$/;" m class:StrategyExtendedV1 +broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_to(input, shape, name=None):$/;" f +broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def broadcast_to(array, shape): # pylint: disable=redefined-outer-name$/;" f +broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^def broadcast_to(rt_input, shape, broadcast_inner_dimensions=True):$/;" f +broadcast_to_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def broadcast_to_eager_fallback(input, shape, name, ctx):$/;" f +broadcast_to_rank adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def broadcast_to_rank(x: Array, rank: int) -> Array:$/;" f +broadcast_to_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def broadcast_to_rank(self, rank):$/;" m class:RaggedTensorDynamicShape +broadcast_warning adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^broadcast_warning = Warning(_set_backcompat_broadcast_warn, _get_backcompat_broadcast_warn)$/;" v +broadcast_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/weights_broadcast_ops.py /^def broadcast_weights(weights, values):$/;" f +brock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ brock = BoundedRosenbrock()$/;" v class:TestNewToOldSLSQP +BrokenBarHCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class BrokenBarHCollection(PolyCollection):$/;" c +BrokenBytesIO adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ class BrokenBytesIO(io.BytesIO):$/;" c member:TestFileObj.test_exception_read file: +BrokenBytesIO adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ class BrokenBytesIO(io.BytesIO):$/;" c member:TestFileObj.test_exception_write file: +BrokenFilesystemWarning adpepsenv/lib/python3.8/site-packages/werkzeug/filesystem.py /^class BrokenFilesystemWarning(RuntimeWarning, UnicodeWarning):$/;" c +BrokenPipeError adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ class BrokenPipeError(Exception):$/;" c +BrokenStdoutLoggingError adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class BrokenStdoutLoggingError(Exception):$/;" c +broken_barh adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def broken_barh(self, xranges, yrange, **kwargs):$/;" m class:Axes +broken_barh adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def broken_barh(xranges, yrange, *, data=None, **kwargs):$/;" f +brotli adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ brotli = None$/;" v +brotli adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ brotli = None$/;" v +BrotliDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ class BrotliDecoder(object):$/;" c +BrotliDecoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ class BrotliDecoder(object):$/;" c +browsers adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ browsers = ($/;" v class:UserAgentParser +broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^broyden1 = _nonlin_wrapper('broyden1', BroydenFirst)$/;" v +broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^broyden2 = _nonlin_wrapper('broyden2', BroydenSecond)$/;" v +BroydenFirst adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class BroydenFirst(GenericBroyden):$/;" c +BroydenSecond adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class BroydenSecond(BroydenFirst):$/;" c +BroydenTridiagonal adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class BroydenTridiagonal(object):$/;" c +brunnermunzel adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def brunnermunzel(x, y, alternative="two-sided", distribution="t"):$/;" f +brunnermunzel adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def brunnermunzel(x, y, alternative="two-sided", distribution="t",$/;" f +BrunnerMunzelResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^BrunnerMunzelResult = namedtuple('BrunnerMunzelResult', ('statistic', 'pvalue'))$/;" v +BrunnerMunzelResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^BrunnerMunzelResult = namedtuple('BrunnerMunzelResult',$/;" v +Brush adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^class Brush:$/;" c +brute adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def brute(func, ranges, args=(), Ns=20, full_output=0, finish=fmin,$/;" f +brute_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def brute_func(self, z, *params):$/;" m class:TestBrute +brute_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def brute_func(z, *params):$/;" f +BR_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/nl2br.py /^BR_RE = r'\\n'$/;" v +bsp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^import scipy.signal.bsplines as bsp$/;" I +BSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^class BSpline(object):$/;" c +bspline adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def bspline(x, n):$/;" f +bspl_antideriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def bspl_antideriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +bspl_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def bspl_deriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +bsr_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^class bsr_matrix(_cs_matrix, _minmax_mixin):$/;" c +BSR_ROUTINES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^BSR_ROUTINES = """$/;" v +btdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double btdtr(double x0, double x1, double x2) nogil$/;" f +btdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double btdtri(double x0, double x1, double x2) nogil$/;" f +btdtria adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double btdtria(double x0, double x1, double x2) nogil$/;" f +btdtria_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def btdtria_comp(p, b, x):$/;" f +btdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double btdtrib(double x0, double x1, double x2) nogil$/;" f +btdtrib_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def btdtrib_comp(a, p, x):$/;" f +btdtri_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def btdtri_comp(a, b, p):$/;" f +Btu adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^Btu = Btu_IT = pound * degree_Fahrenheit * calorie_IT \/ gram$/;" v +Btu_th adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^Btu_th = pound * degree_Fahrenheit * calorie_th \/ gram$/;" v +BTV_DIGITAL adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ BTV_DIGITAL = 0x0400$/;" v class:WAVE_FORMAT +bubble adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def bubble(self, o):$/;" m class:Stack +bubble adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def bubble(self, a):$/;" m class:_AxesStack +Bucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Bucketize = tf_export("raw_ops.Bucketize")(_ops.to_raw_op(bucketize))$/;" v +bucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def bucketize(input, boundaries, name=None):$/;" f +BucketizedColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class BucketizedColumn($/;" c +BucketizedSplit adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^BucketizedSplit = _reflection.GeneratedProtocolMessageType('BucketizedSplit', (_message.Message,/;" v +bucketized_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def bucketized_column(source_column, boundaries):$/;" f +bucketize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def bucketize_eager_fallback(input, boundaries, name, ctx):$/;" f +Buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class Buckets(object):$/;" c +BucketWeighted adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bucket_weighted.py /^class BucketWeighted(ModelLayer):$/;" c +bucket_and_path adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def bucket_and_path(self, url):$/;" m class:S3FileSystem +bucket_by_sequence_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^def bucket_by_sequence_length(element_length_func,$/;" f +Buffer adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Buffer = _xla.Buffer$/;" v +Buffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Buffer(self):$/;" m class:Metadata +Buffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Buffer(self):$/;" m class:Tensor +Buffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Buffer(object):$/;" c +BufferAddData adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BufferAddData(builder, data): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.number_type/;" f +BufferAllocationProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^BufferAllocationProto = _reflection.GeneratedProtocolMessageType('BufferAllocationProto', (_mess/;" v +BufferAssignmentProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^BufferAssignmentProto = _reflection.GeneratedProtocolMessageType('BufferAssignmentProto', (_mess/;" v +BufferBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BufferBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Buffer +BufferedStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class BufferedStream(object):$/;" c +BufferedStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class BufferedStream(object):$/;" c +BufferEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BufferEnd(builder): return builder.EndObject()$/;" f +BufferFull adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class BufferFull(UnpackException):$/;" c +BufferHasIdentifier adpepsenv/lib/python3.8/site-packages/flatbuffers/util.py /^def BufferHasIdentifier(buf, offset, file_identifier, size_prefixed=False):$/;" f +Buffers adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Buffers(self, j):$/;" m class:Model +buffers adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def buffers(self, recurse: bool = True) -> Iterator[Tensor]:$/;" m class:_RemoteModule +buffers adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def buffers(self, recurse: bool = True) -> Iterator[Tensor]:$/;" m class:Module +BuffersIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BuffersIsNone(self):$/;" m class:Model +BuffersLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BuffersLength(self):$/;" m class:Model +BufferStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BufferStart(builder): builder.StartObject(1)$/;" f +BufferStartDataVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BufferStartDataVector(builder, numElems): return builder.StartVector(1, numElems, 1)$/;" f +BufferT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BufferT(object):$/;" c +buffer_length adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^def buffer_length(arr):$/;" f +buffer_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def buffer_rgba(self):$/;" m class:FigureCanvasAgg +buffer_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def buffer_rgba(self):$/;" m class:RendererAgg +BUFFER_SIZE adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^BUFFER_SIZE = 2**18 # size of buffer for reading npz files in bytes$/;" v +BufrStubImageFile adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^class BufrStubImageFile(ImageFile.StubImageFile):$/;" c +BuggySequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class BuggySequence:$/;" c member:TestRegression.test_lexsort_invalid_sequence file: +bug_2850_chunks adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^bug_2850_chunks = [np.random.rand(10, 2),$/;" v +bug_2850_chunks_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^bug_2850_chunks_2 = (bug_2850_chunks +$/;" v +build adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def build(self, epoch, checkpoint_manager):$/;" m class:UploadTaskGroupBuilder +build adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def build(self, epoch, checkpoint_manager):$/;" m class:UploadToLocalFile +build adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def build(self, reader, process=None):$/;" m class:RecordQueue +build adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^class build(old_build):$/;" c +build adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def build($/;" f +build adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def build(self, paths, tags=None, wheel_version=None):$/;" m class:Wheel +build adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def build(source_dir, dist, dest=None, system=None):$/;" f +build adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^def build(source_dir='.', dest=None, system=None):$/;" f +build adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def build(cls, path):$/;" m class:ZipManifests +build adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def build(cls, path):$/;" m class:ZipManifests +build adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^class build(Command):$/;" c +build adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def build(self):$/;" m class:install_lib +build adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def build(self, inputs_shape):$/;" m class:TFLiteLSTMCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def build(self, inputs_shape):$/;" m class:TfLiteRNNCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def build(self):$/;" m class:GraphBuilder +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^def build(node):$/;" f +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def build(self, input_fn):$/;" m class:TrtGraphConverterV2 +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def build(self, _):$/;" m class:_BiasLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def build(self, _):$/;" m class:_EmbeddingColumnLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def build(self, _):$/;" m class:_FCLinearWrapper +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, input_shape):$/;" m class:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_in_call.Bias +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, input_shape):$/;" m class:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_object.Bias +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, input_shape):$/;" m class:TestDistributionStrategyWithNumpyArrays.test_gradients_are_none.DenseWithExtraWeight +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, _):$/;" m class:TestDistributionStrategyWithKerasModels.test_custom_gradient_transformation.MyLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, _):$/;" m class:TestDistributionStrategyWithKerasModels.test_gradient_clipping.MyLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, _):$/;" m class:TestDistributionStrategyWithNumpyArrays.test_operator_overload_mixed_precision.MyLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, _):$/;" m class:TestRegularizerLoss.AddLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def build(self, input_shape):$/;" m class:DeterministicModel +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def build(self, input_shape):$/;" m class:Layer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def build(self, input_shape):$/;" m class:Layer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def build(self, y_pred):$/;" m class:Container +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def build(self, y_pred):$/;" m class:LossesContainer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def build(self, y_pred, y_true):$/;" m class:MetricsContainer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def build(self, input_shape=None):$/;" m class:Sequential +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def build(self, input_shape):$/;" m class:Model +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def build(self, _):$/;" m class:_BaseFeaturesLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features_v2.py /^ def build(self, _):$/;" m class:DenseFeatures +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def build(self, input_shape):$/;" m class:PReLU +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:Conv +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:Conv1DTranspose +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:Conv2DTranspose +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:Conv3DTranspose +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:DepthwiseConv2D +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def build(self, input_shape):$/;" m class:SeparableConv +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def build(self, input_shape):$/;" m class:ConvLSTM2DCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def build(self, input_shape):$/;" m class:ConvRNN2D +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def build(self, input_shape):$/;" m class:Dense +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def build(self, input_shape):$/;" m class:CuDNNGRU +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def build(self, input_shape):$/;" m class:CuDNNLSTM +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def build(self, input_shape):$/;" m class:AdditiveAttention +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def build(self, input_shape):$/;" m class:Attention +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^ def build(self, input_shape):$/;" m class:EinsumDense +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def build(self, input_shape):$/;" m class:Embedding +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def build(self, input_shape):$/;" m class:RandomFourierFeatures +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def build(self, inputs_shape):$/;" m class:BasicLSTMCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def build(self, inputs_shape):$/;" m class:BasicRNNCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def build(self, inputs_shape):$/;" m class:GRUCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def build(self, inputs_shape):$/;" m class:LSTMCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def build(self, _):$/;" m class:RNNCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def build(self, inputs_shape):$/;" m class:DropoutWrapperBase +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def build(self, input_shape):$/;" m class:LocallyConnected1D +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def build(self, input_shape):$/;" m class:LocallyConnected2D +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def build(self, input_shape):$/;" m class:Concatenate +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def build(self, input_shape):$/;" m class:Dot +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def build(self, input_shape):$/;" m class:Subtract +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def build(self, input_shape):$/;" m class:_Merge +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def build(self, input_shape):$/;" m class:BatchNormalizationBase +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def build(self, input_shape):$/;" m class:LayerNormalization +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def build(self, input_shape):$/;" m class:Normalization +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def build(self, input_shape):$/;" m class:TextVectorization +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:GRUCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:LSTMCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:PeepholeLSTMCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:RNN +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:SimpleRNNCell +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def build(self, input_shape):$/;" m class:StackedRNNCells +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def build(self, input_shape):$/;" m class:GRU +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def build(self, inputs_shape):$/;" m class:_RNNCellWrapperV2 +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def build(self, input_shape):$/;" m class:Bidirectional +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def build(self, input_shape):$/;" m class:TimeDistributed +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def build(self, input_shape=None):$/;" m class:Wrapper +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def build(self, _):$/;" m class:MultiplyLayer +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^ def build(self, input_shape):$/;" m class:LinearModel +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def build(self, input_shape):$/;" m class:Bias +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def build(self, input_shape):$/;" m class:_MultiIOSubclassModelCustomBuild +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def build(self, input_shape):$/;" m class:_SmallSubclassMLPCustomBuild +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def build(self, input_shape):$/;" m class:_SubclassModelCustomBuild +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def build(self):$/;" m class:ProfileOptionBuilder +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def build(self):$/;" m class:_ModuleInitCodeBuilder +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def build(topology,$/;" m class:DeviceAssignment +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def build(self, per_replica_batch_size: Optional[int] = None):$/;" m class:TPUEmbedding +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def build(self):$/;" m class:Saver +build adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def build(self,$/;" m class:BaseSaverBuilder +build adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def build(self, _):$/;" m class:_LinearModelLayer +build adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def build($/;" m class:MapAdapter +build adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def build(self, values, append_unknown=True):$/;" m class:Rule +BuildAndRunPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def BuildAndRunPlan(self, step):$/;" m class:TestControl +buildapi adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^def buildapi(rout):$/;" f +buildcallback adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^def buildcallback(rout, um):$/;" f +buildcallbacks adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^def buildcallbacks(m):$/;" f +buildcfuncs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^def buildcfuncs():$/;" f +BuildCondBranch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def BuildCondBranch(self, fn):$/;" m class:CondContext +BuildConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^BuildConfiguration = _reflection.GeneratedProtocolMessageType('BuildConfiguration', (_message.Me/;" v +BuildEnvironment adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^class BuildEnvironment(object):$/;" c +BuildEnvironment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^class BuildEnvironment(object):$/;" c +builder adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def builder():$/;" f member:TestCheckpoint.test_single_checkpoint file: +Builder adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class Builder(object):$/;" c +Builder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/__init__.py /^from tensorflow.python.saved_model.builder_impl import SavedModelBuilder as Builder$/;" x +Builder adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class Builder(object):$/;" c +BuilderNotFinishedError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class BuilderNotFinishedError(RuntimeError):$/;" c +BuildError adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class BuildError(RoutingException, LookupError):$/;" c +BuilderSizeError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class BuilderSizeError(RuntimeError):$/;" c +BuildExtension adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^class BuildExtension(build_ext, object):$/;" c +BuildGradientGenerators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def BuildGradientGenerators( # NOQA$/;" m class:IR +buildhooks adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^def buildhooks(m):$/;" f +buildhooks adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^def buildhooks(pymod):$/;" f +buildimplicitrules adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def buildimplicitrules(block):$/;" f +building_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def building_function(self):$/;" m class:Graph +BuildLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def BuildLoop(self, pred, body, loop_vars, shape_invariants,$/;" m class:WhileContext +buildModelAndTrain adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def buildModelAndTrain(self, opts):$/;" m class:AnyExpTrainer +buildmodule adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^def buildmodule(m, um):$/;" f +buildmodules adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def buildmodules(lst):$/;" f +BuildParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def BuildParams(self, graph_fn, dtype, input_shapes, output_shapes):$/;" m class:TfTrtIntegrationTestBase +BuildParamsWithMask adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def BuildParamsWithMask(self, graph_fn, dtype, input_shapes, output_shapes,$/;" m class:TfTrtIntegrationTestBase +buildProofTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def buildProofTransform($/;" f +buildProofTransformFromOpenProfiles adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^buildProofTransformFromOpenProfiles = buildProofTransform$/;" v +BuildResult adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^ BuildResult = Tuple[List[InstallRequirement], List[InstallRequirement]]$/;" v +BuildService adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def BuildService(self, cls):$/;" m class:_ServiceBuilder +BuildServiceStub adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def BuildServiceStub(self, cls):$/;" m class:_ServiceStubBuilder +BuildSystemDetails adpepsenv/lib/python3.8/site-packages/pip/_internal/pyproject.py /^BuildSystemDetails = namedtuple('BuildSystemDetails', [$/;" v +BuildTag adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ BuildTag = Union[Tuple[()], Tuple[int, str]]$/;" v +buildTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def buildTransform($/;" f +buildTransformFromOpenProfiles adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^buildTransformFromOpenProfiles = buildTransform$/;" v +BuildUniqueMutexIter adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def BuildUniqueMutexIter($/;" f +buildusevar adpepsenv/lib/python3.8/site-packages/numpy/f2py/use_rules.py /^def buildusevar(name, realname, vars, usemodulename):$/;" f +buildusevars adpepsenv/lib/python3.8/site-packages/numpy/f2py/use_rules.py /^def buildusevars(m, r):$/;" f +build_adadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_adadelta($/;" f +build_adagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_adagrad($/;" f +build_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_adam($/;" f +build_all_signature_defs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def build_all_signature_defs(receiver_tensors,$/;" f +build_all_signature_defs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^build_all_signature_defs = export_utils.build_all_signature_defs$/;" v +build_and_install adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def build_and_install(self, setup_script, setup_base):$/;" m class:easy_install +build_AnnAssign adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_AnnAssign(ctx, stmt):$/;" m class:StmtBuilder +build_Assert adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Assert(ctx, stmt):$/;" m class:StmtBuilder +build_Assign adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Assign(ctx, stmt):$/;" m class:StmtBuilder +build_as_function_and_v1_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def build_as_function_and_v1_graph(func=None):$/;" f +build_as_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^def build_as_zip(builder=build):$/;" f +build_Attribute adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Attribute(ctx, expr):$/;" m class:ExprBuilder +build_AugAssign adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_AugAssign(ctx, stmt):$/;" m class:StmtBuilder +build_a_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def build_a_library(self, build_info, lib_name, libraries):$/;" m class:build_clib +build_BinOp adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_BinOp(ctx, expr):$/;" m class:ExprBuilder +build_block_parser adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^def build_block_parser(md, **kwargs):$/;" f +build_boollist adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def build_boollist(data):$/;" f +build_BoolOp adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_BoolOp(ctx, expr):$/;" m class:ExprBuilder +build_Break adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Break(ctx, stmt):$/;" m class:StmtBuilder +build_cache_step adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^ def build_cache_step(self, overwrite=False):$/;" m class:CachedReader +build_Call adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Call(ctx, expr):$/;" m class:ExprBuilder +build_class_def adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_class_def(ctx, py_def, methods, properties, self_name):$/;" f +build_clib adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^class build_clib(old_build_clib):$/;" c +build_clib adpepsenv/lib/python3.8/site-packages/setuptools/command/build_clib.py /^class build_clib(orig.build_clib):$/;" c +build_clib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^class build_clib(Command):$/;" c +build_code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def build_code(source_code, options=[], skip=[], only=[], suffix=None,$/;" f +build_Compare adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Compare(ctx, expr):$/;" m class:ExprBuilder +build_compare_key adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def build_compare_key(self):$/;" m class:Rule +build_complex_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def build_complex_tensors():$/;" f +build_Constant adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Constant(ctx, expr):$/;" m class:ExprBuilder +build_Continue adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Continue(ctx, stmt):$/;" m class:StmtBuilder +build_conv_model adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def build_conv_model(model_name, batch_size):$/;" f +build_crf_net adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def build_crf_net(self, input_blob, initial_state, transitions):$/;" m class:CRFWithLoss +build_data_files_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_data_files_sources(self):$/;" m class:build_src +build_debug_info_func adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def build_debug_info_func(original_graph):$/;" f +build_def adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_def(ctx, py_def, type_line, def_name, self_name=None):$/;" f +build_default_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def build_default_lut(self):$/;" m class:LutBuilder +build_Delete adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Delete(ctx, stmt):$/;" m class:StmtBuilder +build_Dict adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Dict(ctx, expr):$/;" m class:ExprBuilder +build_digest_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def build_digest_header(self, method, url):$/;" m class:HTTPDigestAuth +build_digest_header adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def build_digest_header(self, method, url):$/;" m class:HTTPDigestAuth +build_dim_map adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def build_dim_map(tensor):$/;" f +build_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^build_dir = partial($/;" v +build_double adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def build_double(self, m, tags, idx):$/;" m class:AsteriskProcessor +build_double2 adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def build_double2(self, m, tags, idx):$/;" m class:AsteriskProcessor +build_doublelist adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def build_doublelist(data):$/;" f +build_element adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def build_element(self, m, builder, tags, index):$/;" m class:AsteriskProcessor +build_Ellipsis adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Ellipsis(ctx, expr):$/;" m class:ExprBuilder +build_embeddings adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def build_embeddings($/;" f +build_embedding_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def build_embedding_decoder($/;" f +build_embedding_encoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def build_embedding_encoder($/;" f +build_engine_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def build_engine_onnx(self, model_file):$/;" m class:Test_PT_ONNX_TRT +build_err_msg adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def build_err_msg(arrays, err_msg, header='Items are not equal:',$/;" f +build_etree_ul adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def build_etree_ul(toc_list, parent):$/;" f member:TocTreeprocessor.build_toc_div file: +build_Expr adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Expr(ctx, stmt):$/;" m class:StmtBuilder +build_expr adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^build_expr = ExprBuilder()$/;" v +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/cupy.py /^def build_expression(_, expr): # pragma: no cover$/;" f +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def build_expression(backend, arrays, expr):$/;" f +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^def build_expression(_, expr): # pragma: no cover$/;" f +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def build_expression(arrays, expr):$/;" f +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/theano.py /^def build_expression(arrays, expr):$/;" f +build_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def build_expression(_, expr): # pragma: no cover$/;" f +build_expression_eager adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def build_expression_eager(_, expr):$/;" f +build_expression_graph adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def build_expression_graph(arrays, expr):$/;" f +build_ext adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^class build_ext (old_build_ext):$/;" c +build_ext adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^class build_ext(_build_ext):$/;" c +build_ext adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^class build_ext(Command):$/;" c +build_extension adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def build_extension(self, ext_name, configs):$/;" m class:Markdown +build_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def build_extension(self, ext):$/;" m class:build_ext +build_extension adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def build_extension(self, ext):$/;" m class:build_ext +build_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def build_extension(self, ext):$/;" m class:build_ext +build_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def build_extensions(self):$/;" m class:build_ext +build_extensions adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def build_extensions(self) -> None:$/;" m class:BuildExtension +build_extension_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_extension_sources(self, ext):$/;" m class:build_src +build_ExtSlice adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_ExtSlice(ctx, base, extslice):$/;" f member:ExprBuilder.build_Subscript file: +build_flags adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ build_flags = sys.abiflags$/;" v +build_flags adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^build_flags = ''$/;" v +build_flib adpepsenv/lib/python3.8/site-packages/numpy/f2py/diagnose.py /^ import numpy_distutils.command.build_flib as build_flib$/;" I function:run file: +build_For adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_For(ctx, stmt):$/;" m class:StmtBuilder +build_fp16_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_fp16_sgd(model, base_learning_rate, **kwargs):$/;" f +build_ftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_ftrl(model, engine="SIMD", **kwargs):$/;" f +build_gftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_gftrl(model, engine="", **kwargs):$/;" f +build_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def build_graph(self):$/;" m class:CallContext +build_If adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_If(ctx, stmt):$/;" m class:StmtBuilder +build_IfExp adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_IfExp(ctx, expr):$/;" m class:ExprBuilder +build_import_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def build_import_library():$/;" f +build_Index adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Index(ctx, base, index_expr):$/;" f member:ExprBuilder.build_Subscript file: +build_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/build_info.py /^build_info = collections.OrderedDict([('is_cuda_build', False), ('is_rocm_build', False)])$/;" v +build_initial_coverage adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def build_initial_coverage(self, model):$/;" m class:AttentionCell +build_initial_rnn_decoder_states adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def build_initial_rnn_decoder_states($/;" f +build_inlinepatterns adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^def build_inlinepatterns(md, **kwargs):$/;" f +build_installed_app_flow adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^def build_installed_app_flow(client_config):$/;" f +build_intlist adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def build_intlist(data):$/;" f +build_JoinedStr adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_JoinedStr(ctx, expr):$/;" m class:ExprBuilder +build_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def build_libraries(self, libraries):$/;" m class:build_clib +build_libraries adpepsenv/lib/python3.8/site-packages/setuptools/command/build_clib.py /^ def build_libraries(self, libraries):$/;" m class:build_clib +build_libraries adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def build_libraries(self, libraries):$/;" m class:build_clib +build_library_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_library_sources(self, lib_name, build_info):$/;" m class:build_src +build_List adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_List(ctx, expr):$/;" m class:ExprBuilder +build_ListComp adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_ListComp(ctx, stmt):$/;" m class:ExprBuilder +build_lr adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def build_lr($/;" m class:Optimizer +build_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def build_lut(self):$/;" m class:LutBuilder +build_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def build_map_fn(node, args, kwargs):$/;" f member:FunctionalPreprocessingStage.adapt file: +build_module adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def build_module(source_files, options=[], skip=[], only=[], module_name=None):$/;" f +build_module adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def build_module(self, module, module_file, package):$/;" m class:build_py +build_module adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def build_module(self, module, module_file, package):$/;" m class:build_py +build_module adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def build_module(self, module, module_file, package):$/;" m class:build_py_2to3 +build_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def build_modules(self):$/;" m class:build_py +build_module_distutils adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def build_module_distutils(source_files, config_code, module_name, **kw):$/;" f +build_msvcr_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def build_msvcr_library(debug=False):$/;" f +build_multi_precision_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_multi_precision_sgd($/;" f +build_Name adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Name(ctx, expr):$/;" m class:ExprBuilder +build_NameConstant adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_NameConstant(ctx, expr):$/;" m class:ExprBuilder +build_nccl_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_nccl_all_reduce(input_tensors, red_op, un_op=None):$/;" f +build_nccl_then_recursive_hd adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_nccl_then_recursive_hd(input_tensors, red_op, un_op=None):$/;" f +build_nccl_then_ring adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_nccl_then_ring(input_tensors, subdiv, red_op, un_op=None):$/;" f +build_nccl_then_shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_nccl_then_shuffle(input_tensors, gather_devices, nccl_red_op,$/;" f +build_net adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_benchmark.py /^def build_net(net_name, cross_socket):$/;" f +build_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def build_netloc(host, port):$/;" f +build_npy_pkg_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_npy_pkg_config(self):$/;" m class:build_src +build_Num adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Num(ctx, expr):$/;" m class:ExprBuilder +build_number adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def build_number(self, best=False):$/;" m class:LinuxDistribution +build_number adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def build_number(best=False):$/;" f +BUILD_NUM_RE adpepsenv/lib/python3.8/site-packages/wheel/cli/pack.py /^BUILD_NUM_RE = re.compile(br'Build: (\\d\\w*)$')$/;" v +build_one_shot_parsing_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def build_one_shot_parsing_serving_input_receiver_fn(self,$/;" m class:TimeSeriesRegressor +build_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def build_op(num_spatial_dims, padding):$/;" f function:with_space_to_batch file: +build_operands adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def build_operands(self, string, size_dict=global_size_dict):$/;" m class:TestEinsumPath +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model):$/;" m class:TestYellowFin +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestAdadelta +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestAdagrad +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestAdam +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestFtrl +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestGFtrl +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestLars +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestMomentumSgd +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestMultiPrecisionSgd +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestRmsProp +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestRowWiseAdagrad +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestRowWiseAdagradWithCounter +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestSgd +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestSparseRAdam +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestStorm +build_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def build_optimizer(self, model, **kwargs):$/;" m class:TestWngrad +build_options adpepsenv/lib/python3.8/site-packages/caffe2/python/build.py /^build_options = C.get_build_options()$/;" v +build_output_vals adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def build_output_vals(self, scope, carried_state_names, carried_tree,$/;" m class:_BoundedLoopBuilder +build_output_vals adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def build_output_vals(self, scope, carried_state_names, carried_tree,$/;" m class:_CondBuilder +build_output_vals adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def build_output_vals(self, scope, carried_state_names, carried_tree,$/;" m class:_LoopBuilder +build_output_vals adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def build_output_vals(self, scope, carried_state_names, carried_tree,$/;" m class:_WhileBuilder +build_packages adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def build_packages(self):$/;" m class:build_py +build_package_data adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def build_package_data(self):$/;" m class:build_py +build_package_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def build_package_data(self):$/;" m class:build_py +build_padding adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def build_padding(array, padding, before):$/;" f function:_pad_symmetric_or_reflect file: +build_param adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_param(ctx, py_arg, self_name, kwarg_only):$/;" f +build_param_list adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_param_list(ctx, py_args, self_name):$/;" f +build_parser adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def build_parser(self):$/;" m class:Markdown +build_parser adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def build_parser():$/;" f +build_parsing_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def build_parsing_serving_input_receiver_fn(feature_spec,$/;" f +build_Pass adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Pass(ctx, stmt):$/;" m class:StmtBuilder +build_pipeline adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^def build_pipeline(node_id):$/;" f +build_postprocessors adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^def build_postprocessors(md, **kwargs):$/;" f +build_post_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def build_post_data(self, action):$/;" m class:register +build_preprocessors adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^def build_preprocessors(md, **kwargs):$/;" f +build_Print adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Print(ctx, stmt):$/;" m class:StmtBuilder +build_prototype_image adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^def build_prototype_image():$/;" f +build_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_py.py /^class build_py(old_build_py):$/;" c +build_py adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^class build_py(orig.build_py, Mixin2to3):$/;" c +build_py adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^class build_py (Command):$/;" c +build_py_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^class build_py_2to3(build_py, Mixin2to3):$/;" c +build_py_modules_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_py_modules_sources(self):$/;" m class:build_src +build_quadratic_1d adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def build_quadratic_1d(J, g, s, diag=None, s0=None):$/;" f +build_Raise adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Raise(ctx, stmt):$/;" m class:StmtBuilder +build_raw_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def build_raw_serving_input_receiver_fn(self,$/;" m class:TimeSeriesRegressor +build_raw_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def build_raw_serving_input_receiver_fn(features, default_batch_size=None):$/;" f +build_raw_supervised_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def build_raw_supervised_input_receiver_fn(features,$/;" f +build_recursive_hd_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_recursive_hd_all_reduce(input_tensors, red_op, un_op=None):$/;" f +build_ref_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def build_ref_graph(obj, graph, reprs, denylist):$/;" f function:_find_reference_cycle file: +build_requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def build_requires(self):$/;" m class:Distribution +build_resnet50_dataparallel_model adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def build_resnet50_dataparallel_model($/;" f +build_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ def build_response($/;" m class:CacheControlAdapter +build_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def build_response(self, req, resp):$/;" m class:HTTPAdapter +build_response adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def build_response(self, req, resp):$/;" m class:HTTPAdapter +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, session, tensor_values):$/;" m class:_FetchHandler +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, values):$/;" m class:_AttrsFetchMapper +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, values):$/;" m class:_DictFetchMapper +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, values):$/;" m class:_ElementFetchMapper +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, values):$/;" m class:_FetchMapper +build_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def build_results(self, values):$/;" m class:_ListFetchMapper +build_Return adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Return(ctx, stmt):$/;" m class:StmtBuilder +build_ring_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_ring_all_reduce(input_tensors, num_workers, num_subchunks,$/;" f +build_rms_prop adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_rms_prop($/;" f +build_scripts adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_scripts.py /^class build_scripts(old_build_scripts):$/;" c +build_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^class build_scripts(Command):$/;" c +build_scripts_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^class build_scripts_2to3(build_scripts, Mixin2to3):$/;" c +build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^def build_sdist(source_dir, sdist_dir, config_settings=None):$/;" f +build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def build_sdist(self, sdist_directory, config_settings=None):$/;" m class:Pep517HookCaller +build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def build_sdist(sdist_directory, config_settings):$/;" f +build_sdist adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def build_sdist(self, sdist_directory, config_settings=None):$/;" m class:_BuildMetaBackend +build_sdist adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^build_sdist = _BACKEND.build_sdist$/;" v +build_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_sgd($/;" f +build_shuffle_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_shuffle_all_reduce(input_tensors, gather_devices, red_op, un_op=None):$/;" f +build_shuffle_then_ring adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_shuffle_then_ring(input_tensors, gather_devices, subdiv,$/;" f +build_shuffle_then_shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def build_shuffle_then_shuffle(input_tensors, first_gather_devices,$/;" f +build_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def build_signature_def(inputs=None, outputs=None, method_name=None):$/;" f +build_single adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def build_single(self, m, tag, idx):$/;" m class:AsteriskProcessor +build_SliceExpr adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_SliceExpr(ctx, base, slice_expr):$/;" f member:ExprBuilder.build_Subscript file: +build_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def build_sources(self):$/;" m class:build_src +build_src adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^class build_src(build_ext.build_ext):$/;" c +build_Starred adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Starred(ctx, expr):$/;" m class:ExprBuilder +build_stmt adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^build_stmt = StmtBuilder()$/;" v +build_stmts adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_stmts(ctx, stmts):$/;" f +build_storm adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_storm($/;" f +build_Str adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Str(ctx, expr):$/;" m class:ExprBuilder +build_Subscript adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Subscript(ctx, expr):$/;" m class:ExprBuilder +build_supervised_input_receiver_fn_from_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def build_supervised_input_receiver_fn_from_input_fn(input_fn, **input_fn_args):$/;" f +build_table adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def build_table($/;" f +build_ta_with_new_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^def build_ta_with_new_flow(old_ta, flow):$/;" f +build_tensorlist adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def build_tensorlist(data):$/;" f +build_tensor_from_id adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def build_tensor_from_id(data):$/;" f +build_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def build_tensor_info(tensor):$/;" f +build_tensor_info_from_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def build_tensor_info_from_op(op):$/;" f +build_tensor_info_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def build_tensor_info_internal(tensor):$/;" f +build_test_net adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_test.py /^def build_test_net(net_name):$/;" f +build_time_dependency adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ build_time_dependency = False$/;" v class:Distribution +build_toco_convert_protos adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def build_toco_convert_protos(input_tensors,$/;" f +build_toco_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def build_toco_flags(inference_type=dtypes.float32,$/;" f +build_toc_div adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def build_toc_div(self, toc_list):$/;" m class:TocTreeprocessor +build_tree adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def build_tree(treedef, xs):$/;" f +build_treeprocessors adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^def build_treeprocessors(md, **kwargs):$/;" f +build_Tuple adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_Tuple(ctx, expr):$/;" m class:ExprBuilder +build_UnaryOp adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_UnaryOp(ctx, expr):$/;" m class:ExprBuilder +build_url adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^def build_url(label, base, end):$/;" f +build_url_from_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def build_url_from_netloc(netloc, scheme='https'):$/;" f +build_url_re adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^def build_url_re(tlds=TLDS, protocols=allowed_protocols):$/;" f +build_version_command_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^build_version_command_fields = segment_base_fields + \\$/;" v +build_views adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^def build_views(string, dimension_dict=None):$/;" f +build_views adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def build_views(string):$/;" f +build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^def build_wheel(source_dir, wheel_dir, config_settings=None):$/;" f +build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def build_wheel($/;" m class:Pep517HookCaller +build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def build_wheel(wheel_directory, config_settings, metadata_directory=None):$/;" f +build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def build_wheel(self, wheel_directory, config_settings=None,$/;" m class:_BuildMetaBackend +build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^build_wheel = _BACKEND.build_wheel$/;" v +build_wheel_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel_legacy.py /^def build_wheel_legacy($/;" f +build_wheel_pep517 adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel.py /^def build_wheel_pep517($/;" f +build_While adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_While(ctx, stmt):$/;" m class:StmtBuilder +build_With adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_With(ctx, stmt):$/;" m class:StmtBuilder +build_withitem adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def build_withitem(ctx, item):$/;" m class:WithItemBuilder +build_withitem adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^build_withitem = WithItemBuilder()$/;" v +build_withitems adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def build_withitems(ctx, items):$/;" f +build_wngrad adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_wngrad($/;" f +build_word_rewards adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def build_word_rewards(self, vocab_size, word_reward, unk_reward):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoderBase +build_yellowfin adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def build_yellowfin(model, base_learning_rate=0.1, **kwargs):$/;" f +build_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def build_zip(self, pathname, archive_paths):$/;" m class:Wheel +BuiltinCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BuiltinCode(self):$/;" m class:OperatorCode +BuiltInDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BuiltInDomainDefinedAttribute(univ.Sequence):$/;" c +BuiltInDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BuiltInDomainDefinedAttribute(univ.Sequence):$/;" c +BuiltInDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BuiltInDomainDefinedAttribute(univ.Sequence):$/;" c +BuiltInDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BuiltInDomainDefinedAttributes(univ.SequenceOf):$/;" c +BuiltInDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BuiltInDomainDefinedAttributes(univ.SequenceOf):$/;" c +BuiltInDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BuiltInDomainDefinedAttributes(univ.SequenceOf):$/;" c +BuiltinFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class BuiltinFilter(MultibandFilter):$/;" c +BuiltinOperator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BuiltinOperator(object):$/;" c +BuiltinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BuiltinOptions(self):$/;" m class:Operator +BuiltinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class BuiltinOptions(object):$/;" c +BuiltinOptionsCreator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def BuiltinOptionsCreator(unionType, table):$/;" f +BuiltinOptionsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def BuiltinOptionsType(self):$/;" m class:Operator +BuiltInRoundComplexDType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class BuiltInRoundComplexDType(_DeprecationTestCase):$/;" c +builtins adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import __builtin__ as builtins$/;" I +builtins adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ import __builtin__ as builtins$/;" I +builtins adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ import __builtin__ as builtins$/;" I +BuiltInStandardAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class BuiltInStandardAttributes(univ.Sequence):$/;" c +BuiltInStandardAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class BuiltInStandardAttributes(univ.Sequence):$/;" c +BuiltInStandardAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class BuiltInStandardAttributes(univ.Sequence):$/;" c +BUILTIN_FUNCTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ BUILTIN_FUNCTIONS = 'BUILTIN_FUNCTIONS'$/;" v class:Feature +BUILTIN_FUNCTIONS_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^BUILTIN_FUNCTIONS_MAP = {$/;" v +builtin_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ builtin_str = str$/;" v +builtin_str adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ builtin_str = str$/;" v +BUILT_FROM_SOURCE_VERSION_PATTERN adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^BUILT_FROM_SOURCE_VERSION_PATTERN = re.compile(r'\\d+\\.\\d+\\.\\d+\\w+\\+\\w+')$/;" v +BulgarianLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langbulgarianmodel.py /^BulgarianLangModel = ($/;" v +BULGARIAN_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langbulgarianmodel.py /^BULGARIAN_LANG_MODEL = {$/;" v +BulkPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class BulkPDU(univ.Sequence):$/;" c +BulkSaverBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^class BulkSaverBuilder(BaseSaverBuilder):$/;" c +bulk_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def bulk_restore(self, filename_tensor, saveables, preferred_shard,$/;" m class:BaseSaverBuilder +bulk_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def bulk_restore(self, filename_tensor, saveables, preferred_shard,$/;" m class:BulkSaverBuilder +bump_dims adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def bump_dims(dims, b):$/;" f function:_dot_general_batch_dim_nums file: +bump_version_if_changed adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^ def bump_version_if_changed(self,$/;" m class:ExtensionVersioner +Bunch adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class Bunch:$/;" c +BUNDLED_INPUT adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^ BUNDLED_INPUT = 1$/;" v class:LintCode +BundleEntryProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^BundleEntryProto = _reflection.GeneratedProtocolMessageType('BundleEntryProto', (_message.Messag/;" v +BundleHeaderProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^BundleHeaderProto = _reflection.GeneratedProtocolMessageType('BundleHeaderProto', (_message.Mess/;" v +BUNDLE_JS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^BUNDLE_JS_ROUTE = '\/bundle.js'$/;" v +bundle_large_tensor adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^def bundle_large_tensor(t):$/;" f +bundle_randn adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^def bundle_randn(*size, dtype=None):$/;" f +burr adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^burr = burr_gen(a=0.0, name='burr')$/;" v +burr12 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^burr12 = burr12_gen(a=0.0, name='burr12')$/;" v +burr12_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class burr12_gen(rv_continuous):$/;" c +burr_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class burr_gen(rv_continuous):$/;" c +busday_count adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def busday_count(begindates, enddates, weekmask=None, holidays=None,$/;" f +busday_offset adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def busday_offset(dates, offsets, roll=None, weekmask=None, holidays=None,$/;" f +buttap adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def buttap(N):$/;" f +butter adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def butter(N, Wn, btype='low', analog=False, output='ba', fs=None):$/;" f +butter_lp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def butter_lp(n, Wn):$/;" f +Button adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class Button(AxesWidget):$/;" c +button1 adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def button1(self, event):$/;" m class:BlockingContourLabeler +button3 adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def button3(self, event):$/;" m class:BlockingContourLabeler +buttond adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ buttond = {QtCore.Qt.LeftButton: MouseButton.LEFT,$/;" v class:FigureCanvasQT +button_add adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ button_add = MouseButton.LEFT$/;" v class:BlockingMouseInput +button_dblclick_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def button_dblclick_event(self, event):$/;" m class:FigureCanvasTk +button_pop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ button_pop = MouseButton.RIGHT$/;" v class:BlockingMouseInput +button_press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def button_press(self, event):$/;" m class:FigureManagerBase +button_press_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def button_press_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +button_press_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def button_press_event(self, event, dblclick=False):$/;" m class:FigureCanvasTk +button_press_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def button_press_event(self, x, y, button, dblclick=False, guiEvent=None):$/;" m class:FigureCanvasBase +button_press_handler adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def button_press_handler(event, canvas=None, toolbar=None):$/;" f +button_release_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def button_release_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +button_release_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def button_release_event(self, event):$/;" m class:FigureCanvasTk +button_release_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def button_release_event(self, x, y, button, guiEvent=None):$/;" m class:FigureCanvasBase +button_stop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ button_stop = MouseButton.MIDDLE$/;" v class:BlockingMouseInput +buttord adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def buttord(wp, ws, gpass, gstop, analog=False, fs=None):$/;" f +bvls adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/bvls.py /^def bvls(A, b, x_lsq, lb, ub, tol, max_iter, verbose, rcond=None):$/;" f +BVPResult adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^class BVPResult(OptimizeResult):$/;" c +bwd adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def bwd(res, cts):$/;" f function:custom_gradient file: +bwd adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def bwd(res, cts):$/;" f function:invertible file: +bw_parent adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def bw_parent(evt):$/;" f member:EventList.set_backward_stacktraces file: +bxp adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def bxp(self, bxpstats, positions=None, widths=None, vert=True,$/;" m class:Axes +BYTE adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^BYTE = 1$/;" v +byte adpepsenv/lib/python3.8/site-packages/rsa/_compat.py /^def byte(num: int) -> bytes:$/;" f +byte adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def byte(self):$/;" m class:PackedSequence +byte adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def byte(self):$/;" m class:_StorageBase +byte2int adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def byte2int(bs):$/;" f +byte2int adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def byte2int(bs):$/;" f +byte2int adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def byte2int(bs):$/;" f +byte2int adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def byte2int(bs):$/;" f +byte2int adpepsenv/lib/python3.8/site-packages/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/six.py /^ def byte2int(bs):$/;" f +byte2int adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ byte2int = operator.itemgetter(0)$/;" v +byte2int adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def byte2int(bs):$/;" f +Bytecode adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^Bytecode = getattr(dis, 'Bytecode', Bytecode_compat)$/;" v +Bytecode_compat adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^class Bytecode_compat:$/;" c +byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^byteorder = {'little':'<', 'big':'>'}[sys.byteorder]$/;" v +ByteorderValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class ByteorderValues:$/;" c +bytes adpepsenv/lib/python3.8/site-packages/matplotlib/pylab.py /^bytes = __import__("builtins").bytes$/;" v +bytes adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^bytes = bytes$/;" v +bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def bytes(self):$/;" m class:Resource +bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def bytes(self):$/;" m class:Packer +bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ bytes = bytes$/;" v +bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ bytes = str$/;" v +bytes adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ bytes = bytes$/;" v +bytes adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ bytes = str$/;" v +bytes2int adpepsenv/lib/python3.8/site-packages/rsa/transform.py /^def bytes2int(raw_bytes: bytes) -> int:$/;" f +BytesByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def BytesByteSize(field_number, b):$/;" f +BytesDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def BytesDecoder(field_number, is_repeated, is_packed, key, new_default,$/;" f +BytesEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def BytesEncoder(field_number, is_repeated, is_packed):$/;" f +BytesGenerator adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ from email.generator import Generator as BytesGenerator$/;" x +BytesIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ BytesIO = StringIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ BytesIO = io.BytesIO$/;" v +BytesIO adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ from cStringIO import StringIO as BytesIO$/;" x +BytesIOContext adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^class BytesIOContext(io.BytesIO):$/;" c +BytesIOSRandomSize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^class BytesIOSRandomSize(BytesIO):$/;" c +ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def ByteSize(self):$/;" f function:_AddByteSizeMethod file: +ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def ByteSize(self):$/;" m class:Message +BytesList adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^BytesList = _reflection.GeneratedProtocolMessageType('BytesList', (_message.Message,), {$/;" v +BytesProducedStatsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^BytesProducedStatsDataset = tf_export("raw_ops.BytesProducedStatsDataset")(_ops.to_raw_op(bytes_/;" v +BytesSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def BytesSizer(field_number, is_repeated, is_packed):$/;" f +ByteStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class ByteStorage(_CudaBase, torch._C.CudaByteStorageBase, _StorageBase):$/;" c +ByteStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class ByteStorage(_C.ByteStorageBase, _StorageBase):$/;" c +BytesURL adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^class BytesURL(BaseURL):$/;" c +BytesValue adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^BytesValue = _reflection.GeneratedProtocolMessageType('BytesValue', (_message.Message,), {$/;" v +byteswap adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def byteswap(self):$/;" m class:container +bytes_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ bytes_cases = [('string list', ['a', 'b', 'c']),$/;" v class:TestPlotBytes +bytes_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ bytes_ids, bytes_data = zip(*bytes_cases)$/;" v class:TestPlotBytes +bytes_ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ bytes_ids, bytes_data = zip(*bytes_cases)$/;" v class:TestPlotBytes +bytes_or_text_types adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^bytes_or_text_types = (bytes, _six.text_type)$/;" v +bytes_or_text_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^bytes_or_text_types = (bytes, _six.text_type)$/;" v +BYTES_PER_ROW adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^BYTES_PER_ROW = [$/;" v +bytes_produced_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^def bytes_produced_stats(tag):$/;" f +bytes_produced_stats_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def bytes_produced_stats_dataset(input_dataset, tag, output_types, output_shapes, name=None):$/;" f +bytes_produced_stats_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def bytes_produced_stats_dataset_eager_fallback(input_dataset, tag, output_types, output_shapes,/;" f +bytes_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import string_bytes_split as bytes_split$/;" x +bytes_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import string_bytes_split as bytes_split$/;" x +bytes_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import string_bytes_split as bytes_split$/;" x +bytes_to_floats adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^def bytes_to_floats(byte_matrix):$/;" f +bytes_to_floats adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def bytes_to_floats(byte_matrix):$/;" f +bytes_to_half_floats adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^def bytes_to_half_floats(byte_matrix):$/;" f +bytes_to_readable_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def bytes_to_readable_str(num_bytes, include_b=False):$/;" f +bytes_to_wsgi adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def bytes_to_wsgi(data):$/;" f +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 1$/;" v class:BoolFlags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 1$/;" v class:Int8Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 1$/;" v class:Uint8Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 2$/;" v class:Int16Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 2$/;" v class:Uint16Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 4$/;" v class:Float32Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 4$/;" v class:Int32Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 4$/;" v class:Uint32Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 8$/;" v class:Float64Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 8$/;" v class:Int64Flags +bytewidth adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ bytewidth = 8$/;" v class:Uint64Flags +byte_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def byte_bounds(a):$/;" f +byte_compile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def byte_compile(self, path, optimize=False, force=False, prefix=None, hashed_invalidation=F/;" m class:FileOperator +byte_compile adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def byte_compile(self, to_compile):$/;" m class:easy_install +byte_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def byte_compile(self, files):$/;" m class:build_py +byte_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def byte_compile(self, files):$/;" m class:install_lib +byte_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def byte_compile (py_files,$/;" f +byte_size adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def byte_size(number: int) -> int:$/;" f +by_key adpepsenv/lib/python3.8/site-packages/cycler.py /^ def by_key(self):$/;" m class:Cycler +BY_NORM adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ BY_NORM = 'by_norm'$/;" v class:GradientClipping +by_order adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def by_order(hook):$/;" f member:Distribution.finalize_options file: +BY_VALUE adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ BY_VALUE = 'by_value'$/;" v class:GradientClipping +bz2open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def bz2open(cls, name, mode="r", fileobj=None, compresslevel=9, **kwargs):$/;" m class:TarFile +BZ2_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^BZ2_EXTENSIONS = ('.tar.bz2', '.tbz') # type: Tuple[str, ...]$/;" v +bzzzzzzz adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def bzzzzzzz(gyver):$/;" f function:_easteregg file: +B_012 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def B_012(x):$/;" f +B_0123 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def B_0123(x, der=0):$/;" f +B_Cs adpeps/utils/ctmtensors.py /^ B_Cs: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +b_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def b_eager_fallback(name, ctx):$/;" f +b_eq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^b_eq = None$/;" v +B_Ts adpeps/utils/ctmtensors.py /^ B_Ts: List[TList] = field(default=None, metadata={'init_tlists': True})$/;" v class:CTMTensors +b_ub adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^b_ub = None$/;" v +b_whitespace adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^b_whitespace = b"\\x20\\x09\\x0a\\x0b\\x0c\\x0d"$/;" v +C adpepsenv/lib/python3.8/site-packages/caffe2/python/build.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/convert.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^from caffe2.python import core, scope, workspace, _import_c_extension as C$/;" x +C adpepsenv/lib/python3.8/site-packages/caffe2/python/fakefp16_transform_lib.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/onnxifi.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/helper_test.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^import caffe2.python._import_c_extension as C$/;" I +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class C:$/;" c member:TestAssignment.test_assignment_errors file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class C:$/;" c member:TestCreation.test_false_len_iterable file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class C:$/;" c member:TestCreation.test_false_len_sequence file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class C(np.ndarray):$/;" c member:TestNonzero.test_return_type file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class C(A):$/;" c member:TestGetImplementingArgs.test_many_duck_arrays file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ class C(np.recarray):$/;" c member:TestFromrecords.test_recarray_views file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class C(B):$/;" c +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class C(A):$/;" c member:TestSpecialMethods.test_priority file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class C:$/;" c member:TestRoundingFunctions.test_object_direct file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class C:$/;" c member:TestRoundingFunctions.test_object_indirect file: +C adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class C:$/;" c member:TestSpecialMethods.test_ufunc_override_mro file: +c adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ c = coef = coefficients = coeffs$/;" v class:poly1d +C adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ class C():$/;" c member:TestRegression.test_py3_compat file: +C adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ class C(np.ndarray):$/;" c member:TestEvaluation.test_polyval file: +C adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ C = TypeVar("C")$/;" v +c adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^c = value('speed of light in vacuum')$/;" v +c adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^c = speed_of_light = _cd('speed of light in vacuum')$/;" v +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^C = np.array([0.0,$/;" v +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^C = np.array([(4 - S6) \/ 10, (4 + S6) \/ 10, 1])$/;" v +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ C = dop853_coefficients.C[:n_stages]$/;" v class:DOP853 +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ C = NotImplemented$/;" v class:RungeKutta +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ C = np.array([0, 1\/2, 3\/4])$/;" v class:RK23 +C adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ C = np.array([0, 1\/5, 3\/10, 4\/5, 8\/9, 1])$/;" v class:RK45 +C adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ class C(object):$/;" c function:test_save_object file: +C adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ class C(object):$/;" c function:test_to_writeable file: +C adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ class C(object):$/;" c function:test_varmats_from_mat file: +c adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def c(x):$/;" f member:TestSLSQP.test_minimize_bounded_constraint file: +C adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def C(self):$/;" m class:StateSpace +C adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def C(self, C):$/;" m class:StateSpace +C adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ class C(object):$/;" c function:test_assert_deallocated file: +C adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ class C(object):$/;" c function:test_assert_deallocated_circular file: +C adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ class C(object):$/;" c function:test_assert_deallocated_circular2 file: +C adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ class C(object):$/;" c function:test_assert_deallocated_nodel file: +c adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def c(i, _):$/;" f function:matrix_exponential file: +C0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class C0(B0):$/;" c +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def c1(w):$/;" f member:TestCobyla.test_minimize_constraint_violation file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L1 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L2 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L3 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L4 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L5 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L6 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L7 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L8 file: +c1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c1(x):$/;" f member:TestDifferentialEvolutionSolver.test_L9 file: +c10d adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^import torch.distributed as c10d$/;" I +C10_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^C10_MAPPINGS = collections.OrderedDict($/;" v +c10_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^def c10_op_ref(maps, rois):$/;" f +C128 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^C128 = 18$/;" v +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ c1d = np.array([2.5, 2., 1.5])$/;" v class:TestEvaluation +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ c1d = np.array([2.5, 1., .75])$/;" v class:TestEvaluation +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ c1d = np.array([4., 2., 3.])$/;" v class:TestEvaluation +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ c1d = np.array([9., -14., 6.])$/;" v class:TestEvaluation +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ c1d = np.array([2., 2., 2.])$/;" v class:TestEvaluation +c1d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ c1d = np.array([1., 2., 3.])$/;" v class:TestEvaluation +c2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/bin/conversion.py /^from caffe2.python.onnx.backend import Caffe2Backend as c2$/;" x +c2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^import caffe2.python.onnx.backend as c2$/;" I +c2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^import caffe2.python.onnx.backend as c2$/;" I +c2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/onnx_backend_test.py /^import caffe2.python.onnx.backend as c2$/;" I +c2 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^import caffe2.python.onnx.backend as c2$/;" I +c2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def c2(w):$/;" f member:TestCobyla.test_minimize_constraint_violation file: +c2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def c2(x):$/;" f member:TestDifferentialEvolutionSolver.test_L1 file: +c2buildvalue_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^c2buildvalue_map = {'double': 'd',$/;" v +C2C adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ C2C = 0$/;" v class:PocketFftType +c2c adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def c2c(forward, x, n=None, axis=-1, norm=None, overwrite_x=False,$/;" f +c2capi_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^ c2capi_map = {'double': 'NPY_DOUBLE',$/;" v +c2capi_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^c2capi_map = {'double': 'NPY_DOUBLE',$/;" v +c2cn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def c2cn(forward, x, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ c2d = np.einsum('i,j->ij', c1d, c1d)$/;" v class:TestEvaluation +c2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^from scipy.signal import cont2discrete as c2d$/;" x +c2onb191v4 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2onb191v4 = _OID(c_TwoCurve, 8)$/;" v +c2onb191v5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2onb191v5 = _OID(c_TwoCurve, 9)$/;" v +c2onb239v4 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2onb239v4 = _OID(c_TwoCurve, 14)$/;" v +c2onb239v5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2onb239v5 = _OID(c_TwoCurve, 15)$/;" v +c2pnb163v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb163v1 = _OID(c_TwoCurve, 1)$/;" v +c2pnb163v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb163v2 = _OID(c_TwoCurve, 2)$/;" v +c2pnb163v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb163v3 = _OID(c_TwoCurve, 3)$/;" v +c2pnb176w1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb176w1 = _OID(c_TwoCurve, 4)$/;" v +c2pnb208w1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb208w1 = _OID(c_TwoCurve, 10)$/;" v +c2pnb272w1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb272w1 = _OID(c_TwoCurve, 16)$/;" v +c2pnb304w1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb304w1 = _OID(c_TwoCurve, 17)$/;" v +c2pnb368w1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2pnb368w1 = _OID(c_TwoCurve, 19)$/;" v +c2pycode_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^ c2pycode_map = {'double': 'd',$/;" v +c2pycode_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^c2pycode_map = {'double': 'd',$/;" v +c2py_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^c2py_map = {'double': 'float',$/;" v +C2R adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ C2R = 1$/;" v class:PocketFftType +c2r adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def c2r(forward, x, n=None, axis=-1, norm=None, overwrite_x=False,$/;" f +c2rn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def c2rn(forward, x, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +c2tnb191v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb191v1 = _OID(c_TwoCurve, 5)$/;" v +c2tnb191v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb191v2 = _OID(c_TwoCurve, 6)$/;" v +c2tnb191v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb191v3 = _OID(c_TwoCurve, 7)$/;" v +c2tnb239v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb239v1 = _OID(c_TwoCurve, 11)$/;" v +c2tnb239v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb239v2 = _OID(c_TwoCurve, 12)$/;" v +c2tnb239v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb239v3 = _OID(c_TwoCurve, 13)$/;" v +c2tnb359v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb359v1 = _OID(c_TwoCurve, 18)$/;" v +c2tnb431r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c2tnb431r1 = _OID(c_TwoCurve, 20)$/;" v +c2_front adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^import caffe2.python.onnx.frontend as c2_front$/;" I +c2_native_run_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def c2_native_run_net(init_net, predict_net, inputs, debug_arg=None):$/;" f +c2_native_run_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def c2_native_run_op(op_def, inputs):$/;" f +c2_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/bin/conversion.py /^import caffe2.python.onnx.frontend as c2_onnx$/;" I +c2_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^import caffe2.python.onnx.frontend as c2_onnx$/;" I +c2_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/ssa_test.py /^import caffe2.python.onnx.frontend as c2_onnx$/;" I +c2_out adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^c2_out = prepared_backend.run(W)[0]$/;" v +c2_repl adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def c2_repl(m):$/;" f function:preprocessor file: +c3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def c3(w):$/;" f member:TestCobyla.test_minimize_constraint_violation file: +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +c3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ c3d = np.einsum('i,j,k->ijk', c1d, c1d, c1d)$/;" v class:TestEvaluation +C64 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^C64 = 15$/;" v +C99_COMPLEX_FUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C99_COMPLEX_FUNCS = [$/;" v +C99_COMPLEX_TYPES adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C99_COMPLEX_TYPES = [$/;" v +C99_FUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C99_FUNCS = [$/;" v +C99_FUNCS_EXTENDED adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C99_FUNCS_EXTENDED = [f + 'l' for f in C99_FUNCS]$/;" v +C99_FUNCS_SINGLE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C99_FUNCS_SINGLE = [f + 'f' for f in C99_FUNCS]$/;" v +CA adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^CA = mlarr(( # tuple for object array creation$/;" v +Cache adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^class Cache(MutableMapping):$/;" c +cache adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def cache(call: Callable):$/;" f +cache adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def cache(max_size=4096):$/;" f +cache adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ cache = _colors_full_map.cache$/;" v class:ColorConverter +Cache adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^class Cache(object):$/;" c +Cache adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ Cache = Dict[Link, C]$/;" v +cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^cache = None # created when needed$/;" v +Cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class Cache(object):$/;" c +cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^cache = None # created when needed$/;" v +CACHE adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^CACHE = {}$/;" v +CACHE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^CACHE = {}$/;" v +cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def cache(self, filename=""):$/;" m class:DatasetV1 +cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def cache(self, filename=""):$/;" m class:DatasetV2 +CacheablePageContent adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class CacheablePageContent(object):$/;" c +cacheable_by_default_statuses adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ cacheable_by_default_statuses = {$/;" v class:LastModified +CacheCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^class CacheCommand(Command):$/;" c +CacheControl adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/wrapper.py /^def CacheControl($/;" f +CacheControlAdapter adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^class CacheControlAdapter(HTTPAdapter):$/;" c +CacheController adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^class CacheController(object):$/;" c +cached adpepsenv/lib/python3.8/site-packages/cachetools/decorators.py /^def cached(cache, key=hashkey, lock=None):$/;" f +cached adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def cached(_, *args, **kwargs):$/;" f function:cache.wrap file: +CacheDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class CacheDataset(UnaryUnchangedStructureDataset):$/;" c +CacheDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^CacheDataset = tf_export("raw_ops.CacheDataset")(_ops.to_raw_op(cache_dataset))$/;" v +CacheDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^CacheDatasetV2 = tf_export("raw_ops.CacheDatasetV2")(_ops.to_raw_op(cache_dataset_v2))$/;" v +cachedir adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def cachedir(self):$/;" m class:TexManager +cachedmethod adpepsenv/lib/python3.8/site-packages/cachetools/decorators.py /^def cachedmethod(cache, key=hashkey, lock=None):$/;" f +CachedReader adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^class CachedReader(DBFileReader):$/;" c +cached_einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^ def cached_einsum(*args, **kwargs):$/;" f function:einsum_cache_wrap file: +cached_find_executable adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def cached_find_executable(exe):$/;" f member:FCompiler.find_executables file: +cached_per_instance adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def cached_per_instance(f):$/;" f +cached_property adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class cached_property(object):$/;" c +cached_property adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^class cached_property(object):$/;" c +cached_property adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class cached_property(property):$/;" c +cached_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def cached_request(self, request):$/;" m class:CacheController +cached_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def cached_session(self):$/;" m class:SingleWorkerTestBaseEager +cached_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def cached_session(self, graph=None, config=None, target=None):$/;" m class:MultiWorkerTestBase +cached_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def cached_session(self,$/;" m class:TensorFlowTestCase +cached_tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^ def cached_tensordot(x, y, axes=2, backend='numpy'):$/;" f function:tensordot_cache_wrap file: +cached_to_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^ def cached_to_backend(array):$/;" f function:to_backend_cache_wrap file: +cached_to_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^ def cached_to_backend(array, constant=False):$/;" f function:to_backend_cache_wrap file: +cached_transpose adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^ def cached_transpose(a, axes, backend='numpy'):$/;" f function:transpose_cache_wrap file: +CacheEntry adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^class CacheEntry(object):$/;" c +CacheKey adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^CacheKey = Tuple[str, OptionsType, Optional[grpc.ChannelCredentials], Optional[$/;" v +CacheKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^CacheKey = collections.namedtuple("CacheKey", [$/;" v +cache_allowlisted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def cache_allowlisted(entity, options):$/;" f +cache_clear adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def cache_clear():$/;" f function:_cache.decorator file: +cache_clear adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def cache_clear(self):$/;" m class:__get_gettz.GettzFunc +cache_control adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def cache_control(self):$/;" m class:ETagRequestMixin +cache_control adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def cache_control(self):$/;" m class:ETagResponseMixin +cache_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def cache_dataset(input_dataset, filename, output_types, output_shapes, name=None):$/;" f +cache_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def cache_dataset_eager_fallback(input_dataset, filename, output_types, output_shapes, name, ctx/;" f +cache_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def cache_dataset_v2(input_dataset, filename, cache, output_types, output_shapes, name=None):$/;" f +cache_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def cache_dataset_v2_eager_fallback(input_dataset, filename, cache, output_types, output_shapes,/;" f +cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^cache_dir = partial($/;" v +cache_enabled adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ cache_enabled = property(_get_cache_enabled, _set_cache_enabled)$/;" v class:DistributionPath +cache_from_source adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ cache_from_source = None$/;" v +cache_from_source adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def cache_from_source(path, debug_override=None):$/;" f +cache_from_source adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/misc.py /^ def cache_from_source(py_file, debug=__debug__):$/;" f +cache_info adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def cache_info():$/;" f function:_cache.decorator file: +cache_key adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def cache_key(working_directory, arguments, configure_kwargs):$/;" f +cache_len adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._FifoCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._FifoCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._FifoCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._FifoCache.__init__ file: +cache_len adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def cache_len(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +cache_miss adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def cache_miss(_, *args, **kwargs):$/;" f function:_cpp_jit file: +cache_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def cache_property(key, empty, type):$/;" f +cache_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def cache_response(self, request, response, body=None, status_codes=None):$/;" m class:CacheController +cache_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def cache_url(cls, uri):$/;" m class:CacheController +caching_allocator_alloc adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def caching_allocator_alloc(size, device: Union[Device, int] = None, stream=None):$/;" f +caching_allocator_delete adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def caching_allocator_delete(mem_ptr):$/;" f +caching_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def caching_device(self):$/;" m class:VariableScope +cadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^ def cadd(line, s=chooks):$/;" f function:buildhooks file: +cadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^ def cadd(line, s=chooks):$/;" f function:buildhooks file: +CAE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^CAE = mlarr(( # tuple for object array creation$/;" v +caffe2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def caffe2(self, kmap=lambda k: k):$/;" m class:OnnxAttributes +Caffe2Backend adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^class Caffe2Backend(Backend):$/;" c +Caffe2CppRep adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^class Caffe2CppRep(BackendRep):$/;" c +Caffe2EmbeddingGeneration adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def Caffe2EmbeddingGeneration(args):$/;" f +Caffe2Frontend adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^class Caffe2Frontend(object):$/;" c +Caffe2LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^def Caffe2LSTM(args):$/;" f +Caffe2Ops adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^Caffe2Ops = collections.namedtuple('Caffe2Ops', ['ops', 'init_ops', 'interface_blobs'])$/;" v +Caffe2Rep adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_rep.py /^class Caffe2Rep(BackendRep):$/;" c +Caffe2TensorToNumpyArray adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def Caffe2TensorToNumpyArray(tensor):$/;" f +caffe2_arg_to_onnx_attr adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def caffe2_arg_to_onnx_attr(cls, op_def, arg):$/;" m class:Caffe2Frontend +caffe2_core adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^from caffe2.python import core as caffe2_core$/;" x +caffe2_dot_proto_dot_caffe2__pb2 adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^from caffe2.proto import caffe2_pb2 as caffe2_dot_proto_dot_caffe2__pb2$/;" x +caffe2_dot_proto_dot_caffe2__pb2 adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^from caffe2.proto import caffe2_pb2 as caffe2_dot_proto_dot_caffe2__pb2$/;" x +caffe2_flaky adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def caffe2_flaky(test_method):$/;" f +caffe2_img adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def caffe2_img(img):$/;" f +caffe2_init_net_to_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def caffe2_init_net_to_initializer(cls, init_net):$/;" m class:Caffe2Frontend +caffe2_init_net_to_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^caffe2_init_net_to_initializer = Caffe2Frontend.caffe2_init_net_to_initializer$/;" v +CAFFE2_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^CAFFE2_MAP = {}$/;" v +caffe2_net_to_onnx_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def caffe2_net_to_onnx_graph(cls,$/;" m class:Caffe2Frontend +caffe2_net_to_onnx_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^caffe2_net_to_onnx_graph = Caffe2Frontend.caffe2_net_to_onnx_graph$/;" v +caffe2_net_to_onnx_model adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def caffe2_net_to_onnx_model(cls, *args, **kwargs):$/;" m class:Caffe2Frontend +caffe2_net_to_onnx_model adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^caffe2_net_to_onnx_model = Caffe2Frontend.caffe2_net_to_onnx_model$/;" v +CAFFE2_NO_OPERATOR_SCHEMA adpepsenv/lib/python3.8/site-packages/caffe2/python/build.py /^CAFFE2_NO_OPERATOR_SCHEMA = C.define_caffe2_no_operator_schema$/;" v +caffe2_op_to_onnx_node adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def caffe2_op_to_onnx_node(cls, op_def, shapes):$/;" m class:Caffe2Frontend +caffe2_pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^import caffe2.proto.caffe2_pb2 as caffe2_pb2$/;" I +caffe2_pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/functional.py /^import caffe2.proto.caffe2_pb2 as caffe2_pb2$/;" I +caffe2_pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^import caffe2.proto.caffe2_pb2 as caffe2_pb2$/;" I +caffe2_pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^import caffe2.proto.caffe2_pb2 as caffe2_pb2$/;" I +caffe2_pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^import caffe2.proto.caffe2_pb2 as caffe2_pb2$/;" I +caffe2_resnet50_default_forward adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ caffe2_resnet50_default_forward as caffe2_resnet50_default_forward # noqa$/;" I +caffe2_resnet50_default_param_update adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ caffe2_resnet50_default_param_update as caffe2_resnet50_default_param_update # noqa$/;" I +CAFFE2_SPECIFIC_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CAFFE2_SPECIFIC_MAPPINGS = collections.OrderedDict($/;" v +caffe2_to_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/bin/conversion.py /^def caffe2_to_onnx(caffe2_net,$/;" f +CAFFE2_TRIE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^CAFFE2_TRIE = Trie()$/;" v +caffe2_yellowfin adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def caffe2_yellowfin(self, zero_debias, grad_coef, n_dim, n_iter, gpu):$/;" m class:TestYellowFin +CaffeBlobToNumpyArray adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def CaffeBlobToNumpyArray(blob):$/;" f +CaffeDatum adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^CaffeDatum = _reflection.GeneratedProtocolMessageType('CaffeDatum', (_message.Message,), {$/;" v +caffenet adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ caffenet = caffe_pb2.NetParameter()$/;" v +caffenet_pretrained adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ caffenet_pretrained = caffe_pb2.NetParameter()$/;" v +CAFFE_FOUND adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator_test.py /^ CAFFE_FOUND = True$/;" v +CAFFE_FOUND adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator_test.py /^CAFFE_FOUND = False$/;" v +CAFFE_LEGACY_POOLING adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^CAFFE_LEGACY_POOLING = 3$/;" v +cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ import cairocffi as cairo$/;" I +cairo_convert adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def cairo_convert(pdffile, pngfile, dpi):$/;" f function:make_pdf_to_png_converter file: +CAKeyUpdAnnContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CAKeyUpdAnnContent(univ.Sequence):$/;" c +calcfc adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^ def calcfc(x, con):$/;" f function:_minimize_cobyla file: +calculate_ap adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^def calculate_ap(predictions, labels):$/;" f +calculate_areas adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def calculate_areas(self):$/;" m class:SphericalVoronoi +calculate_best_feature_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_calculate_best_feature_spl/;" x +calculate_best_feature_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_calculate_best_feature_spl/;" x +calculate_best_gains_per_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_calculate_best_gains_per_f/;" x +calculate_content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def calculate_content_length(self):$/;" m class:BaseResponse +calculate_fc_output_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def calculate_fc_output_dims(max_fc_size, input_dim, output_dim):$/;" m class:FC +calculate_gain adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def calculate_gain(nonlinearity, param=None):$/;" f +calculate_keys adpepsenv/lib/python3.8/site-packages/rsa/key.py /^def calculate_keys(p: int, q: int) -> typing.Tuple[int, int]:$/;" f +calculate_keys_custom_exponent adpepsenv/lib/python3.8/site-packages/rsa/key.py /^def calculate_keys_custom_exponent(p: int, q: int, exponent: int) -> typing.Tuple[int, int]:$/;" f +calculate_macosx_platform_tag adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def calculate_macosx_platform_tag(archive_root, platform_tag):$/;" f +calculate_maximum_distances adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def calculate_maximum_distances(Z):$/;" f +calculate_maximum_inconsistencies adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def calculate_maximum_inconsistencies(Z, R, k=3):$/;" f +calculate_plane_coefficients adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def calculate_plane_coefficients(self, z):$/;" m class:Triangulation +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def calculate_qparams(self):$/;" m class:FakeQuantize +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:HistogramObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:MinMaxDynamicQuantObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:MinMaxObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:NoopObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:PerChannelMinMaxObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:PlaceholderObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self):$/;" m class:RecordingObserver +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def calculate_qparams(self, **kwargs):$/;" m class:ObserverBase +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def calculate_qparams(self):$/;" m class:_LearnableFakeQuantize +calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def calculate_qparams(self):$/;" m class:DummyObserver +calculate_rms adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def calculate_rms(expected_image, actual_image):$/;" f +calculate_sequence_by_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def calculate_sequence_by_mask(mask, time_major):$/;" f +calculate_solid_angles adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^def calculate_solid_angles(R):$/;" f +calc_arrows adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def calc_arrows(UVW, angle=15):$/;" f member:Axes3D.quiver file: +calc_atol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def calc_atol(h, x0, f, hess, EPS):$/;" f member:TestApproxDerivativesDense.test_fp file: +calc_chksums adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def calc_chksums(buf):$/;" f +calc_control_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^ def calc_control_outputs(self, graph):$/;" m class:_ControlOutputCache +calc_extra_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_extra_info(self):$/;" m class:system_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:accelerate_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:agg2_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:amd_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:atlas_3_10_blas_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:atlas_blas_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:atlas_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:blas_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:blas_opt_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:blas_src_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:blis_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:boost_python_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:djbfft_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:f2py_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:fftw_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:fft_opt_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:flame_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:lapack_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:lapack_opt_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:lapack_src_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:mkl_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:numerix_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:openblas_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:umfpack_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:x11_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:_numpy_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_info(self):$/;" m class:_pkg_config_info +calc_info adpepsenv/lib/python3.8/site-packages/numpy/linalg/setup.py /^ def calc_info(self):$/;" m class:configuration.numpy_linalg_lapack_lite +calc_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ def calc_info(self):$/;" m class:blas_opt_info +calc_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ def calc_info(self):$/;" m class:lapack_opt_info +calc_k12_flops adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def calc_k12_flops(inputs, output, remaining, i, j, size_dict):$/;" f +calc_label_rot_and_inline adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def calc_label_rot_and_inline(self, slc, ind, lw, lc=None, spacing=5):$/;" m class:ContourLabeler +calc_libraries_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_libraries_info(self):$/;" m class:system_info +calc_ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def calc_ver_info(self, ver_param):$/;" m class:fftw_info +calc_window_target adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def calc_window_target(self, x, NFFT, noverlap=0, axis=0):$/;" m class:TestStride +calibrate adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^ def calibrate(self, dataset_gen):$/;" m class:Calibrator +CALIBRATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ CALIBRATE = 1$/;" v class:GraphState +calibrate adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def calibrate(self,$/;" m class:TrtGraphConverter +calibrate_and_quantize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^ def calibrate_and_quantize(self,$/;" m class:Calibrator +calibrate_and_quantize_single adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^ def calibrate_and_quantize_single(self,$/;" m class:Calibrator +calibrate_delay adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def calibrate_delay(requested_time):$/;" f member:TestGIL.test_rectbivariatespline file: +Calibrator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^class Calibrator(object):$/;" c +call adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def call(op, inputs=None, outputs=None, factor_prefixes=False):$/;" f +Call adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class Call(RpcContext, metaclass=ABCMeta):$/;" c +Call adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class Call:$/;" c +Call adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class Call(six.with_metaclass(abc.ABCMeta, RpcContext)):$/;" c +Call adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Call(six.with_metaclass(abc.ABCMeta, RpcContext)):$/;" c +call adpepsenv/lib/python3.8/site-packages/jax/core.py /^call = call_p.bind$/;" v +call adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def call(callback_func: Callable, arg, *,$/;" f +call adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def call(command, os_name):$/;" f function:report_memory file: +call adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def call(task):$/;" f function:server file: +call adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def call(self, cid, pos, length):$/;" m class:ChunkStream +call adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def call(self, fn, *args, **kwargs):$/;" m class:Retrying +call adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^ def call(*args, **kwargs):$/;" f function:_deprecated.wrap file: +call adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def call(self, inputs, state):$/;" m class:TFLiteLSTMCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def call(self, inputs, state):$/;" m class:TfLiteRNNCell +CALL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CALL = 31$/;" v class:BuiltinOperator +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def call(self, args, kwargs):$/;" m class:TfMethodTarget +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def call(self, ctx, args, cancellation_manager=None):$/;" m class:_EagerDefinedFunction +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def call(self, builder):$/;" m class:_FCLinearWrapper +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def call(self, features):$/;" m class:_LinearModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def call(self, _):$/;" m class:_BiasLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def call(self, _):$/;" m class:_EmbeddingColumnLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs, training=None):$/;" m class:TestDistributionStrategyWithNumpyArrays.test_no_target_model.MyLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inp):$/;" m class:TestDistributionStrategyWithNumpyArrays.test_operator_overload_mixed_precision.MyLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_in_call.Bias +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_object.Bias +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:TestDistributionStrategyWithKerasModels.test_ragged_tensor_outputs.ToRagged +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:TestDistributionStrategyWithKerasModels.test_sparse_tensor_outputs.ToSparse +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, x):$/;" m class:TestDistributionStrategyWithKerasModels.test_custom_gradient_transformation.MyLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, x):$/;" m class:TestDistributionStrategyWithKerasModels.test_gradient_clipping.MyLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:simple_subclassed_model._SimpleMLP +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs):$/;" m class:TestRegularizerLoss.AddLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def call(self, inputs, training=None, mask=None):$/;" m class:DeterministicModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def call(self, inputs):$/;" m class:SubclassedModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def call(self, inputs):$/;" m class:TestDistributionStrategyErrorCases.test_distribution_strategy_on_subclassed_model._SimpleMLP +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def call(self, inputs):$/;" m class:_SimpleModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def call(self, inputs):$/;" m class:AddLoss +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def call(self, inputs):$/;" m class:AddMetric +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def call(self, inputs):$/;" m class:TensorFlowOpLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def call(self, inputs, **kwargs): # pylint: disable=unused-argument$/;" m class:Layer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def call(self, inputs, **kwargs): # pylint: disable=unused-argument$/;" m class:Layer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def call(self, inputs, training=None, mask=None):$/;" m class:Functional +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def call(self, inputs, training=None, mask=None): # pylint: disable=redefined-outer-name$/;" m class:Sequential +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def call(self, inputs, training=None, mask=None):$/;" m class:Model +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^ def call(self, features, cols_to_output_tensors=None, training=None):$/;" m class:DenseFeatures +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^ def call(self, features, training=None):$/;" m class:SequenceFeatures +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs):$/;" m class:ELU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs):$/;" m class:LeakyReLU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs):$/;" m class:PReLU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs):$/;" m class:ReLU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs):$/;" m class:ThresholdedReLU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def call(self, inputs, mask=None):$/;" m class:Softmax +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Conv +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Conv1DTranspose +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Conv2DTranspose +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Conv3DTranspose +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Cropping1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Cropping2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:Cropping3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:DepthwiseConv2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:SeparableConv +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:SeparableConv1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:SeparableConv2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:UpSampling1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:UpSampling2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:UpSampling3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:ZeroPadding1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:ZeroPadding2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def call(self, inputs):$/;" m class:ZeroPadding3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:ConvLSTM2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def call(self, inputs, states, training=None):$/;" m class:ConvLSTM2DCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def call(self,$/;" m class:ConvRNN2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, args, kwargs):$/;" m class:ClassMethod +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Activation +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Dense +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Flatten +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Masking +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Permute +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:RepeatVector +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs):$/;" m class:Reshape +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs, mask=None, training=None):$/;" m class:Lambda +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, inputs, training=None):$/;" m class:Dropout +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, obj):$/;" m class:InstanceProperty +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def call(self, obj, args, kwargs):$/;" m class:InstanceMethod +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:_CuDNNRNN +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def call(self,$/;" m class:BaseDenseAttention +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^ def call(self, inputs):$/;" m class:EinsumDense +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def call(self, inputs):$/;" m class:Embedding +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def call(self, inputs):$/;" m class:RandomFourierFeatures +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def call(self, inputs, state):$/;" m class:BasicLSTMCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def call(self, inputs, state):$/;" m class:BasicRNNCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def call(self, inputs, state):$/;" m class:GRUCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def call(self, inputs, state):$/;" m class:LSTMCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def call(self, inputs, state):$/;" m class:MultiRNNCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def call(self, inputs):$/;" m class:LocallyConnected1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def call(self, inputs):$/;" m class:LocallyConnected2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def call(self, inputs):$/;" m class:_Merge +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def call(self,$/;" m class:MultiHeadAttention +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def call(self, inputs, training=None):$/;" m class:AlphaDropout +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def call(self, inputs, training=None):$/;" m class:GaussianDropout +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def call(self, inputs, training=None):$/;" m class:GaussianNoise +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def call(self, inputs):$/;" m class:LayerNormalization +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def call(self, inputs, training=None):$/;" m class:BatchNormalizationBase +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalAveragePooling2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalAveragePooling3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalMaxPooling1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalMaxPooling2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalMaxPooling3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalPooling1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalPooling2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:GlobalPooling3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:Pooling1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:Pooling2D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs):$/;" m class:Pooling3D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def call(self, inputs, mask=None):$/;" m class:GlobalAveragePooling1D +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def call(self, inputs):$/;" m class:CategoryCrossing +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def call(self, inputs, count_weights=None):$/;" m class:CategoryEncoding +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def call(self, inputs):$/;" m class:Discretization +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def call(self, inputs):$/;" m class:Hashing +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs):$/;" m class:CenterCrop +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs):$/;" m class:Rescaling +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs):$/;" m class:Resizing +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomContrast +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomCrop +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomFlip +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomHeight +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomRotation +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomTranslation +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomWidth +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def call(self, inputs, training=True):$/;" m class:RandomZoom +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def call(self, inputs):$/;" m class:IndexLookup +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def call(self, inputs):$/;" m class:Normalization +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/reduction.py /^ def call(self, inputs, weights=None):$/;" m class:Reduction +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def call(self, inputs):$/;" m class:TextVectorization +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:GRU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:LSTM +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:SimpleRNN +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, states):$/;" m class:AbstractRNNCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, states, constants=None, training=None, **kwargs):$/;" m class:StackedRNNCells +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, states, training=None):$/;" m class:GRUCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, states, training=None):$/;" m class:LSTMCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self, inputs, states, training=None):$/;" m class:SimpleRNNCell +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def call(self,$/;" m class:RNN +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:GRU +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def call(self, inputs, mask=None, training=None, initial_state=None):$/;" m class:LSTM +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def call(self, inputs, state, **kwargs):$/;" m class:_RNNCellWrapperV2 +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def call(self, inputs, training=None, mask=None):$/;" m class:TimeDistributed +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def call(self,$/;" m class:Bidirectional +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^ def call(self, inputs, training=False):$/;" m class:Dropout +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^ def call(self, inputs, training=False):$/;" m class:BatchNormalization +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def call(self, y_true, y_pred):$/;" m class:Loss +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def call(self, y_true, y_pred):$/;" m class:LossFunctionWrapper +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def call(self, inputs):$/;" m class:MultiplyLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^ def call(self, inputs):$/;" m class:LinearModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def call(self, inputs, training=None):$/;" m class:WideDeepModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def call(inputs, *args, **kwargs):$/;" f function:_extract_outputs_from_fn file: +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs):$/;" m class:Bias +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:SmallSubclassMLP +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:_MultiIOSubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:_MultiIOSubclassModelCustomBuild +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:_SmallSubclassMLPCustomBuild +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:_SubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def call(self, inputs, **kwargs):$/;" m class:_SubclassModelCustomBuild +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def call(self, inputs):$/;" m class:nested_functional_in_subclassed_model.NestedFunctionalInSubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def call(self, inputs):$/;" m class:nested_subclassed_model.NestedSubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def call(self, inputs):$/;" m class:shared_layer_subclassed_model.SharedLayerSubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def call(self, inputs, **kwargs):$/;" m class:MySubclassModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, inputs):$/;" m class:get_nested_model_3.Inner +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, first, second, fiddle_with_output='no', training=True):$/;" m class:CustomCallModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, inputs):$/;" m class:NestedTestModel1 +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, inputs):$/;" m class:NestedTestModel2 +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, x):$/;" m class:SimpleConvTestModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, x, training):$/;" m class:TrainingNoDefaultModel +call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def call(self, x, training=False, mask=None):$/;" m class:TrainingMaskingModel +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def call(self, features, mode):$/;" m class:_DNNModel +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def call(self, features, mode):$/;" m class:_DNNModelV2 +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def call(self, features):$/;" m class:LinearModel +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def call(self, features):$/;" m class:_LinearModelLayer +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def call(self, inputs, training=None):$/;" m class:RNNModel +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def call(self, input_window_features, output_window_features):$/;" m class:LSTMPredictionModel +call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def call(self, mode, features, labels=None):$/;" m class:ModelFunction +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/misc.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/pyasn1/compat/calling.py /^ def callable(x):$/;" f +callable adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/six.py /^ def callable(obj):$/;" f +callable adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ callable = callable$/;" v +callable adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def callable(obj):$/;" f +CallableObjectProxy adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class CallableObjectProxy(ObjectProxy):$/;" c +CallableOperator adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ CallableOperator = Callable[[ParsedVersion, str], bool]$/;" v +CallableOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^CallableOptions = _reflection.GeneratedProtocolMessageType('CallableOptions', (_message.Message,/;" v +CallableOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^CallableOptions = _reflection.GeneratedProtocolMessageType('CallableOptions', (_message.Message,/;" v +callable_formatting_function adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def callable_formatting_function(dates, _):$/;" f function:test_date_formatter_callable file: +callable_fun adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def callable_fun(x):$/;" f member:TestGaussianKDECustom.test_callable_covariance_dataset file: +callback adpepsenv/lib/python3.8/site-packages/google/auth/transport/mtls.py /^ def callback():$/;" f function:default_client_cert_source file: +callback adpepsenv/lib/python3.8/site-packages/google/auth/transport/mtls.py /^ def callback():$/;" f function:default_client_encrypted_cert_source file: +callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ callback = lambda arg, device, transforms: callback_func(arg, device=device)$/;" f function:_call file: +callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ callback = lambda arg, device, transforms: callback_func(arg, transforms, device=device)$/;" f function:_call file: +callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def callback(code):$/;" f member:TestF77Callback.test_string_callback file: +callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def callback(cu, lencu):$/;" f member:TestF77Callback.test_string_callback_array file: +callback adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def callback(self, callback, *args, **kwds):$/;" m class:ExitStack +callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def callback(x, info):$/;" f member:TestTrustRegionConstr.test_issue_9044 file: +Callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ class Callback(object):$/;" c member:TestOptimizeSimple.test_l_bfgs_b_maxiter file: +callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def callback(x, *args, **kwargs):$/;" f member:TestOptimizeSimple.test_minimize_callback_copies_array file: +callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def callback(x, f, accepted):$/;" f member:TestBasinHopping.test_seed_reproducibility file: +callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def callback(self, x, f, context):$/;" m class:TestDualAnnealing +callback adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ callback = lambda r:store_residual(r, rvec)$/;" f member:TestGMRES.test_callback file: +callback adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def callback(x):$/;" f function:check_maxiter file: +callback adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ def callback(a, caller):$/;" f function:test_threadsafety file: +Callback adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^class Callback(tf.keras.callbacks.Callback):$/;" c +callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^ def callback(self,$/;" m class:CheckNumericsCallback +callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def callback(self,$/;" m class:_DumpingCallback +Callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class Callback(object):$/;" c +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def callback(fut):$/;" f member:JitRpcTest.test_callback_chain file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def callback(fut):$/;" f member:JitRpcTest.test_callback_simple file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def callback(fut):$/;" f member:JitRpcTest.test_callback_with_exception file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut):$/;" f member:RpcTest.test_callback_chain file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut):$/;" f member:RpcTest.test_callback_simple file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut0):$/;" f member:RpcTest.test_callback_with_error file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut0):$/;" f member:RpcTest.test_callback_with_ret file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(idx, fut):$/;" f member:RpcTest.test_callback_multi file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut):$/;" f function:add_use_future_cb file: +callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def callback(fut1):$/;" f function:add_use_future_nested_cb file: +callback2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def callback2(x, f, accepted):$/;" f member:TestBasinHopping.test_seed_reproducibility file: +CallbackDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class CallbackDict(UpdateDictMixin, dict):$/;" c +CallbackException adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^class CallbackException(Exception):$/;" c +CallbackFileWrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^class CallbackFileWrapper(object):$/;" c +CallbackList adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class CallbackList(object):$/;" c +CallbackRegistry adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class CallbackRegistry:$/;" c +callbacks adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^callbacks = {'callbacks': '\/*need_callbacks*\/'}$/;" v +callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^callbacks = LazyLoader($/;" v +callbacks_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.keras import callbacks as callbacks_module$/;" x +callbacks_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^callbacks_v1 = LazyLoader($/;" v +CallbackTrace adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^class CallbackTrace(Trace):$/;" c +CallbackTracer adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^class CallbackTracer(Tracer):$/;" c +callback_evaluates_false adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def callback_evaluates_false(param, convergence=0.):$/;" f member:TestDifferentialEvolutionSolver.test_callback_terminates file: +callback_evaluates_true adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def callback_evaluates_true(param, convergence=0.):$/;" f member:TestDifferentialEvolutionSolver.test_callback_terminates file: +callback_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def callback_fun(fun : lu.WrappedFun, in_vals, callback, strip_calls):$/;" f +callback_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def callback_func(x):$/;" f member:TestShgoArguments.test_3_1_disp_simplicial file: +callback_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def callback_func(x):$/;" f member:TestShgoArguments.test_3_2_disp_sobol file: +callback_python adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def callback_python(a, user_data=None):$/;" f +callback_python_true adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def callback_python_true(param, convergence=0.):$/;" f member:TestDifferentialEvolutionSolver.test_callback_terminates file: +callback_subtrace adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def callback_subtrace(main, *in_vals, **params):$/;" f +callback_transform adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def callback_transform($/;" f +CallContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^class CallContext(object):$/;" c +CallContextManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^class CallContextManager(object):$/;" c +callcrackfortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def callcrackfortran(files, options):$/;" f +CallCredentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^CallCredentials = grpc.CallCredentials$/;" v +CallCredentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class CallCredentials(object):$/;" c +called_name adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def called_name(name):$/;" f +called_without_tracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def called_without_tracing(self):$/;" m class:_CallCounter +called_without_tracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def called_without_tracing(self, key):$/;" m class:_FrequentTracingDetector +called_with_tracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def called_with_tracing(self):$/;" m class:_CallCounter +called_with_tracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def called_with_tracing(self, key, function_name):$/;" m class:_FrequentTracingDetector +caller adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^ def caller(func, *a, **kw):$/;" f member:ReentrancyLock.decorate file: +CallerMustSetThis adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^class CallerMustSetThis(object):$/;" c +CALLERS adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^CALLERS = {$/;" v +callfunpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^callfunpattern = re.compile($/;" v +CallgrindStats adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^class CallgrindStats(object):$/;" c +CALLGRIND_SINGLETON adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^CALLGRIND_SINGLETON: Optional[_ValgrindWrapper] = None$/;" v +CallMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def CallMethod(self, method_descriptor, rpc_controller,$/;" m class:RpcChannel +CallMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def CallMethod(self, method_descriptor, rpc_controller,$/;" m class:Service +callnameargspattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^callnameargspattern = re.compile($/;" v +CallOnceOnly adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^class CallOnceOnly:$/;" c +CallOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CallOptions = 16$/;" v class:BuiltinOptions +CallOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CallOptions(object):$/;" c +CallOptionsAddSubgraph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CallOptionsAddSubgraph(builder, subgraph): builder.PrependUint32Slot(0, subgraph, 0)$/;" f +CallOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CallOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:CallOptions +CallOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CallOptionsEnd(builder): return builder.EndObject()$/;" f +CallOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CallOptionsStart(builder): builder.StartObject(1)$/;" f +CallOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CallOptionsT(object):$/;" c +callpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^callpattern = re.compile($/;" v +CallPrimitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^class CallPrimitive(Primitive):$/;" c +calls_update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def calls_update(name): # noqa: B902$/;" m class:UpdateDictMixin +CallTraceback adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^CallTraceback = _reflection.GeneratedProtocolMessageType('CallTraceback', (_message.Message,), {$/;" v +CallTreeTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^class CallTreeTransformer(converter.Base):$/;" c +CallWithExceptionIntercept adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def CallWithExceptionIntercept(func, op_id_fetcher, net_name, *args, **kwargs):$/;" f +call_after_init adpepsenv/lib/python3.8/site-packages/absl/app.py /^def call_after_init(callback):$/;" f +call_and_return_conditional_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def call_and_return_conditional_losses(inputs, *args, **kwargs):$/;" f function:_wrap_call_and_conditional_losses file: +call_bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def call_bind(primitive: Union['CallPrimitive', 'MapPrimitive'],$/;" f function:omnistaging_disabler file: +call_bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^def call_bind(primitive: Union['CallPrimitive', 'MapPrimitive'],$/;" f +call_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ call_cell = lambda: cell(input_, state)$/;" f function:static_rnn file: +call_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ call_cell = lambda: cell(input_t, state)$/;" f function:_dynamic_rnn_loop._time_step file: +call_command adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def call_command(self, cmdname, **kw):$/;" m class:bdist_egg +call_computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def call_computation(computation_inputs, computation, batch_config=None):$/;" f +call_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def call_context():$/;" f +call_fork_with_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def call_fork_with_profiling(handle: Tensor) -> Tensor:$/;" f +call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def call_for_each_replica(self, fn, args=(), kwargs=None):$/;" m class:StrategyExtendedV1 +call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^def call_for_each_replica(strategy, fn, args=None, kwargs=None):$/;" f +call_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def call_function(cls, target):$/;" m class:NodeSpec +call_function adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def call_function(self,$/;" m class:Graph +call_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def call_helper(self, *args):$/;" f function:repeat_test_for_types.repeat_helper file: +call_impl adpepsenv/lib/python3.8/site-packages/jax/core.py /^def call_impl(f: lu.WrappedFun, *args, **params):$/;" f +call_logging_exceptions adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^def call_logging_exceptions(behavior, message, *args, **kwargs):$/;" f +call_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def call_logit_fn(logit_fn, features, mode, params, config):$/;" f +call_loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def call_loss_fn(loss_fn, labels, logits, features, expected_loss_dim=1):$/;" f +call_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def call_method(cls, target):$/;" m class:NodeSpec +call_method adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def call_method(self,$/;" m class:Graph +call_metric_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def call_metric_function(metric_fn,$/;" f +call_minpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def call_minpack(fun, x0, jac, ftol, xtol, gtol, max_nfev, x_scale, diff_step):$/;" f +call_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def call_module(cls, target):$/;" m class:NodeSpec +call_module adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def call_module(self,$/;" m class:Graph +call_on_close adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def call_on_close(self, func):$/;" m class:BaseResponse +call_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def call_options(self):$/;" m class:ConversionOptions +call_p adpepsenv/lib/python3.8/site-packages/jax/core.py /^call_p = CallPrimitive('call')$/;" v +call_param_updaters adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^call_param_updaters = {}$/;" v +call_param_updaters adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^call_param_updaters: Dict[core.Primitive, Callable] = {}$/;" v +call_param_updaters adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^call_param_updaters: Dict[core.Primitive, Callable] = {}$/;" v +call_partial_eval_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^call_partial_eval_rules: Dict[core.Primitive, Callable] = {}$/;" v +call_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ call_primitive = False # set for call primitives processed in final style$/;" v class:Primitive +call_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ call_primitive = True$/;" v class:CallPrimitive +call_replica_local_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils.py /^def call_replica_local_fn(fn, *args, **kwargs):$/;" f +call_rpc_torchscript_with_record_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def call_rpc_torchscript_with_record_function(dst_worker_name: str, block: str) -> Tensor:$/;" f +call_rpc_with_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def call_rpc_with_profiling(handle: Tensor, dst_worker_name: str) -> Tensor:$/;" f +call_servo adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def call_servo(examples, serving_bundle):$/;" f +call_subprocess adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def call_subprocess($/;" f +call_subprocess adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^def call_subprocess($/;" f +call_tracker adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def call_tracker(self):$/;" m class:LOBPCG +call_translations adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^call_translations: Dict[core.Primitive, Callable] = {}$/;" v +call_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def call_transpose(primitive, params, call_jaxpr, args, ct, _):$/;" f +call_transpose_param_updaters adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^call_transpose_param_updaters: Dict[core.Primitive, Callable] = {}$/;" v +call_vcs_version adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def call_vcs_version(self):$/;" m class:Subversion +call_win32 adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def call_win32(self, command, params):$/;" m class:AnsiToWin32 +call_without_tpu adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def call_without_tpu(self, features, labels, is_export_mode):$/;" m class:_ModelFnWrapper +call_with_retries adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^def call_with_retries(api_method, request, clock=None):$/;" f +call_with_unspecified_conversion_status adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def call_with_unspecified_conversion_status(func):$/;" f +call_with_variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def call_with_variable_creator_scope(self, fn):$/;" m class:VariableHolder +call_wrapped adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def call_wrapped(self, *args, **kwargs):$/;" m class:WrappedFun +calorie adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^calorie = calorie_th = 4.184$/;" v +calorie_IT adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^calorie_IT = 4.1868$/;" v +camelcase_name adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def camelcase_name(self):$/;" m class:FieldDescriptor +camellia128_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^camellia128_Wrap = AlgorithmIdentifier()$/;" v +camellia192_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^camellia192_Wrap = AlgorithmIdentifier()$/;" v +camellia256_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^camellia256_Wrap = AlgorithmIdentifier()$/;" v +Camellia_KeyWrappingScheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class Camellia_KeyWrappingScheme(AlgorithmIdentifier):$/;" c +camel_to_snake adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def camel_to_snake(value):$/;" f +camel_to_snake adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def camel_to_snake(name):$/;" f member:Bijector.__init__ file: +canberra adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def canberra(u, v, w=None):$/;" f +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def cancel(self) -> bool:$/;" m class:RpcContext +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def cancel(self) -> bool:$/;" m class:Call +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def cancel(self) -> bool:$/;" m class:_StreamRequestMixin +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def cancel(self) -> bool:$/;" m class:_StreamResponseMixin +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def cancel(self) -> bool:$/;" m class:_UnaryResponseMixin +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancel(self) -> bool:$/;" m class:InterceptedCall +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancel(self) -> bool:$/;" m class:UnaryUnaryCallResponse +cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancel(self) -> bool:$/;" m class:_StreamCallResponseIterator +cancel adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def cancel(self):$/;" m class:_ChannelReadyFuture +cancel adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def cancel(self):$/;" m class:_Rendezvous +cancel adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def cancel(self):$/;" m class:_Callback +cancel adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def cancel(self):$/;" m class:_FaceServicerContext +cancel adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def cancel(self):$/;" m class:Future +cancel adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def cancel(self):$/;" m class:OperationContext +cancel adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def cancel(self):$/;" m class:RpcContext +cancel adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def cancel(self):$/;" m class:_InactiveRpcError +cancel adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def cancel(self):$/;" m class:_Rendezvous +cancel adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def cancel(self):$/;" m class:_FailureOutcome +cancel adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def cancel(self):$/;" m class:_UnaryOutcome +cancel adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def cancel(self):$/;" m class:_Context +cancel adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def cancel(self):$/;" m class:_ChannelReadyFuture +cancel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def cancel(self):$/;" m class:Future +cancel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def cancel(self):$/;" m class:RpcContext +cancel adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def cancel(self):$/;" m class:Future +cancel adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def cancel(self, title, next, name = "Cancel", active = 1):$/;" m class:PyDialog +cancellable_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def cancellable_call(*args, **kwargs):$/;" f member:ConcreteFunction._experimental_with_cancellation_manager file: +CancellationError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class CancellationError(AbortionError):$/;" c +CancellationManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^class CancellationManager(object):$/;" c +cancelled adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def cancelled(self) -> bool:$/;" m class:RpcContext +cancelled adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def cancelled(self) -> bool:$/;" m class:Call +cancelled adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancelled(self) -> bool:$/;" m class:InterceptedCall +cancelled adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancelled(self) -> bool:$/;" m class:UnaryUnaryCallResponse +cancelled adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def cancelled(self) -> bool:$/;" m class:_StreamCallResponseIterator +cancelled adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def cancelled(self):$/;" m class:_ChannelReadyFuture +cancelled adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def cancelled(self):$/;" m class:_Rendezvous +cancelled adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def cancelled(self):$/;" m class:Future +CANCELLED adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ CANCELLED = 'cancelled'$/;" v class:Outcome.Kind +CANCELLED adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ CANCELLED = 'cancelled'$/;" v class:Abortion.Kind +cancelled adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def cancelled(self):$/;" m class:_InactiveRpcError +cancelled adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def cancelled(self):$/;" m class:_MultiThreadedRendezvous +cancelled adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def cancelled(self):$/;" m class:_FailureOutcome +cancelled adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def cancelled(self):$/;" m class:_UnaryOutcome +cancelled adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def cancelled(self):$/;" m class:_ChannelReadyFuture +CANCELLED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ CANCELLED = (_cygrpc.StatusCode.cancelled, 'cancelled')$/;" v class:StatusCode +cancelled adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def cancelled(self):$/;" m class:Future +cancelled adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def cancelled(self):$/;" m class:Future +CANCELLED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^CANCELLED = 'CANCELLED'$/;" v +CANCELLED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^CANCELLED = error_codes.CANCELLED$/;" v +CANCELLED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^CANCELLED = 1$/;" v +CANCELLED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^CANCELLED = 1$/;" v +CANCELLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^CANCELLED = error_codes_pb2.CANCELLED$/;" v +CancelledError adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^class CancelledError(Exception):$/;" c +CancelledError adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class CancelledError(Error):$/;" c +CancelledError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class CancelledError(OpError):$/;" c +CancelledError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class CancelledError(OpError):$/;" c +CANCELLED_AND_NOTIFIED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^CANCELLED_AND_NOTIFIED = 'CANCELLED_AND_NOTIFIED'$/;" v +cancel_all_calls_after_grace adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def cancel_all_calls_after_grace():$/;" f function:_stop file: +cancel_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def cancel_op(self):$/;" m class:QueueRunner +Candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^class Candidate(object):$/;" c +CandidateEvaluator adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^class CandidateEvaluator(object):$/;" c +CandidateLookup adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ CandidateLookup = Tuple[$/;" v +CandidatePreferences adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^class CandidatePreferences(object):$/;" c +CandidateSortingKey adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ CandidateSortingKey = ($/;" v +canonical adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def canonical(o):$/;" f member:TestConvolution.test_convolution_layout file: +canonical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^ def canonical(x, c):$/;" f function:_compute_size_of_strided_dim file: +CanonicalConstraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^class CanonicalConstraint(object):$/;" c +CanonicalFormFromMask adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def CanonicalFormFromMask(self, mask):$/;" m class:FieldMask +canonicalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^def canonicalize(d, default=None):$/;" f +canonicalize_axis adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def canonicalize_axis(axis, num_dims) -> int:$/;" f +canonicalize_dtype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def canonicalize_dtype(dtype):$/;" f +canonicalize_dtype adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def canonicalize_dtype(x):$/;" f +canonicalize_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def canonicalize_dtype(dtype):$/;" f +canonicalize_dtype_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^canonicalize_dtype_handlers: Dict[Any, Callable] = {core.Unit: identity}$/;" v +canonicalize_function_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def canonicalize_function_inputs(self, *args, **kwargs):$/;" m class:FunctionSpec +canonicalize_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/utils.py /^def canonicalize_name(name):$/;" f +canonicalize_name adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/utils.py /^def canonicalize_name(name):$/;" f +canonicalize_name adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/utils.py /^def canonicalize_name(name):$/;" f +canonicalize_shape adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^canonicalize_shape = core.canonicalize_shape$/;" v +canonicalize_shape adpepsenv/lib/python3.8/site-packages/jax/core.py /^def canonicalize_shape(shape):$/;" f +canonicalize_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def canonicalize_signatures(signatures):$/;" f +canonicalize_times_or_steps_from_output adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model_utils.py /^def canonicalize_times_or_steps_from_output(times, steps,$/;" f +canonicalize_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/utils.py /^def canonicalize_version(_version):$/;" f +canonicalize_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/utils.py /^def canonicalize_version(version):$/;" f +canonicalize_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/utils.py /^def canonicalize_version(version):$/;" f +canonical_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def canonical_axis(axis, ndim):$/;" f member:TestMatMul.test_matmul_axis file: +canonical_name adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def canonical_name(blob):$/;" f function:apply_assignments file: +canonical_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^def canonical_name(device):$/;" f +CANOPUS_ATRAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CANOPUS_ATRAC = 0x0063$/;" v class:WAVE_FORMAT +canParseNext adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def canParseNext(self, instring, loc):$/;" m class:ParserElement +canParseNext adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def canParseNext(self, instring, loc):$/;" m class:ParserElement +canParseNext adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def canParseNext(self, instring, loc):$/;" m class:ParserElement +canParseNext adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def canParseNext(self, instring, loc):$/;" m class:ParserElement +CantOpenFlagFileError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class CantOpenFlagFileError(Error):$/;" c +CantOpenFlagFileError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^CantOpenFlagFileError = _exceptions.CantOpenFlagFileError$/;" v +cant_write_to_target adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def cant_write_to_target(self):$/;" m class:easy_install +canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ canvas = self.figure.canvas$/;" v class:SaveFigureGTK3.trigger.PseudoToolbar +canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def canvas(self):$/;" m class:ToolManager +canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def canvas(self):$/;" m class:ToolBase +can_add adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def can_add(self, dist):$/;" m class:Environment +can_add adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def can_add(self, dist):$/;" m class:Environment +can_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def can_add(self, op1, op2): # pylint: disable=unused-argument$/;" m class:_AddAndReturnMatrix +can_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def can_add(self, op1, op2):$/;" m class:_AddAndReturnDiag +can_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def can_add(self, op1, op2):$/;" m class:_AddAndReturnScaledIdentity +can_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def can_add(self, op1, op2):$/;" m class:_AddAndReturnTriL +can_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def can_add(self, op1, op2):$/;" m class:_Adder +can_blas adpepsenv/lib/python3.8/site-packages/opt_einsum/blas.py /^def can_blas(inputs, result, idx_removed, shapes=None):$/;" f +can_cast adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^can_cast = np.can_cast$/;" v +can_cast adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^can_cast = dtypes.can_cast$/;" v +can_cast adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def can_cast(from_, to, casting=None):$/;" f +can_ccompiler_link adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def can_ccompiler_link(self, compiler):$/;" m class:Gnu95FCompiler +can_ccompiler_link adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def can_ccompiler_link(self, ccompiler):$/;" m class:FCompiler +can_compile_class adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def can_compile_class(cls):$/;" f +can_composite adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def can_composite(self):$/;" m class:_ImageBase +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_BoolCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_BoundedTensorSpecCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_DictCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_Float64Codec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_Int64Codec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_ListCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_NamedTupleCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_NoneCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_StringCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_TensorShapeCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_TensorSpecCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_TensorTypeCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_TupleCodec +can_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_decode(self, value):$/;" m class:_TypeSpecCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, nested_structure):$/;" m class:StructureCoder +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_BoolCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_BoundedTensorSpecCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_DictCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_Float64Codec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_Int64Codec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_ListCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_NamedTupleCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_NoneCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_StringCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_TensorShapeCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_TensorSpecCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_TensorTypeCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_TupleCodec +can_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def can_encode(self, pyobj):$/;" m class:_TypeSpecCodec +can_fork adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^can_fork = hasattr(os, "fork")$/;" v +can_go_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def can_go_back(self):$/;" m class:CursesNavigationHistory +can_go_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def can_go_forward(self):$/;" m class:CursesNavigationHistory +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:CompositeTensorDataAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:DataAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:DatasetAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:GeneratorDataAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:GenericArrayLikeDataAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:KerasSequenceAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:ListsOfScalarsDataAdapter +can_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def can_handle(x, y=None):$/;" m class:TensorLikeDataAdapter +can_ignore adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ can_ignore = 4$/;" v class:TestUfunc +can_ignore adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def can_ignore(self, node):$/;" m class:GraphVisitor +can_open_by_fd adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^can_open_by_fd = not WIN and hasattr(socket, "fromfd")$/;" v +can_pan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def can_pan(self):$/;" m class:_AxesBase +can_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def can_pan(self):$/;" m class:GeoAxes +can_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def can_pan(self):$/;" m class:PolarAxes +can_pan adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def can_pan(self):$/;" m class:Axes3D +can_rename_open_file adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ can_rename_open_file = True$/;" v +can_rename_open_file adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ can_rename_open_file = True$/;" v +can_rename_open_file adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^can_rename_open_file = False$/;" v +can_rollback adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def can_rollback(self):$/;" m class:StashedUninstallPathSet +can_scan adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def can_scan():$/;" f +can_use_index_var adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def can_use_index_var(self):$/;" m class:_BoundedLoopBuilder +can_use_index_var adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def can_use_index_var(self):$/;" m class:_CondBuilder +can_use_index_var adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def can_use_index_var(self):$/;" m class:_LoopBuilder +can_use_index_var adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def can_use_index_var(self):$/;" m class:_WhileBuilder +can_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def can_zoom(self):$/;" m class:_AxesBase +can_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def can_zoom(self):$/;" m class:GeoAxes +can_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def can_zoom(self):$/;" m class:PolarAxes +can_zoom adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def can_zoom(self):$/;" m class:Axes3D +capacity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def capacity(self):$/;" m class:BaseStagingArea +capitalize adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def capitalize(self):$/;" m class:chararray +capitalize adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def capitalize(a):$/;" f +capstyles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ capstyles = {'butt': 0, 'round': 1, 'projecting': 2}$/;" v class:GraphicsContextPdf +capstyle_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def capstyle_cmd(self, style):$/;" m class:GraphicsContextPdf +caption adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def caption(self):$/;" m class:MenuItem +captions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def captions(self):$/;" m class:Menu +caption_to_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def caption_to_item(self, caption):$/;" m class:Menu +CapturableResource adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class CapturableResource(base.Trackable):$/;" c +CapturableResourceDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class CapturableResourceDeleter(object):$/;" c +capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def capture(self, o):$/;" m class:_CapturedObject +capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def capture(self, tensor, name=None):$/;" m class:_FuncGraph +capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def capture(self, tensor, name=None, shape=None):$/;" m class:FuncGraph +capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def capture(self, tensor, name=None, allowlisted=False):$/;" m class:_WhileBodyGradFuncGraph +capture adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def capture(self, o):$/;" m class:_CapturedObject +captured adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def captured(self, tensor):$/;" m class:FuncGraph +CapturedWrites adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class CapturedWrites(object):$/;" c +captured_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def captured_inputs(self):$/;" m class:ConcreteFunction +captured_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def captured_inputs(self):$/;" m class:_DefinedFunction +captured_output adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def captured_output(stream_name):$/;" f +captured_stderr adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def captured_stderr():$/;" f +captured_stdout adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def captured_stdout():$/;" f +captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def captures(self):$/;" m class:_FuncGraph +captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def captures(self):$/;" m class:FuncGraph +captureWritesToStream adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def captureWritesToStream(self, stream):$/;" m class:TensorFlowTestCase +capture_call_time_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def capture_call_time_value(self, closure, spec, key=None):$/;" m class:FuncGraph +capture_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def capture_dependencies(template):$/;" f +capture_distributed_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def capture_distributed_variable(self, variable, placeholder):$/;" m class:FuncGraph +capture_eager_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def capture_eager_tensor(self, tensor, name):$/;" m class:FuncGraph +capture_route adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def capture_route(self, request):$/;" m class:ProfilePlugin +CAPTURE_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^CAPTURE_ROUTE = '\/capture_profile'$/;" v +capture_stdout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ class capture_stdout(list):$/;" c class:JitTestCase +carat adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^carat = 200e-6$/;" v +Cardinality adpepsenv/lib/python3.8/site-packages/grpc/framework/common/cardinality.py /^class Cardinality(enum.Enum):$/;" c +cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^def cardinality(dataset):$/;" f +cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def cardinality(self):$/;" m class:DatasetV2 +Carry adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^Carry = TypeVar('Carry')$/;" v +Cartcomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Cartcomm(Topocomm) [$/;" c +cartesian_prod adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def cartesian_prod(*tensors):$/;" f +cascade adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def cascade(hk, J=7):$/;" f +Case adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^class Case(object):$/;" c +case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def case(pred_fn_pairs,$/;" f +Case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^Case = tf_export("raw_ops.Case")(_ops.to_raw_op(case))$/;" v +case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def case(branch_index, input, Tout, branches, output_shapes=[], name=None):$/;" f +case adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import case_v2 as case$/;" x +case adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import case_v2 as case$/;" x +case adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import case_v2 as case$/;" x +case adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.control_flow_ops import case_v2 as case$/;" x +case adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.control_flow_ops import case_v2 as case$/;" x +CaseInsensitiveDict adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^class CaseInsensitiveDict(dict):$/;" c +CaseInsensitiveDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^class CaseInsensitiveDict(MutableMapping):$/;" c +CaseInsensitiveDict adpepsenv/lib/python3.8/site-packages/requests/structures.py /^class CaseInsensitiveDict(MutableMapping):$/;" c +CaselessKeyword adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class CaselessKeyword(Keyword):$/;" c +CaselessKeyword adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class CaselessKeyword(Keyword):$/;" c +CaselessKeyword adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class CaselessKeyword(Keyword):$/;" c +CaselessKeyword adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class CaselessKeyword(Keyword):$/;" c +CaselessLiteral adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class CaselessLiteral(Literal):$/;" c +CaselessLiteral adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class CaselessLiteral(Literal):$/;" c +CaselessLiteral adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class CaselessLiteral(Literal):$/;" c +CaselessLiteral adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class CaselessLiteral(Literal):$/;" c +cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, cases = zip(*test_cases)$/;" v class:TestStrCategoryFormatter +CASES adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^CASES = []$/;" v +CASES adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^CASES = []$/;" v +cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ cases = [$/;" v class:TestSolveLyapunov +cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ cases = [$/;" v class:TestSolveSylvester +cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ cases = [$/;" v class:TestPascal +cases adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ cases = [$/;" v class:TestC2dInvariants +cases_64bit adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def cases_64bit():$/;" f +cases_from_gens adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def cases_from_gens(*gens):$/;" f +cases_from_list adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def cases_from_list(xs):$/;" f +cases_test_cont_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def cases_test_cont_basic():$/;" f +cases_test_cont_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^def cases_test_cont_fit():$/;" f +cases_test_discrete_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def cases_test_discrete_basic():$/;" f +cases_test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def cases_test_moments():$/;" f +case_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def case_eager_fallback(branch_index, input, Tout, branches, output_shapes, name, ctx):$/;" f +case_insensitive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ case_insensitive = False$/;" v class:TestCastingConverter +case_insensitive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ case_insensitive = False$/;" v class:TestSelectkindConverter +case_insensitive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ case_insensitive = True$/;" v class:StringConverterTestCase +case_table4 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^case_table4 = [$/;" v +case_table5 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^case_table5 = [$/;" v +case_table5_rt adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^case_table5_rt = case_table5[:]$/;" v +CASE_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^CASE_TEMPLATE = CT("""\\$/;" v +case_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def case_v2(pred_fn_pairs,$/;" f +cast adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^cast = _typedict()$/;" v +cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def cast():$/;" f member:TestDateTime.test_cast_overflow file: +cast adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def cast(self, num, obj):$/;" m class:_missing_ctypes +cast adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def cast(cls, series, domain=None, window=None):$/;" m class:ABCPolyBase +cast adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/typing.py /^ def cast(type_, value): # type: ignore$/;" f +cast adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_typing.py /^ def cast(type_, value): # noqa$/;" f +CAST adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CAST = 53$/;" v class:BuiltinOperator +cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cast(x, dtype):$/;" f +Cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cast = tf_export("raw_ops.Cast")(_ops.to_raw_op(cast))$/;" v +cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cast(x, DstT, Truncate=False, name=None):$/;" f +cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def cast(i, p):$/;" f member:ConvolutionOrthogonal3D._block_orth file: +cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def cast(x, dtype, name=None):$/;" f +cast adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def cast(param, value):$/;" f member:Optimizer.load_state_dict file: +cast adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/_typing.py /^ def cast(type_, value): # noqa$/;" f +cast2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def cast2():$/;" f member:TestDateTime.test_cast_overflow file: +CastOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CastOptions = 37$/;" v class:BuiltinOptions +CastOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CastOptions(object):$/;" c +CastOptionsAddInDataType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CastOptionsAddInDataType(builder, inDataType): builder.PrependInt8Slot(0, inDataType, 0)$/;" f +CastOptionsAddOutDataType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CastOptionsAddOutDataType(builder, outDataType): builder.PrependInt8Slot(1, outDataType, 0)$/;" f +CastOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CastOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:CastOptions +CastOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CastOptionsEnd(builder): return builder.EndObject()$/;" f +CastOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CastOptionsStart(builder): builder.StartObject(2)$/;" f +CastOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CastOptionsT(object):$/;" c +cast_aval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def cast_aval(aval):$/;" f function:bool_to_int8.wrapper file: +cast_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cast_eager_fallback(x, DstT, Truncate, name, ctx):$/;" f +cast_if_floating_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def cast_if_floating_dtype(x, dtype=None):$/;" f +cast_if_floating_dtype_and_mismatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def cast_if_floating_dtype_and_mismatch(targets, outputs):$/;" f +cast_losses_to_common_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def cast_losses_to_common_dtype(losses):$/;" f +cast_order adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def cast_order(c):$/;" f +cast_pytorch_to_onnx adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^cast_pytorch_to_onnx = {$/;" v +cast_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def cast_ref(x, y):$/;" f function:_test_binary_broadcast.test_binary_broadcast file: +cast_single_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def cast_single_tensor(x, dtype=None):$/;" f +cast_tf2sos adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def cast_tf2sos(b, a):$/;" f +cast_to_floatx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cast_to_floatx(x):$/;" f +cast_to_model_input_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def cast_to_model_input_dtypes(x, model):$/;" f +cast_types adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def cast_types(self):$/;" m class:Type +cast_variables_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cast_variables_to_tensor(tensors):$/;" f +cat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^import matplotlib.category as cat$/;" I +cat adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^cat = _Cat$/;" v +cat adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def cat(self, x, dim=0):$/;" m class:FloatFunctional +cat adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def cat(self, x, dim=0):$/;" m class:QFunctional +cat adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def cat(g, tensor_list, dim, scale=None, zero_point=None):$/;" f +cat adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def cat(g, tensor_list, dim):$/;" f +cat adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def cat(g, tensor_list, dim):$/;" f +Cat adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class Cat(QuantizeHandler):$/;" c +cat adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def cat(self, x, dim=0):$/;" m class:Shadow +cat adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def cat(self, *args, **kwargs):$/;" m class:FauxTorch +catch adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^ def catch(self, on_failure=None):$/;" m class:ThenableFuture +catch adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^def catch(future, on_failure=None):$/;" f +catching_start_response adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/profiler.py /^ def catching_start_response(status, headers, exc_info=None):$/;" f member:ProfilerMiddleware.__call__ file: +CatchInvalidHostcallFunctions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class CatchInvalidHostcallFunctions(control_flow_ops.XLAControlFlowContext):$/;" c +catch_errors adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def catch_errors(self):$/;" m class:BaseEndpoint +catch_errors adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def catch_errors(self, catch_errors):$/;" m class:BaseEndpoint +catch_errors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def catch_errors(self, source, session=None):$/;" m class:ErrorRendezvous +catch_errors_and_unavailability adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^def catch_errors_and_unavailability(f):$/;" f +catch_sigint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def catch_sigint():$/;" f member:WebAggApplication.start file: +catch_stop_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def catch_stop_iteration(self):$/;" m class:DataHandler +categorical adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def categorical(key, logits, axis=-1, shape=None):$/;" f +Categorical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^class Categorical(distribution.Distribution):$/;" c +categorical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def categorical(logits, num_samples, dtype=None, seed=None, name=None):$/;" f +Categorical adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^class Categorical(Distribution):$/;" c +CategoricalAccuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class CategoricalAccuracy(MeanMetricWrapper):$/;" c +CategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class CategoricalColumn(FeatureColumn):$/;" c +CategoricalCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class CategoricalCrossentropy(LossFunctionWrapper):$/;" c +CategoricalCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class CategoricalCrossentropy(MeanMetricWrapper):$/;" c +CategoricalHinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class CategoricalHinge(LossFunctionWrapper):$/;" c +CategoricalHinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class CategoricalHinge(MeanMetricWrapper):$/;" c +CategoricalSplit adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^CategoricalSplit = _reflection.GeneratedProtocolMessageType('CategoricalSplit', (_message.Messag/;" v +categorical_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def categorical_accuracy(y_true, y_pred):$/;" f +categorical_column_with_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def categorical_column_with_hash_bucket(key,$/;" f +categorical_column_with_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def categorical_column_with_identity(key, num_buckets, default_value=None):$/;" f +categorical_column_with_vocabulary_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def categorical_column_with_vocabulary_file(key,$/;" f +categorical_column_with_vocabulary_file adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import categorical_column_with_vocabular/;" x +categorical_column_with_vocabulary_file adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import categorical_column_with_vocabular/;" x +categorical_column_with_vocabulary_file_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def categorical_column_with_vocabulary_file_v2(key,$/;" f +categorical_column_with_vocabulary_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def categorical_column_with_vocabulary_list(key,$/;" f +categorical_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def categorical_crossentropy(target, output, from_logits=False, axis=-1):$/;" f +categorical_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def categorical_crossentropy(y_true,$/;" f +categorical_hinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def categorical_hinge(y_true, y_pred):$/;" f +Category adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^Category = _reflection.GeneratedProtocolMessageType('Category', (_message.Message,), {$/;" v +CategoryCrossing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^class CategoryCrossing(base_preprocessing_layer.PreprocessingLayer):$/;" c +CategoryEncoding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^class CategoryEncoding(base_preprocessing_layer.CombinerPreprocessingLayer):$/;" c +CategoryEncoding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding_v1.py /^class CategoryEncoding(category_encoding.CategoryEncoding,$/;" c +CategoryEncodingV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ CategoryEncodingV1 = CategoryEncoding$/;" v +CategoryEncodingV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.category_encoding_v1 import CategoryEncoding/;" x +CategoryEncodingV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ CategoryEncodingV2 = CategoryEncoding$/;" v +CategoryEncodingV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.category_encoding import CategoryEncoding as/;" x +Caterpillar_SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^class Caterpillar_SecurityClassification(univ.Integer):$/;" c +CatTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class CatTransform(Transform):$/;" c +cat_opset9 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ from torch.onnx.symbolic_opset9 import cat as cat_opset9$/;" x function:cat file: +cauchy adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def cauchy(key, shape=(), dtype=dtypes.float_):$/;" f +cauchy adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def cauchy(z, rho, cost_only):$/;" f +cauchy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^cauchy = cauchy_gen(name='cauchy')$/;" v +Cauchy adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^class Cauchy(Distribution):$/;" c +cauchy_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class cauchy_gen(rv_continuous):$/;" c +cauchy_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^ def cauchy_point(self):$/;" m class:DoglegSubproblem +caxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void caxpy(int *n, c *ca, c *cx, int *incx, c *cy, int *incy) nogil$/;" f +ca_bundle_info adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def ca_bundle_info(config):$/;" f +ca_certs adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ ca_certs = None # set this to the path to the certs file (.pem)$/;" v class:HTTPSConnection +ca_certs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ ca_certs = None$/;" v class:HTTPSConnection +ca_certs adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ ca_certs = None$/;" v class:HTTPSConnection +ca_cert_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ ca_cert_data = None$/;" v class:HTTPSConnection +ca_cert_data adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ ca_cert_data = None$/;" v class:HTTPSConnection +ca_cert_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ ca_cert_dir = None$/;" v class:HTTPSConnection +ca_cert_dir adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ ca_cert_dir = None$/;" v class:HTTPSConnection +cb adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks_test.py /^from caffe2.python import convnet_benchmarks as cb$/;" x +cb adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^from caffe2.python import convnet_benchmarks as cb$/;" x +cb adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ cb = lambda res: None$/;" f member:LinprogHiGHSTests.test_callback file: +cb adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def cb(res):$/;" f function:generic_callback_test file: +cb adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ def cb(x):$/;" f +cb adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^ def cb():$/;" f function:manual_seed file: +cb adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^ def cb():$/;" f function:manual_seed_all file: +cb adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^ def cb():$/;" f function:seed file: +cb adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^ def cb():$/;" f function:seed_all file: +cb adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^ def cb():$/;" f function:set_rng_state file: +cbar adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib.colorbar as cbar$/;" I +CbarAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^class CbarAxes(CbarAxesBase, Axes):$/;" c +CbarAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^class CbarAxes(axes_grid_orig.CbarAxesBase, Axes):$/;" c +CbarAxesBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^class CbarAxesBase:$/;" c +CbarAxesLocator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^class CbarAxesLocator:$/;" c +CBaseDumper adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CBaseDumper(CEmitter, BaseRepresenter, BaseResolver):$/;" c +CBaseLoader adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CBaseLoader(CParser, BaseConstructor, BaseResolver):$/;" c +cbbcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cbbcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, int *m, int /;" f +CBCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class CBCounter(keras.callbacks.Callback):$/;" c member:TestDistributionStrategyWithKerasModels.test_distributed_dataset file: +CBCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class CBCounter(keras.callbacks.Callback):$/;" c member:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function file: +cbdsqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cbdsqr(char *uplo, int *n, int *ncvt, int *nru, int *ncc, s *d, s *e, c *vt, int *ldvt/;" f +cbid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ cbid = cbook._deprecate_privatize_attribute($/;" v class:CbarAxesBase +cbks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^from tensorflow.python.keras import callbacks as cbks$/;" x +cbks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.keras import callbacks as cbks$/;" x +cbks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^from tensorflow.python.keras import callbacks as cbks$/;" x +cbks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^from tensorflow.python.keras import callbacks as cbks$/;" x +cblas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ cblas = None$/;" v +cblas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ from scipy.linalg import _cblas as cblas$/;" x +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/stackplot.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^import matplotlib.cbook as cbook$/;" I +cbook adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.cbook as cbook$/;" I +cbrt adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def cbrt(x):$/;" f +cbrt adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double cbrt(double x0) nogil$/;" f +cbrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def cbrt(x):$/;" f +cbrt_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def cbrt_ref(X):$/;" f member:TestElementwiseOps.test_cbrt file: +cb_arg_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^cb_arg_rules = [$/;" v +cb_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^cb_map = {}$/;" v +cb_routine_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^cb_routine_rules = {$/;" v +cb_routsign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def cb_routsign2map(rout, um):$/;" f +cb_rout_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^cb_rout_rules = [$/;" v +cb_sign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def cb_sign2map(a, var, index=None):$/;" f +cc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^from scipy.optimize import zeros as cc$/;" x +CClass adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class CClass(AxisConcatenator):$/;" c +CCMParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^class CCMParameters(univ.Sequence):$/;" c +CCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^class CCompiler:$/;" c +CCompilerError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class CCompilerError (Exception):$/;" c +CCompiler_compile adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_compile(self, sources, output_dir=None, macros=None,$/;" f +CCompiler_customize adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_customize(self, dist, need_cxx=0):$/;" f +CCompiler_customize_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_customize_cmd(self, cmd, ignore=()):$/;" f +CCompiler_cxx_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_cxx_compiler(self):$/;" f +CCompiler_find_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_find_executables(self):$/;" f +CCompiler_get_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_get_version(self, force=False, ok_status=[0]):$/;" f +CCompiler_object_filenames adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_object_filenames(self, source_filenames, strip_dir=0, output_dir=''):$/;" f +CCompiler_show_customization adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_show_customization(self):$/;" f +CCompiler_spawn adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def CCompiler_spawn(self, cmd, display=None):$/;" f +ccopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ccopy(int *n, c *cx, int *incx, c *cy, int *incy) nogil$/;" f +ccycler adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^from cycler import Cycler, cycler as ccycler$/;" x +cc_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ cc_args = '-fPIC'$/;" v class:IntelEM64TCCompiler +cc_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ cc_args = 'fPIC'$/;" v class:IntelCCompiler +cc_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def cc_diff(x, a, b, period=None, _cache=_cache):$/;" f +cc_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ cc_exe = 'icc -m64'$/;" v class:IntelEM64TCCompiler +cc_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ cc_exe = 'icc'$/;" v class:IntelCCompiler +cc_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/pathccompiler.py /^ cc_exe = 'pathcc'$/;" v class:PathScaleCCompiler +CData adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^class CData(object):$/;" c +cdataElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^cdataElements = frozenset(['title', 'textarea'])$/;" v +cdataElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^cdataElements = frozenset(['title', 'textarea'])$/;" v +cdataSectionState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def cdataSectionState(self):$/;" m class:HTMLTokenizer +cdataSectionState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def cdataSectionState(self):$/;" m class:HTMLTokenizer +cdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/laplace.py /^def cdf(x, loc=0, scale=1):$/;" f +cdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def cdf(x):$/;" f +cdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^def cdf(x, loc=0, scale=1):$/;" f +cdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/poisson.py /^def cdf(k, mu, loc=0):$/;" f +cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def cdf(self, k, *args, **kwds):$/;" m class:rv_discrete +cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def cdf(self, x):$/;" m class:rv_frozen +cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def cdf(self, x, *args, **kwds):$/;" m class:rv_continuous +cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cdf(self, x):$/;" m class:multivariate_normal_frozen +cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cdf(self, x, mean=None, cov=1, allow_singular=False, maxpts=None,$/;" m class:multivariate_normal_gen +cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def cdf(self, value, name="cdf"):$/;" m class:Distribution +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def cdf(self, value):$/;" m class:Cauchy +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def cdf(self, value):$/;" m class:ContinuousBernoulli +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def cdf(self, value):$/;" m class:Distribution +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def cdf(self, value):$/;" m class:Exponential +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def cdf(self, value):$/;" m class:HalfCauchy +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def cdf(self, value):$/;" m class:HalfNormal +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def cdf(self, value):$/;" m class:Laplace +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def cdf(self, x):$/;" m class:MixtureSameFamily +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def cdf(self, value):$/;" m class:Normal +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def cdf(self, value):$/;" m class:TransformedDistribution +cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def cdf(self, value):$/;" m class:Uniform +cdf2rdf adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def cdf2rdf(w, v):$/;" f +cdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def cdist(XA, XB, metric='euclidean', *args, **kwargs):$/;" f +cdist adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def cdist(x1, x2, p=2., compute_mode='use_mm_for_euclid_dist_if_necessary'):$/;" f +cdll adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ cdll = load_library('_multiarray_umath', np.core._multiarray_umath.__file__)$/;" v +cdotc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef c cdotc(int *n, c *cx, int *incx, c *cy, int *incy) nogil$/;" f +cdotu adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef c cdotu(int *n, c *cx, int *incx, c *cy, int *incy) nogil$/;" f +cdtype adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ cdtype = None$/;" v class:TestIfftn +cdtype adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ cdtype = None$/;" v class:TestRfftn +cdtype adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ cdtype = None$/;" v class:TestIfftn +CDumper adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CDumper(CEmitter, Serializer, Representer, Resolver):$/;" c +ceil adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^ceil = np.ceil$/;" v +ceil adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def ceil(x: Array) -> Array:$/;" f +ceil adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ceil = _one_to_one_unop(np.ceil, lax.ceil, True)$/;" v +ceil adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ceil = _MaskedUnaryOperation(umath.ceil)$/;" v +ceil adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ceil = _unary_op(math_ops.ceil)$/;" v +CEIL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CEIL = 104$/;" v class:BuiltinOperator +Ceil adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Ceil = tf_export("raw_ops.Ceil")(_ops.to_raw_op(ceil))$/;" v +ceil adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ceil(x, name=None):$/;" f +ceil adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def ceil(x, name=None):$/;" f +ceil adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def ceil(x):$/;" f +ceil adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def ceil(g, input):$/;" f +ceil_div adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def ceil_div(num: int, div: int) -> int:$/;" f +ceil_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ceil_eager_fallback(x, name, ctx):$/;" f +ceil_of_ratio adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def ceil_of_ratio(x, y):$/;" f +ceil_of_ratio adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def ceil_of_ratio(n, m):$/;" f function:device_assignment file: +ceil_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ceil_p = standard_unop(_float, 'ceil')$/;" v +ceil_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^ def ceil_ref(X):$/;" f member:TestCeil.test_ceil file: +Cell adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^class Cell(Rectangle):$/;" c +Cell adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class Cell(VertexGroup):$/;" c +cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def cell(self):$/;" m class:CuDNNGRU +cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def cell(self):$/;" m class:CuDNNLSTM +CellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CellClip(self):$/;" m class:BidirectionalSequenceLSTMOptions +CellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CellClip(self):$/;" m class:LSTMOptions +CellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CellClip(self):$/;" m class:UnidirectionalSequenceLSTMOptions +celu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def celu(x: Array, alpha: Array = 1.0) -> Array:$/;" f +celu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def celu(input, alpha=1., inplace=False):$/;" f +CELU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class CELU(Module):$/;" c +celu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def celu(input: Tensor, scale: float, zero_point: int, alpha: Optional[float] = 1.) -> Tensor:$/;" f +celu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def celu(g, self, alpha):$/;" f +celu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^celu_ = _add_docstr(torch.celu_, r"""$/;" v +center adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ center = property(get_center, set_center)$/;" v class:Ellipse +center adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def center(self):$/;" m class:RectangleSelector +center adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def center(self, width, fillchar=' '):$/;" m class:chararray +center adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def center(a, width, fillchar=' '):$/;" f +center adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def center(a):$/;" f member:TestVectorize.test_signature_center file: +CenterCrop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class CenterCrop(PreprocessingLayer):$/;" c +CenteredRmsPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^CenteredRmsPropParameters = _reflection.GeneratedProtocolMessageType('CenteredRmsPropParameters'/;" v +center_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_center_bias as center_bias$/;" x +center_bias adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def center_bias(self, center_bias_var, gradients, hessians):$/;" m class:_AccumulatorEnsembleGrower +center_bias adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def center_bias(self, center_bias_var, gradients, hessians):$/;" m class:_EnsembleGrower +center_bias adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def center_bias(self, center_bias_var, gradients, hessians):$/;" m class:_InMemoryEnsembleGrower +center_bias_from_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def center_bias_from_accumulator():$/;" f member:_AccumulatorEnsembleGrower.center_bias file: +center_of_mass adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def center_of_mass(input, labels=None, index=None):$/;" f +centi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^centi = 1e-2$/;" v +CentralStorageStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^class CentralStorageStrategy(distribute_lib.Strategy):$/;" c +CentralStorageStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^CentralStorageStrategy = _version_chooser($/;" v +CentralStorageStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.central_storage_strategy import CentralStorageStrategyV1 as Ce/;" x +CentralStorageStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^class CentralStorageStrategyV1(distribute_lib.StrategyV1):$/;" c +central_crop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def central_crop(image, central_fraction):$/;" f +central_diff_weights adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^def central_diff_weights(Np, ndiv=1):$/;" f +central_storage_strategy_with_gpu_and_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^central_storage_strategy_with_gpu_and_cpu = combinations.NamedDistribution($/;" v +central_storage_strategy_with_two_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^central_storage_strategy_with_two_gpus = combinations.NamedDistribution($/;" v +centroid adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def centroid(y):$/;" f +cephes adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^from . import _ufuncs as cephes$/;" x +cephes adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^import scipy.special._ufuncs as cephes$/;" I +cert adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^cert = partial($/;" v +CertAnnContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertAnnContent(CMPCertificate):$/;" c +CertBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class CertBag(univ.Sequence):$/;" c +CertConfirmContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertConfirmContent(univ.SequenceOf):$/;" c +CertFile adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ class CertFile(wincertstore.CertFile):$/;" c function:get_win_certfile file: +CertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertId(univ.Sequence):$/;" c +CertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class CertID(univ.Sequence):$/;" c +CertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertId(univ.Sequence):$/;" c +CertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class CertID(univ.Sequence):$/;" c +certifi adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ certifi = None$/;" v +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Certificate(univ.Sequence):$/;" c +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Certificate(univ.Sequence):$/;" c +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Certificate(univ.Sequence):$/;" c +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^Certificate = rfc5280.Certificate$/;" v +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Certificate = rfc5280.Certificate$/;" v +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^Certificate = rfc5280.Certificate$/;" v +Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^Certificate = rfc5280.Certificate$/;" v +certificateAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^certificateAttributesMap = {}$/;" v +certificateAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^certificateAttributesMap = {}$/;" v +CertificateBundle adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7296.py /^class CertificateBundle(univ.SequenceOf):$/;" c +CertificateChoices adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class CertificateChoices(univ.Choice):$/;" c +CertificateChoices adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class CertificateChoices(univ.Choice):$/;" c +CertificateChoices adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^CertificateChoices = rfc5652.CertificateChoices$/;" v +CertificateError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class CertificateError(ValueError):$/;" c +CertificateError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^class CertificateError(ValueError):$/;" c +CertificateError adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ CertificateError = None$/;" v +CertificateError adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ class CertificateError(ValueError):$/;" c +CertificateError adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^class CertificateError(ValueError):$/;" c +certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^certificateExtensionsMap = {}$/;" v +certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^certificateExtensionsMap = {$/;" v +CertificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CertificateIssuer(GeneralNames):$/;" c +CertificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CertificateIssuer(GeneralNames):$/;" c +CertificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CertificateIssuer(GeneralNames):$/;" c +CertificateList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CertificateList(univ.Sequence):$/;" c +CertificateList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CertificateList(univ.Sequence):$/;" c +CertificateList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CertificateList(univ.Sequence):$/;" c +CertificateOrCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7296.py /^class CertificateOrCRL(univ.Choice):$/;" c +CertificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CertificatePolicies(univ.SequenceOf):$/;" c +CertificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CertificatePolicies(univ.SequenceOf):$/;" c +CertificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CertificatePolicies(univ.SequenceOf):$/;" c +CertificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^CertificatePolicies = rfc5280.CertificatePolicies$/;" v +CertificateRevocationList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class CertificateRevocationList(univ.Sequence):$/;" c +CertificateRevocationLists adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class CertificateRevocationLists(univ.SetOf):$/;" c +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CertificateSerialNumber(univ.Integer):$/;" c +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^CertificateSerialNumber = rfc5280.CertificateSerialNumber$/;" v +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CertificateSerialNumber(univ.Integer):$/;" c +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^CertificateSerialNumber = rfc5280.CertificateSerialNumber$/;" v +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CertificateSerialNumber(univ.Integer):$/;" c +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^CertificateSerialNumber = rfc5280.CertificateSerialNumber$/;" v +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^CertificateSerialNumber = rfc5280.CertificateSerialNumber$/;" v +CertificateSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^CertificateSerialNumber = rfc5280.CertificateSerialNumber$/;" v +CertificateSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class CertificateSet(univ.SetOf):$/;" c +CertificateSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class CertificateSet(univ.SetOf):$/;" c +CertificateSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^CertificateSet = rfc5652.CertificateSet$/;" v +CertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class CertificationRequest(univ.Sequence):$/;" c +CertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^class CertificationRequest(univ.Sequence):$/;" c +CertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CertificationRequest(univ.Sequence):$/;" c +CertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CertificationRequest(univ.Sequence):$/;" c +CertificationRequestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class CertificationRequestInfo(univ.Sequence):$/;" c +CertificationRequestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^class CertificationRequestInfo(univ.Sequence):$/;" c +CertifiedKeyPair adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertifiedKeyPair(univ.Sequence):$/;" c +CertOrEncCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertOrEncCert(univ.Choice):$/;" c +CertPathControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class CertPathControls(univ.Sequence):$/;" c +CertPathControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^CertPathControls = rfc5914.CertPathControls$/;" v +CertPolicyFlags adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class CertPolicyFlags(univ.BitString):$/;" c +CertPolicyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CertPolicyId(univ.ObjectIdentifier):$/;" c +CertPolicyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CertPolicyId(univ.ObjectIdentifier):$/;" c +CertPolicyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CertPolicyId(univ.ObjectIdentifier):$/;" c +CertRepMessage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertRepMessage(univ.Sequence):$/;" c +CertReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertReq(CertRequest):$/;" c +CertReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ class CertReq(univ.Sequence):$/;" c class:PollRepContent +CertReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ class CertReq(univ.Sequence):$/;" c class:PollReqContent +CertReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertReq(CertRequest):$/;" c +CertReqMessages adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertReqMessages(univ.SequenceOf):$/;" c +CertReqMessages adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertReqMessages(univ.SequenceOf):$/;" c +CertReqMsg adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertReqMsg(univ.Sequence):$/;" c +CertReqMsg adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertReqMsg(univ.Sequence):$/;" c +CertRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertRequest(univ.Sequence):$/;" c +CertRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertRequest(univ.Sequence):$/;" c +CertResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertResponse(univ.Sequence):$/;" c +CertStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class CertStatus(univ.Choice):$/;" c +CertStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CertStatus(univ.Sequence):$/;" c +CertStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^CertStatus = rfc2560.CertStatus$/;" v +CertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class CertTemplate(univ.Sequence):$/;" c +CertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class CertTemplate(univ.Sequence):$/;" c +certTypes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^certTypes = _OID(pkcs_9, 22)$/;" v +certTypes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^certTypes = _OID(pkcs_9, 22)$/;" v +cert_paths adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^cert_paths = """$/;" v +cert_reqs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ cert_reqs = None$/;" v class:HTTPSConnection +cert_reqs adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ cert_reqs = None$/;" v class:HTTPSConnection +cert_verify adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def cert_verify(self, conn, url, verify, cert):$/;" m class:InsecureCacheControlAdapter +cert_verify adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def cert_verify(self, conn, url, verify, cert):$/;" m class:InsecureHTTPAdapter +cert_verify adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def cert_verify(self, conn, url, verify, cert):$/;" m class:HTTPAdapter +cert_verify adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def cert_verify(self, conn, url, verify, cert):$/;" m class:HTTPAdapter +CEscape adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^def CEscape(text, as_utf8):$/;" f +cexpm1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def cexpm1(x, y):$/;" f +ce_smallq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def ce_smallq(m, q, z):$/;" f member:TestCephes.test_mathieu_cem file: +cf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ cf = lambda t: levy_stable_gen._cf(t, alpha, beta)$/;" f member:levy_stable_gen._pdf_single_value_cf_integrate file: +CFAllocatorRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFAllocatorRef = c_void_p$/;" v +CFAllocatorRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFAllocatorRef = c_void_p$/;" v +CFArray adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFArray = c_void_p$/;" v +CFArray adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFArray = c_void_p$/;" v +CFArrayCallBacks adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFArrayCallBacks = c_void_p$/;" v +CFArrayCallBacks adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFArrayCallBacks = c_void_p$/;" v +CFArrayRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFArrayRef = POINTER(CFArray)$/;" v +CFArrayRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFArrayRef = POINTER(CFArray)$/;" v +CFConst adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^class CFConst(object):$/;" c +CFConst adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^class CFConst(object):$/;" c +CFData adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFData = c_void_p$/;" v +CFData adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFData = c_void_p$/;" v +CFDataRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFDataRef = POINTER(CFData)$/;" v +CFDataRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFDataRef = POINTER(CFData)$/;" v +CFDictionary adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFDictionary = c_void_p$/;" v +CFDictionary adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFDictionary = c_void_p$/;" v +CFDictionaryKeyCallBacks adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryKeyCallBacks = c_void_p$/;" v +CFDictionaryKeyCallBacks adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryKeyCallBacks = c_void_p$/;" v +CFDictionaryRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryRef = POINTER(CFDictionary)$/;" v +CFDictionaryRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryRef = POINTER(CFDictionary)$/;" v +CFDictionaryValueCallBacks adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryValueCallBacks = c_void_p$/;" v +CFDictionaryValueCallBacks adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFDictionaryValueCallBacks = c_void_p$/;" v +CFError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFError = c_void_p$/;" v +CFError adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFError = c_void_p$/;" v +cffi adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^ cffi = None$/;" v +cffi adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ cffi = None$/;" v +cfg_convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def cfg_convert(self, value):$/;" m class:BaseConfigurator +CFIndex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFIndex = c_long$/;" v +CFIndex adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFIndex = c_long$/;" v +cflags adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def cflags(self, section="default"):$/;" m class:LibraryInfo +cflags_flag adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ cflags_flag = '--cflags'$/;" v class:_pkg_config_info +cflags_flag adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ cflags_flag = '--cxxflags'$/;" v class:wx_info +CFMutableArray adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFMutableArray = c_void_p$/;" v +CFMutableArray adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFMutableArray = c_void_p$/;" v +CFMutableArrayRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFMutableArrayRef = POINTER(CFMutableArray)$/;" v +CFMutableArrayRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFMutableArrayRef = POINTER(CFMutableArray)$/;" v +cformat_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^cformat_map = {'double': '%g',$/;" v +CFString adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFString = c_void_p$/;" v +CFString adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFString = c_void_p$/;" v +CFStringEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFStringEncoding = c_uint32$/;" v +CFStringEncoding adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFStringEncoding = c_uint32$/;" v +CFStringRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFStringRef = POINTER(CFString)$/;" v +CFStringRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFStringRef = POINTER(CFString)$/;" v +CFType adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFType = c_void_p$/;" v +CFType adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFType = c_void_p$/;" v +CFTypeID adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFTypeID = c_ulong$/;" v +CFTypeID adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFTypeID = c_ulong$/;" v +CFTypeRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CFTypeRef = POINTER(CFType)$/;" v +CFTypeRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CFTypeRef = POINTER(CFType)$/;" v +CFullLoader adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CFullLoader(CParser, FullConstructor, Resolver):$/;" c +cfuncs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^cfuncs = {'cfuncs': '\/*need_cfuncs*\/'}$/;" v +cg adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def cg(A, b, x0=None, *, tol=1e-5, atol=0.0, maxiter=None, M=None):$/;" f +cg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def cg(A, b, x0=None, tol=1e-5, maxiter=None, M=None, callback=None, atol=None):$/;" f +cgbbrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbbrd(char *vect, int *m, int *n, int *ncc, int *kl, int *ku, c *ab, int *ldab, s *d,/;" f +cgbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbcon(char *norm, int *n, int *kl, int *ku, c *ab, int *ldab, int *ipiv, s *anorm, s /;" f +cgbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbequ(int *m, int *n, int *kl, int *ku, c *ab, int *ldab, s *r, s *c, s *rowcnd, s *c/;" f +cgbequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbequb(int *m, int *n, int *kl, int *ku, c *ab, int *ldab, s *r, s *c, s *rowcnd, s */;" f +cgbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cgbmv(char *trans, int *m, int *n, int *kl, int *ku, c *alpha, c *a, int *lda, c *x, i/;" f +cgbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbrfs(char *trans, int *n, int *kl, int *ku, int *nrhs, c *ab, int *ldab, c *afb, int/;" f +cgbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbsv(int *n, int *kl, int *ku, int *nrhs, c *ab, int *ldab, int *ipiv, c *b, int *ldb/;" f +cgbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbsvx(char *fact, char *trans, int *n, int *kl, int *ku, int *nrhs, c *ab, int *ldab,/;" f +cgbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbtf2(int *m, int *n, int *kl, int *ku, c *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +cgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbtrf(int *m, int *n, int *kl, int *ku, c *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +cgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgbtrs(char *trans, int *n, int *kl, int *ku, int *nrhs, c *ab, int *ldab, int *ipiv, /;" f +cgebak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgebak(char *job, char *side, int *n, int *ilo, int *ihi, s *scale, int *m, c *v, int /;" f +cgebal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgebal(char *job, int *n, c *a, int *lda, int *ilo, int *ihi, s *scale, int *info) nog/;" f +cgebd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgebd2(int *m, int *n, c *a, int *lda, s *d, s *e, c *tauq, c *taup, c *work, int *inf/;" f +cgebrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgebrd(int *m, int *n, c *a, int *lda, s *d, s *e, c *tauq, c *taup, c *work, int *lwo/;" f +cgecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgecon(char *norm, int *n, c *a, int *lda, s *anorm, s *rcond, c *work, s *rwork, int /;" f +cgeequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeequ(int *m, int *n, c *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, int /;" f +cgeequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeequb(int *m, int *n, c *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, int/;" f +cgees adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgees(char *jobvs, char *sort, cselect1 *select, int *n, c *a, int *lda, int *sdim, c /;" f +cgeesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeesx(char *jobvs, char *sort, cselect1 *select, char *sense, int *n, c *a, int *lda,/;" f +cgeev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeev(char *jobvl, char *jobvr, int *n, c *a, int *lda, c *w, c *vl, int *ldvl, c *vr,/;" f +cgeevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, c *a, int *lda, c /;" f +cgegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^cgegv = _np.deprecate(cgegv, old_name='cgegv', message=_dep_message)$/;" v +cgehd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgehd2(int *n, int *ilo, int *ihi, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgehrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgehrd(int *n, int *ilo, int *ihi, c *a, int *lda, c *tau, c *work, int *lwork, int *i/;" f +cgelq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgelq2(int *m, int *n, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgelqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgelqf(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cgels adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgels(char *trans, int *m, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, c *work,/;" f +cgelsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgelsd(int *m, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, s *s, s *rcond, int /;" f +cgelss adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgelss(int *m, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, s *s, s *rcond, int /;" f +cgelsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgelsy(int *m, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, int *jpvt, s *rcond,/;" f +cgemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cgemm(char *transa, char *transb, int *m, int *n, int *k, c *alpha, c *a, int *lda, c /;" f +cgemqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgemqrt(char *side, char *trans, int *m, int *n, int *k, int *nb, c *v, int *ldv, c *t/;" f +cgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cgemv(char *trans, int *m, int *n, c *alpha, c *a, int *lda, c *x, int *incx, c *beta,/;" f +cgeql2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeql2(int *m, int *n, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgeqlf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqlf(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cgeqp3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqp3(int *m, int *n, c *a, int *lda, int *jpvt, c *tau, c *work, int *lwork, s *rwor/;" f +cgeqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqr2(int *m, int *n, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgeqr2p adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqr2p(int *m, int *n, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgeqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqrf(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cgeqrfp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqrfp(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cgeqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqrt(int *m, int *n, int *nb, c *a, int *lda, c *t, int *ldt, c *work, int *info) no/;" f +cgeqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqrt2(int *m, int *n, c *a, int *lda, c *t, int *ldt, int *info) nogil$/;" f +cgeqrt3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgeqrt3(int *m, int *n, c *a, int *lda, c *t, int *ldt, int *info) nogil$/;" f +cgerc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cgerc(int *m, int *n, c *alpha, c *x, int *incx, c *y, int *incy, c *a, int *lda) nogi/;" f +cgerfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgerfs(char *trans, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, int *ipiv, c /;" f +cgerq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgerq2(int *m, int *n, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cgerqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgerqf(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cgeru adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cgeru(int *m, int *n, c *alpha, c *x, int *incx, c *y, int *incy, c *a, int *lda) nogi/;" f +cgesc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgesc2(int *n, c *a, int *lda, c *rhs, int *ipiv, int *jpiv, s *scale) nogil$/;" f +cgesdd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgesdd(char *jobz, int *m, int *n, c *a, int *lda, s *s, c *u, int *ldu, c *vt, int *l/;" f +cgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgesv(int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, int *info) nogil$/;" f +cgesvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgesvd(char *jobu, char *jobvt, int *m, int *n, c *a, int *lda, s *s, c *u, int *ldu, /;" f +cgesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgesvx(char *fact, char *trans, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, i/;" f +cgetc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgetc2(int *n, c *a, int *lda, int *ipiv, int *jpiv, int *info) nogil$/;" f +cgetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgetf2(int *m, int *n, c *a, int *lda, int *ipiv, int *info) nogil$/;" f +cgetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgetrf(int *m, int *n, c *a, int *lda, int *ipiv, int *info) nogil$/;" f +cgetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgetri(int *n, c *a, int *lda, int *ipiv, c *work, int *lwork, int *info) nogil$/;" f +cgetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgetrs(char *trans, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, int /;" f +cggbak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggbak(char *job, char *side, int *n, int *ilo, int *ihi, s *lscale, s *rscale, int *m/;" f +cggbal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggbal(char *job, int *n, c *a, int *lda, c *b, int *ldb, int *ilo, int *ihi, s *lscal/;" f +cgges adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgges(char *jobvsl, char *jobvsr, char *sort, cselect2 *selctg, int *n, c *a, int *lda/;" f +cggesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggesx(char *jobvsl, char *jobvsr, char *sort, cselect2 *selctg, char *sense, int *n, /;" f +cggev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggev(char *jobvl, char *jobvr, int *n, c *a, int *lda, c *b, int *ldb, c *alpha, c *b/;" f +cggevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, c *a, int *lda, c /;" f +cggglm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggglm(int *n, int *m, int *p, c *a, int *lda, c *b, int *ldb, c *d, c *x, c *y, c *wo/;" f +cgghrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgghrd(char *compq, char *compz, int *n, int *ilo, int *ihi, c *a, int *lda, c *b, int/;" f +cgglse adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgglse(int *m, int *n, int *p, c *a, int *lda, c *b, int *ldb, c *c, c *d, c *x, c *wo/;" f +cggqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggqrf(int *n, int *m, int *p, c *a, int *lda, c *taua, c *b, int *ldb, c *taub, c *wo/;" f +cggrqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cggrqf(int *m, int *p, int *n, c *a, int *lda, c *taua, c *b, int *ldb, c *taub, c *wo/;" f +cgs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def cgs(A, b, x0=None, tol=1e-5, maxiter=None, M=None, callback=None, atol=None):$/;" f +CGSteihaugSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_ncg.py /^class CGSteihaugSubproblem(BaseQuadraticSubproblem):$/;" c +cgtcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgtcon(char *norm, int *n, c *dl, c *d, c *du, c *du2, int *ipiv, s *anorm, s *rcond, /;" f +cgtrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgtrfs(char *trans, int *n, int *nrhs, c *dl, c *d, c *du, c *dlf, c *df, c *duf, c *d/;" f +cgtsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgtsv(int *n, int *nrhs, c *dl, c *d, c *du, c *b, int *ldb, int *info) nogil$/;" f +cgtsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgtsvx(char *fact, char *trans, int *n, int *nrhs, c *dl, c *d, c *du, c *dlf, c *df, /;" f +cgttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgttrf(int *n, c *dl, c *d, c *du, c *du2, int *ipiv, int *info) nogil$/;" f +cgttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgttrs(char *trans, int *n, int *nrhs, c *dl, c *d, c *du, c *du2, int *ipiv, c *b, in/;" f +cgtts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cgtts2(int *itrans, int *n, int *nrhs, c *dl, c *d, c *du, c *du2, int *ipiv, c *b, in/;" f +cg_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/conjugate_gradient.py /^ def cg_step(i, state): # pylint: disable=missing-docstring$/;" f function:conjugate_gradient file: +ChainDataset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class ChainDataset(IterableDataset):$/;" c +ChainMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ChainMap(MutableMapping):$/;" c +ChainMap adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class ChainMap(collections.ChainMap, typing.MutableMapping[KT, VT],$/;" c +ChainMap adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ ChainMap = typing.ChainMap$/;" v +chain_matmul adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def chain_matmul(*matrices):$/;" f +Challenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class Challenge(univ.Sequence):$/;" c +ChallengeFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class ChallengeFormat(univ.Sequence):$/;" c +challengePassword adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^challengePassword = SingleAttribute()$/;" v +changed adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def changed(self):$/;" m class:ScalarMappable +changed adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def changed(self):$/;" m class:ContourSet +changed adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def changed(self):$/;" m class:_ImageBase +changed adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ changed = None # type: bool$/;" v class:File +changeEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def changeEncoding(self, newEncoding):$/;" m class:HTMLBinaryInputStream +changeEncoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def changeEncoding(self, newEncoding):$/;" m class:HTMLBinaryInputStream +ChangeSubjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class ChangeSubjectName(univ.Sequence):$/;" c +ChangeSubjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class ChangeSubjectName(univ.Sequence):$/;" c +change_coefficient adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def change_coefficient(lambda1, mu, nu, h2, k2):$/;" f function:test_ellip_potential file: +change_D adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^def change_D(D, order, factor):$/;" f +change_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def change_geometry(self, numrows, numcols, num):$/;" m class:SubplotBase +change_geometry adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def change_geometry(self, numrows, numcols, num):$/;" m class:SubplotDivider +change_key adpepsenv/lib/python3.8/site-packages/cycler.py /^ def change_key(self, old, new):$/;" m class:Cycler +change_root adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def change_root (new_root, pathname):$/;" f +change_roots adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def change_roots(self, *names):$/;" m class:install +change_tick_coord adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def change_tick_coord(self, coord_number=None):$/;" m class:FixedAxisArtistHelper +Channel adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^class Channel(abc.ABC):$/;" c +Channel adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class Channel(_base_channel.Channel):$/;" c +Channel adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^class Channel(object):$/;" c +Channel adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class Channel(grpc.Channel):$/;" c +Channel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Channel(six.with_metaclass(abc.ABCMeta)):$/;" c +ChannelArgumentType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^ChannelArgumentType = Sequence[Tuple[str, Any]]$/;" v +ChannelCache adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^class ChannelCache:$/;" c +ChannelConnectivity adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ChannelConnectivity = grpc.ChannelConnectivity$/;" v +ChannelConnectivity adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ChannelConnectivity(enum.Enum):$/;" c +ChannelCredentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ChannelCredentials = grpc.ChannelCredentials$/;" v +ChannelCredentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ChannelCredentials(object):$/;" c +ChannelFirst adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^def ChannelFirst(arr):$/;" f +ChannelHandle adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ChannelHandle = _reflection.GeneratedProtocolMessageType('ChannelHandle', (_message.Message,), {$/;" v +ChannelLast adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^def ChannelLast(arr):$/;" f +ChannelOptions adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^class ChannelOptions(object):$/;" c +ChannelShuffle adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^class ChannelShuffle(Module):$/;" c +ChannelShuffleOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^class ChannelShuffleOpsTest(serial.SerializedTestCase):$/;" c +ChannelShuffleTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/channel_shuffle_op_test.py /^class ChannelShuffleTest(hu.HypothesisTestCase):$/;" c +channel_range adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def channel_range(input, axis=0):$/;" f +channel_ready adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ async def channel_ready(self) -> None:$/;" m class:Channel +channel_ready adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def channel_ready(self) -> None:$/;" m class:Channel +channel_ready_future adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^def channel_ready_future(channel):$/;" f +channel_ready_future adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^def channel_ready_future(channel):$/;" f +channel_ready_future adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def channel_ready_future(channel):$/;" f +channel_shuffle adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^channel_shuffle = _add_docstr(torch.channel_shuffle, r"""$/;" v +channel_shuffle_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^ def channel_shuffle_ref(X):$/;" f member:ChannelShuffleOpsTest.test_channel_shuffle file: +channel_shuffle_ref adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^ def channel_shuffle_ref(X):$/;" f member:DNNLowPChannelShuffleOpsTest.test_channel_shuffle file: +channel_shuffle_ref adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^ def channel_shuffle_ref(X):$/;" f member:DNNLowPChannelShuffleOpsTest.test_channel_shuffle_fast_path file: +channel_spin adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def channel_spin():$/;" f function:_run_channel_spin_thread file: +channel_stats_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def channel_stats_nchw_ref(self, X):$/;" m class:TestChannelStatsOp +channel_stats_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def channel_stats_nhwc_ref(self, X):$/;" m class:TestChannelStatsOp +Char adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Char(Node):$/;" c +char adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from . import defchararray as char$/;" x +char adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def char(self):$/;" m class:HTMLUnicodeInputStream +Char adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Char(_WordRegex):$/;" c +Char adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Char(_WordRegex):$/;" c +char adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def char(self):$/;" m class:HTMLUnicodeInputStream +char adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def char(self):$/;" m class:PackedSequence +char adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def char(self):$/;" m class:_StorageBase +character adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^character = np.character$/;" v +CharacterCategory adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class CharacterCategory(object):$/;" c +CharacterCategory adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class CharacterCategory(object):$/;" c +characterErrorsUCS2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def characterErrorsUCS2(self, data):$/;" m class:HTMLUnicodeInputStream +characterErrorsUCS2 adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def characterErrorsUCS2(self, data):$/;" m class:HTMLUnicodeInputStream +characterErrorsUCS4 adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def characterErrorsUCS4(self, data):$/;" m class:HTMLUnicodeInputStream +characterErrorsUCS4 adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def characterErrorsUCS4(self, data):$/;" m class:HTMLUnicodeInputStream +Characteristic_two adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Characteristic_two(univ.Sequence):$/;" c +characteristic_two_field adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^characteristic_two_field = _OID(id_fieldType, 2)$/;" v +characterReferenceInRcdata adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def characterReferenceInRcdata(self):$/;" m class:HTMLTokenizer +characterReferenceInRcdata adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def characterReferenceInRcdata(self):$/;" m class:HTMLTokenizer +CharacterTracker adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^class CharacterTracker:$/;" c +chararray adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^class chararray(ndarray):$/;" c +CharDistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class CharDistributionAnalysis(object):$/;" c +CharDistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class CharDistributionAnalysis(object):$/;" c +CharEdition adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class CharEdition(char.PrintableString):$/;" c +CharEditionRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class CharEditionRange(univ.Sequence):$/;" c +ChargingBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class ChargingBar(Bar):$/;" c +CharMetrics adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^CharMetrics = namedtuple('CharMetrics', 'width, name, bbox')$/;" v +CharRNN adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^class CharRNN(object):$/;" c +chars adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^chars = 'abcdefghij'$/;" v +charsAsStr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def charsAsStr(s):$/;" f member:Word.__str__ file: +charsAsStr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def charsAsStr(s):$/;" f member:Word.__str__ file: +charsAsStr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def charsAsStr(s):$/;" f member:Word.__str__ file: +charsAsStr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def charsAsStr(s):$/;" f member:Word.__str__ file: +charselector adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^charselector = re.compile($/;" v +CHARSET adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^CHARSET = re.compile(r';\\s*charset\\s*=\\s*(.*)\\s*$', re.I)$/;" v +charset adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ charset = "utf-8"$/;" v class:BaseRequest +charset adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ charset = "utf-8"$/;" v class:BaseResponse +CharsetAccept adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class CharsetAccept(Accept):$/;" c +CharSetGroupProber adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^class CharSetGroupProber(CharSetProber):$/;" c +CharSetGroupProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^class CharSetGroupProber(CharSetProber):$/;" c +CharSetProber adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^class CharSetProber(object):$/;" c +CharSetProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^class CharSetProber(object):$/;" c +charset_name adpepsenv/lib/python3.8/site-packages/chardet/big5prober.py /^ def charset_name(self):$/;" m class:Big5Prober +charset_name adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def charset_name(self):$/;" m class:CharSetGroupProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def charset_name(self):$/;" m class:CharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/cp949prober.py /^ def charset_name(self):$/;" m class:CP949Prober +charset_name adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def charset_name(self):$/;" m class:EscCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def charset_name(self):$/;" m class:EUCJPProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/euckrprober.py /^ def charset_name(self):$/;" m class:EUCKRProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/euctwprober.py /^ def charset_name(self):$/;" m class:EUCTWProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/gb2312prober.py /^ def charset_name(self):$/;" m class:GB2312Prober +charset_name adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def charset_name(self):$/;" m class:HebrewProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def charset_name(self):$/;" m class:SJISContextAnalysis +charset_name adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def charset_name(self):$/;" m class:Latin1Prober +charset_name adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def charset_name(self):$/;" m class:MultiByteCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def charset_name(self):$/;" m class:SingleByteCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def charset_name(self):$/;" m class:SJISProber +charset_name adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def charset_name(self):$/;" m class:UTF8Prober +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5prober.py /^ def charset_name(self):$/;" m class:Big5Prober +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def charset_name(self):$/;" m class:CharSetGroupProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def charset_name(self):$/;" m class:CharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cp949prober.py /^ def charset_name(self):$/;" m class:CP949Prober +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def charset_name(self):$/;" m class:EscCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def charset_name(self):$/;" m class:EUCJPProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrprober.py /^ def charset_name(self):$/;" m class:EUCKRProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwprober.py /^ def charset_name(self):$/;" m class:EUCTWProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312prober.py /^ def charset_name(self):$/;" m class:GB2312Prober +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def charset_name(self):$/;" m class:HebrewProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def charset_name(self):$/;" m class:SJISContextAnalysis +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def charset_name(self):$/;" m class:Latin1Prober +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def charset_name(self):$/;" m class:MultiByteCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def charset_name(self):$/;" m class:SingleByteCharSetProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def charset_name(self):$/;" m class:SJISProber +charset_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def charset_name(self):$/;" m class:UTF8Prober +CharsNotIn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class CharsNotIn(Token):$/;" c +CharsNotIn adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class CharsNotIn(Token):$/;" c +CharsNotIn adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class CharsNotIn(Token):$/;" c +CharsNotIn adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class CharsNotIn(Token):$/;" c +CharStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class CharStorage(_CudaBase, torch._C.CudaCharStorageBase, _StorageBase):$/;" c +CharStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class CharStorage(_C.CharStorageBase, _StorageBase):$/;" c +charStringToList adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^def charStringToList(chars):$/;" f +charStringToList adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^def charStringToList(chars):$/;" f +charsUntil adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def charsUntil(self, characters, opposite=False):$/;" m class:HTMLUnicodeInputStream +charsUntil adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def charsUntil(self, characters, opposite=False):$/;" m class:HTMLUnicodeInputStream +charsUntilRegEx adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^charsUntilRegEx = {}$/;" v +charsUntilRegEx adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^charsUntilRegEx = {}$/;" v +chars_consumed adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def chars_consumed(self):$/;" m class:TokenGenerator +Chart adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^Chart = _reflection.GeneratedProtocolMessageType('Chart', (_message.Message,), {$/;" v +chart_for_index adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def chart_for_index(index_to_mutate):$/;" f function:mutant_charts_for_feature file: +chbev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbev(char *jobz, char *uplo, int *n, int *kd, c *ab, int *ldab, s *w, c *z, int *ldz,/;" f +chbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbevd(char *jobz, char *uplo, int *n, int *kd, c *ab, int *ldab, s *w, c *z, int *ldz/;" f +chbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbevx(char *jobz, char *range, char *uplo, int *n, int *kd, c *ab, int *ldab, c *q, i/;" f +chbgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbgst(char *vect, char *uplo, int *n, int *ka, int *kb, c *ab, int *ldab, c *bb, int /;" f +chbgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbgv(char *jobz, char *uplo, int *n, int *ka, int *kb, c *ab, int *ldab, c *bb, int */;" f +chbgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbgvd(char *jobz, char *uplo, int *n, int *ka, int *kb, c *ab, int *ldab, c *bb, int /;" f +chbgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbgvx(char *jobz, char *range, char *uplo, int *n, int *ka, int *kb, c *ab, int *ldab/;" f +chbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chbmv(char *uplo, int *n, int *k, c *alpha, c *a, int *lda, c *x, int *incx, c *beta, /;" f +chbtrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chbtrd(char *vect, char *uplo, int *n, int *kd, c *ab, int *ldab, s *d, s *e, c *q, in/;" f +chdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def chdir(d):$/;" f +chdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chdtr(double x0, double x1) nogil$/;" f +chdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chdtrc(double x0, double x1) nogil$/;" f +chdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chdtri(double x0, double x1) nogil$/;" f +chdtriv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chdtriv(double x0, double x1) nogil$/;" f +cheb adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^import numpy.polynomial.chebyshev as cheb$/;" I +cheb1ap adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheb1ap(N, rp):$/;" f +cheb1ord adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheb1ord(wp, ws, gpass, gstop, analog=False, fs=None):$/;" f +cheb2ap adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheb2ap(N, rs):$/;" f +cheb2ord adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheb2ord(wp, ws, gpass, gstop, analog=False, fs=None):$/;" f +cheb2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def cheb2poly(c):$/;" f +chebadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebadd(c1, c2):$/;" f +chebcompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebcompanion(c):$/;" f +chebder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebder(c, m=1, scl=1, axis=0):$/;" f +chebdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebdiv(c1, c2):$/;" f +chebdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^chebdomain = np.array([-1, 1])$/;" v +chebfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebfit(x, y, deg, rcond=None, full=False, w=None):$/;" f +chebfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebfromroots(roots):$/;" f +chebgauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebgauss(deg):$/;" f +chebgrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebgrid2d(x, y, c):$/;" f +chebgrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebgrid3d(x, y, z, c):$/;" f +chebint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +chebinterpolate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebinterpolate(func, deg, args=()):$/;" f +chebline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebline(off, scl):$/;" f +chebmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebmul(c1, c2):$/;" f +chebmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebmulx(c):$/;" f +chebone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^chebone = np.array([1])$/;" v +chebpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebpow(c, pow, maxpower=16):$/;" f +chebpts1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebpts1(npts):$/;" f +chebpts2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebpts2(npts):$/;" f +chebroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebroots(c):$/;" f +chebsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebsub(c1, c2):$/;" f +chebtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^chebtrim = pu.trimcoef$/;" v +chebval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebval(x, c, tensor=True):$/;" f +chebval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebval2d(x, y, c):$/;" f +chebval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebval3d(x, y, z, c):$/;" f +chebvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebvander(x, deg):$/;" f +chebvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebvander2d(x, y, deg):$/;" f +chebvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebvander3d(x, y, z, deg):$/;" f +chebweight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def chebweight(x):$/;" f +chebwin adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def chebwin(M, at, sym=True):$/;" f +chebx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^chebx = np.array([0, 1])$/;" v +cheby1 adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheby1(N, rp, Wn, btype='low', analog=False, output='ba', fs=None):$/;" f +cheby2 adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def cheby2(N, rs, Wn, btype='low', analog=False, output='ba', fs=None):$/;" f +chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def chebyc(n, monic=False):$/;" f +chebys adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def chebys(n, monic=False):$/;" f +Chebyshev adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^class Chebyshev(ABCPolyBase):$/;" c +chebyshev adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def chebyshev(u, v, w=None):$/;" f +chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def chebyt(n, monic=False):$/;" f +chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def chebyu(n, monic=False):$/;" f +chebzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^chebzero = np.array([0])$/;" v +cheb_even_true adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^cheb_even_true = array([0.203894, 0.107279, 0.133904,$/;" v +cheb_odd_true adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^cheb_odd_true = array([0.200938, 0.107729, 0.134941, 0.165348,$/;" v +Check adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def Check(err):$/;" f member:TestCase.assertRaisesWithLiteralMatch file: +Check adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def Check(err):$/;" f member:TestCase.assertRaisesWithPredicateMatch file: +Check adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def Check(net, outputs_with_grad, input_values,$/;" m class:NetGradientChecker +check adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def check(size):$/;" f function:_compute_newshape file: +check adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^ def check(master_transform, paths, all_transforms,$/;" f function:test_uses_per_path file: +check adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def check(x, rstride, cstride):$/;" f function:test_array_patch_perimeters file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def check(a, b, res):$/;" f member:TestDateTime.test_datetime_multiply file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def check(ctype, dtype):$/;" m class:TestFromCTypes +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(a, b):$/;" f member:TestUFunc.test_unary_ufunc_1d_manual file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(a, b, c):$/;" f member:TestUFunc.test_binary_ufunc_1d_manual file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(a, out, mask):$/;" f member:TestUFunc.test_unary_ufunc_where_same file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(ufunc, a, ind, b=None):$/;" f member:TestUFunc.test_ufunc_at_manual file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(ufunc, a, ind, out):$/;" f member:TestUFunc.test_binary_ufunc_reduceat_manual file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check(A, U, exists=None):$/;" f function:test_internal_overlap_diophantine file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def check(obj, binop_override_expected, ufunc_override_expected,$/;" f member:TestBinop.test_ufunc_binop_interaction file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def check(self, shape, dtype, order, align):$/;" m class:TestAlignment +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def check(v):$/;" f member:TestRealScalars.test_scalar_cutoffs file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def check(func, z0, d=1):$/;" f member:TestComplexFunctions.test_loss_of_precision file: +check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def check(x, rtol):$/;" f member:TestComplexFunctions.test_loss_of_precision file: +check adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def check(result):$/;" f member:TestNDArrayOperatorsMixin.test_array_like_add file: +check adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def check(self, do):$/;" m class:LinalgCase +check adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def check(x):$/;" f member:TestAsArray.test_struct_array_pointer file: +check adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def check(feature):$/;" f +check adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def check(self, strict=False):$/;" m class:LegacyMetadata +check adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^def check(source_dir):$/;" f +check adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def check(size, read_size):$/;" f member:TestZlibInputStream.test_read file: +check adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check(self, a, info):$/;" m class:TestMMIOArray +check adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check(self, a, info):$/;" m class:TestMMIOSparseCSR +check adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def check(self, A, B, sort, AA, BB, alpha, beta, Q, Z):$/;" m class:TestOrdQZ +check adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def check(j):$/;" f function:test_generic_filter file: +check adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def check(j):$/;" f function:test_generic_filter1d file: +check adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def check(j):$/;" f function:test_geometric_transform file: +check adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def check(self, f, x, dx):$/;" m class:TerminationCondition +check adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^ def check(tol, name):$/;" f function:check_tolerance file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(func, indshape):$/;" f function:test_shortest_path_indices file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(limit, result):$/;" f function:test_dijkstra_limit file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method):$/;" f function:test_directed file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method):$/;" f function:test_directed_sparse_zero file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method):$/;" f function:test_masked_input file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed):$/;" f function:test_construct_shortest_path file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed):$/;" f function:test_negative_cycles file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed):$/;" f function:test_predecessors file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed):$/;" f function:test_unweighted_path file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed_in):$/;" f function:test_undirected file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^ def check(method, directed_in):$/;" f function:test_undirected_sparse_zero file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def check(A, b, x, msg=""):$/;" f member:TestSplu._internal_test_spilu_smoketest file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def check(A, b, x, msg=""):$/;" f member:TestSplu._internal_test_splu_smoketest file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def check(dtype, complex_2=False):$/;" f member:TestSplu.test_lu_attr file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check():$/;" f member:_TestCommon.test_pickle file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(cls, method_name):$/;" f member:Test64Bit._check_resiliency file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_add file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_add0 file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_add_dense file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_bool file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_eq file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_ge file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_gt file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_inv file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_le file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_lt file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_mean file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_mean_dtype file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_mul_scalar file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_ne file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_radd file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_rmul_scalar file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_rsub file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_sub file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_sub_dense file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestCommon.test_sum_dtype file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestFancyIndexingAssign.test_fancy_assignment_dtypes file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestGetSet.test_getelement file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestGetSet.test_negative_index_assignment file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestGetSet.test_setelement file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestInplaceArithmetic.test_idiv_scalar file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype):$/;" f member:_TestInplaceArithmetic.test_imul_scalar file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype, dtype2, btype):$/;" f member:_TestCommon.test_maximum_minimum file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype, j):$/;" f member:_TestCommon.test_sum file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype, j):$/;" f member:_TestCommon.test_transpose file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(dtype, sorted_indices):$/;" f member:_NonCanonicalCSMixin.test_getelement file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(mat_cls):$/;" f member:Test64Bit.test_decorator_maxval_limit file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(mat_cls):$/;" f member:Test64Bit.test_decorator_maxval_random file: +check adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check(name):$/;" f member:_TestCommon.test_unary_ufunc_overrides file: +check adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def check(self):$/;" m class:_CDFData +check adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def check(self):$/;" m class:MpmathData +check adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def check(self, data=None, dtype=None, dtypes=None):$/;" m class:FuncData +check adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def check(arguments, wrong=operator.ne, msg=''):$/;" f function:dispatch_on file: +check adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ def check(caller):$/;" f function:test_threadsafety file: +check adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ def check(caller, func, user_data):$/;" f function:test_bad_callbacks file: +check adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ def check(caller, func, user_data):$/;" f function:test_callbacks file: +check adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^ def check(shape, dtype, order, align):$/;" f function:test__aligned_zeros file: +check adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^class check(Command):$/;" c +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:Constraint +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Boolean +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Cat +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_GreaterThan +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_GreaterThanEq +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_HalfOpenInterval +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_IntegerGreaterThan +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_IntegerInterval +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_IntegerLessThan +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Interval +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_LessThan +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_LowerCholesky +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_LowerTriangular +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_PositiveDefinite +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Real +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_RealVector +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Simplex +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, value):$/;" m class:_Stack +check adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def check(self, x):$/;" m class:_Dependent +check adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def check(backend):$/;" f function:require_backends_available file: +CheckAstEqualityTest adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^class CheckAstEqualityTest(test_utils.TestCase):$/;" c +checkAstsEqual adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils.py /^ def checkAstsEqual(self, a, b):$/;" m class:TestCase +checkBailouts adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def checkBailouts(self, model, inputs, expected):$/;" m class:JitTestCase +CheckButtons adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class CheckButtons(AxesWidget):$/;" c +CheckCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/check.py /^class CheckCommand(Command):$/;" c +checkConsistency adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def checkConsistency(self):$/;" m class:Choice +checkdep_ps_distiller adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def checkdep_ps_distiller(s):$/;" f +checkdep_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def checkdep_usetex(s):$/;" f +checkDeterminism adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def checkDeterminism(self, dataset_fn, expect_determinism, expected_elements):$/;" m class:DatasetTestBase +checkDynamicQuantizedLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkDynamicQuantizedLinear(self, mod, dtype):$/;" m class:QuantizationTestCase +checkDynamicQuantizedLSTM adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkDynamicQuantizedLSTM(self, mod, reference_module_type, dtype):$/;" m class:QuantizationTestCase +checkDynamicQuantizedModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkDynamicQuantizedModule(self, mod, reference_module_type, dtype):$/;" m class:QuantizationTestCase +checkedThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def checkedThread(self, target, args=None, kwargs=None):$/;" m class:TensorFlowTestCase +checked_dtypes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ checked_dtypes = [np.float64, np.float32, np.int32, np.int8, bool]$/;" v class:TestSquareForm +checkEmbeddingSerialization adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkEmbeddingSerialization(self, qemb, num_embeddings, embedding_dim, indices, offsets,/;" m class:QuantizationTestCase +CheckEqual adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def CheckEqual(a, b):$/;" f member:TestCase.assertTotallyOrdered file: +checker adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ def checker(value):$/;" f function:_register_bounds_validator_if_needed file: +CheckGradientOperatorInput adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def CheckGradientOperatorInput($/;" m class:IR +checkGraphModeFxOp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkGraphModeFxOp(self, model, inputs, quant_type,$/;" m class:QuantizationTestCase +checkGraphModeOp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkGraphModeOp(self, module, inputs, quantized_op, tracing=False, debug=False,$/;" m class:QuantizationTestCase +checkGraphModuleNodes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkGraphModuleNodes($/;" m class:QuantizationTestCase +checkHasPrepModules adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkHasPrepModules(self, module):$/;" m class:QuantizationTestCase +checkIfNumericalAnalyticAreClose adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def checkIfNumericalAnalyticAreClose(a, n, j, error_str=''):$/;" f function:gradcheck file: +CheckIndex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class CheckIndex:$/;" c member:TestBinop.test_array_ufunc_index file: +CheckingLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^class CheckingLinearOperator(LinearOperator):$/;" c +checking_leaks adpepsenv/lib/python3.8/site-packages/jax/core.py /^def checking_leaks():$/;" f +checking_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def checking_metadata(self):$/;" m class:sdist +checking_start_response adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def checking_start_response(*args, **kwargs):$/;" f member:LintMiddleware.__call__ file: +CheckInputFromValidContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def CheckInputFromValidContext(op, input_op):$/;" f +checkLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkLinear(self, mod):$/;" m class:QuantizationTestCase +checkModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def checkModule(self, nn_module, args):$/;" m class:JitTestCase +CheckNet adpepsenv/lib/python3.8/site-packages/caffe2/python/device_checker.py /^ def CheckNet(self, net, inputs=None, blobs_to_check=None, ignore=None):$/;" m class:DeviceChecker +CheckNetAllOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def CheckNetAllOutput(self, net, expects):$/;" m class:TestControl +CheckNetOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def CheckNetOutput(self, nets_and_expects):$/;" m class:TestControl +checkNoPrepModules adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkNoPrepModules(self, module):$/;" m class:QuantizationTestCase +checkNoQconfig adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkNoQconfig(self, module):$/;" m class:QuantizationTestCase +CheckNumerics adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^CheckNumerics = tf_export("raw_ops.CheckNumerics")(_ops.to_raw_op(check_numerics))$/;" v +CheckNumericsCallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^class CheckNumericsCallback(object):$/;" c +CheckNumericsV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^CheckNumericsV2 = tf_export("raw_ops.CheckNumericsV2")(_ops.to_raw_op(check_numerics_v2))$/;" v +checkObservers adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkObservers(self, module, propagate_qconfig_list=None):$/;" m class:QuantizationTestCase +CheckOptimize adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class CheckOptimize(object):$/;" c +CheckOptimizeParameterized adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class CheckOptimizeParameterized(CheckOptimize):$/;" c +CheckOrder adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def CheckOrder(small, big):$/;" f member:TestCase.assertTotallyOrdered file: +CHECKOUT_DIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^CHECKOUT_DIST = 0$/;" v +CHECKOUT_DIST adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^CHECKOUT_DIST = 0$/;" v +CheckPartitionerVarHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^class CheckPartitionerVarHook(tf.compat.v1.train.SessionRunHook):$/;" c +checkPath adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def checkPath(label, indices, code):$/;" f member:TestHsm.test_huffman_tree_hierarchy file: +checkPeerIndent adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkPeerIndent(s, l, t):$/;" f function:indentedBlock file: +checkPeerIndent adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkPeerIndent(s,l,t):$/;" f function:indentedBlock file: +checkPeerIndent adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkPeerIndent(s, l, t):$/;" f function:indentedBlock file: +checkPeerIndent adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkPeerIndent(s,l,t):$/;" f function:indentedBlock file: +checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def checkpoint(self, epoch):$/;" m class:AnyExpTrainer +checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^from . import checkpoint as checkpoint$/;" x +checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^import caffe2.contrib.playground.checkpoint as checkpoint$/;" I +checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/override_no_test_model_no_checkpoint.py /^def checkpoint(self, epoch):$/;" f +checkpoint adpepsenv/lib/python3.8/site-packages/jax/api.py /^def checkpoint(fun: Callable, concrete: bool = False) -> Callable:$/;" f +checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def checkpoint(self):$/;" m class:CheckpointManager +checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def checkpoint(self):$/;" m class:CheckpointPosition +Checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class Checkpoint(tracking.AutoTrackable):$/;" c +Checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/__init__.py /^from tensorflow.python.training.tracking.util import CheckpointV1 as Checkpoint$/;" x +checkpoint adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def checkpoint(function, *args, **kwargs):$/;" f +checkpointable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def checkpointable_objects(self):$/;" m class:SerializedAttributes +CheckpointedOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^class CheckpointedOp(object):$/;" c +CheckpointFunction adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^class CheckpointFunction(torch.autograd.Function):$/;" c +CheckpointInitialValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class CheckpointInitialValue(ops.Tensor):$/;" c +CheckpointInitialValueCallable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class CheckpointInitialValueCallable(object):$/;" c +CheckpointInputPipelineHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^class CheckpointInputPipelineHook(session_run_hook.SessionRunHook):$/;" c +CheckpointLoadStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class CheckpointLoadStatus(_LoadStatus):$/;" c +CheckpointManager adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^class CheckpointManager(object):$/;" c +CheckpointManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^class CheckpointManager(object):$/;" c +CheckpointOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/checkpoint_options.py /^class CheckpointOptions(object):$/;" c +CheckpointPosition adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class CheckpointPosition(object):$/;" c +checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def checkpoints(self):$/;" m class:CheckpointManager +CheckpointSaverHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class CheckpointSaverHook(session_run_hook.SessionRunHook):$/;" c +CheckpointSaverListener adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class CheckpointSaverListener(object):$/;" c +CheckpointState adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^CheckpointState = _reflection.GeneratedProtocolMessageType('CheckpointState', (_message.Message,/;" v +checkpoints_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def checkpoints_iterator(checkpoint_dir,$/;" f +CheckpointTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/checkpoint_test.py /^class CheckpointTest(test_util.TestCase):$/;" c +CheckpointV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class CheckpointV1(tracking.AutoTrackable):$/;" c +checkpoint_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def checkpoint_exists(checkpoint_prefix):$/;" f +checkpoint_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^checkpoint_exists = checkpoint_management.checkpoint_exists$/;" v +checkpoint_exists_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def checkpoint_exists_internal(checkpoint_prefix):$/;" f +checkpoint_interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def checkpoint_interval(self):$/;" m class:CheckpointManager +checkpoint_options_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^from tensorflow.python.training.saving import checkpoint_options as checkpoint_options_lib$/;" x +checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def checkpoint_position(self):$/;" m class:CheckpointInitialValue +checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def checkpoint_position(self):$/;" m class:CheckpointInitialValueCallable +checkpoint_save_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def checkpoint_save_graph_def(self):$/;" m class:RunConfig +checkpoint_sequential adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def checkpoint_sequential(functions, segments, input, **kwargs):$/;" f +checkQuantDequant adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkQuantDequant(self, mod):$/;" m class:QuantizationTestCase +checkQuantizedLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkQuantizedLinear(self, mod):$/;" m class:QuantizationTestCase +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:And +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:Each +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:MatchFirst +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:Or +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:ParseElementEnhance +checkRecursion adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:ParserElement +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:And +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:Each +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:MatchFirst +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:Or +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:ParseElementEnhance +checkRecursion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:ParserElement +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:And +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:Each +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:MatchFirst +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:Or +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:ParseElementEnhance +checkRecursion adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkRecursion(self, parseElementList):$/;" m class:ParserElement +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:And +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:Each +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:MatchFirst +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:Or +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:ParseElementEnhance +checkRecursion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkRecursion( self, parseElementList ):$/;" m class:ParserElement +CheckResult adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ CheckResult = Tuple[MissingDict, ConflictingDict]$/;" v +checkSameFrame adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def checkSameFrame(self, rhs, func):$/;" m class:Duration +checkSameUnits adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def checkSameUnits(self, rhs, func):$/;" m class:UnitDbl +checkScript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def checkScript(self,$/;" m class:JitTestCase +checkScriptable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkScriptable(self, orig_mod, calib_data, check_save_load=False):$/;" m class:QuantizationTestCase +checkScriptRaisesRegex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def checkScriptRaisesRegex(self, script, inputs, exception, regex,$/;" m class:JitTestCase +CheckShapePolymorphism adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def CheckShapePolymorphism(self, f_jax: Callable, *,$/;" m class:JaxToTfTestCase +CheckSimple adpepsenv/lib/python3.8/site-packages/caffe2/python/device_checker.py /^ def CheckSimple(self, op, inputs, outputs_to_check,$/;" m class:DeviceChecker +CheckSimple adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def CheckSimple($/;" m class:GradientChecker +checkSubIndent adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkSubIndent(s, l, t):$/;" f function:indentedBlock file: +checkSubIndent adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkSubIndent(s,l,t):$/;" f function:indentedBlock file: +checkSubIndent adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkSubIndent(s, l, t):$/;" f function:indentedBlock file: +checkSubIndent adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkSubIndent(s,l,t):$/;" f function:indentedBlock file: +CheckTapeSafeSkipOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^class CheckTapeSafeSkipOptions(object):$/;" c +checkTrace adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def checkTrace(self, func, reference_tensors, input_tensors=None,$/;" m class:JitTestCase +checkUnindent adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def checkUnindent(s, l, t):$/;" f function:indentedBlock file: +checkUnindent adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def checkUnindent(s,l,t):$/;" f function:indentedBlock file: +checkUnindent adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def checkUnindent(s, l, t):$/;" f function:indentedBlock file: +checkUnindent adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def checkUnindent(s,l,t):$/;" f function:indentedBlock file: +checkUnits adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def checkUnits(self, units):$/;" m class:UnitDbl +CheckValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def CheckValue(self, proposed_value):$/;" m class:EnumValueChecker +CheckValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def CheckValue(self, proposed_value):$/;" m class:FloatValueChecker +CheckValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def CheckValue(self, proposed_value):$/;" m class:IntValueChecker +CheckValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def CheckValue(self, proposed_value):$/;" m class:TypeChecker +CheckValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def CheckValue(self, proposed_value):$/;" m class:UnicodeValueChecker +checkWrappedQuantizedLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def checkWrappedQuantizedLinear(self, mod):$/;" m class:QuantizationTestCase +check_1 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def check_1(self,f=f1,per=0,s=0,a=0,b=2*pi,N=20,at=0,xb=None,xe=None):$/;" m class:TestSmokeTests +check_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_1(a):$/;" f member:_TestSlicing.test_slicing_3 file: +check_2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def check_2(self,f=f1,per=0,s=0,a=0,b=2*pi,N=20,xb=None,xe=None,$/;" m class:TestSmokeTests +check_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_2(a, b):$/;" f member:_TestSlicing.test_slicing_3 file: +check_2drbf1d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_2drbf1d_interpolation(function):$/;" f +check_2drbf1d_regularity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_2drbf1d_regularity(function, atol):$/;" f +check_2drbf2d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_2drbf2d_interpolation(function):$/;" f +check_2drbf3d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_2drbf3d_interpolation(function):$/;" f +check_3 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def check_3(self,f=f1,per=0,s=0,a=0,b=2*pi,N=20,xb=None,xe=None,$/;" m class:TestSmokeTests +check_4 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def check_4(self,f=f1,per=0,s=0,a=0,b=2*pi,N=20,xb=None,xe=None,$/;" m class:TestSmokeTests +check_5 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def check_5(self,f=f2,kx=3,ky=3,xb=0,xe=2*pi,yb=0,ye=2*pi,Nx=20,Ny=20,s=0):$/;" m class:TestSmokeTests +check_access_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_access_token(self, request_token):$/;" m class:RequestValidator +check_against_chunks adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def check_against_chunks(self, chunks):$/;" m class:Hashes +check_against_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def check_against_file(self, file):$/;" m class:Hashes +check_against_path adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def check_against_path(self, path):$/;" m class:Hashes +check_alive adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def check_alive(self, worker_name):$/;" m class:Context +check_alive adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def check_alive(worker_name):$/;" f +check_all adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def check_all(a, b, i1, i2, c, dt):$/;" f member:TestUnique.test_unique_1d file: +check_all adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def check_all(self, sort):$/;" m class:TestOrdQZ +check_all_bc adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def check_all_bc(self, x, y, axis):$/;" m class:TestCubicSpline +check_api_version adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def check_api_version(apiversion, codegen_dir):$/;" f +check_archive_formats adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def check_archive_formats(formats):$/;" f +check_arg adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def check_arg(arg : Node, n : Optional[Node] = None) -> None:$/;" f member:Graph.lint file: +check_arguments adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^def check_arguments(fun, y0, support_complex):$/;" f +check_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def check_array(self, dtype):$/;" m class:TestSizeOf +check_array_constraint adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef int check_array_constraint(np.ndarray val, object name, constraint_type cons) except -1$/;" f +check_array_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def check_array_lengths(inputs, targets, weights=None):$/;" f +check_backend_params adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def check_backend_params(params, outer_backend):$/;" f +check_backward_validity adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def check_backward_validity(inputs: Iterable[Any]) -> None:$/;" f +check_basic adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def check_basic(self, *kws):$/;" m class:TestExecCommand +check_bidi adpepsenv/lib/python3.8/site-packages/idna/core.py /^def check_bidi(label, check_ltr=False):$/;" f +check_bidi adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def check_bidi(label, check_ltr=False):$/;" f +check_binary_allowed adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def check_binary_allowed(req):$/;" f function:get_check_binary_allowed file: +check_block_entry adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_block_entry(self):$/;" m class:Scanner +check_bounds adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def check_bounds(indices, bound):$/;" f member:_cs_matrix._prepare_indices file: +check_broken_egg_info adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def check_broken_egg_info(self):$/;" m class:egg_info +check_build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^def check_build_sdist(hooks, build_sys_requires):$/;" f +check_build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^def check_build_wheel(hooks, build_sys_requires):$/;" f +check_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^def check_call(prim, in_avals, params):$/;" f +check_case adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def check_case(self, a, b, c):$/;" m class:TestSolveSylvester +check_case adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def check_case(self, n, sym, low):$/;" m class:TestPascal +check_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def check_cases(self, require=set(), exclude=set()):$/;" m class:LinalgTestCase +check_cdf_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_cdf_logcdf(distfn, args, msg):$/;" f +check_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_cdf_ppf(distfn, arg, msg):$/;" f +check_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_cdf_ppf(distfn, arg, supp, msg):$/;" f +check_cephes_vs_amos adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def check_cephes_vs_amos(self, f1, f2, rtol=1e-11, atol=0, skip=None):$/;" m class:TestBessel +check_client_key adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_client_key(self, client_key):$/;" m class:RequestValidator +check_close adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_close(xs, ys, atol=None, rtol=None):$/;" f +check_clump adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def check_clump(self, f):$/;" m class:TestGeneric +check_cmplx_deriv adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_cmplx_deriv(distfn, arg):$/;" f +check_codec adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def check_codec(feature):$/;" f +check_COLA adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def check_COLA(window, nperseg, noverlap, tol=1e-10):$/;" f +check_collective_ops_peer_health adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def check_collective_ops_peer_health(self, task, timeout_in_ms):$/;" m class:Context +check_compatibility adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def check_compatibility(version, name):$/;" f +check_compatibility adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__init__.py /^def check_compatibility(urllib3_version, chardet_version):$/;" f +check_compatibility adpepsenv/lib/python3.8/site-packages/requests/__init__.py /^def check_compatibility(urllib3_version, chardet_version):$/;" f +check_compiler_abi_compatibility adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def check_compiler_abi_compatibility(compiler) -> bool:$/;" f +check_compiler_gcc adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_compiler_gcc(cmd):$/;" f +check_compiler_gcc adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_compiler_gcc(self):$/;" m class:config +check_compiler_ok_for_platform adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def check_compiler_ok_for_platform(compiler: str) -> bool:$/;" f +check_complex adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_complex(self, *a, **kw):$/;" m class:CallOnceOnly +check_complex adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def check_complex(config, mathlibs):$/;" f +check_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^ def check_complex(idx, solver, meth, use_jac, with_jac, banded):$/;" f function:test_banded_ode_solvers file: +check_complex_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^def check_complex_value(f, x1, y1, x2, y2, exact=True):$/;" f +check_compressed adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def check_compressed(self, fopen, suffixes):$/;" m class:LoadTxtBase +check_config_h adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^def check_config_h():$/;" f +check_constraint adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef int check_constraint(double val, object name, constraint_type cons) except -1$/;" f +check_contig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^ def check_contig(a, ccontig, fcontig):$/;" f function:test_contiguous_flags file: +check_continuity adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def check_continuity(interpolator, loc, values=None):$/;" f function:test_triinterpcubic_C1_continuity file: +check_continuous_case adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def check_continuous_case(self, a, q):$/;" m class:TestSolveLyapunov +check_contr_inds adpeps/utils/nested.py /^ def check_contr_inds(self, other, *args, **kwargs):$/;" m class:Nested +check_convergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def check_convergence(solver, case):$/;" f +check_copy_result adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^ def check_copy_result(x, y, ccontig, fcontig, strides=False):$/;" f function:test_copy_order file: +check_correctness adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def check_correctness(S, bc_start='not-a-knot', bc_end='not-a-knot',$/;" m class:TestCubicSpline +check_count_nonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def check_count_nonzero(self, power, length):$/;" m class:TestBool +check_credentials adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def check_credentials(self):$/;" m class:PackageIndex +check_D adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def check_D(self, n):$/;" m class:TestNumObsDM +check_data adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def check_data(self):$/;" m class:BaseConstructor +check_db_exists adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def check_db_exists(self, epoch):$/;" m class:CheckpointManager +check_decl adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_decl(self, symbol,$/;" m class:config +check_default adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def check_default(self, option, key, val):$/;" m class:ConfigOptionParser +check_default_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def check_default_value(shape, default_value, dtype, key):$/;" f +check_dendrogram_plot adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_dendrogram_plot(self, orientation):$/;" m class:TestDendrogram +check_dense_labels_match_logits_and_reshape adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def check_dense_labels_match_logits_and_reshape(labels, logits,$/;" f +check_derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def check_derivative(fun, jac, x0, bounds=(-np.inf, np.inf), args=(),$/;" f +check_destinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def check_destinations(destinations):$/;" f +check_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def check_device(path, device_string):$/;" f member:TPUEmbedding._raise_error_for_inputs_not_on_cpu file: +check_device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def check_device_type(device_type):$/;" m class:TensorTracer +check_dir adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def check_dir(module, module_name=None):$/;" f +check_directive adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_directive(self):$/;" m class:Scanner +check_disabled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def check_disabled(test_name):$/;" f +check_discrete_case adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def check_discrete_case(self, a, q, method=None):$/;" m class:TestSolveLyapunov +check_discrete_chisquare adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_discrete_chisquare(distfn, arg, rvs, alpha, msg):$/;" f +check_distribution_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_distribution_rvs(dist, args, alpha, rvs):$/;" f +check_dist_restriction adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def check_dist_restriction(options, check_target=False):$/;" f +check_document_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_document_end(self):$/;" m class:Scanner +check_document_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_document_start(self):$/;" m class:Scanner +check_domain adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ check_domain = True # only used if ca_certs is not None$/;" v class:HTTPSConnection +check_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def check_dtype(arg, dtype):$/;" f +check_eager_serialization adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def check_eager_serialization(self, ref_model, loaded_model, x):$/;" m class:QuantizationTestCase +check_edge_support adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_edge_support(distfn, args):$/;" f +check_editable adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def check_editable(self, spec):$/;" m class:easy_install +check_eigenvalue_is_in_array adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def check_eigenvalue_is_in_array(eigenvalue, eigenvalues_array):$/;" f function:Jax2TfLimitation.eig.custom_assert file: +check_eigenvalue_is_in_array adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def check_eigenvalue_is_in_array(eigenvalue, eigenvalues_array):$/;" f function:Jax2TfLimitation.eigh.custom_assert file: +check_einsum_sums adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def check_einsum_sums(self, dtype, do_opt=False):$/;" m class:TestEinsum +check_embedded_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def check_embedded_lapack(self, info):$/;" m class:flame_info +check_embedded_msvcr_match_linked adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def check_embedded_msvcr_match_linked(msver):$/;" f +check_empty_document adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def check_empty_document(self):$/;" m class:Emitter +check_empty_mapping adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def check_empty_mapping(self):$/;" m class:Emitter +check_empty_output adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^def check_empty_output():$/;" f +check_empty_sequence adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def check_empty_sequence(self):$/;" m class:Emitter +check_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_entropy(distfn, arg, msg):$/;" f +check_entropy_vect_scale adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_entropy_vect_scale(distfn, arg):$/;" f +check_entry_points adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_entry_points(dist, attr, value):$/;" f +check_environ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def check_environ ():$/;" f +check_environ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def check_environ(self, environ):$/;" m class:LintMiddleware +check_eq adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_eq(xs, ys):$/;" f +check_eqn adpepsenv/lib/python3.8/site-packages/jax/core.py /^def check_eqn(prim, in_avals, params):$/;" f +check_equal_but_not_same_array_attribute adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_equal_but_not_same_array_attribute(attribute):$/;" f member:_TestCommon.test_astype file: +check_equal_gmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def check_equal_gmean(array_like, desired, axis=None, dtype=None, rtol=1e-7):$/;" f +check_equal_gmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def check_equal_gmean(array_like, desired, axis=None, dtype=None, rtol=1e-7):$/;" f +check_equal_hmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def check_equal_hmean(array_like, desired, axis=None, dtype=None, rtol=1e-7):$/;" f +check_equal_hmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def check_equal_hmean(array_like, desired, axis=None, dtype=None, rtol=1e-7):$/;" f +check_error adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def check_error(res: int) -> None:$/;" f +check_event adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def check_event(self, *choices):$/;" m class:Parser +check_exact adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check_exact(self, a, info):$/;" m class:TestMMIOArray +check_exact adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check_exact(self, a, info):$/;" m class:TestMMIOSparseCSR +check_execute_in adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def check_execute_in(self, **kws):$/;" m class:TestExecCommand +check_exists adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def check_exists(self, name):$/;" m class:Config +check_expit_out adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def check_expit_out(self, dtype, expected):$/;" m class:TestExpit +check_extensions_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def check_extensions_list(self, extensions):$/;" m class:build_ext +check_extras adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_extras(dist, attr, value):$/;" f +check_fcluster adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_fcluster(self, t, criterion):$/;" m class:TestFcluster +check_fclusterdata adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_fclusterdata(self, t, criterion):$/;" m class:TestFcluster +check_fcluster_maxclust_monocrit adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_fcluster_maxclust_monocrit(self, t):$/;" m class:TestFcluster +check_fcluster_monocrit adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_fcluster_monocrit(self, t):$/;" m class:TestFcluster +check_feature adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def check_feature(feature):$/;" f +check_figures_equal adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def check_figures_equal(*, extensions=("png", "pdf", "svg"), tol=0):$/;" f +check_fill_mode_and_interpolation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def check_fill_mode_and_interpolation(fill_mode, interpolation):$/;" f +check_filtfilt_gust adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def check_filtfilt_gust(b, a, shape, axis, irlen=None):$/;" f +check_fit_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_fit_args(distfn, arg, rvs):$/;" f +check_fit_args_fix adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_fit_args_fix(distfn, arg, rvs):$/;" f +check_fn adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def check_fn(fn, loc):$/;" f +check_for adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def check_for(texsystem):$/;" f +check_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def check_format(self, full_check=True):$/;" m class:bsr_matrix +check_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def check_format(self, full_check=True):$/;" m class:_cs_matrix +check_format_condition adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^def check_format_condition(condition, error_message):$/;" f +check_form_qTu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def check_form_qTu(q_order, q_shape, u_order, u_shape, u_ndim, dtype):$/;" f +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_forward_args(self, input, hidden, batch_sizes):$/;" m class:QuantizedLSTM +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_forward_args(self, input, hidden, batch_sizes):$/;" m class:QuantizedRNNBase +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_forward_args(self, input: Tensor, hidden: Tensor, batch_sizes: Optional[Tensor]):$/;" m class:RNNBase +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_forward_args(self, input: Tensor, hidden: Tuple[Tensor, Tensor], batch_sizes: Opti/;" m class:LSTM +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_forward_args( # type: ignore$/;" m class:LSTM +check_forward_args adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_forward_args(self, input: Tensor, hidden: Tensor, batch_sizes: Optional[Tensor]) -/;" m class:RNNBase +check_forward_hidden adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_forward_hidden(self, input, hx, hidden_label=''):$/;" m class:QuantizedRNNCellBase +check_forward_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_forward_hidden(self, input: Tensor, hx: Tensor, hidden_label: str = '') -> None:$/;" m class:RNNCellBase +check_forward_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_forward_hidden(self, input: Tensor, hx: Tensor, hidden_label: str = '') -> None:$/;" m class:RNNCellBase +check_forward_input adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_forward_input(self, input):$/;" m class:QuantizedRNNCellBase +check_forward_input adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_forward_input(self, input: Tensor) -> None:$/;" m class:RNNCellBase +check_forward_input adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_forward_input(self, input):$/;" m class:RNNCellBase +check_for_right_shift_internal_compiler_error adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def check_for_right_shift_internal_compiler_error(cmd):$/;" f +check_for_unexpected_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def check_for_unexpected_keys(name, input_dict, expected_values):$/;" f +check_fpu_mode adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def check_fpu_mode(request):$/;" f +check_fpu_mode adpepsenv/lib/python3.8/site-packages/scipy/conftest.py /^def check_fpu_mode(request):$/;" f +check_freetype_version adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def check_freetype_version(ver):$/;" f +check_freezing adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_freezing(distfn, args):$/;" f +check_free_memory adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def check_free_memory(free_bytes):$/;" f +check_free_memory adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^def check_free_memory(free_mb):$/;" f +check_freqs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def check_freqs(self, vals, targfreqs, resfreqs, fstims):$/;" m class:TestSpectral +check_func adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_func(func_name):$/;" f function:check_math_capabilities file: +check_func adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_func(self, func,$/;" m class:config +check_func adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def check_func(self, func, headers=None, include_dirs=None,$/;" m class:config +check_funcs adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_funcs(funcs_name):$/;" f function:check_math_capabilities file: +check_funcs_once adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_funcs_once(funcs_name):$/;" f function:check_math_capabilities file: +check_funcs_once adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_funcs_once(self, funcs,$/;" m class:config +check_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def check_function(self, func, fill_value=None):$/;" m class:TestCreationFuncs +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def check_function(self, name):$/;" m class:TestF77Callback +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ def check_function(self, t, tname):$/;" m class:TestReturnCharacter +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ def check_function(self, t, tname):$/;" m class:TestReturnComplex +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ def check_function(self, t, tname):$/;" m class:TestReturnInteger +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ def check_function(self, t):$/;" m class:TestReturnLogical +check_function adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ def check_function(self, t, tname):$/;" m class:TestReturnReal +check_function adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def check_function(self, function, sz):$/;" m class:TestThread +check_function adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def check_function(self, function, sz):$/;" m class:TestThread +check_function adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def check_function(self, function, sz):$/;" m class:TestThread +check_function_argument_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def check_function_argument_count(func, input_arity, infeed_queue):$/;" f +check_func_serial adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def check_func_serial(self, n, fun, args, out):$/;" m class:TestThreading +check_func_thread adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def check_func_thread(self, n, fun, args, out):$/;" m class:TestThreading +check_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def check_gc(self, gc, fillcolor=None):$/;" m class:RendererPdf +check_gcc_function_attribute adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_gcc_function_attribute(cmd, attribute, name):$/;" f +check_gcc_function_attribute adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_gcc_function_attribute(self, attribute, name):$/;" m class:config +check_gcc_function_attribute_with_intrinsics adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_gcc_function_attribute_with_intrinsics(cmd, attribute, name, code,$/;" f +check_gcc_function_attribute_with_intrinsics adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_gcc_function_attribute_with_intrinsics(self, attribute, name,$/;" m class:config +check_gcc_variable_attribute adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_gcc_variable_attribute(cmd, attribute):$/;" f +check_gcc_variable_attribute adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_gcc_variable_attribute(self, attribute):$/;" m class:config +check_gcc_version_at_least adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_gcc_version_at_least(cmd, major, minor=0, patchlevel=0):$/;" f +check_gcc_version_at_least adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_gcc_version_at_least(self, major, minor=0, patchlevel=0):$/;" m class:config +check_generator_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def check_generator_arguments(y=None, sample_weight=None,$/;" f +check_gif adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def check_gif():$/;" f function:decode_image file: +check_gpu_ adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def check_gpu_():$/;" f +check_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def check_grad(func, grad, x0, *args, **kwargs):$/;" f +check_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def check_gradient(fcn, Dfcn, x0, args=(), col_deriv=0):$/;" f +check_grads adpeps/simulation/run_ipeps_exci.py /^ def check_grads(self, A=None):$/;" m class:iPEPSExciSimulation +check_grads adpeps/simulation/run_ipeps_gs.py /^ def check_grads(self, A=None):$/;" m class:Objective +check_grads adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_grads(f, args, order,$/;" f +check_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def check_graphs(*args):$/;" f +check_graph_consistency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def check_graph_consistency(tensor=None, method='add_loss', force_raise=False):$/;" f +check_handle adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def check_handle(self):$/;" m class:IntegratorBase +check_hash adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def check_hash(self, checker, filename, tfp):$/;" m class:PackageIndex +check_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_header(self, header, include_dirs=None, library_dirs=None, lang='c'):$/;" m class:config +check_header adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def check_header(self, header, include_dirs=None, library_dirs=None,$/;" m class:config +check_headers adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def check_headers(self, headers):$/;" m class:LintMiddleware +check_header_validity adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def check_header_validity(header):$/;" f +check_header_validity adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def check_header_validity(header):$/;" f +check_hidden_size adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_hidden_size(self, hx, expected_hidden_size, msg='Expected hidden size {}, got {}')/;" m class:QuantizedRNNBase +check_hidden_size adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_hidden_size(self, hx: Tensor, expected_hidden_size: Tuple[int, int, int],$/;" m class:RNNBase +check_hidden_size adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_hidden_size($/;" m class:RNNBase +check_hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def check_hostname(self):$/;" m class:SecureTransportContext +check_hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def check_hostname(self, value):$/;" m class:SecureTransportContext +check_hostname adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def check_hostname(self):$/;" m class:SecureTransportContext +check_hostname adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def check_hostname(self, value):$/;" m class:SecureTransportContext +check_hyphen_ok adpepsenv/lib/python3.8/site-packages/idna/core.py /^def check_hyphen_ok(label):$/;" f +check_hyphen_ok adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def check_hyphen_ok(label):$/;" f +check_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def check_id(self, dtype):$/;" m class:TestInterpolativeDecomposition +check_identityless_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def check_identityless_reduction(self, a):$/;" m class:TestUfunc +check_ieee_macros adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_ieee_macros(self, *a, **kw):$/;" m class:CallOnceOnly +check_ieee_macros adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def check_ieee_macros(config):$/;" f +check_if_exists adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def check_if_exists(self, use_user_site):$/;" m class:InstallRequirement +check_if_parallel adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def check_if_parallel(dx1, dy1, dx2, dy2, tolerance=1.e-5):$/;" f +check_implicit_np adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^def check_implicit_np():$/;" f +check_importable adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^ def check_importable(module_name):$/;" f function:test_api_importable file: +check_importable adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_importable(dist, attr, value):$/;" f +check_inconsistent_tdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_inconsistent_tdist(self, depth):$/;" m class:TestInconsistent +check_initial_combiner adpepsenv/lib/python3.8/site-packages/idna/core.py /^def check_initial_combiner(label):$/;" f +check_initial_combiner adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def check_initial_combiner(label):$/;" f +check_inline adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_inline(cmd):$/;" f +check_inline adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_inline(self):$/;" m class:config +check_inner_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^ def check_inner_shape(item, shape):$/;" f function:_default_inner_shape_for_pylist file: +check_input adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def check_input(self, input, batch_sizes):$/;" m class:QuantizedRNNBase +check_input adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def check_input(self, input: Tensor, batch_sizes: Optional[Tensor]) -> None:$/;" m class:RNNBase +check_input adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def check_input(self, input: Tensor, batch_sizes: Optional[Tensor]) -> None:$/;" m class:RNNBase +check_input_values adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def check_input_values(cls, input_values):$/;" m class:parameterized +check_installed_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def check_installed_files(self):$/;" m class:EggInfoDistribution +check_installed_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def check_installed_files(self):$/;" m class:InstalledDistribution +check_install_build_global adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def check_install_build_global(options, check_options=None):$/;" f +check_install_conflicts adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^def check_install_conflicts(to_install):$/;" f +check_internal_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def check_internal_overlap(a, manual_expected=None):$/;" f +check_internet_off adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^def check_internet_off(original_function):$/;" f +check_invalid_constraint_type adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^def check_invalid_constraint_type(req):$/;" f +check_invpascal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def check_invpascal(n, kind, exact):$/;" f function:test_invpascal file: +check_is_continued_try adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_continued_try(self, node):$/;" m class:AstAnnotator +check_is_continued_try adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_continued_try(self, node):$/;" m class:BaseVisitor +check_is_continued_try adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def check_is_continued_try(self, node):$/;" m class:Printer +check_is_continued_with adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_continued_with(self, node):$/;" m class:AstAnnotator +check_is_continued_with adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_continued_with(self, node):$/;" m class:BaseVisitor +check_is_continued_with adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def check_is_continued_with(self, node):$/;" m class:Printer +check_is_elif adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_elif(self, node):$/;" m class:AstAnnotator +check_is_elif adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_is_elif(self, node):$/;" m class:BaseVisitor +check_is_elif adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def check_is_elif(self, node):$/;" m class:Printer +check_is_valid_im_various_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_is_valid_im_various_size(self, nrow, ncol, valid):$/;" m class:TestIsValidInconsistent +check_is_valid_linkage_various_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_is_valid_linkage_various_size(self, nrow, ncol, valid):$/;" m class:TestIsValidLinkage +check_iterator adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def check_iterator(self, app_iter):$/;" m class:LintMiddleware +check_jacobian adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def check_jacobian(self, module, input: _TensorOrTensors, jacobian_input=True):$/;" m class:NNTestCase +check_jac_sparsity adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def check_jac_sparsity(jac_sparsity, m, n):$/;" f +check_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^def check_jaxpr(jaxpr: Jaxpr):$/;" f +check_jvp adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_jvp(f, f_jvp, args, atol=None, rtol=None, eps=EPS):$/;" f +check_key adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_key(self):$/;" m class:Scanner +check_kurt_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_kurt_expect(distfn, arg, m, v, k, msg):$/;" f +check_label adpepsenv/lib/python3.8/site-packages/idna/core.py /^def check_label(label):$/;" f +check_label adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def check_label(label):$/;" f +check_label_range adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def check_label_range(labels, n_classes, message=None):$/;" f +check_lapack_misaligned adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def check_lapack_misaligned(func, args, kwargs):$/;" f +check_large_zip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def check_large_zip(memoryerror_raised):$/;" f member:TestSaveTxt.test_large_zip file: +check_lasso_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def check_lasso_selector(**kwargs):$/;" f +check_leaves_list_Q adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_leaves_list_Q(self, method):$/;" m class:TestLeavesList +check_left_eigenvectors adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def check_left_eigenvectors(a, w, vl):$/;" f function:Jax2TfLimitation.eig.custom_assert file: +check_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def check_lib(self, library, library_dirs=None, headers=None,$/;" m class:config +check_library_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def check_library_list(self, libraries):$/;" m class:build_clib +check_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def check_libs(self, lib_dirs, libs, opt_libs=[]):$/;" m class:system_info +check_libs2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def check_libs2(self, lib_dirs, libs, opt_libs=[]):$/;" m class:system_info +check_license adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def check_license(self):$/;" m class:sdist +check_like_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def check_like_function(self, like_function, value, fill_value=False):$/;" m class:TestLikeFuncs +check_limited adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_limited():$/;" f member:Test64Bit.test_downcast_intp file: +check_limiter_condition adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def check_limiter_condition(self, stop_condition_net):$/;" m class:ReaderWithLimit +check_limiter_condition adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def check_limiter_condition(self, stop_condition_net):$/;" m class:ReaderWithLimitBase +check_limiter_condition adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def check_limiter_condition(self, stop_condition_net):$/;" m class:ReaderWithTimeLimit +check_limits adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def check_limits(self, method, default_iters):$/;" m class:TestIterationLimits +check_line_split adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def check_line_split(code_line):$/;" f +check_linkage_q adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_linkage_q(self, method):$/;" m class:TestLinkage +check_linkage_tdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_linkage_tdist(self, method):$/;" m class:TestLinkage +check_linkage_ties adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_linkage_ties(self, method):$/;" m class:TestLinkageTies +check_list_path_option adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def check_list_path_option(options):$/;" f +check_loc_scale adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_loc_scale(distfn, arg, m, v, msg):$/;" f +check_logits_final_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def check_logits_final_dim(logits, expected_logits_dimension):$/;" f +check_logit_out adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def check_logit_out(self, dtype, expected):$/;" m class:TestLogit +check_long_double_representation adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def check_long_double_representation(cmd):$/;" f +check_loss_and_target_compatibility adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def check_loss_and_target_compatibility(targets, loss_fns, output_shapes):$/;" f +check_macro_true adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_macro_true(self, symbol,$/;" m class:config +check_map adpepsenv/lib/python3.8/site-packages/jax/core.py /^def check_map(prim, in_avals, params):$/;" f +check_mathlib adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def check_mathlib(config_cmd):$/;" f +check_math_capabilities adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def check_math_capabilities(config, ext, moredefs, mathlibs):$/;" f +check_matrix_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def check_matrix_shapes(self, p, q, r):$/;" m class:TestSS2TF +check_maxdists_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxdists_Q_linkage(self, method):$/;" m class:TestMaxDists +check_maxfreq adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def check_maxfreq(self, spec, fsp, fstims):$/;" m class:TestSpectral +check_maxinconsts_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxinconsts_Q_linkage(self, method):$/;" m class:TestMaxInconsts +check_maxiter adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def check_maxiter(solver, case):$/;" f +check_maxRstat_difrow_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxRstat_difrow_linkage(self, i):$/;" m class:TestMaxRStat +check_maxRstat_empty_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxRstat_empty_linkage(self, i):$/;" m class:TestMaxRStat +check_maxRstat_invalid_index adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxRstat_invalid_index(self, i):$/;" m class:TestMaxRStat +check_maxRstat_one_cluster_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxRstat_one_cluster_linkage(self, i):$/;" m class:TestMaxRStat +check_maxRstat_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def check_maxRstat_Q_linkage(self, method, i):$/;" m class:TestMaxRStat +check_may_share_memory_easy_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def check_may_share_memory_easy_fuzz(get_max_work, same_steps, min_count):$/;" f +check_may_share_memory_exact adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def check_may_share_memory_exact(a, b):$/;" f +check_mean_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_mean_expect(distfn, arg, m, msg):$/;" f +check_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def check_metadata(self):$/;" m class:check +check_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def check_metadata(self):$/;" m class:register +check_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def check_metadata(self):$/;" m class:sdist +check_meth_dtype adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_meth_dtype(distfn, arg, meths):$/;" f +check_module adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def check_module(feature):$/;" f +check_module adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def check_module(self, module, module_file):$/;" m class:build_py +check_module_initialized adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def check_module_initialized(mod):$/;" f +check_module_version_greater_or_equal adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def check_module_version_greater_or_equal(module, req_version_tuple, error_if_malformed=True):$/;" f +check_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_moment(distfn, arg, m, v, msg):$/;" f +check_moment_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_moment_frozen(distfn, arg, m, k):$/;" f +check_msvc_gfortran_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def check_msvc_gfortran_libs(self, library_dirs, libraries):$/;" m class:openblas_info +check_multihost_collective_allowlist adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def check_multihost_collective_allowlist(jaxpr):$/;" f +check_mult_inds adpeps/tensor/config.py /^check_mult_inds = False$/;" v +check_mutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def check_mutation(n1, n2, func):$/;" f +check_named_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_named_args(distfn, x, shape_args, defaults, meths):$/;" f +check_named_results adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_named_results(res, attributes, ma=False):$/;" f +check_nfc adpepsenv/lib/python3.8/site-packages/idna/core.py /^def check_nfc(label):$/;" f +check_nfc adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def check_nfc(label):$/;" f +check_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def check_node(self):$/;" m class:Composer +check_NOLA adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def check_NOLA(window, nperseg, noverlap, tol=1e-10):$/;" f +check_nonce adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_nonce(self, nonce):$/;" m class:RequestValidator +check_normalization adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_normalization(distfn, args, distname):$/;" f +check_nsp adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_nsp(dist, attr, value):$/;" f +check_nt adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def check_nt(self, **kws):$/;" m class:TestExecCommand +check_numerics adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def check_numerics(tensor, message, name=None):$/;" f +check_numerics_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def check_numerics_eager_fallback(tensor, message, name, ctx):$/;" f +check_numerics_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def check_numerics_v2(tensor, message, name=None):$/;" f +check_numerics_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def check_numerics_v2_eager_fallback(tensor, message, name, ctx):$/;" f +check_num_lhs_matches_num_rhs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def check_num_lhs_matches_num_rhs():$/;" f function:_tridiagonal_solve_compact_format file: +check_num_samples adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def check_num_samples(ins, batch_size=None, steps=None, steps_name='steps'):$/;" f +check_odeint adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def check_odeint(jactype):$/;" f +check_onetree_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def check_onetree_query(T, d):$/;" f +check_onnx_broadcast adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/utils.py /^def check_onnx_broadcast(dims1, dims2):$/;" f +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestAdadelta +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestAdagrad +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestAdam +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestFtrl +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestGFtrl +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestLars +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestMomentumSgd +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestMultiPrecisionSgd +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestRmsProp +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestRowWiseAdagrad +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestRowWiseAdagradWithCounter +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestSgd +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestSparseRAdam +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestStorm +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestWngrad +check_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def check_optimizer(self, optimizer):$/;" m class:TestYellowFin +check_oth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_oth(distfn, arg, supp, msg):$/;" f +check_output adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def check_output(self, want, got, optionflags):$/;" m class:NumpyOutputChecker +check_outputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def check_outputs(ref_out, load_out):$/;" f member:QuantizationTestCase.check_eager_serialization file: +check_package adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def check_package(self, package, package_dir):$/;" m class:build_py +check_package adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def check_package(self, package, package_dir):$/;" m class:build_py +check_packages adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_packages(dist, attr, value):$/;" f +check_package_data adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_package_data(dist, attr, value):$/;" f +check_package_set adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^def check_package_set(package_set, should_ignore=None):$/;" f +check_parametrize adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def check_parametrize(base, power, expected):$/;" f member:TestNoseDecorators.test_parametrize file: +check_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def check_params(self, params):$/;" m class:BaseWrapper +check_password_hash adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def check_password_hash(pwhash, password):$/;" f +check_path adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def check_path(test_output, benchmark, bypass=False):$/;" f +check_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def check_path(path):$/;" f function:unarchive file: +check_path_owner adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def check_path_owner(path):$/;" f +check_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_pdf(distfn, arg, msg):$/;" f +check_pdf_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_pdf_logpdf(distfn, args, msg):$/;" f +check_pdf_logpdf_at_endpoints adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_pdf_logpdf_at_endpoints(distfn, args, msg):$/;" f +check_per_example_loss_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def check_per_example_loss_rank(per_example_loss):$/;" f +check_pickling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def check_pickling(self, dtype):$/;" m class:TestPickling +check_pickling adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_pickling(distfn, args):$/;" f +check_pickling adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^def check_pickling(distfn, args):$/;" f +check_pin_trust adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def check_pin_trust(self, environ):$/;" m class:DebuggedApplication +check_plain adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_plain(self):$/;" m class:Scanner +check_pmf_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_pmf_cdf(distfn, arg, distname):$/;" f +check_png adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def check_png():$/;" f function:decode_image file: +check_poly adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def check_poly(self, func, cls, param_ranges=[], x_range=[], nn=10,$/;" m class:TestPolys +check_poly adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def check_poly(self, func, param_ranges=[], x_range=[], nn=10,$/;" m class:TestRecurrence +check_polyder adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def check_polyder(p, m, expected):$/;" f +check_polygon_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def check_polygon_selector(event_sequence, expected_result, selections_count):$/;" f +check_positive_integer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^def check_positive_integer(value, name):$/;" f +check_posix adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def check_posix(self, **kws):$/;" m class:TestExecCommand +check_power_divergence adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def check_power_divergence(self, f_obs, f_exp, ddof, axis, lambda_,$/;" m class:TestPowerDivergence +check_ppf_broadcast adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_ppf_broadcast(distfn, arg, msg):$/;" f +check_ppf_dtype adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_ppf_dtype(distfn, arg):$/;" f +check_ppf_private adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_ppf_private(distfn, arg, msg):$/;" f +check_prec adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_prec(prec):$/;" f function:check_complex file: +check_precomputed_polar adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^def check_precomputed_polar(a, side, expected_u, expected_p):$/;" f +check_precond_dummy adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def check_precond_dummy(solver, case):$/;" f +check_precond_inverse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def check_precond_inverse(solver, case):$/;" f +check_prediction_keys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def check_prediction_keys(pred_keys, valid_keys):$/;" f +check_printable adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def check_printable(self, data):$/;" m class:Reader +check_private_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_private_entropy(distfn, args, superclass):$/;" f +check_profiling_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def check_profiling_info(self, self_worker_name, dst_worker_name, func, rpc_event, rpc_exec_/;" m class:RpcTest +check_promotion_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def check_promotion_cases(self, promote_func):$/;" m class:TestTypes +check_pth_processing adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def check_pth_processing(self):$/;" m class:easy_install +check_pydot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^def check_pydot():$/;" f +check_qr adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def check_qr(self, a):$/;" m class:TestQR +check_qr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def check_qr(q, r, a, rtol, atol, assert_sqr=True):$/;" f +check_quantized_results_close adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def check_quantized_results_close(outputs, ref=None, symmetric=False, atol_scale=0.53):$/;" f +check_raises adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_raises(thunk, err_type, msg):$/;" f +check_raises_regexp adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_raises_regexp(thunk, err_type, pattern):$/;" f +check_random_directive adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^def check_random_directive():$/;" f +check_random_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def check_random_state(seed):$/;" f +check_random_state_property adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_random_state_property(distfn, args):$/;" f +check_ranks adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def check_ranks(a):$/;" f member:TestRankData.test_rankdata_object_string file: +check_rbf1d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_rbf1d_interpolation(function):$/;" f +check_rbf1d_regularity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_rbf1d_regularity(function, atol):$/;" f +check_rbf1d_stability adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_rbf1d_stability(function):$/;" f +check_rbf2d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_rbf2d_interpolation(function):$/;" f +check_rbf3d_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def check_rbf3d_interpolation(function):$/;" f +check_read adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def check_read(self, fileobj):$/;" m class:TestFileObj +check_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check_read(self, example, a, info):$/;" m class:TestMMIOCoordinate +check_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def check_read(self, example, a, info, dense, over32, over64):$/;" m class:TestMMIOReadLargeIntegers +check_readme adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def check_readme(self):$/;" m class:sdist +check_real adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^ def check_real(idx, solver, meth, use_jac, with_jac, banded):$/;" f function:test_banded_ode_solvers file: +check_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_realms(self, realms):$/;" m class:RequestValidator +check_real_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^def check_real_value(f, x1, y1, x, exact=True):$/;" f +check_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def check_rectangle(**kwargs):$/;" f +check_recursive_spawn adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def check_recursive_spawn(): # pragma: no cover$/;" f +check_release_file adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def check_release_file(run_lambda):$/;" f +check_remains_sorted adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def check_remains_sorted(X):$/;" f +check_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_request_token(self, request_token):$/;" m class:RequestValidator +check_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def check_requirements(self, reqs):$/;" m class:BuildEnvironment +check_requirements adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_requirements(dist, attr, value):$/;" f +check_requires_python adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/packaging.py /^def check_requires_python(requires_python, version_info):$/;" f +check_reshape_kwargs adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def check_reshape_kwargs(kwargs):$/;" f +check_resolver_prefix adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def check_resolver_prefix(self, depth, path, kind,$/;" m class:BaseResolver +check_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def check_response(self, h, expected_response, tol=.05):$/;" m class:TestFirwin +check_restrict adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/autodist.py /^def check_restrict(cmd):$/;" f +check_restrict adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_restrict(self):$/;" m class:config +check_restructuredtext adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def check_restructuredtext(self):$/;" m class:check +check_retrieving_support adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_retrieving_support(distfn, args):$/;" f +check_right_eigenvectors adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def check_right_eigenvectors(a, w, vr):$/;" f function:Jax2TfLimitation.eig.custom_assert file: +check_right_eigenvectors adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def check_right_eigenvectors(a, w, vr):$/;" f function:Jax2TfLimitation.eigh.custom_assert file: +check_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def check_round(arr, expected, *round_args):$/;" f member:TestMethods.test_round file: +check_roundtrips adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def check_roundtrips(self, a):$/;" m class:RoundtripTest +check_rref_confirmed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def check_rref_confirmed(rref):$/;" f +check_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^check_rules = [$/;" v +check_rvs_broadcast adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_rvs_broadcast(distfunc, distname, allargs, shape, shape_only, otype):$/;" f +check_sample_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_sample_mean(sm, v, n, popmean):$/;" f +check_sample_meanvar_ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_sample_meanvar_(distfn, arg, m, v, sm, sv, sn, msg):$/;" f +check_sample_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_sample_var(sv, n, popvar):$/;" f +check_save_load adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^ def check_save_load(self, value):$/;" m class:TestHBReadWrite +check_scale_docstring adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def check_scale_docstring(distfn):$/;" f +check_segments adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def check_segments(coll, positions, linelength, lineoffset, orientation):$/;" f +check_serializing_named_tensor adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def check_serializing_named_tensor(tensor):$/;" f +check_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_setdiag(a, b, k):$/;" f member:_TestCommon.test_setdiag_comprehensive file: +check_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_sf_isf(distfn, arg, msg):$/;" f +check_sf_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_sf_logsf(distfn, args, msg):$/;" f +check_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def check_shape(interpolator_cls, x_shape, y_shape, deriv_shape=None, axis=0,$/;" f +check_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def check_shape(args, current_shape=None):$/;" f +check_shapes adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def check_shapes(specs, spec_tree, shapes, tree, message_prefix="Output"):$/;" f +check_shared adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def check_shared(axs, x_shared, y_shared):$/;" f +check_simple adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def check_simple(ncfileobj):$/;" f +check_simple_key adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def check_simple_key(self):$/;" m class:Emitter +check_site_dir adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def check_site_dir(self):$/;" m class:easy_install +check_skew_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_skew_expect(distfn, arg, m, v, s, msg):$/;" f +check_skip adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^def check_skip():$/;" f +check_slice_includes_step adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_slice_includes_step(self, node):$/;" m class:AstAnnotator +check_slice_includes_step adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def check_slice_includes_step(self, node):$/;" m class:BaseVisitor +check_solvability adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ def check_solvability(A, b, line_search='cruz'):$/;" f function:test_linear_definite file: +check_span adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def check_span(*args, **kwargs):$/;" f +check_special adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def check_special(prim, bufs):$/;" f +check_specifier adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_specifier(dist, attr, value):$/;" f +check_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def check_splev(b, j, der=0, atol=1e-14, rtol=1e-14):$/;" f function:test_knots_multiplicity file: +check_squareform_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def check_squareform_matrix(self, dtype):$/;" m class:TestSquareForm +check_squareform_multi_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def check_squareform_multi_matrix(self, n):$/;" m class:TestSquareForm +check_squareform_vector adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def check_squareform_vector(self, dtype):$/;" m class:TestSquareForm +check_start_response adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def check_start_response(self, status, headers, exc_info):$/;" m class:LintMiddleware +check_state_key adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def check_state_key(self, key):$/;" m class:BaseConstructor +check_steps_argument adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def check_steps_argument(input_data, steps, steps_name):$/;" f +check_string adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^def check_string(context, obj, stacklevel=3):$/;" f +check_symbols adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def check_symbols(self, info):$/;" m class:openblas_info +check_tape_safe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def check_tape_safe(self, operator, skip_options=None):$/;" m class:LinearOperatorDerivedClassTest +check_termination adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def check_termination(dF, F, dx_norm, x_norm, ratio, ftol, xtol):$/;" f +check_termination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def check_termination(self):$/;" m class:TensorFlowTestCase._CheckedThread +check_test_defined_in_running_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def check_test_defined_in_running_script(test_case):$/;" f +check_test_suite adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def check_test_suite(dist, attr, value):$/;" f +check_text_memory adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def check_text_memory(self, chunklen):$/;" m class:PngStream +check_token adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_token(self, *choices):$/;" m class:Scanner +check_tolerance adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def check_tolerance(ftol, xtol, gtol, method):$/;" f +check_toposort adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def check_toposort(nodes):$/;" f +check_trace_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def check_trace_mode(device_type, trace_mode):$/;" m class:TensorTracer +check_trapped adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def check_trapped(x):$/;" f function:_create_pdf_info_dict file: +check_tty adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ check_tty = True$/;" v class:Infinite +check_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_type(self, type_name, headers=None, include_dirs=None,$/;" m class:config +check_types adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def check_types(self, *a, **kw):$/;" m class:CallOnceOnly +check_types adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def check_types(config_cmd, ext, build_dir):$/;" f +check_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def check_types(component_spec):$/;" f member:PaddedBatchDataset.__init__ file: +check_type_return_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def check_type_return_shape(s):$/;" f member:Layer.compute_output_signature file: +check_type_return_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def check_type_return_shape(s):$/;" f member:Layer.compute_output_signature file: +check_type_size adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def check_type_size(self, type_name, headers=None, include_dirs=None, library_dirs=None, exp/;" m class:config +check_unary_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def check_unary_fuzz(self, operation, get_out_axis_size, dtype=np.int16,$/;" m class:TestUFunc +check_undefined_grad_support adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def check_undefined_grad_support(output_to_check):$/;" f function:gradcheck file: +check_unique adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def check_unique(param):$/;" f member:TracedModule.__init__ file: +check_unlimited adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def check_unlimited():$/;" f member:Test64Bit.test_downcast_intp file: +check_update adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def check_update(self, checker):$/;" m class:ScalarMappable +check_valid adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^def check_valid(spec):$/;" f +check_validation_split_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def check_validation_split_arg(validation_split, subset, shuffle, seed):$/;" f +check_valid_jaxtype adpepsenv/lib/python3.8/site-packages/jax/core.py /^def check_valid_jaxtype(x):$/;" f +check_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def check_value(tensor, dtype, layout, device, value, requires_grad):$/;" f function:do_test_empty_full file: +check_value adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def check_value(self):$/;" m class:Scanner +check_var_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^def check_var_expect(distfn, arg, m, v, msg):$/;" f +check_vecentropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def check_vecentropy(distfn, args):$/;" f +check_verifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def check_verifier(self, verifier):$/;" m class:RequestValidator +check_version adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^def check_version(module, min_ver):$/;" f +check_version_conflict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def check_version_conflict(self):$/;" m class:Distribution +check_version_conflict adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def check_version_conflict(self):$/;" m class:Distribution +check_visible adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def check_visible(axs, x_visible, y_visible):$/;" f +check_vjp adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def check_vjp(f, f_vjp, args, atol=None, rtol=None, eps=EPS):$/;" f +check_vonmises_cdf_periodic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def check_vonmises_cdf_periodic(k, L, s, x):$/;" f +check_vonmises_pdf_periodic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def check_vonmises_pdf_periodic(k, L, s, x):$/;" f +check_weight_bias_api adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def check_weight_bias_api(self, ref_model, weight_keys, bias_keys):$/;" m class:QuantizationTestCase +check_whitespace_enabled adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_doctesting.py /^def check_whitespace_enabled():$/;" f +check_window_apply_repeat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def check_window_apply_repeat(self, x, window, NFFT, noverlap):$/;" m class:TestWindow +check_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def check_write(self, fileobj):$/;" m class:TestFileObj +check_xy adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def check_xy(self, xy):$/;" m class:PyAccess +check_x_scale adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def check_x_scale(x_scale, x0):$/;" f +check_y adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def check_y(self, n):$/;" m class:TestNumObsY +checon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void checon(char *uplo, int *n, c *a, int *lda, int *ipiv, s *anorm, s *rcond, c *work, int/;" f +cheequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheequb(char *uplo, int *n, c *a, int *lda, s *s, s *scond, s *amax, c *work, int *inf/;" f +cheev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheev(char *jobz, char *uplo, int *n, c *a, int *lda, s *w, c *work, int *lwork, s *rw/;" f +cheevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheevd(char *jobz, char *uplo, int *n, c *a, int *lda, s *w, c *work, int *lwork, s *r/;" f +cheevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheevr(char *jobz, char *range, char *uplo, int *n, c *a, int *lda, s *vl, s *vu, int /;" f +cheevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheevx(char *jobz, char *range, char *uplo, int *n, c *a, int *lda, s *vl, s *vu, int /;" f +chegs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chegs2(int *itype, char *uplo, int *n, c *a, int *lda, c *b, int *ldb, int *info) nogi/;" f +chegst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chegst(int *itype, char *uplo, int *n, c *a, int *lda, c *b, int *ldb, int *info) nogi/;" f +chegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chegv(int *itype, char *jobz, char *uplo, int *n, c *a, int *lda, c *b, int *ldb, s *w/;" f +chegvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chegvd(int *itype, char *jobz, char *uplo, int *n, c *a, int *lda, c *b, int *ldb, s */;" f +chegvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chegvx(int *itype, char *jobz, char *range, char *uplo, int *n, c *a, int *lda, c *b, /;" f +chemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chemm(char *side, char *uplo, int *m, int *n, c *alpha, c *a, int *lda, c *b, int *ldb/;" f +chemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chemv(char *uplo, int *n, c *alpha, c *a, int *lda, c *x, int *incx, c *beta, c *y, in/;" f +cher adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cher(char *uplo, int *n, s *alpha, c *x, int *incx, c *a, int *lda) nogil$/;" f +cher2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cher2(char *uplo, int *n, c *alpha, c *x, int *incx, c *y, int *incy, c *a, int *lda) /;" f +cher2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cher2k(char *uplo, char *trans, int *n, int *k, c *alpha, c *a, int *lda, c *b, int *l/;" f +cherfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cherfs(char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, int *ipiv, c */;" f +cherk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cherk(char *uplo, char *trans, int *n, int *k, s *alpha, c *a, int *lda, s *beta, c *c/;" f +chesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chesv(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, c *wor/;" f +chesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chesvx(char *fact, char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, in/;" f +cheswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cheswapr(char *uplo, int *n, c *a, int *lda, int *i1, int *i2) nogil$/;" f +chetd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetd2(char *uplo, int *n, c *a, int *lda, s *d, s *e, c *tau, int *info) nogil$/;" f +chetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetf2(char *uplo, int *n, c *a, int *lda, int *ipiv, int *info) nogil$/;" f +chetrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetrd(char *uplo, int *n, c *a, int *lda, s *d, s *e, c *tau, c *work, int *lwork, in/;" f +chetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetrf(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *lwork, int *info) /;" f +chetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetri(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *info) nogil$/;" f +chetri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetri2(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *lwork, int *info)/;" f +chetri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetri2x(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *nb, int *info) n/;" f +chetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetrs(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, int */;" f +chetrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chetrs2(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, c *w/;" f +chfrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chfrk(char *transr, char *uplo, char *trans, int *n, int *k, s *alpha, c *a, int *lda,/;" f +chgeqz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chgeqz(char *job, char *compq, char *compz, int *n, int *ilo, int *ihi, c *h, int *ldh/;" f +chi adpeps/ipeps/config.py /^chi: int = None$/;" v +chi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def chi(x):$/;" f member:TestSystematic.test_chi file: +chi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def chi(z):$/;" f member:TestSystematic.test_chi_complex file: +chi adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^chi = chi_gen(a=0.0, name='chi')$/;" v +chi2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^chi2 = chi2_gen(a=0.0, name='chi2')$/;" v +Chi2 adpepsenv/lib/python3.8/site-packages/torch/distributions/chi2.py /^class Chi2(Gamma):$/;" c +chi2_contingency adpepsenv/lib/python3.8/site-packages/scipy/stats/contingency.py /^def chi2_contingency(observed, correction=True, lambda_=None):$/;" f +chi2_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class chi2_gen(rv_continuous):$/;" c +CHIEF adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ CHIEF = "chief"$/;" v class:_TaskType +CHIEF adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^CHIEF = dc._TaskType.CHIEF$/;" v +CHIEF adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ CHIEF = 'chief'$/;" v class:TaskType +ChiefSessionCreator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class ChiefSessionCreator(SessionCreator):$/;" c +chief_init_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def chief_init_op(self):$/;" m class:_AccumulatorEnsembleGrower +chief_init_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def chief_init_op(self):$/;" m class:_EnsembleGrower +childNodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ childNodes = property(_getChildNodes, _setChildNodes)$/;" v class:getETreeBuilder.Element +childNodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ childNodes = property(_getChildNodes)$/;" v class:Document +childNodes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ childNodes = property(_getChildNodes, _setChildNodes)$/;" v class:getETreeBuilder.Element +childNodes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ childNodes = property(_getChildNodes)$/;" v class:Document +children adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def children(self):$/;" m class:KDTree.innernode +children adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def children(self):$/;" m class:KDTree.leafnode +children adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def children(self) -> Iterator["Module"]:$/;" m class:_RemoteModule +children adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def children(self) -> Iterator['Module']:$/;" m class:Module +CHILDREN_INPUTS_MAPPINGS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ CHILDREN_INPUTS_MAPPINGS = "_tflite_children_ophint_inputs_mapping"$/;" v class:OpHint +child_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ child_src = csp_property("child-src")$/;" v class:ContentSecurityPolicy +CHINESE adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ CHINESE = CHINESE_SIMPLIFIED | CHINESE_TRADITIONAL$/;" v class:LanguageFilter +CHINESE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ CHINESE = CHINESE_SIMPLIFIED | CHINESE_TRADITIONAL$/;" v class:LanguageFilter +Chinese adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Chinese(unicode_set):$/;" c class:pyparsing_unicode +Chinese adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Chinese(unicode_set):$/;" c class:pyparsing_unicode +CHINESE_SIMPLIFIED adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ CHINESE_SIMPLIFIED = 0x01$/;" v class:LanguageFilter +CHINESE_SIMPLIFIED adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ CHINESE_SIMPLIFIED = 0x01$/;" v class:LanguageFilter +CHINESE_TRADITIONAL adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ CHINESE_TRADITIONAL = 0x02$/;" v class:LanguageFilter +CHINESE_TRADITIONAL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ CHINESE_TRADITIONAL = 0x02$/;" v class:LanguageFilter +chio adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ chio = X[8]$/;" v +chirp adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def chirp(t, f0, t1, f1, method='linear', phi=0, vertex_zero=True):$/;" f +chirp_geometric adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^def chirp_geometric(t, f0, f1, t1):$/;" f +chirp_hyperbolic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^def chirp_hyperbolic(t, f0, f1, t1):$/;" f +chirp_linear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^def chirp_linear(t, f0, f1, t1):$/;" f +chirp_quadratic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^def chirp_quadratic(t, f0, f1, t1, vertex_zero=True):$/;" f +chisquare adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def chisquare(f_obs, f_exp=None, ddof=0, axis=0):$/;" f +chi_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class chi_gen(rv_continuous):$/;" c +chi_squared adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^def chi_squared(x, bins):$/;" f +chk_command_line adpepsenv/lib/python3.8/site-packages/mpi4py/futures/__main__.py /^ def chk_command_line():$/;" f function:main file: +chla_transtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef char chla_transtype(int *trans) nogil$/;" f +chmod adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def chmod(self, tarinfo, targetpath):$/;" m class:TarFile +chmod adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def chmod(path, mode):$/;" f +chndtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chndtr(double x0, double x1, double x2) nogil$/;" f +chndtridf adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chndtridf(double x0, double x1, double x2) nogil$/;" f +chndtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chndtrinc(double x0, double x1, double x2) nogil$/;" f +chndtrix adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double chndtrix(double x0, double x1, double x2) nogil$/;" f +choice adpepsenv/lib/python3.8/site-packages/cachetools/rr.py /^ def choice(self):$/;" m class:RRCache +choice adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def choice(key, a, shape=(), replace=True, p=None):$/;" f +Choice adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Choice(Set):$/;" c +ChoiceDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class ChoiceDecoder(AbstractConstructedDecoder):$/;" c +ChoiceDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^class ChoiceDecoder(object):$/;" c +ChoiceEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class ChoiceEncoder(AbstractItemEncoder):$/;" c +ChoiceEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class ChoiceEncoder(SequenceEncoder):$/;" c +choices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ choices = [np.array([1, 2, 3]),$/;" v class:TestSelect +cholesky adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cholesky(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +cholesky adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def cholesky(x, symmetrize_input: bool = True):$/;" f +cholesky adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def cholesky(a):$/;" f +cholesky adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def cholesky(a, lower=False, overwrite_a=False, check_finite=True):$/;" f +cholesky adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^cholesky = linpkg.cholesky$/;" v +cholesky adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def cholesky(a):$/;" f +cholesky adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def cholesky(a, lower=False, overwrite_a=False, check_finite=True):$/;" f +Cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Cholesky = tf_export("raw_ops.Cholesky")(_ops.to_raw_op(cholesky))$/;" v +cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def cholesky(input, name=None):$/;" f +cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^cholesky = linalg_ops.cholesky$/;" v +cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def cholesky(self, name="cholesky"):$/;" m class:LinearOperator +cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def cholesky(lin_op_a, name=None):$/;" f +CholeskyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^CholeskyGrad = tf_export("raw_ops.CholeskyGrad")(_ops.to_raw_op(cholesky_grad))$/;" v +CholeskyOptions adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^CholeskyOptions = _reflection.GeneratedProtocolMessageType('CholeskyOptions', (_message.Message,/;" v +cholesky_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def cholesky_banded(ab, overwrite_ab=False, lower=False, check_finite=True):$/;" f +cholesky_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def cholesky_batching_rule(batched_args, batch_dims):$/;" f +cholesky_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def cholesky_eager_fallback(input, name, ctx):$/;" f +cholesky_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def cholesky_grad(l, grad, name=None):$/;" f +cholesky_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def cholesky_grad_eager_fallback(l, grad, name, ctx):$/;" f +cholesky_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def cholesky_jvp_rule(primals, tangents):$/;" f +cholesky_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^cholesky_p = standard_unop(_float | _complex, 'cholesky')$/;" v +cholesky_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^cholesky_solve = linalg_ops.cholesky_solve$/;" v +cholesky_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def cholesky_solve(chol, rhs, name=None):$/;" f +cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ from sksparse.cholmod import cholesky as cholmod$/;" x +cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ from sksparse.cholmod import cholesky as cholmod$/;" x +cholmod_analyze adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ from sksparse.cholmod import analyze as cholmod_analyze$/;" x +choose adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def choose(a, choices, out=None, mode='raise'):$/;" f +choose adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def choose(a, choices, out=None, mode='raise'):$/;" f +choose adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def choose(indices, choices, out=None, mode='raise'):$/;" f +ChooseFastestBranchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ChooseFastestBranchDataset = tf_export("raw_ops.ChooseFastestBranchDataset")(_ops.to_raw_op(choo/;" v +ChooseFastestDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ChooseFastestDataset = tf_export("raw_ops.ChooseFastestDataset")(_ops.to_raw_op(choose_fastest_d/;" v +chooser_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def chooser_taylor_rule(primals_in, series_in, **params):$/;" f function:_gen_reduce_choose_taylor_rule file: +choose_boundary adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/filepost.py /^def choose_boundary():$/;" f +choose_boundary adpepsenv/lib/python3.8/site-packages/urllib3/filepost.py /^def choose_boundary():$/;" f +choose_color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def choose_color(self):$/;" m class:ColorButton +choose_conv_method adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def choose_conv_method(in1, in2, mode='full', measure=False):$/;" f +choose_fastest_branch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def choose_fastest_branch_dataset(input_dataset, ratio_numerator, ratio_denominator, other_argum/;" f +choose_fastest_branch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def choose_fastest_branch_dataset_eager_fallback(input_dataset, ratio_numerator, ratio_denominat/;" f +choose_fastest_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def choose_fastest_dataset(input_datasets, num_experiments, output_types, output_shapes, name=No/;" f +choose_fastest_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def choose_fastest_dataset_eager_fallback(input_datasets, num_experiments, output_types, output_/;" f +choose_fn adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def choose_fn(self):$/;" m class:RandomGreedy +choose_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ choose_from_datasets = choose_from_datasets_v2$/;" v +choose_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import choose_from_datasets_v1 as ch/;" x +choose_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import choose_from_datasets_v2 as ch/;" x +choose_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import choose_from_datasets_v2 as ch/;" x +choose_from_datasets_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^def choose_from_datasets_v1(datasets, choice_dataset):$/;" f +choose_from_datasets_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^def choose_from_datasets_v2(datasets, choice_dataset):$/;" f +choose_ncv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def choose_ncv(k):$/;" f +choose_quantization_params adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def choose_quantization_params(tensor_min, tensor_max, preserve_sparsity=False):$/;" f +choose_scalar_style adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def choose_scalar_style(self):$/;" m class:Emitter +choose_state_per_hypo adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def choose_state_per_hypo(state_config):$/;" f member:BeamSearchForwardOnly.apply file: +chord adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def chord(self, xy, start, end, fill=None, outline=None, width=1):$/;" m class:ImageDraw +chord adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def chord(self, xy, start, end, *options):$/;" m class:Draw +chown adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def chown(self, tarinfo, targetpath):$/;" m class:TarFile +cho_factor adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def cho_factor(a, lower=False, overwrite_a=False, check_finite=True):$/;" f +cho_factor adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def cho_factor(a, lower=False, overwrite_a=False, check_finite=True):$/;" f +cho_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def cho_solve(c_and_lower, b, overwrite_b=False, check_finite=True):$/;" f +cho_solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def cho_solve(c_and_lower, b, overwrite_b=False, check_finite=True):$/;" f +cho_solve_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def cho_solve_banded(cb_and_lower, b, overwrite_b=False, check_finite=True):$/;" f +chpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpcon(char *uplo, int *n, c *ap, int *ipiv, s *anorm, s *rcond, c *work, int *info) n/;" f +chpev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpev(char *jobz, char *uplo, int *n, c *ap, s *w, c *z, int *ldz, c *work, s *rwork, /;" f +chpevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpevd(char *jobz, char *uplo, int *n, c *ap, s *w, c *z, int *ldz, c *work, int *lwor/;" f +chpevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpevx(char *jobz, char *range, char *uplo, int *n, c *ap, s *vl, s *vu, int *il, int /;" f +chpgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpgst(int *itype, char *uplo, int *n, c *ap, c *bp, int *info) nogil$/;" f +chpgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpgv(int *itype, char *jobz, char *uplo, int *n, c *ap, c *bp, s *w, c *z, int *ldz, /;" f +chpgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpgvd(int *itype, char *jobz, char *uplo, int *n, c *ap, c *bp, s *w, c *z, int *ldz,/;" f +chpgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpgvx(int *itype, char *jobz, char *range, char *uplo, int *n, c *ap, c *bp, s *vl, s/;" f +chpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chpmv(char *uplo, int *n, c *alpha, c *ap, c *x, int *incx, c *beta, c *y, int *incy) /;" f +chpr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chpr(char *uplo, int *n, s *alpha, c *x, int *incx, c *ap) nogil$/;" f +chpr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void chpr2(char *uplo, int *n, c *alpha, c *x, int *incx, c *y, int *incy, c *ap) nogil$/;" f +chprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chprfs(char *uplo, int *n, int *nrhs, c *ap, c *afp, int *ipiv, c *b, int *ldb, c *x, /;" f +chpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpsv(char *uplo, int *n, int *nrhs, c *ap, int *ipiv, c *b, int *ldb, int *info) nogi/;" f +chpsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chpsvx(char *fact, char *uplo, int *n, int *nrhs, c *ap, c *afp, int *ipiv, c *b, int /;" f +chptrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chptrd(char *uplo, int *n, c *ap, s *d, s *e, c *tau, int *info) nogil$/;" f +chptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chptrf(char *uplo, int *n, c *ap, int *ipiv, int *info) nogil$/;" f +chptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chptri(char *uplo, int *n, c *ap, int *ipiv, c *work, int *info) nogil$/;" f +chptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chptrs(char *uplo, int *n, int *nrhs, c *ap, int *ipiv, c *b, int *ldb, int *info) nog/;" f +chr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^from pip._vendor.six import unichr as chr$/;" x +chr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^from six import unichr as chr$/;" x +chr12c adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^def chr12c():$/;" f +CHRTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^CHRTYPE = b"3" # character special device$/;" v +chsein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chsein(char *side, char *eigsrc, char *initv, bint *select, int *n, c *h, int *ldh, c /;" f +chseqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void chseqr(char *job, char *compz, int *n, int *ilo, int *ihi, c *h, int *ldh, c *w, c *z,/;" f +Chunked adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class Chunked:$/;" c +ChunkedEncodingError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ChunkedEncodingError(RequestException):$/;" c +ChunkedEncodingError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ChunkedEncodingError(RequestException):$/;" c +chunks adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def chunks(self):$/;" m class:Dataset +ChunkStream adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class ChunkStream:$/;" c +chunk_acTL adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_acTL(self, pos, length):$/;" m class:PngStream +CHUNK_BASE adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^CHUNK_BASE = 16*1024 # Multiplier by which chunks are adjusted$/;" v +chunk_cHRM adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_cHRM(self, pos, length):$/;" m class:PngStream +chunk_eXIf adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_eXIf(self, pos, length):$/;" m class:PngStream +chunk_fcTL adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_fcTL(self, pos, length):$/;" m class:PngStream +chunk_fdAT adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_fdAT(self, pos, length):$/;" m class:PngStream +chunk_gAMA adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_gAMA(self, pos, length):$/;" m class:PngStream +chunk_iCCP adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_iCCP(self, pos, length):$/;" m class:PngStream +chunk_IDAT adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_IDAT(self, pos, length):$/;" m class:PngStream +chunk_IEND adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_IEND(self, pos, length):$/;" m class:PngStream +chunk_IHDR adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_IHDR(self, pos, length):$/;" m class:PngStream +chunk_iTXt adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_iTXt(self, pos, length):$/;" m class:PngStream +chunk_list adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def chunk_list(lst, nchunks):$/;" f +CHUNK_MAX adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^CHUNK_MAX = 1024*1024 # Hard upper limit (1M)$/;" v +CHUNK_MIN adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^CHUNK_MIN = 8*1024 # Soft lower limit (8k)$/;" v +chunk_pHYs adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_pHYs(self, pos, length):$/;" m class:PngStream +chunk_PLTE adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_PLTE(self, pos, length):$/;" m class:PngStream +chunk_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def chunk_read(response, chunk_size=8192, reporthook=None):$/;" f function:urlretrieve file: +chunk_sRGB adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_sRGB(self, pos, length):$/;" m class:PngStream +chunk_tEXt adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_tEXt(self, pos, length):$/;" m class:PngStream +chunk_tRNS adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_tRNS(self, pos, length):$/;" m class:PngStream +chunk_zTXt adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def chunk_zTXt(self, pos, length):$/;" m class:PngStream +ci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def ci(x):$/;" f member:TestSystematic.test_ci file: +ci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def ci(z):$/;" f member:TestSystematic.test_ci_complex file: +cipher adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def cipher(self):$/;" m class:SSLTransport +CIPHER_SUITES adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^CIPHER_SUITES = [$/;" v +CIPHER_SUITES adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^CIPHER_SUITES = [$/;" v +Circle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Circle(_Base):$/;" c class:BoxStyle +Circle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Circle(Ellipse):$/;" c +circle adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def circle(cls, center=(0., 0.), radius=1., readonly=False):$/;" m class:Path +CircleCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class CircleCollection(_CollectionWithSizes):$/;" c +CirclePolygon adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class CirclePolygon(RegularPolygon):$/;" c +Circles adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class Circles(Shapes):$/;" c +circle_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def circle_ratios(self, rescale=True):$/;" m class:TriAnalyzer +circmean adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def circmean(samples, high=2*pi, low=0, axis=None, nan_policy='propagate'):$/;" f +circstd adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def circstd(samples, high=2*pi, low=0, axis=None, nan_policy='propagate'):$/;" f +circulant adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def circulant(c):$/;" f +circular_buffer_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def circular_buffer_size(self):$/;" m class:_DumpingCallback +circular_spine adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def circular_spine(cls, axes, center, radius, **kwargs):$/;" m class:Spine +circvar adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def circvar(samples, high=2*pi, low=0, axis=None, nan_policy='propagate'):$/;" f +CIRRUS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CIRRUS = 0x0060$/;" v class:WAVE_FORMAT +cityblock adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def cityblock(u, v, w=None):$/;" f +CITY_EMBEDDING_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^CITY_EMBEDDING_NAME = ('dnn\/input_from_feature_columns\/input_layer\/'$/;" v +CITY_EMBEDDING_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^CITY_EMBEDDING_NAME = ('dnn\/input_from_feature_columns\/input_layer\/'$/;" v +CI_ENVIRONMENT_VARIABLES adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^CI_ENVIRONMENT_VARIABLES = ($/;" v +CI_MAX_EXAMPLES adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^CI_MAX_EXAMPLES = 2$/;" v +CI_TIMEOUT adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^CI_TIMEOUT = 600$/;" v +cjac adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def cjac(x, *args):$/;" f function:_minimize_slsqp.cjac_factory file: +cjac_factory adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def cjac_factory(fun):$/;" f function:_minimize_slsqp file: +CJK adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ CJK = CHINESE | JAPANESE | KOREAN$/;" v class:LanguageFilter +CJK adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ CJK = CHINESE | JAPANESE | KOREAN$/;" v class:LanguageFilter +CJK adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class CJK(Chinese, Japanese, Korean):$/;" c class:pyparsing_unicode +CJK adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class CJK(Chinese, Japanese, Korean):$/;" c class:pyparsing_unicode +CKPT_SAVED_EPOCH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^CKPT_SAVED_EPOCH = '_ckpt_saved_epoch'$/;" v +CKPT_SAVED_EPOCH_UNUSED_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^CKPT_SAVED_EPOCH_UNUSED_VALUE = -1$/;" v +cla adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def cla(self):$/;" m class:_AxesBase +cla adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def cla(self):$/;" m class:Axis +cla adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def cla(self):$/;" m class:GeoAxes +cla adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def cla(self):$/;" m class:LambertAxes +cla adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def cla(self):$/;" m class:PolarAxes +cla adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def cla(self):$/;" m class:RadialAxis +cla adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def cla(self):$/;" m class:ThetaAxis +cla adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def cla():$/;" f +cla adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def cla(self):$/;" m class:Spine +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def cla(self):$/;" m class:CbarAxesBase +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def cla(self):$/;" m class:Axes +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def cla(self):$/;" m class:HostAxesBase +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def cla(self):$/;" m class:ParasiteAxesBase +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def cla(self):$/;" m class:Axes +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def cla(self):$/;" m class:FloatingAxesBase +cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def cla(self):$/;" m class:Axes3D +clabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def clabel(self, CS, levels=None, **kwargs):$/;" m class:Axes +clabel adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def clabel(self, levels=None, *,$/;" m class:ContourLabeler +clabel adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def clabel(CS, levels=None, **kwargs):$/;" f +clabel adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def clabel(self, *args, **kwargs):$/;" m class:Axes3D +ClabelText adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^class ClabelText(text.Text):$/;" c +clabrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clabrd(int *m, int *n, int *nb, c *a, int *lda, s *d, s *e, c *tauq, c *taup, c *x, in/;" f +clacgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacgv(int *n, c *x, int *incx) nogil$/;" f +clacn2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacn2(int *n, c *v, c *x, s *est, int *kase, int *isave) nogil$/;" f +clacon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacon(int *n, c *v, c *x, s *est, int *kase) nogil$/;" f +clacp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacp2(char *uplo, int *m, int *n, s *a, int *lda, c *b, int *ldb) nogil$/;" f +clacpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacpy(char *uplo, int *m, int *n, c *a, int *lda, c *b, int *ldb) nogil$/;" f +clacrm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacrm(int *m, int *n, c *a, int *lda, s *b, int *ldb, c *c, int *ldc, s *rwork) nogil$/;" f +clacrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clacrt(int *n, c *cx, int *incx, c *cy, int *incy, c *c, c *s) nogil$/;" f +cladiv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef c cladiv(c *x, c *y) nogil$/;" f +claed0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claed0(int *qsiz, int *n, s *d, s *e, c *q, int *ldq, c *qstore, int *ldqs, s *rwork, /;" f +claed7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claed7(int *n, int *cutpnt, int *qsiz, int *tlvls, int *curlvl, int *curpbm, s *d, c */;" f +claed8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claed8(int *k, int *n, int *qsiz, c *q, int *ldq, s *d, s *rho, int *cutpnt, s *z, s */;" f +claein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claein(bint *rightv, bint *noinit, int *n, c *h, int *ldh, c *w, c *v, c *b, int *ldb,/;" f +claesy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claesy(c *a, c *b, c *c, c *rt1, c *rt2, c *evscal, c *cs1, c *sn1) nogil$/;" f +claev2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claev2(c *a, c *b, c *c, s *rt1, s *rt2, s *cs1, c *sn1) nogil$/;" f +clag2z adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clag2z(int *m, int *n, c *sa, int *ldsa, z *a, int *lda, int *info) nogil$/;" f +clags2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clags2(bint *upper, s *a1, c *a2, s *a3, s *b1, c *b2, s *b3, s *csu, c *snu, s *csv, /;" f +clagtm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clagtm(char *trans, int *n, int *nrhs, s *alpha, c *dl, c *d, c *du, c *x, int *ldx, s/;" f +clahef adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clahef(char *uplo, int *n, int *nb, int *kb, c *a, int *lda, int *ipiv, c *w, int *ldw/;" f +clahqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clahqr(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, c *h, int *ldh, c *w, int/;" f +clahr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clahr2(int *n, int *k, int *nb, c *a, int *lda, c *tau, c *t, int *ldt, c *y, int *ldy/;" f +claic1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claic1(int *job, int *j, c *x, s *sest, c *w, c *gamma, s *sestpr, c *s, c *c) nogil$/;" f +clals0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clals0(int *icompq, int *nl, int *nr, int *sqre, int *nrhs, c *b, int *ldb, c *bx, int/;" f +clalsa adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clalsa(int *icompq, int *smlsiz, int *n, int *nrhs, c *b, int *ldb, c *bx, int *ldbx, /;" f +clalsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clalsd(char *uplo, int *smlsiz, int *n, int *nrhs, s *d, s *e, c *b, int *ldb, s *rcon/;" f +clamp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def clamp(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +clamp adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def clamp(min, operand, max):$/;" f +clamp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def clamp(min: Array, x: Array, max: Array) -> Array:$/;" f +clamp adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def clamp(value):$/;" m class:Ship +clamp adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def clamp(a, x, b, name=None):$/;" f +clamp adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def clamp(input: Tensor, min_: float, max_: float) -> Tensor:$/;" f +clamp adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def clamp(g, self, min, max):$/;" f +clamp adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def clamp(g, self, min, max):$/;" f +clamp_max adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def clamp_max(g, self, max):$/;" f +clamp_max adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def clamp_max(g, self, max):$/;" f +clamp_min adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def clamp_min(g, self, min):$/;" f +clamp_min adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def clamp_min(g, self, min):$/;" f +clamp_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^clamp_p = standard_primitive(_clamp_shape_rule, _clamp_dtype_rule, 'clamp')$/;" v +clamp_probs adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def clamp_probs(probs):$/;" f +clangb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clangb(char *norm, int *n, int *kl, int *ku, c *ab, int *ldab, s *work) nogil$/;" f +clange adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clange(char *norm, int *m, int *n, c *a, int *lda, s *work) nogil$/;" f +clangt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clangt(char *norm, int *n, c *dl, c *d, c *du) nogil$/;" f +clanhb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanhb(char *norm, char *uplo, int *n, int *k, c *ab, int *ldab, s *work) nogil$/;" f +clanhe adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanhe(char *norm, char *uplo, int *n, c *a, int *lda, s *work) nogil$/;" f +clanhf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanhf(char *norm, char *transr, char *uplo, int *n, c *a, s *work) nogil$/;" f +clanhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanhp(char *norm, char *uplo, int *n, c *ap, s *work) nogil$/;" f +clanhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanhs(char *norm, int *n, c *a, int *lda, s *work) nogil$/;" f +clanht adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clanht(char *norm, int *n, s *d, c *e) nogil$/;" f +clansb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clansb(char *norm, char *uplo, int *n, int *k, c *ab, int *ldab, s *work) nogil$/;" f +clansp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clansp(char *norm, char *uplo, int *n, c *ap, s *work) nogil$/;" f +clansy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clansy(char *norm, char *uplo, int *n, c *a, int *lda, s *work) nogil$/;" f +clantb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clantb(char *norm, char *uplo, char *diag, int *n, int *k, c *ab, int *ldab, s *work) nog/;" f +clantp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clantp(char *norm, char *uplo, char *diag, int *n, c *ap, s *work) nogil$/;" f +clantr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s clantr(char *norm, char *uplo, char *diag, int *m, int *n, c *a, int *lda, s *work) nogil$/;" f +clapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^clapack = _DeprecatedImport("scipy.linalg.blas.clapack", "scipy.linalg.lapack")$/;" v +clapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ clapack = None$/;" v +clapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ from scipy.linalg import _clapack as clapack$/;" x +clapll adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clapll(int *n, c *x, int *incx, c *y, int *incy, s *ssmin) nogil$/;" f +clapmr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clapmr(bint *forwrd, int *m, int *n, c *x, int *ldx, int *k) nogil$/;" f +clapmt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clapmt(bint *forwrd, int *m, int *n, c *x, int *ldx, int *k) nogil$/;" f +claqgb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqgb(int *m, int *n, int *kl, int *ku, c *ab, int *ldab, s *r, s *c, s *rowcnd, s *c/;" f +claqge adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqge(int *m, int *n, c *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, char/;" f +claqhb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqhb(char *uplo, int *n, int *kd, c *ab, int *ldab, s *s, s *scond, s *amax, char *e/;" f +claqhe adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqhe(char *uplo, int *n, c *a, int *lda, s *s, s *scond, s *amax, char *equed) nogil$/;" f +claqhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqhp(char *uplo, int *n, c *ap, s *s, s *scond, s *amax, char *equed) nogil$/;" f +claqp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqp2(int *m, int *n, int *offset, c *a, int *lda, int *jpvt, c *tau, s *vn1, s *vn2,/;" f +claqps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqps(int *m, int *n, int *offset, int *nb, int *kb, c *a, int *lda, int *jpvt, c *ta/;" f +claqr0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr0(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, c *h, int *ldh, c *w, int/;" f +claqr1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr1(int *n, c *h, int *ldh, c *s1, c *s2, c *v) nogil$/;" f +claqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr2(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, c *h, int *ldh/;" f +claqr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr3(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, c *h, int *ldh/;" f +claqr4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr4(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, c *h, int *ldh, c *w, int/;" f +claqr5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqr5(bint *wantt, bint *wantz, int *kacc22, int *n, int *ktop, int *kbot, int *nshft/;" f +claqsb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqsb(char *uplo, int *n, int *kd, c *ab, int *ldab, s *s, s *scond, s *amax, char *e/;" f +claqsp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqsp(char *uplo, int *n, c *ap, s *s, s *scond, s *amax, char *equed) nogil$/;" f +claqsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claqsy(char *uplo, int *n, c *a, int *lda, s *s, s *scond, s *amax, char *equed) nogil$/;" f +clar1v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clar1v(int *n, int *b1, int *bn, s *lambda_, s *d, s *l, s *ld, s *lld, s *pivmin, s */;" f +clar2v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clar2v(int *n, c *x, c *y, c *z, int *incx, s *c, c *s, int *incc) nogil$/;" f +clarcm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarcm(int *m, int *n, s *a, int *lda, c *b, int *ldb, c *c, int *ldc, s *rwork) nogil$/;" f +clarf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarf(char *side, int *m, int *n, c *v, int *incv, c *tau, c *c, int *ldc, c *work) no/;" f +clarfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, c /;" f +clarfg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarfg(int *n, c *alpha, c *x, int *incx, c *tau) nogil$/;" f +clarfgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarfgp(int *n, c *alpha, c *x, int *incx, c *tau) nogil$/;" f +clarft adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarft(char *direct, char *storev, int *n, int *k, c *v, int *ldv, c *tau, c *t, int */;" f +clarfx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarfx(char *side, int *m, int *n, c *v, c *tau, c *c, int *ldc, c *work) nogil$/;" f +clargv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clargv(int *n, c *x, int *incx, c *y, int *incy, s *c, int *incc) nogil$/;" f +clarkson_woodruff_transform adpepsenv/lib/python3.8/site-packages/scipy/linalg/_sketches.py /^def clarkson_woodruff_transform(input_matrix, sketch_size, seed=None):$/;" f +clarnv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarnv(int *idist, int *iseed, int *n, c *x) nogil$/;" f +clarrv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarrv(int *n, s *vl, s *vu, s *d, s *l, s *pivmin, int *isplit, int *m, int *dol, int/;" f +clartg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clartg(c *f, c *g, s *cs, c *sn, c *r) nogil$/;" f +clartv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clartv(int *n, c *x, int *incx, c *y, int *incy, s *c, c *s, int *incc) nogil$/;" f +clarz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarz(char *side, int *m, int *n, int *l, c *v, int *incv, c *tau, c *c, int *ldc, c */;" f +clarzb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarzb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +clarzt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clarzt(char *direct, char *storev, int *n, int *k, c *v, int *ldv, c *tau, c *t, int */;" f +clascl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clascl(char *type_bn, int *kl, int *ku, s *cfrom, s *cto, int *m, int *n, c *a, int *l/;" f +claset adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claset(char *uplo, int *m, int *n, c *alpha, c *beta, c *a, int *lda) nogil$/;" f +clasr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clasr(char *side, char *pivot, char *direct, int *m, int *n, s *c, s *s, c *a, int *ld/;" f +Class adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^Class = _reflection.GeneratedProtocolMessageType('Class', (_message.Message,), dict($/;" v +classes adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^classes = ($/;" v +classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def classes(self):$/;" m class:ClassificationOutput +CLASSES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ CLASSES = 'classes'$/;" v class:PredictionKeys +classes adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^classes = _Classes()$/;" v +classes adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def classes(self):$/;" m class:Line +classids adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^classids = tuple(cls.__name__ for cls in classes)$/;" v +Classification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class Classification(ESSSecurityLabel):$/;" c +ClassificationOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class ClassificationOutput(ExportOutput):$/;" c +ClassificationRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^ClassificationRequest = _reflection.GeneratedProtocolMessageType('ClassificationRequest', (_mess/;" v +ClassificationResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^ClassificationResponse = _reflection.GeneratedProtocolMessageType('ClassificationResponse', (_me/;" v +ClassificationResult adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^ClassificationResult = _reflection.GeneratedProtocolMessageType('ClassificationResult', (_messag/;" v +Classifications adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^Classifications = _reflection.GeneratedProtocolMessageType('Classifications', (_message.Message,/;" v +classification_output adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def classification_output(scores, n_classes, label_vocabulary=None):$/;" f +classification_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def classification_signature_def(examples, classes, scores):$/;" f +classifiers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def classifiers(self):$/;" m class:register +classifier_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.parsing_utils import classifier_parse_example_/;" x +classifier_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^def classifier_parse_example_spec(feature_columns,$/;" f +classifier_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.parsing_utils import classifier_parse_example_/;" x +classifier_parse_example_spec_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^def classifier_parse_example_spec_v2(feature_columns,$/;" f +Classify adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def Classify(self, request, context):$/;" m class:PredictionServiceServicer +ClassifyLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^ClassifyLog = _reflection.GeneratedProtocolMessageType('ClassifyLog', (_message.Message,), dict($/;" v +CLASSIFY_INPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^CLASSIFY_INPUTS = "inputs"$/;" v +CLASSIFY_METHOD_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^CLASSIFY_METHOD_NAME = "tensorflow\/serving\/classify"$/;" v +CLASSIFY_OUTPUT_CLASSES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^CLASSIFY_OUTPUT_CLASSES = "classes"$/;" v +CLASSIFY_OUTPUT_SCORES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^CLASSIFY_OUTPUT_SCORES = "scores"$/;" v +CLASSIFY_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^CLASSIFY_SERVING_KEY = 'classification'$/;" v +ClassList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class ClassList(univ.BitString):$/;" c +ClassList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class ClassList(univ.BitString):$/;" c +ClassMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class ClassMethod(Layer):$/;" c +CLASSNAME adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ CLASSNAME = 'admonition'$/;" v class:AdmonitionProcessor +CLASSNAME_TITLE adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ CLASSNAME_TITLE = 'admonition-title'$/;" v class:AdmonitionProcessor +classproperty adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^class classproperty(object): # pylint: disable=invalid-name$/;" c +classq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void classq(int *n, c *x, int *incx, s *scale, s *sumsq) nogil$/;" f +CLASSTYPE_KIND adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^CLASSTYPE_KIND = 'ClassType'$/;" v +ClassVar adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class ClassVar(typing.Final, metaclass=_ClassVarMeta, _root=True):$/;" c +ClassVar adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ ClassVar = typing.ClassVar$/;" v +ClassVar adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ ClassVar = _ClassVar(_root=True)$/;" v +classy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def classy(klass):$/;" m class:test_setattr_cm.A +class_and_config_for_serialized_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def class_and_config_for_serialized_keras_object($/;" f +class_async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def class_async_add(cls, to, x, y, z):$/;" m class:AsyncExecutionClass +CLASS_IDS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ CLASS_IDS = 'class_ids'$/;" v class:PredictionKeys +CLASS_INDEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^CLASS_INDEX = None$/;" v +CLASS_INDEX_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^CLASS_INDEX_PATH = ('https:\/\/storage.googleapis.com\/download.tensorflow.org\/'$/;" v +class_method_to_instance_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def class_method_to_instance_method(original_function, instance):$/;" f +class_modules adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class_modules = (sys.modules[__name__],)$/;" v class:my_cacw +class_modules adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ class_modules = ()$/;" v class:clear_and_catch_warnings +CLASS_NUM adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^CLASS_NUM = 8 # total classes$/;" v +CLASS_NUM adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^CLASS_NUM = 8 # total classes$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ class_types = type,$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ class_types = (type,)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ class_types = type,$/;" v +class_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ class_types = type,$/;" v +class_types adpepsenv/lib/python3.8/site-packages/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/six.py /^ class_types = type,$/;" v +class_types adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ class_types = (type, types.ClassType)$/;" v +class_types adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ class_types = (type,)$/;" v +claswp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void claswp(int *n, c *a, int *lda, int *k1, int *k2, int *ipiv, int *incx) nogil$/;" f +clasyf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clasyf(char *uplo, int *n, int *nb, int *kb, c *a, int *lda, int *ipiv, c *w, int *ldw/;" f +clatbs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatbs(char *uplo, char *trans, char *diag, char *normin, int *n, int *kd, c *ab, int /;" f +clatdf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatdf(int *ijob, int *n, c *z, int *ldz, c *rhs, s *rdsum, s *rdscal, int *ipiv, int /;" f +clatps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatps(char *uplo, char *trans, char *diag, char *normin, int *n, c *ap, c *x, s *scal/;" f +clatrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatrd(char *uplo, int *n, int *nb, c *a, int *lda, s *e, c *tau, c *w, int *ldw) nogi/;" f +clatrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatrs(char *uplo, char *trans, char *diag, char *normin, int *n, c *a, int *lda, c *x/;" f +clatrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clatrz(int *m, int *n, int *l, c *a, int *lda, c *tau, c *work) nogil$/;" f +clauu2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clauu2(char *uplo, int *n, c *a, int *lda, int *info) nogil$/;" f +clauum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void clauum(char *uplo, int *n, c *a, int *lda, int *info) nogil$/;" f +clean adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def clean(self, line):$/;" m class:BlockQuoteProcessor +clean adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def clean(self):$/;" m class:Grouper +clean adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def clean(url):$/;" f member:Page.links file: +clean adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^class clean(Command):$/;" c +clean adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def clean(self, text):$/;" m class:Cleaner +clean adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/__init__.py /^def clean(text, tags=ALLOWED_TAGS, attributes=ALLOWED_ATTRIBUTES,$/;" f +CleanCopier adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^class CleanCopier(object):$/;" c +cleaned adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def cleaned(self, transform=None, remove_nans=False, clip=None,$/;" m class:Path +Cleaner adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^class Cleaner(object):$/;" c +Cleanse adpepsenv/lib/python3.8/site-packages/tensorboard/backend/json_util.py /^def Cleanse(obj, encoding="utf-8"):$/;" f +cleanup adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def cleanup(self):$/;" m class:BatchFeeder +cleanup adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def cleanup():$/;" f member:GlobalWorkerCoordinator.register_shutdown_handler file: +cleanup adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def cleanup(self):$/;" m class:State +cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def cleanup(self):$/;" m class:FileMovieWriter +cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def cleanup(self):$/;" m class:MovieWriter +cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def cleanup(self):$/;" m class:BlockingInput +cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def cleanup(self, event=None):$/;" m class:BlockingMouseInput +cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def cleanup(style=None):$/;" f +cleanup adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def cleanup(self):$/;" m class:PyDecoder +cleanup adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def cleanup(self):$/;" m class:NoOpBuildEnvironment +cleanup adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def cleanup(self):$/;" m class:RequirementTracker +cleanup adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def cleanup(self):$/;" m class:TempDirectory +CleanUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def CleanUp(self):$/;" m class:StubOutForTesting +cleanup adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def cleanup(self):$/;" m class:LocalManager +CleanupTestCase adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^class CleanupTestCase(unittest.TestCase):$/;" c +cleanup_remaining_tmpdirs adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def cleanup_remaining_tmpdirs():$/;" m class:TmpDirCleaner +cleanup_resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def cleanup_resources(self, force=False):$/;" m class:ResourceManager +cleanup_resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^cleanup_resources = None$/;" v +cleanup_resources adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def cleanup_resources(self, force=False):$/;" m class:ResourceManager +cleanup_resources adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^cleanup_resources = None$/;" v +cleanup_temp_dir adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def cleanup_temp_dir():$/;" f +clean_op adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^ def clean_op(op):$/;" f function:OpAlmostEqual file: +clean_tag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_op_util.py /^def clean_tag(name):$/;" f +clean_up_temporary_directory adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def clean_up_temporary_directory():$/;" f +clean_worker adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/pool.py /^def clean_worker(*args, **kwargs):$/;" f +clear adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def clear(self):$/;" m class:TTLCache +clear adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def clear(self):$/;" m class:MutableMapping +clear adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def clear(self):$/;" m class:MessageMap +clear adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def clear(self):$/;" m class:ScalarMap +Clear adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def Clear(self):$/;" m class:Message +clear adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def clear(self):$/;" m class:_AxesBase +clear adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def clear(self):$/;" m class:RendererAgg +clear adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def clear(self, figure):$/;" m class:ToolViewsPositions +clear adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def clear(self):$/;" m class:Stack +clear adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def clear(self, keep_observers=False):$/;" m class:Figure +clear adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def clear(self):$/;" m class:Affine2D +clear adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def clear(self, event):$/;" m class:Cursor +clear adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def clear(self, event):$/;" m class:MultiCursor +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def clear(self):$/;" m class:RedisCache +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def clear(self):$/;" m class:ChainMap +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def clear(self):$/;" m class:OrderedDict +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def clear(self):$/;" m class:_Cache +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def clear(self):$/;" m class:Manifest +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def clear(self):$/;" m class:Cache +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def clear(self):$/;" m class:TreeBuilder.__init__.Attributes +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._FifoCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def clear(self):$/;" m class:ParseResults +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def clear(self):$/;" m class:PoolManager +clear adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def clear(self):$/;" m class:RecentlyUsedContainer +clear adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._FifoCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def clear( self ):$/;" m class:ParseResults +clear adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def clear(self):$/;" m class:Choice +clear adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def clear(self):$/;" m class:SequenceAndSetBase +clear adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def clear(self):$/;" m class:SequenceOfAndSetOfBase +clear adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._FifoCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def clear(self):$/;" m class:ParseResults +clear adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def clear(self):$/;" m class:OrderedSet +clear adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._FifoCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def clear(self):$/;" f member:ParserElement._UnboundedCache.__init__ file: +clear adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def clear( self ):$/;" m class:ParseResults +clear adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def clear(self):$/;" m class:CredentialsStore +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def clear(self):$/;" m class:TensorList +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def clear(self):$/;" m class:TableHandler +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def clear(self, name=None):$/;" m class:MapStagingArea +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def clear(self, name=None):$/;" m class:StagingArea +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer_cache.py /^ def clear():$/;" m class:FileWriterCache +clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def clear(self):$/;" m class:ObjectIdentitySet +clear adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def clear(self):$/;" m class:cuFFTPlanCache +clear adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def clear(self) -> None:$/;" m class:ModuleDict +clear adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def clear(self) -> None:$/;" m class:ParameterDict +clear adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^ def clear(self):$/;" m class:MeanShadowLogger +clear adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def clear(self):$/;" m class:PoolManager +clear adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def clear(self):$/;" m class:RecentlyUsedContainer +clear adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ clear = calls_update("clear")$/;" v class:UpdateDictMixin +clear adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def clear(self):$/;" m class:Headers +clear adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def clear(self):$/;" m class:HeaderSet +clear adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def clear(self):$/;" m class:ImmutableDictMixin +clearActiveFormattingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def clearActiveFormattingElements(self):$/;" m class:TreeBuilder +clearActiveFormattingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def clearActiveFormattingElements(self):$/;" m class:TreeBuilder +Clearance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class Clearance(univ.Sequence):$/;" c +Clearance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class Clearance(univ.Sequence):$/;" c +Clearance_rfc3281 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class Clearance_rfc3281(univ.Sequence):$/;" c +ClearExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def ClearExtension(self, extension_handle):$/;" f function:_AddClearExtensionMethod file: +ClearExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def ClearExtension(self, extension_handle):$/;" m class:Message +ClearField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def ClearField(self, field_name):$/;" f function:_AddClearFieldMethod file: +ClearField adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def ClearField(self, field_name):$/;" m class:Message +ClearGlobalNetObserver adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def ClearGlobalNetObserver():$/;" f +clearHooks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def clearHooks(self):$/;" m class:JitTestCase +clearln adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def clearln(self):$/;" m class:Infinite +clearStackToTableBodyContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def clearStackToTableBodyContext(self):$/;" m class:getPhases.InTableBodyPhase +clearStackToTableBodyContext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def clearStackToTableBodyContext(self):$/;" m class:getPhases.InTableBodyPhase +clearStackToTableContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def clearStackToTableContext(self):$/;" m class:getPhases.InTablePhase +clearStackToTableContext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def clearStackToTableContext(self):$/;" m class:getPhases.InTablePhase +clearStackToTableRowContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def clearStackToTableRowContext(self):$/;" m class:getPhases.InRowPhase +clearStackToTableRowContext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def clearStackToTableRowContext(self):$/;" m class:getPhases.InRowPhase +clearup_closed adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def clearup_closed(self):$/;" m class:FigureManagerNbAgg +clear_and_catch_warnings adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^class clear_and_catch_warnings(warnings.catch_warnings):$/;" c +clear_and_remove_cached_zip_archive_directory_data adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def clear_and_remove_cached_zip_archive_directory_data(path, old_entry):$/;" f function:_remove_and_clear_zip_directory_cache_data file: +clear_backends adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def clear_backends(domain, registered=True, globals=False):$/;" f +clear_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def clear_cache(self):$/;" m class:DistributionPath +clear_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def clear_cache(self):$/;" m class:AggregatingLocator +clear_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def clear_cache(self):$/;" m class:Locator +clear_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def clear_captures(self):$/;" m class:FuncGraph +clear_class_registry adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def clear_class_registry():$/;" f +clear_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def clear_collection(self, name):$/;" m class:Graph +clear_control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def clear_control_inputs():$/;" f +clear_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def clear_data(self):$/;" m class:EventListenerTestServicer +clear_distutils adpepsenv/lib/python3.8/site-packages/setuptools/distutils_patch.py /^def clear_distutils():$/;" f +clear_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def clear_error(self):$/;" m class:Executor +clear_errors adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def clear_errors(self):$/;" m class:Locator +clear_executor_errors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def clear_executor_errors(self):$/;" m class:Context +clear_function_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def clear_function_callbacks():$/;" f +clear_fuss adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def clear_fuss(ar, fuss_binary_bits=7):$/;" f +clear_global_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def clear_global_rref():$/;" f +clear_gradient_debuggers adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def clear_gradient_debuggers():$/;" f +clear_line adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^def clear_line(mode=2):$/;" f +clear_load_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^ def clear_load_options(self):$/;" m class:LoadContext +clear_op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_callbacks.py /^def clear_op_callbacks():$/;" f +clear_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def clear_output_schema(self):$/;" m class:LayerModelHelper +clear_preprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def clear_preprocessing(self):$/;" m class:APIChangeSpec +clear_preprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def clear_preprocessing(self):$/;" m class:TFAPIChangeSpec +clear_previously_created_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^def clear_previously_created_nodes(layer, created_nodes):$/;" f +clear_screen adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^def clear_screen(mode=2):$/;" f +clear_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def clear_session():$/;" f +clear_state adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def clear_state(plot_rcparams, close=True):$/;" f +clear_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def clear_stop(self):$/;" m class:Coordinator +clear_temp adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def clear_temp(self):$/;" m class:FileMovieWriter +clear_temp adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def clear_temp(self, value):$/;" m class:FileMovieWriter +clf adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def clf(self, keep_observers=False):$/;" m class:Figure +clf adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def clf():$/;" f +cli adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def cli():$/;" f +clib_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^import scipy.integrate._test_multivariate as clib_test$/;" I +click adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ click = None$/;" v +CLIConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^class CLIConfig(object):$/;" c +Client adpepsenv/lib/python3.8/site-packages/google/oauth2/sts.py /^class Client(utils.OAuthClientAuthHandler):$/;" c +client adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^from google.oauth2 import _client as client$/;" x +Client adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Client = _xla.Client$/;" v +client adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client(comm, tag, worker_pool, task_queue, **options):$/;" f +Client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^class Client(object):$/;" c +Client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^class Client(object):$/;" c +CLIENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ CLIENT = "client"$/;" v class:_TaskType +Client adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^class Client(object):$/;" c +client adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ import httplib as client$/;" I +Client adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class Client(object):$/;" c +ClientAuthentication adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^class ClientAuthentication(object):$/;" c +ClientAuthType adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^class ClientAuthType(enum.Enum):$/;" c +ClientCallDetails adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class ClientCallDetails($/;" c +ClientCallDetails adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ClientCallDetails(six.with_metaclass(abc.ABCMeta)):$/;" c +ClientCertError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class ClientCertError(GoogleAuthError):$/;" c +ClientCredentialsGrant adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/client_credentials.py /^class ClientCredentialsGrant(GrantTypeBase):$/;" c +ClientDisconnected adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class ClientDisconnected(BadRequest):$/;" c +ClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class ClientInterceptor(metaclass=ABCMeta):$/;" c +ClientRedirectError adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class ClientRedirectError(Exception):$/;" c +client_authentication_required adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def client_authentication_required(self, request, *args, **kwargs):$/;" m class:RequestValidator +client_cert adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^client_cert = partial($/;" v +client_class adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^ client_class = Client$/;" v class:OAuth1 +client_close adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client_close(comm):$/;" f +client_connect adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client_connect(service, mpi_info=None):$/;" f +client_id adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def client_id(self):$/;" m class:Credentials +client_id adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def client_id(self):$/;" m class:OAuth2Session +client_id adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def client_id(self, value):$/;" m class:OAuth2Session +CLIENT_ID_CHARACTER_SET adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^CLIENT_ID_CHARACTER_SET = (r' !"#$%&\\'()*+,-.\/0123456789:;<=>?@ABCDEFGHIJKLMN'$/;" v +client_key_length adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def client_key_length(self):$/;" m class:RequestValidator +client_lookup adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client_lookup(address):$/;" f +client_secret adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def client_secret(self):$/;" m class:Credentials +client_spawn adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client_spawn(python_exe=None,$/;" f +client_sync adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def client_sync(comm, options):$/;" f +clim adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def clim(vmin=None, vmax=None):$/;" f +clip adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def clip(a, a_min=None, a_max=None, out=None):$/;" f +clip adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/clip_path.py /^def clip(xlines, ylines, x0, clip="right", xdir=True, ydir=True):$/;" f +clip adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def clip(a, a_min, a_max, out=None, **kwargs):$/;" f +clip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def clip(self, a, m, M, out=None):$/;" m class:TestClip +clip adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^clip = np.clip$/;" v +clip adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def clip(x, min_value, max_value):$/;" f +clip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def clip(a, a_min, a_max): # pylint: disable=missing-docstring$/;" f +ClipByValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ClipByValue = tf_export("raw_ops.ClipByValue")(_ops.to_raw_op(_clip_by_value))$/;" v +clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def clipnorm(self):$/;" m class:LossScaleOptimizer +clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def clipnorm(self, val):$/;" m class:LossScaleOptimizer +clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def clipnorm(self):$/;" m class:OptimizerV2 +clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def clipnorm(self, val):$/;" m class:OptimizerV2 +clipped_grad_update_bound adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def clipped_grad_update_bound(model):$/;" f member:Seq2SeqModelCaffe2._build_model file: +ClippingLimits adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^ClippingLimits = _reflection.GeneratedProtocolMessageType('ClippingLimits', (_message.Message,),/;" v +clipvalue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def clipvalue(self):$/;" m class:LossScaleOptimizer +clipvalue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def clipvalue(self, val):$/;" m class:LossScaleOptimizer +clipvalue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def clipvalue(self):$/;" m class:OptimizerV2 +clipvalue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def clipvalue(self, val):$/;" m class:OptimizerV2 +ClipValueType adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ClipValueType = Union[Tuple[float, float], float]$/;" v +clip_by_average_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def clip_by_average_norm(t, clip_norm, name=None):$/;" f +clip_by_global_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def clip_by_global_norm(t_list, clip_norm, use_norm=None, name=None):$/;" f +clip_by_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def clip_by_norm(t, clip_norm, axes=None, name=None):$/;" f +clip_by_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def clip_by_value(t, clip_value_min, clip_value_max,$/;" f +clip_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def clip_children(self):$/;" m class:DrawingArea +clip_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def clip_children(self, val):$/;" m class:DrawingArea +clip_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def clip_cmd(self, cliprect, clippath):$/;" m class:GraphicsContextPdf +CLIP_GRADIENT_NORM_TYPES adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ CLIP_GRADIENT_NORM_TYPES = [L2_NORM, L1_NORM]$/;" v class:GradientClipping +clip_grads adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def clip_grads(grad_tree, max_norm):$/;" f +clip_grad_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/clip_grad.py /^def clip_grad_norm(parameters: _tensor_or_tensors, max_norm: float, norm_type: float = 2.) -> to/;" f +clip_grad_norm_ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/clip_grad.py /^def clip_grad_norm_(parameters: _tensor_or_tensors, max_norm: float, norm_type: float = 2.0) -> /;" f +clip_grad_value_ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/clip_grad.py /^def clip_grad_value_(parameters: _tensor_or_tensors, clip_value: float) -> None:$/;" f +clip_line_to_rect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/clip_path.py /^def clip_line_to_rect(xline, yline, bbox):$/;" f +clip_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^ def clip_ref(X):$/;" f member:TestClip.test_clip file: +clip_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^ def clip_ref(X):$/;" f member:TestClip.test_clip_default file: +clip_tensor_by_scaling_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^ def clip_tensor_by_scaling_ref(tensor_data, val_data,$/;" f member:TestClipTensorByScalingOp.test_clip_tensor_by_scaling file: +clip_tiled_boxes adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def clip_tiled_boxes(boxes, im_shape):$/;" f +clip_tiled_boxes_rotated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def clip_tiled_boxes_rotated(boxes, im_shape, angle_thresh=1.0):$/;" f +clip_to_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def clip_to_bbox(self, bbox, inside=True):$/;" m class:Path +CLI_CR_KEYS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ CLI_CR_KEYS = [ord("\\n"), ord("\\r"), 343]$/;" v class:CursesUI +CLI_EXIT_COMMANDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ CLI_EXIT_COMMANDS = ["exit", "quit"]$/;" v class:BaseUI +CLI_PROMPT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ CLI_PROMPT = "tfdbg> "$/;" v class:BaseUI +CLI_TAB_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ CLI_TAB_KEY = ord("\\t")$/;" v class:CursesUI +CLI_TERMINATOR_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ CLI_TERMINATOR_KEY = 7 # Terminator key for input text box.$/;" v class:CursesUI +CLoader adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CLoader(CParser, Constructor, Resolver):$/;" c +CLOCK_SKEW adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^CLOCK_SKEW = datetime.timedelta(seconds=CLOCK_SKEW_SECS)$/;" v +CLOCK_SKEW_SECS adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^CLOCK_SKEW_SECS = 10 # 10 seconds$/;" v +clog1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def clog1p(x, y):$/;" f +Clone adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Clone($/;" m class:Net +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def clone(self):$/;" m class:Formatter +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone(self, keep_blobs=True):$/;" m class:Field +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone(self, keep_blobs=True):$/;" m class:List +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone(self, keep_blobs=True):$/;" m class:ListWithEvicted +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone(self, keep_blobs=True):$/;" m class:Scalar +clone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone(self, keep_blobs=True):$/;" m class:Struct +clone adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def clone(self, hook_handler):$/;" m class:EnvironmentConfig +clone adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def clone(self, **kw):$/;" m class:Distribution +clone adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def clone(self):$/;" m class:Timeout +clone adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def clone(self, **kw):$/;" m class:Distribution +clone adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def clone(self, **kwargs):$/;" m class:ConstructedAsn1Type +clone adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def clone(self, value=noValue, **kwargs):$/;" m class:SimpleAsn1Type +clone adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def clone(self):$/;" m class:NamedTypes +clone adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def clone(self, *args, **kwargs):$/;" m class:NamedValues +clone adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def clone(g, input, unused_memory_format):$/;" f +clone adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def clone(self):$/;" m class:_StorageBase +clone adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def clone(self):$/;" m class:Timeout +cloneNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def cloneNode(self):$/;" m class:Node +cloneNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def cloneNode(self):$/;" m class:getDomBuilder.NodeBuilder +cloneNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def cloneNode(self):$/;" m class:getETreeBuilder.Element +cloneNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def cloneNode(self):$/;" m class:TreeBuilder.__init__.Element +cloneNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def cloneNode(self):$/;" m class:Node +cloneNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def cloneNode(self):$/;" m class:getDomBuilder.NodeBuilder +cloneNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def cloneNode(self):$/;" m class:getETreeBuilder.Element +ClonePartial adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def ClonePartial(self, name, inputs, outputs, remap_funcs=None):$/;" m class:Net +clone_and_bind_net adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def clone_and_bind_net(net, name, prefix, blob_remap=None, inputs=None,$/;" f +clone_and_build_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def clone_and_build_model($/;" f +clone_input adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def clone_input(a):$/;" f function:_clone_inputs file: +clone_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def clone_metric(metric):$/;" f +clone_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def clone_metrics(metrics):$/;" f +clone_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def clone_model(model, input_tensors=None, clone_function=None):$/;" f +clone_model_on_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def clone_model_on_replicas(model, strategy, mode, inputs=None, targets=None):$/;" f +clone_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def clone_schema(self):$/;" m class:Field +close adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def close(self):$/;" m class:ABSLHandler +close adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def close(self):$/;" m class:PythonHandler +close adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def close(self):$/;" m class:Session +close adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ def close(self):$/;" m class:UniversalDetector +close adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def close(self):$/;" m class:TextWriter +close adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ async def close(self, grace: Optional[float] = None):$/;" m class:Channel +close adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def close(self, grace: Optional[float] = None):$/;" m class:Channel +close adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def close(self):$/;" m class:Channel +close adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def close(self):$/;" m class:_Channel +close adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def close(self):$/;" m class:Channel +close adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def close(self):$/;" m class:File +close adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def close(self):$/;" m class:HTMLExtractorExtra +close adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def close(self):$/;" m class:HTMLExtractor +close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def close(self):$/;" m class:FigureManagerMac +close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def close(self):$/;" m class:PdfFile +close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def close(self):$/;" m class:PdfPages +close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def close(self):$/;" m class:PdfPages +close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def close(self, id):$/;" m class:XMLWriter +close adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def close(self):$/;" m class:Dvi +close adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def close(fig=None):$/;" f +close adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def close(self):$/;" m class:savetxt.WriteWrap +close adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def close(self):$/;" m class:NpzFile +close adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def close(self):$/;" m class:Image +close adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def close(self):$/;" m class:Parser +close adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def close(self):$/;" m class:PdfParser +close adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def close(self):$/;" m class:ChunkStream +close adpepsenv/lib/python3.8/site-packages/PIL/TarIO.py /^ def close(self):$/;" m class:TarIO +close adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def close(self):$/;" m class:AppendingTiffWriter +close adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def close(self):$/;" m class:LazyZipOverHTTP +close adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def close(self):$/;" m class:LocalFSAdapter +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ def close(self):$/;" m class:CacheControlAdapter +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def close(self):$/;" m class:BaseCache +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def close(self):$/;" m class:RedisCache +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ def close(self):$/;" m class:UniversalDetector +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def close(self):$/;" m class:ExitStack +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:ExFileObject +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:TarFile +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:_BZ2Proxy +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:_LowLevelFile +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:_Stream +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def close(self):$/;" m class:_StreamProxy +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def close(self):$/;" m class:BaseAdapter +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def close(self):$/;" m class:HTTPAdapter +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def close(self):$/;" m class:Response +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def close(self):$/;" m class:Session +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def close(self):$/;" m class:ConnectionPool +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def close(self):$/;" m class:HTTPConnectionPool +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def close(self):$/;" m class:WrappedSocket +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def close(self):$/;" m class:WrappedSocket +close adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def close(self):$/;" m class:HTTPResponse +close adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def close(self):$/;" m class:BaseAdapter +close adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def close(self):$/;" m class:HTTPAdapter +close adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def close(self):$/;" m class:Response +close adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def close(self):$/;" m class:Session +close adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def close(self):$/;" m class:netcdf_file +close adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def close(self):$/;" m class:FortranFile +close adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def close(self):$/;" m class:MapWrapper +close adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def close(self):$/;" m class:get_win_certfile.CertFile +close adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def close(self):$/;" m class:TextFile +close adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def close(self):$/;" m class:GFile +close adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def close(self):$/;" m class:EventFileWriter +close adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def close(self):$/;" m class:_AsyncWriter +close adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^ def close(self):$/;" m class:RecordWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def close(self):$/;" m class:BaseSession +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def close(self):$/;" m class:InteractiveSession +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def close(self):$/;" m class:DebugEventsReader +Close adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def Close(self):$/;" m class:DebugEventsWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def close(self):$/;" m class:BaseDebugWrapperSession +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def close(self):$/;" m class:FileIO +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def close(self):$/;" m class:TFRecordWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def close(self, cancel_pending_enqueues=False, name=None):$/;" m class:Barrier +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def close(self, cancel_pending_enqueues=False, name=None):$/;" m class:QueueBase +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def close(self):$/;" m class:NoopSummaryWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def close(self):$/;" m class:ResourceSummaryWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def close(self):$/;" m class:SummaryWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def close(self, name=None):$/;" m class:TensorArray +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def close(self, name=None):$/;" m class:_EagerTensorArray +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def close(self, name=None):$/;" m class:_GraphTensorArray +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def close(self, name=None):$/;" m class:_GraphTensorArrayV2 +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def close(self):$/;" m class:CloseableQueue +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def close(self):$/;" m class:EventFileWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def close(self):$/;" m class:EventFileWriterV2 +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def close(self):$/;" m class:FileWriter +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def close(self):$/;" m class:_CoordinatedSession +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def close(self):$/;" m class:_MonitoredSession +close adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def close(self):$/;" m class:_WrappedSession +close adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def close(self):$/;" m class:FakeSummaryWriter +close adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def close(self):$/;" m class:FileWriter +close adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def close(self):$/;" m class:SummaryWriter +close adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def close(self):$/;" m class:PackageExporter +close adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def close(self):$/;" m class:ConnectionPool +close adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def close(self):$/;" m class:HTTPConnectionPool +close adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def close(self):$/;" m class:WrappedSocket +close adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def close(self):$/;" m class:WrappedSocket +close adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def close(self):$/;" m class:HTTPResponse +close adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def close(self):$/;" m class:SSLTransport +close adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def close(self):$/;" m class:FileStorage +close adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def close(self):$/;" m class:HTMLStringO +close adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def close(self):$/;" m class:ErrorStream +close adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def close(self):$/;" m class:GuardedIterator +close adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def close(self):$/;" m class:InputStream +close adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def close(self):$/;" m class:EnvironBuilder +close adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def close(self):$/;" m class:BaseRequest +close adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def close(self):$/;" m class:BaseResponse +close adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def close(self):$/;" m class:ResponseStream +close adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def close(self):$/;" m class:ClosingIterator +close adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def close(self):$/;" m class:FileWrapper +close adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def close(self):$/;" m class:_RangeWrapper +close adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def close(self):$/;" m class:WheelFile +close adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def close(self):$/;" m class:Serializer +CloseableQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^class CloseableQueue(object):$/;" c +closeCell adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def closeCell(self):$/;" m class:getPhases.InCellPhase +closeCell adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def closeCell(self):$/;" m class:getPhases.InCellPhase +closeClass adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^closeClass = r"[^\\ \\t\\r\\n\\[\\{\\(\\-\\u0002\\u0003]"$/;" v +closed adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def closed(self):$/;" m class:LazyZipOverHTTP +closed adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def closed(self):$/;" m class:StreamWrapper +closed adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def closed(self):$/;" m class:HTTPResponse +closed adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^ def closed(self):$/;" m class:RecordWriter +closed adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def closed(self):$/;" m class:HTTPResponse +ClosedJaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^class ClosedJaxpr:$/;" c +ClosedPoolError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ClosedPoolError(PoolError):$/;" c +ClosedPoolError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ClosedPoolError(PoolError):$/;" c +closed_tempfile adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^def closed_tempfile(suffix='', text=None):$/;" f +closeEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def closeEvent(self, event):$/;" m class:MainWindow +CloseEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class CloseEvent(Event):$/;" c +CloseMatch adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class CloseMatch(Token):$/;" c +CloseMatch adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class CloseMatch(Token):$/;" c +CloseMatch adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class CloseMatch(Token):$/;" c +CloseMatch adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class CloseMatch(Token):$/;" c +CLOSEPOLY adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ CLOSEPOLY = code_type(79) # 1 vertex$/;" v class:Path +closer adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def closer(x):$/;" f member:TestExtLinkBugs.test_issue_212 file: +closest adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def closest(self, x, y):$/;" m class:ToolHandles +closest_rule adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def closest_rule(self, adapter):$/;" m class:BuildError +CloseSummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^CloseSummaryWriter = tf_export("raw_ops.CloseSummaryWriter")(_ops.to_raw_op(close_summary_writer/;" v +closeTagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def closeTagOpenState(self):$/;" m class:HTMLTokenizer +closeTagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def closeTagOpenState(self):$/;" m class:HTMLTokenizer +closeto adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def closeto(self, ms, edge):$/;" m class:_Edge_integer +close_buf adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def close_buf(self):$/;" m class:PdfParser +close_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def close_event(self, guiEvent=None):$/;" m class:FigureCanvasBase +close_group adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def close_group(self, s):$/;" m class:RendererSVG +close_group adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def close_group(self, s):$/;" m class:RendererBase +close_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def close_op(self):$/;" m class:QueueRunner +close_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^def close_queue(step, *queues):$/;" f +close_scope adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def close_scope(self, node, prefix_attr='prefix', suffix_attr='suffix',$/;" m class:TokenGenerator +close_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def close_summary_writer(writer, name=None):$/;" f +close_summary_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def close_summary_writer_eager_fallback(writer, name, ctx):$/;" f +close_variable_subscopes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def close_variable_subscopes(self, scope_name):$/;" m class:_VariableScopeStore +closing adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ closing = QtCore.Signal()$/;" v class:MainWindow +closing adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^def closing(pool):$/;" f +closing adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class closing(object):$/;" c +closingDoubleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^closingDoubleQuotesRegex = r'"(?=\\s)'$/;" v +closingDoubleQuotesRegex2 adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^closingDoubleQuotesRegex2 = '(?<=%s)"' % closeClass$/;" v +ClosingIterator adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^class ClosingIterator(object):$/;" c +closingSingleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^closingSingleQuotesRegex = r"(?<=%s)'(?!\\s|s\\b|\\d)" % closeClass$/;" v +closingSingleQuotesRegex2 adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^closingSingleQuotesRegex2 = r"(?<=%s)'(\\s|s\\b)" % closeClass$/;" v +Closure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class Closure(object):$/;" c +closure_convert adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def closure_convert(fun, *example_args):$/;" f +closure_lookup adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ class closure_lookup(object):$/;" c function:createResolutionCallbackFromClosure file: +CLOSURE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ CLOSURE_TYPES = 'Types of closure symbols at each detected call site.'$/;" v class:Static +CloudTPUPreemptedHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^class CloudTPUPreemptedHook(session_run_hook.SessionRunHook):$/;" c +CLOUD_SDK_CLIENT_ID adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^CLOUD_SDK_CLIENT_ID = ($/;" v +CLOUD_SDK_CONFIG_DIR adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^CLOUD_SDK_CONFIG_DIR = "CLOUDSDK_CONFIG"$/;" v +cloud_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^cloud_tpu_strategy = combinations.NamedDistribution($/;" v +clpmn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def clpmn(m, n, z, type=3):$/;" f +clpnm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def clpnm(n, m, z):$/;" f member:TestSystematic.test_legenp_complex_2 file: +clpnm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def clpnm(n, m, z):$/;" f member:TestSystematic.test_legenp_complex_3 file: +cls adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ from setuptools.sandbox import UnpickleableException as cls$/;" x member:UnpickleableException.dump file: +cls_level adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ cls_level = object()$/;" v class:test_setattr_cm.A +cls_with_options adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ class cls_with_options(cls): # type: ignore$/;" c member:BuildExtension.with_options file: +clump_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def clump_masked(a):$/;" f +clump_unmasked adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def clump_unmasked(a):$/;" f +Cluster adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class Cluster(object):$/;" c +Cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class Cluster(object):$/;" c +Cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^class Cluster(object):$/;" c +cluster adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def cluster(self):$/;" m class:RunConfig +ClusterCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class ClusterCombination(combinations_lib.TestCombination):$/;" c +ClusterCoordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class ClusterCoordinator(object):$/;" c +ClusterDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^ClusterDef = _reflection.GeneratedProtocolMessageType('ClusterDef', (_message.Message,), {$/;" v +ClusterDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^ClusterDef = _reflection.GeneratedProtocolMessageType('ClusterDef', (_message.Message,), {$/;" v +ClusterDeviceFilters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^ClusterDeviceFilters = _reflection.GeneratedProtocolMessageType('ClusterDeviceFilters', (_messag/;" v +ClusterDeviceFilters adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^class ClusterDeviceFilters(object):$/;" c +ClusterError adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^class ClusterError(Exception):$/;" c +ClusterNode adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^class ClusterNode(object):$/;" c +ClusterParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class ClusterParameters(combinations_lib.ParameterModifier):$/;" c +ClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^class ClusterResolver(object):$/;" c +ClusterSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^class ClusterSpec(object):$/;" c +CLUSTERS_VAR_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^CLUSTERS_VAR_NAME = 'clusters'$/;" v +ClusterWarning adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^class ClusterWarning(UserWarning):$/;" c +cluster_centers adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def cluster_centers(self):$/;" m class:KMeansClustering +CLUSTER_CENTERS_VAR_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ CLUSTER_CENTERS_VAR_NAME = clustering_ops.CLUSTERS_VAR_NAME$/;" v class:KMeansClustering +CLUSTER_INDEX adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ CLUSTER_INDEX = 'cluster_index'$/;" v class:KMeansClustering +cluster_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def cluster_resolver(self):$/;" m class:CollectiveAllReduceStrategy +cluster_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def cluster_resolver(self):$/;" m class:StrategyBase +cluster_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def cluster_resolver(self):$/;" m class:MultiProcessCluster +cluster_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def cluster_resolver(self):$/;" m class:TPUStrategy +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def cluster_spec(self):$/;" m class:ClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def cluster_spec(self):$/;" m class:SimpleClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def cluster_spec(self):$/;" m class:UnionClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:GCEClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:KubernetesClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:SageMakerClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:SlurmClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:TFConfigClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def cluster_spec(self):$/;" m class:TPUClusterResolver +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def cluster_spec(self):$/;" m class:_WorkerContext +cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def cluster_spec(self):$/;" m class:RunConfig +CL_scaling_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def CL_scaling_vector(x, g, lb, ub):$/;" f +cm adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_png.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^import matplotlib.cm as cm$/;" I +cm adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib.cm as cm$/;" I +cmake_prefix_path adpepsenv/lib/python3.8/site-packages/torch/utils/__init__.py /^cmake_prefix_path = _osp.join(_osp.dirname(_osp.dirname(__file__)), 'share', 'cmake')$/;" v +cmaps adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^cmaps = {$/;" v +cmaps_listed adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^from matplotlib._cm_listed import cmaps as cmaps_listed$/;" x +cmap_d adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^cmap_d = _DeprecatedCmapDictWrapper(_cmap_registry)$/;" v +CMCCertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCCertId(rfc5652.IssuerAndSerialNumber):$/;" c +CMCCertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCCertId(rfc5652.IssuerAndSerialNumber):$/;" c +cmcControlAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^cmcControlAttributesMap = { }$/;" v +cmcControlAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^cmcControlAttributesMap = rfc5652.cmsAttributesMap$/;" v +CMCFailInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCFailInfo(univ.Integer):$/;" c +CMCFailInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCFailInfo(univ.Integer):$/;" c +CMCPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCPublicationInfo(univ.Sequence):$/;" c +CMCPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCPublicationInfo(univ.Sequence):$/;" c +CMCStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCStatus(univ.Integer):$/;" c +CMCStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCStatus(univ.Integer):$/;" c +CMCStatusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCStatusInfo(univ.Sequence):$/;" c +CMCStatusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCStatusInfo(univ.Sequence):$/;" c +CMCStatusInfoV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCStatusInfoV2(univ.Sequence):$/;" c +CMCStatusInfoV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCStatusInfoV2(univ.Sequence):$/;" c +CMCUnsignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class CMCUnsignedData(univ.Sequence):$/;" c +CMCUnsignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class CMCUnsignedData(univ.Sequence):$/;" c +cmd adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ cmd = ["clang-format", "-i", install_dir + "\/OpEnum.h"]$/;" v +cmd adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ cmd = ["clang-format", "-i", install_dir + "\/OpNames.h"]$/;" v +cmd versioneer.py /^ cmd = sys.argv[1]$/;" v +cmdline adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py_testing.py /^def cmdline():$/;" f +cmd_build_exe versioneer.py /^ class cmd_build_exe(_build_exe):$/;" c function:get_cmdclass file: +cmd_build_py versioneer.py /^ class cmd_build_py(_build_py):$/;" c function:get_cmdclass file: +cmd_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^from numpy.distutils.command.config import config as cmd_config$/;" x +cmd_exists adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ cmd_exists = lambda x: any($/;" f function:render file: +cmd_py2exe versioneer.py /^ class cmd_py2exe(_py2exe):$/;" c function:get_cmdclass file: +cmd_sdist versioneer.py /^ class cmd_sdist(_sdist):$/;" c function:get_cmdclass file: +cmd_version versioneer.py /^ class cmd_version(Command):$/;" c function:get_cmdclass file: +CMPCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CMPCertificate(rfc2459.Certificate):$/;" c +CmpKey adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ CmpKey = Tuple[$/;" v +cmplx adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ cmplx = False$/;" v class:ODE +cmplx adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ cmplx = True$/;" v class:ComplexExp +cmplx adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ cmplx = True$/;" v class:Pi +cmplx_sort adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def cmplx_sort(p):$/;" f +cmpops adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ cmpops = {"Eq":"==", "NotEq":"!=", "Lt":"<", "LtE":"<=", "Gt":">", "GtE":">=",$/;" v class:Unparser +cmpop_map adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ cmpop_map = {$/;" v class:ExprBuilder +cmp_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^ def cmp_key(label):$/;" f function:figure_edit file: +CMSAlgorithmProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^class CMSAlgorithmProtection(univ.Sequence):$/;" c +CMSAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^CMSAttribute = rfc5652.Attribute$/;" v +cmsAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^cmsAttributesMap = { }$/;" v +CMSContentConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^class CMSContentConstraints(univ.SequenceOf):$/;" c +CMSContentConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^CMSContentConstraints = rfc6010.CMSContentConstraints$/;" v +cmsContentTypesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^cmsContentTypesMap = { }$/;" v +CMSSingleAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class CMSSingleAttribute(univ.Sequence):$/;" c +CMSSingleAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class CMSSingleAttribute(univ.Sequence):$/;" c +CMSVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class CMSVersion(univ.Integer):$/;" c +CMSVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class CMSVersion(univ.Integer):$/;" c +cm_fallback adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ cm_fallback = False$/;" v class:StixFonts +CN adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^CN = np.zeros((1,2), dtype=object)$/;" v +cnames adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^cnames = CSS4_COLORS$/;" v +CNAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^CNAUPD_ERRORS = ZNAUPD_ERRORS$/;" v +CNEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^CNEUPD_ERRORS = ZNEUPD_ERRORS.copy()$/;" v +cnn adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^import caffe2.python.cnn as cnn$/;" I +CNNModelHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^class CNNModelHelper(ModelHelper):$/;" c +cnn_to_graph_def adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def cnn_to_graph_def(cnn, **kwargs):$/;" f +cnonce adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def cnonce(self):$/;" m class:Authorization +cnv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ cnv = lambda s: float(s[1:])$/;" f member:TestFromTxt.test_tricky_converter_bug1666 file: +coalesce adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ coalesce = lambda a, b: a if a is not None else b$/;" f member:Scaffold.__init__ file: +coarse_bin adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def coarse_bin(x, y, coarse):$/;" f member:Axes.hexbin file: +code adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^code = []$/;" v +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def code(self) -> grpc.StatusCode:$/;" m class:Call +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def code(self) -> grpc.StatusCode:$/;" m class:Call +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def code(self) -> grpc.StatusCode:$/;" m class:AioRpcError +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def code(self) -> grpc.StatusCode:$/;" m class:InterceptedCall +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def code(self) -> grpc.StatusCode:$/;" m class:UnaryUnaryCallResponse +code adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def code(self) -> grpc.StatusCode:$/;" m class:_StreamCallResponseIterator +code adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def code(self):$/;" m class:_Rendezvous +code adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def code(self, code):$/;" m class:_FaceServicerContext +code adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def code(self):$/;" m class:Call +code adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def code(self, code):$/;" m class:ServicerContext +code adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def code(self):$/;" m class:_InactiveRpcError +code adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def code(self):$/;" m class:_MultiThreadedRendezvous +code adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def code(self):$/;" m class:_SingleThreadedRendezvous +code adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def code(self):$/;" m class:_FailureOutcome +code adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def code(self):$/;" m class:_UnaryOutcome +code adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def code(self):$/;" m class:Call +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_block_docstring.py /^ code = """$/;" v class:TestBlockDocString +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ code = """$/;" v class:TestF77Callback +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^ code = """$/;" v class:TestNoSpace +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_quoted_character.py /^ code = """$/;" v class:TestQuotedCharacter +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ code = """$/;" v class:TestF77ReturnCharacter +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ code = """$/;" v class:TestF90ReturnCharacter +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ code = """$/;" v class:TestF77ReturnComplex +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ code = """$/;" v class:TestF90ReturnComplex +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ code = """$/;" v class:TestF77ReturnInteger +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ code = """$/;" v class:TestF90ReturnInteger +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ code = """$/;" v class:TestF77ReturnLogical +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ code = """$/;" v class:TestF90ReturnLogical +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ code = """$/;" v class:TestCReturnReal +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ code = """$/;" v class:TestF77ReturnReal +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ code = """$/;" v class:TestF90ReturnReal +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ code = """$/;" v class:TestCallstatement +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ code = """$/;" v class:TestMultiline +code adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ code = None$/;" v class:F2PyTest +Code adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^Code = enum_type_wrapper.EnumTypeWrapper(_CODE)$/;" v +code adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def code(self):$/;" m class:RecursiveScriptModule +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 400$/;" v class:BadRequest +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 401$/;" v class:Unauthorized +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 403$/;" v class:Forbidden +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 404$/;" v class:NotFound +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 405$/;" v class:MethodNotAllowed +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 406$/;" v class:NotAcceptable +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 408$/;" v class:RequestTimeout +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 409$/;" v class:Conflict +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 410$/;" v class:Gone +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 411$/;" v class:LengthRequired +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 412$/;" v class:PreconditionFailed +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 413$/;" v class:RequestEntityTooLarge +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 414$/;" v class:RequestURITooLarge +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 415$/;" v class:UnsupportedMediaType +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 416$/;" v class:RequestedRangeNotSatisfiable +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 417$/;" v class:ExpectationFailed +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 418$/;" v class:ImATeapot +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 422$/;" v class:UnprocessableEntity +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 423$/;" v class:Locked +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 424$/;" v class:FailedDependency +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 428$/;" v class:PreconditionRequired +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 429$/;" v class:TooManyRequests +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 431$/;" v class:RequestHeaderFieldsTooLarge +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 451$/;" v class:UnavailableForLegalReasons +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 500$/;" v class:InternalServerError +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 501$/;" v class:NotImplemented +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 502$/;" v class:BadGateway +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 503$/;" v class:ServiceUnavailable +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 504$/;" v class:GatewayTimeout +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = 505$/;" v class:HTTPVersionNotSupported +code adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ code = None$/;" v class:HTTPException +code adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ code = 308$/;" v class:RequestRedirect +CodeBlockProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class CodeBlockProcessor(BlockProcessor):$/;" c +Codec adpepsenv/lib/python3.8/site-packages/idna/codec.py /^class Codec(codecs.Codec):$/;" c +Codec adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^class Codec(codecs.Codec):$/;" c +Codec adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^class Codec(codecs.Codec):$/;" c +Codec adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^class Codec(codecs.Codec):$/;" c +codecs adpepsenv/lib/python3.8/site-packages/PIL/features.py /^codecs = {$/;" v +codecs_template adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^codecs_template = {$/;" v +codec_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^codec_info = codecs.CodecInfo($/;" v +codec_info adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^codec_info = codecs.CodecInfo($/;" v +CodeDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^CodeDef = _reflection.GeneratedProtocolMessageType('CodeDef', (_message.Message,), {$/;" v +CodeDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^CodeDef = _reflection.GeneratedProtocolMessageType('CodeDef', (_message.Message,), {$/;" v +CodeGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class CodeGenerator(NodeStateTracker, gast.NodeVisitor):$/;" c +CodeGeneratorRequest adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^CodeGeneratorRequest = _reflection.GeneratedProtocolMessageType('CodeGeneratorRequest', (_messag/;" v +CodeGeneratorResponse adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^CodeGeneratorResponse = _reflection.GeneratedProtocolMessageType('CodeGeneratorResponse', (_mess/;" v +CodeHilite adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^class CodeHilite:$/;" c +CodeHiliteExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^class CodeHiliteExtension(Extension):$/;" c +CodeLine adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^CodeLine = collections.namedtuple("CodeLine", ["cell_number", "code"])$/;" v +CodeLocation adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^CodeLocation = _reflection.GeneratedProtocolMessageType('CodeLocation', (_message.Message,), {$/;" v +codename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def codename(self):$/;" m class:LinuxDistribution +codename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def codename():$/;" f +CodeObjectCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^class CodeObjectCache(_TransformedFnCache):$/;" c +CodeOnlyModule adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ class CodeOnlyModule(torch.nn.Module):$/;" c function:deserialize_graphmodule file: +codepoint2name adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def codepoint2name(code):$/;" f member:AutomailInlineProcessor.handleMatch file: +codepoint_classes adpepsenv/lib/python3.8/site-packages/idna/idnadata.py /^codepoint_classes = {$/;" v +codepoint_classes adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/idnadata.py /^codepoint_classes = {$/;" v +codes adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ codes = {'best': 0, # only implemented for axes legends$/;" v class:Legend +codes adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ codes = {'upper right': 1,$/;" v class:AnchoredOffsetbox +codes adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def codes(self):$/;" m class:Path +codes adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def codes(self, codes):$/;" m class:Path +codes adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ codes = {'best': 0,$/;" v class:Table +codes adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def codes(self):$/;" m class:TextPath +codes adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/status_codes.py /^codes = LookupDict(name='status_codes')$/;" v +codes adpepsenv/lib/python3.8/site-packages/requests/status_codes.py /^codes = LookupDict(name='status_codes')$/;" v +codes_only adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^scalars_only, codes_only = zip(*scalars_and_codes)$/;" v +CODET1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^CODET1 = np.array([[3.0000, 3.0000],$/;" v +CODET2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^CODET2 = np.array([[11.0\/3, 8.0\/3],$/;" v +code_buffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def code_buffer(self):$/;" m class:CodeGenerator +code_challenge_method_plain adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^def code_challenge_method_plain(verifier, challenge):$/;" f +code_challenge_method_s256 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^def code_challenge_method_s256(verifier, challenge):$/;" f +code_escape adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def code_escape(text):$/;" f +CODE_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^CODE_TEMPLATE = """$/;" v +code_to_chars adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^def code_to_chars(code):$/;" f +code_type adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ code_type = np.uint8$/;" v class:Path +code_unescape adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def code_unescape(self, text):$/;" m class:HiliteTreeprocessor +code_with_constants adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def code_with_constants(self):$/;" m class:RecursiveScriptModule +CODIAN adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CODIAN = 0xA124$/;" v class:WAVE_FORMAT +CodingStateMachine adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^class CodingStateMachine(object):$/;" c +CodingStateMachine adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^class CodingStateMachine(object):$/;" c +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.interval(-1.0, 1.0)$/;" v class:TanhTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.lower_cholesky$/;" v class:LowerCholeskyTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.positive$/;" v class:AbsTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.positive$/;" v class:ExpTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.positive$/;" v class:PowerTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.real$/;" v class:AffineTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.simplex$/;" v class:SoftmaxTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.simplex$/;" v class:StickBreakingTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ codomain = constraints.unit_interval$/;" v class:SigmoidTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def codomain(self):$/;" m class:CatTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def codomain(self):$/;" m class:ComposeTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def codomain(self):$/;" m class:StackTransform +codomain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def codomain(self):$/;" m class:_InverseTransform +coeffs adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def coeffs(self):$/;" m class:poly1d +coeffs adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def coeffs(self, value):$/;" m class:poly1d +coefs adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ coefs = {'C': (0.5, 0.5),$/;" v class:BboxBase +coerce adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^def coerce(x,y):$/;" f +coerceAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def coerceAttribute(self, name, namespace=None):$/;" m class:InfosetFilter +coerceAttribute adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def coerceAttribute(self, name, namespace=None):$/;" m class:InfosetFilter +coerceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def coerceCharacters(self, data):$/;" m class:InfosetFilter +coerceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def coerceCharacters(self, data):$/;" m class:InfosetFilter +coerceComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def coerceComment(self, data):$/;" m class:InfosetFilter +coerceComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def coerceComment(self, data):$/;" m class:InfosetFilter +Coerced adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Coerced(Exception):$/;" c member:TestBinop.test_ufunc_binop_interaction file: +coerceElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def coerceElement(self, name):$/;" m class:InfosetFilter +coerceElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def coerceElement(self, name):$/;" m class:InfosetFilter +coercePubid adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def coercePubid(self, data):$/;" m class:InfosetFilter +coercePubid adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def coercePubid(self, data):$/;" m class:InfosetFilter +CoerceValue adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def CoerceValue(value, value_type):$/;" m class:DataTable +coerce_e adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def coerce_e(value):$/;" f +coerce_to_array adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def coerce_to_array(x):$/;" f +cohere adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def cohere(self, x, y, NFFT=256, Fs=2, Fc=0, detrend=mlab.detrend_none,$/;" m class:Axes +cohere adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def cohere(x, y, NFFT=256, Fs=2, detrend=detrend_none, window=window_hanning,$/;" f +cohere adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def cohere($/;" f +coherence adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def coherence(x, y, fs=1.0, window='hann', nperseg=None, noverlap=None,$/;" f +col adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def col (loc, strg):$/;" f +col adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def col (loc,strg):$/;" f +col adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def col (loc, strg):$/;" f +col adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def col (loc,strg):$/;" f +col adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def col(self):$/;" m class:LinearOperatorToeplitz +COLD_START_FILTER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ COLD_START_FILTER = "cold_start_filter"$/;" v class:SavedModelLabels +cold_start_filter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^def cold_start_filter(signatures, session, features):$/;" f +colebrook_eqn adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def colebrook_eqn(darcy_friction, re, dia):$/;" f function:test_array_newton_failures file: +collapse adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def collapse(operand: Array, start_dimension: int,$/;" f +collapse adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def collapse(self):$/;" m class:LowRankMatrix +collapse_addresses adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def collapse_addresses(addresses):$/;" f +collapse_repeated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def collapse_repeated(labels, seq_length, name=None):$/;" f +collapse_spaces adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^def collapse_spaces(text):$/;" f +collapse_spaces adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^def collapse_spaces(text):$/;" f +collect adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def collect(inputs, **args):$/;" f +collect adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_op_util.py /^def collect(val, collections, default_collections):$/;" f +CollectedLinks adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class CollectedLinks(object):$/;" c +Collection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class Collection(artist.Artist, cm.ScalarMappable):$/;" c +CollectionDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^CollectionDef = _reflection.GeneratedProtocolMessageType('CollectionDef', (_message.Message,), {$/;" v +CollectionDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^CollectionDef = _reflection.GeneratedProtocolMessageType('CollectionDef', (_message.Message,), {$/;" v +CollectionEndEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class CollectionEndEvent(Event):$/;" c +CollectionNode adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^class CollectionNode(Node):$/;" c +collections adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.collections as collections$/;" I +collections adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib.collections as collections$/;" I +collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def collections(self):$/;" m class:Graph +CollectionStartEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class CollectionStartEvent(NodeEvent):$/;" c +collections_abc adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ import collections as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ import collections.abc as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ import collections as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ import collections.abc as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^ import collections as collections_abc # pylint: disable=unused-import, g-import-not-at-top$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^ import collections.abc as collections_abc # pylint: disable=unused-import, g-import-not-at-to/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^ import collections as collections_abc # pylint: disable=unused-import$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^ import collections.abc as collections_abc # pylint: disable=unused-import$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^import collections.abc as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ import collections as collections_abc$/;" I +collections_abc adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ import collections.abc as collections_abc$/;" I +collective adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def collective(self):$/;" m class:Dataset +CollectiveAllReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class CollectiveAllReduce(CrossDeviceOps):$/;" c +CollectiveAllReduceExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^class CollectiveAllReduceExtended(mirrored_strategy.MirroredExtended):$/;" c +CollectiveAllReduceExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^CollectiveAllReduceExtended = ($/;" v +CollectiveAllReduceStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^class CollectiveAllReduceStrategy(distribute_lib.Strategy):$/;" c +CollectiveAllReduceStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^CollectiveAllReduceStrategy = ($/;" v +CollectiveAllReduceStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^class CollectiveAllReduceStrategyV1(distribute_lib.StrategyV1):$/;" c +CollectiveBcastRecv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveBcastRecv = tf_export("raw_ops.CollectiveBcastRecv")(_ops.to_raw_op(collective_bcast_r/;" v +CollectiveBcastSend adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveBcastSend = tf_export("raw_ops.CollectiveBcastSend")(_ops.to_raw_op(collective_bcast_s/;" v +CollectiveCommunication adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^CollectiveCommunication = CommunicationImplementation$/;" v +CollectiveCommunication adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^CollectiveCommunication = collective_util.CommunicationImplementation$/;" v +CollectiveCommunication adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import CommunicationImplementation as Collecti/;" x +CollectiveCommunication adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import CommunicationImplementation as Collecti/;" x +CollectiveCommunication adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import CommunicationImplementation as Collecti/;" x +CollectiveContext adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ class CollectiveContext(object):$/;" c +CollectiveGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveGather = tf_export("raw_ops.CollectiveGather")(_ops.to_raw_op(collective_gather))$/;" v +CollectiveGatherV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveGatherV2 = tf_export("raw_ops.CollectiveGatherV2")(_ops.to_raw_op(collective_gather_v2/;" v +CollectiveHints adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import Hints as CollectiveHints$/;" x +CollectiveHints adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import Hints as CollectiveHints$/;" x +CollectiveHints adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import Hints as CollectiveHints$/;" x +CollectiveKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^class CollectiveKeys(object):$/;" c +CollectivePermute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^CollectivePermute = tf_export("raw_ops.CollectivePermute")(_ops.to_raw_op(collective_permute))$/;" v +CollectiveReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveReduce = tf_export("raw_ops.CollectiveReduce")(_ops.to_raw_op(collective_reduce))$/;" v +CollectiveReduceV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^CollectiveReduceV2 = tf_export("raw_ops.CollectiveReduceV2")(_ops.to_raw_op(collective_reduce_v2/;" v +CollectiveReplicaLauncher adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^class CollectiveReplicaLauncher(object):$/;" c +CollectivesConcurrencyControl adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^class CollectivesConcurrencyControl(object):$/;" c +collectives_object_test_list adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^collectives_object_test_list = [$/;" v +collective_bcast_recv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_bcast_recv(T, group_size, group_key, instance_key, shape, communication_hint="aut/;" f +collective_bcast_recv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_bcast_recv_eager_fallback(T, group_size, group_key, instance_key, shape, communic/;" f +collective_bcast_send adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_bcast_send(input, group_size, group_key, instance_key, shape, communication_hint=/;" f +collective_bcast_send_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_bcast_send_eager_fallback(input, group_size, group_key, instance_key, shape, comm/;" f +collective_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_gather(input, group_size, group_key, instance_key, shape, communication_hint="aut/;" f +collective_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_gather_eager_fallback(input, group_size, group_key, instance_key, shape, communic/;" f +collective_gather_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_gather_v2(input, group_size, group_key, instance_key, communication_hint="auto", /;" f +collective_gather_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_gather_v2_eager_fallback(input, group_size, group_key, instance_key, communicatio/;" f +collective_leader adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def collective_leader(cluster_spec, task_type, task_id):$/;" f +COLLECTIVE_MANAGER_IDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^COLLECTIVE_MANAGER_IDS = "_collective_manager_ids"$/;" v +collective_manager_ids_from_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^def collective_manager_ids_from_op(op):$/;" f +collective_permute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def collective_permute(input, source_target_pairs, name=None):$/;" f +collective_permute adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def collective_permute(x, source_target_pairs, name=None):$/;" f +collective_permute_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def collective_permute_eager_fallback(input, source_target_pairs, name, ctx):$/;" f +collective_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_reduce(input, group_size, group_key, instance_key, merge_op, final_op, subdiv_off/;" f +collective_reduce_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_reduce_eager_fallback(input, group_size, group_key, instance_key, merge_op, final/;" f +collective_reduce_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_reduce_v2(input, group_size, group_key, instance_key, merge_op, final_op, communi/;" f +collective_reduce_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^def collective_reduce_v2_eager_fallback(input, group_size, group_key, instance_key, merge_op, fi/;" f +collective_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^collective_rules: Dict[core.Primitive, Callable] = {}$/;" v +Collector adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ class Collector:$/;" c function:getdata file: +collector adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ class collector:$/;" c function:getchunks file: +collect_all adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^def collect_all(futures: List[Future]) -> Future[List[Future]]:$/;" f +collect_and_distribute_fpn_rpn_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def collect_and_distribute_fpn_rpn_ref(*inputs):$/;" f +collect_blob_sizes adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def collect_blob_sizes(net):$/;" f +collect_callgrind adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def collect_callgrind(self, number=100, collect_baseline=True):$/;" m class:Timer +collect_callgrind adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def collect_callgrind($/;" m class:_ValgrindWrapper +collect_checkpoint_stats adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def collect_checkpoint_stats(self, stats):$/;" m class:CheckpointManager +collect_eqns adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def collect_eqns(jaxpr: core.Jaxpr, key: Callable):$/;" f +collect_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def collect_graphs(optimized=True):$/;" f +collect_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def collect_links(self, project_name):$/;" m class:LinkCollector +collect_parameters adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def collect_parameters(uri_query='', body=[], headers=None,$/;" f +collect_per_output_metric_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def collect_per_output_metric_info(metrics,$/;" f +collect_previous_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def collect_previous_mask(input_tensors):$/;" f +collect_producer_nodes adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def collect_producer_nodes(node):$/;" f +collect_rpn_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def collect_rpn_ref(*inputs):$/;" f +collocation_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def collocation_fun(fun, y, p, x, h):$/;" f +colNum adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def colNum(self):$/;" m class:SubplotBase +colocate_vars_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def colocate_vars_with(self, colocate_with_variable):$/;" m class:StrategyBase +colocate_vars_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def colocate_vars_with(self, colocate_with_variable):$/;" m class:StrategyExtendedV2 +colocate_vars_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def colocate_vars_with(self, colocate_with_variable):$/;" m class:_DefaultDistributionExtended +colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def colocate_with(self, op, ignore_existing=False):$/;" m class:Graph +colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def colocate_with(op, ignore_existing=False):$/;" f +colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.ops import _colocate_with as colocate_with$/;" x +colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import _colocate_with as colocate_with$/;" x +colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import _colocate_with as colocate_with$/;" x +colocation_groups adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def colocation_groups(self):$/;" m class:Operation +color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ color = QtCore.Property(QtGui.QColor, get_color, set_color)$/;" v class:ColorButton +Color adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^class Color(_Enhance):$/;" c +Color3DLUT adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class Color3DLUT(MultibandFilter):$/;" c +colorama adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ colorama = None$/;" v +colorama adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ colorama = None$/;" v +colorama adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ colorama = _colorama$/;" v +colorama_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def colorama_text(*args, **kwargs):$/;" f +Colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class Colorbar(ColorbarBase):$/;" c +colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def colorbar(self, mappable, cax=None, ax=None, use_gridspec=True, **kw):$/;" m class:Figure +colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def colorbar(mappable=None, cax=None, ax=None, **kw):$/;" f +colorbar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def colorbar(self, mappable, *, ticks=None, **kwargs):$/;" m class:CbarAxesBase +Colorbar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^class Colorbar(ColorbarBase):$/;" c +colorbar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^def colorbar(mappable, cax=None, ax=None, **kw):$/;" f +ColorbarBase adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class ColorbarBase:$/;" c +ColorbarBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^class ColorbarBase(cm.ScalarMappable):$/;" c +ColorbarPatch adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class ColorbarPatch(Colorbar):$/;" c +colorbar_doc adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^colorbar_doc = """$/;" v +colorbar_doc adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^colorbar_doc = '''$/;" v +colorbar_factory adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^def colorbar_factory(cax, mappable, **kwargs):$/;" f +ColorButton adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^class ColorButton(QtWidgets.QPushButton):$/;" c +colorChanged adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ colorChanged = QtCore.Signal(QtGui.QColor)$/;" v class:ColorButton +ColorConverter adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class ColorConverter:$/;" c +colorConverter adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^colorConverter = ColorConverter()$/;" v +colored adpepsenv/lib/python3.8/site-packages/termcolor.py /^def colored(text, color=None, on_color=None, attrs=None):$/;" f +colorize adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def colorize(image, black, white, mid=None, blackpoint=0, whitepoint=255, midpoint=127):$/;" f +colorize adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def colorize(self):$/;" m class:Compare +ColorizedStreamHandler adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class ColorizedStreamHandler(logging.StreamHandler):$/;" c +ColorLayout adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^class ColorLayout(QtWidgets.QHBoxLayout):$/;" c +Colormap adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class Colormap:$/;" c +colormap adpepsenv/lib/python3.8/site-packages/PIL/ImageColor.py /^colormap = {$/;" v +COLORMAP adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^COLORMAP = 320$/;" v +colormaps adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def colormaps():$/;" f +colormap_kw_doc adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^colormap_kw_doc = """$/;" v +colormap_kw_doc adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^colormap_kw_doc = '''$/;" v +colors adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^import matplotlib.colors as colors$/;" I +colors adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.colors as colors$/;" I +colors adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ colors = _colors_full_map$/;" v class:ColorConverter +colors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib.colors as colors$/;" I +COLORS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ COLORS = [$/;" v class:ColorizedStreamHandler +COLORS adpepsenv/lib/python3.8/site-packages/termcolor.py /^COLORS = dict($/;" v +COLOR_BLACK adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_BLACK = "black"$/;" v +COLOR_BLUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_BLUE = "blue"$/;" v +color_boxes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def color_boxes(fig, axs):$/;" f +COLOR_CYAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_CYAN = "cyan"$/;" v +COLOR_GRAY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_GRAY = "gray"$/;" v +COLOR_GREEN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_GREEN = "green"$/;" v +COLOR_MAGENTA adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_MAGENTA = "magenta"$/;" v +COLOR_RED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_RED = "red"$/;" v +color_segment adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def color_segment(segment, value, group_values):$/;" m class:_Row +COLOR_WHITE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_WHITE = "white"$/;" v +COLOR_YELLOW adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^COLOR_YELLOW = "yellow"$/;" v +colour_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def colour_text(s, fg=None, bg=None):$/;" f +colour_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def colour_text(s, fg=None, bg=None, bold=False):$/;" f +ColParams adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ColParams = _reflection.GeneratedProtocolMessageType('ColParams', (_message.Message,), {$/;" v +cols adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def cols(self):$/;" m class:MMFile +colspan adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def colspan(self):$/;" m class:SubplotSpec +cols_to_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def cols_to_vars(self):$/;" m class:_LinearModel +columnName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ columnName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +columnName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ columnName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +columnName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ columnName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +columnName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ columnName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +columnNameList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ columnNameList = Group(delimitedList(columnName)).setName("columns")$/;" v +columnNameList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ columnNameList = Group(delimitedList(columnName)).setName("columns")$/;" v +columnNameList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ columnNameList = Group(delimitedList(columnName)).setName("columns")$/;" v +columnNameList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ columnNameList = Group(delimitedList(columnName)).setName("columns")$/;" v +columns adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def columns(self):$/;" m class:DataTable +columnSpec adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ columnSpec = ('*' | columnNameList)$/;" v +columnSpec adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ columnSpec = ('*' | columnNameList)$/;" v +columnSpec adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ columnSpec = ('*' | columnNameList)$/;" v +columnSpec adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ columnSpec = ('*' | columnNameList)$/;" v +ColumnTypeParser adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ColumnTypeParser(description):$/;" m class:DataTable +columnwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def columnwise_max(X):$/;" f member:TestReductionOps.test_columnwise_max file: +column_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def column_count(self):$/;" m class:ProfileDataTableView +COLUMN_NAMES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_NAMES = NotImplemented$/;" v class:ReportBase +COLUMN_NAMES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_NAMES = ["niter", "f evals", "CG iter", "obj func", "tr radius",$/;" v class:BasicReport +COLUMN_NAMES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_NAMES = ["niter", "f evals", "CG iter", "obj func", "tr radius",$/;" v class:IPReport +COLUMN_NAMES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_NAMES = ["niter", "f evals", "CG iter", "obj func", "tr radius",$/;" v class:SQPReport +column_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def column_names(self):$/;" m class:ProfileDataTableView +column_needs_resampling adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def column_needs_resampling(i, X, Y=None):$/;" f +column_sort_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def column_sort_id(self, col):$/;" m class:ProfileDataTableView +column_stack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def column_stack(tup):$/;" f +column_stack adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def column_stack(tup):$/;" f +column_stack adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^column_stack = _fromnxfunction_seq('column_stack')$/;" v +COLUMN_WIDTHS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_WIDTHS = NotImplemented$/;" v class:ReportBase +COLUMN_WIDTHS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_WIDTHS = [7, 7, 7, 13, 10, 10, 10, 10, 13, 7]$/;" v class:IPReport +COLUMN_WIDTHS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_WIDTHS = [7, 7, 7, 13, 10, 10, 10, 10, 7]$/;" v class:SQPReport +COLUMN_WIDTHS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ COLUMN_WIDTHS = [7, 7, 7, 13, 10, 10, 10]$/;" v class:BasicReport +col_fn adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def col_fn(m: common.Measurement) -> Optional[str]:$/;" m class:Table +col_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def col_fun(y, p):$/;" f function:prepare_sys file: +COM adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def COM(self, marker):$/;" f +comb adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def comb(N, k, exact=False, repetition=False):$/;" f +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_combinations.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^from tensorflow.python.framework import test_combinations as combinations$/;" x +combinations_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^from tensorflow.python.framework import test_combinations as combinations_lib$/;" x +combine adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def combine(a_flat, b_flat):$/;" f function:associative_scan file: +combine adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def combine(a, b):$/;" f member:ImageFileDirectory_v2.load_rational file: +combine adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def combine(a, b):$/;" f member:ImageFileDirectory_v2.load_signed_rational file: +Combine adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Combine(TokenConverter):$/;" c +Combine adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Combine(TokenConverter):$/;" c +Combine adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Combine(TokenConverter):$/;" c +combine adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def combine(fn):$/;" f function:set_docstring file: +Combine adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Combine(TokenConverter):$/;" c +combine adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^combine = combinations_lib.combine$/;" v +combine adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^combine = test_combinations.combine$/;" v +combine adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^def combine(**kwargs):$/;" f +combine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^combine = test_combinations.combine$/;" v +CombineConditions adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def CombineConditions(name, condition_nets, relation):$/;" f +combined adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def combined(device_name_or_function):$/;" f function:device file: +CombinedMultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class CombinedMultiDict(ImmutableMultiDictMixin, MultiDict):$/;" c +CombinedNonMaxSuppression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^CombinedNonMaxSuppression = tf_export("raw_ops.CombinedNonMaxSuppression")(_ops.to_raw_op(combin/;" v +CombinedTfDataStats adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^CombinedTfDataStats = _reflection.GeneratedProtocolMessageType('CombinedTfDataStats', (_message./;" v +combined_commuting_positive_definite_hint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/registrations_util.py /^def combined_commuting_positive_definite_hint(operator_a, operator_b):$/;" f +combined_commuting_self_adjoint_hint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/registrations_util.py /^def combined_commuting_self_adjoint_hint(operator_a, operator_b):$/;" f +combined_non_max_suppression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def combined_non_max_suppression(boxes, scores, max_output_size_per_class, max_total_size, iou_t/;" f +combined_non_max_suppression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def combined_non_max_suppression(boxes,$/;" f +combined_non_max_suppression_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def combined_non_max_suppression_eager_fallback(boxes, scores, max_output_size_per_class, max_to/;" f +combined_non_singular_hint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/registrations_util.py /^def combined_non_singular_hint(operator_a, operator_b):$/;" f +Combiner adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Combiner(self):$/;" m class:EmbeddingLookupSparseOptions +Combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^class Combiner(object):$/;" c +CombinerPreprocessingLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^class CombinerPreprocessingLayer(PreprocessingLayer):$/;" c +CombinerPreprocessingLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer_v1.py /^class CombinerPreprocessingLayer($/;" c +CombinerType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CombinerType(object):$/;" c +combine_dict adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^def combine_dict(*dicts, **kw):$/;" f +combine_graph_defs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graph_util.py /^def combine_graph_defs(to_proto, from_proto):$/;" f +combine_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def combine_paths(self, *args):$/;" m class:system_info +combine_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def combine_paths(*args, **kws):$/;" f +combine_pvalues adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def combine_pvalues(pvalues, method='fisher', weights=None):$/;" f +combine_results adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def combine_results(result_protos, is_classification):$/;" f +combiningCharacter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^combiningCharacter = """$/;" v +combiningCharacter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^combiningCharacter = """$/;" v +COMM adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^COMM = None$/;" v +Comm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Comm [$/;" c +COMMA adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^COMMA = L(",").suppress()$/;" v +COMMA adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^COMMA = L(",").suppress()$/;" v +COMMA adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^COMMA = L(",").suppress()$/;" v +CommaDecimalPointLocale adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^class CommaDecimalPointLocale:$/;" c +Command adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^class Command(CommandContextMixIn):$/;" c +Command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^class Command:$/;" c +Command adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^class Command(_Command):$/;" c +CommandArgs adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^ CommandArgs = List[Union[str, HiddenText]]$/;" v +CommandContextMixIn adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/command_context.py /^class CommandContextMixIn(object):$/;" c +CommandError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class CommandError(PipError):$/;" c +CommandHandlerRegistry adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class CommandHandlerRegistry(object):$/;" c +CommandHistory adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class CommandHistory(object):$/;" c +CommandInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/__init__.py /^CommandInfo = namedtuple('CommandInfo', 'module_path, class_name, summary')$/;" v +CommandLineExit adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class CommandLineExit(Exception):$/;" c +CommandLineParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^class CommandLineParser:$/;" c +commands adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ commands = ($/;" v class:GraphicsContextPdf +CommandSpec adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class CommandSpec(list):$/;" c +commands_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/__init__.py /^commands_dict = OrderedDict([$/;" v +COMMANDS_FILENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^COMMANDS_FILENAME = 'pydist-commands.json'$/;" v +command_by_line adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^def command_by_line(cmd, successful_status=(0,), stacklevel=1):$/;" f +command_consumes_arguments adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ command_consumes_arguments = True$/;" v class:alias +command_consumes_arguments adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ command_consumes_arguments = False # override base$/;" v class:develop +command_consumes_arguments adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ command_consumes_arguments = True$/;" v class:easy_install +command_consumes_arguments adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ command_consumes_arguments = False$/;" v class:Command +command_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^def command_info(successful_status=(0,), stacklevel=1, **kw):$/;" f +command_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^command_re = re.compile(r'^[a-zA-Z]([a-zA-Z0-9_]*)$')$/;" v +command_spec_class adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ command_spec_class = CommandSpec$/;" v class:ScriptWriter +command_spec_class adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ command_spec_class = WindowsCommandSpec$/;" v class:WindowsScriptWriter +command_vars adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ command_vars = EnvironmentConfig($/;" v class:FCompiler +commaSeparatedList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^commaSeparatedList = delimitedList(Optional(quotedString.copy() | _commasepitem, default="")).se/;" v +commaSeparatedList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^commaSeparatedList = delimitedList( Optional( quotedString.copy() | _commasepitem, default="") )/;" v +commaSeparatedList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^commaSeparatedList = delimitedList(Optional(quotedString.copy() | _commasepitem, default="")).se/;" v +commaSeparatedList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^commaSeparatedList = delimitedList( Optional( quotedString.copy() | _commasepitem, default="") )/;" v +COMMA_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ COMMA_CHAR = ord(',')$/;" v class:TimeEncoderMixIn +comma_separated_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ comma_separated_list = delimitedList(Optional(quotedString.copy()$/;" v class:pyparsing_common +comma_separated_list adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ comma_separated_list = delimitedList( Optional( quotedString.copy() | _commasepitem, default/;" v class:pyparsing_common +comma_separated_list adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ comma_separated_list = delimitedList(Optional(quotedString.copy()$/;" v class:pyparsing_common +comma_separated_list adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ comma_separated_list = delimitedList( Optional( quotedString.copy() | _commasepitem, default/;" v class:pyparsing_common +comment adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def comment(self, comment):$/;" m class:XMLWriter +COMMENT adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^COMMENT = "Comment"$/;" v +Comment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class Comment(Element):$/;" c function:getETreeBuilder file: +Comment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Comment(builder.Comment):$/;" c member:TreeBuilder.__init__ file: +comment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def comment(self, data):$/;" m class:TreeWalker +COMMENT adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^COMMENT = Node.COMMENT_NODE$/;" v +Comment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class Comment(Element):$/;" c function:getETreeBuilder file: +Comment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Comment(builder.Comment):$/;" c member:TreeBuilder.__init__ file: +comment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def comment(self, data):$/;" m class:TreeWalker +COMMENT adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^COMMENT = Node.COMMENT_NODE$/;" v +commentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ commentClass = None$/;" v class:TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def commentClass(self, data):$/;" m class:getDomBuilder.TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ commentClass = Comment$/;" v class:getETreeBuilder.TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ commentClass = None$/;" v class:TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ commentClass = None$/;" v class:TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def commentClass(self, data):$/;" m class:getDomBuilder.TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ commentClass = Comment$/;" v class:getETreeBuilder.TreeBuilder +commentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ commentClass = None$/;" v class:TreeBuilder +commentEndBangState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentEndBangState(self):$/;" m class:HTMLTokenizer +commentEndBangState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentEndBangState(self):$/;" m class:HTMLTokenizer +commentEndDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentEndDashState(self):$/;" m class:HTMLTokenizer +commentEndDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentEndDashState(self):$/;" m class:HTMLTokenizer +commentEndState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentEndState(self):$/;" m class:HTMLTokenizer +commentEndState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentEndState(self):$/;" m class:HTMLTokenizer +commentStartDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentStartDashState(self):$/;" m class:HTMLTokenizer +commentStartDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentStartDashState(self):$/;" m class:HTMLTokenizer +commentStartState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentStartState(self):$/;" m class:HTMLTokenizer +commentStartState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentStartState(self):$/;" m class:HTMLTokenizer +commentState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def commentState(self):$/;" m class:HTMLTokenizer +commentState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def commentState(self):$/;" m class:HTMLTokenizer +CommentValue adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^class CommentValue(object):$/;" c +COMMENT_RE adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^COMMENT_RE = re.compile(r'(^|\\s+)#.*$')$/;" v +comment_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^comment_type = etree.Comment("asd").tag$/;" v +comment_type adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^comment_type = etree.Comment("asd").tag$/;" v +commit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def commit(self, finish_net):$/;" m class:Writer +commit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def commit(self, finish_net):$/;" m class:_DatasetWriter +commit adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def commit(self):$/;" m class:StashedUninstallPathSet +commit adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def commit(self):$/;" m class:UninstallPathSet +commit adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def commit(self):$/;" m class:FileOperator +CommitId adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^CommitId = _reflection.GeneratedProtocolMessageType('CommitId', (_message.Message,), {$/;" v +common2fortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def common2fortran(common, tab=''):$/;" f +CommonEndpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class CommonEndpoints(SerializedAttributes.with_attributes($/;" c +commonhooks adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^commonhooks = {'commonhooks': '\/*need_commonhooks*\/',$/;" v +commonHTMLEntity adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^commonHTMLEntity = Regex('&(?P' + '|'.join(_htmlEntityMap.keys()) +");").setName("common/;" v +commonHTMLEntity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^commonHTMLEntity = Regex('&(?P' + '|'.join(_htmlEntityMap.keys()) +");").setName("common/;" v +commonHTMLEntity adpepsenv/lib/python3.8/site-packages/pyparsing.py /^commonHTMLEntity = Regex('&(?P' + '|'.join(_htmlEntityMap.keys()) +");").setName("common/;" v +commonHTMLEntity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^commonHTMLEntity = Regex('&(?P' + '|'.join(_htmlEntityMap.keys()) +");").setName("common/;" v +CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CommonName(char.PrintableString):$/;" c +CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CommonName(char.PrintableString):$/;" c +CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CommonName(char.PrintableString):$/;" c +commonpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^commonpattern = re.compile($/;" v +commonprefix adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def commonprefix(m):$/;" f +CommonRequestDescriptorsMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^class CommonRequestDescriptorsMixin(object):$/;" c +CommonResponseDescriptorsMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^class CommonResponseDescriptorsMixin(object):$/;" c +CommonStateObject adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class CommonStateObject(object):$/;" c +common_args adpepsenv/lib/python3.8/site-packages/torch/_tensor_docs.py /^common_args = parse_kwargs("""$/;" v +common_args adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^common_args = parse_kwargs("""$/;" v +common_doc1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^common_doc1 = \\$/;" v +common_doc2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^common_doc2 = \\$/;" v +common_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def common_fill_value(a, b):$/;" f +COMMON_HIPCC_FLAGS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^COMMON_HIPCC_FLAGS = [$/;" v +common_keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ common_keys = set(('name', 'version', 'license', 'keywords', 'summary'))$/;" v class:Metadata +COMMON_MSVC_FLAGS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^COMMON_MSVC_FLAGS = ['\/MD', '\/wd4819', '\/wd4251', '\/wd4244', '\/wd4267', '\/wd4275', '\/wd40/;" v +common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^common_name = univ.Integer(1)$/;" v +common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^common_name = univ.Integer(1)$/;" v +common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^common_name = univ.Integer(1)$/;" v +COMMON_NVCC_FLAGS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^COMMON_NVCC_FLAGS = [$/;" v +common_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def common_shape(x, y):$/;" f +common_sign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def common_sign2map(a, var): # obsolute$/;" f +common_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def common_spec(x, y):$/;" f function:infer_inputs_from_restored_call_function file: +common_texification adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def common_texification(text):$/;" f +common_type adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def common_type(*arrays):$/;" f +common_usage adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ common_usage = ""$/;" v class:main.DistributionWithoutHelpCommands +common_usage adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ common_usage = """\\$/;" v class:Distribution +CommSocket adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^class CommSocket:$/;" c +CommunicationError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^class CommunicationError(RuntimeError):$/;" c +CommunicationImplementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^class CommunicationImplementation(enum.Enum):$/;" c +CommunicationImplementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^CommunicationImplementation = collective_util.CommunicationImplementation$/;" v +CommunicationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import _OptionsExported as CommunicationOption/;" x +CommunicationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import _OptionsExported as CommunicationOption/;" x +CommunicationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_util import _OptionsExported as CommunicationOption/;" x +Community adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class Community(univ.OctetString):$/;" c +Community adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class Community(univ.ObjectIdentifier):$/;" c +CommunityConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class CommunityConfirm(univ.Choice):$/;" c +CommunityIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class CommunityIdentifier(univ.Choice):$/;" c +CommunityIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^CommunityIdentifier = rfc4108.CommunityIdentifier$/;" v +CommunityIdentifierList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class CommunityIdentifierList(univ.SequenceOf):$/;" c +CommunityIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class CommunityIdentifiers(univ.SequenceOf):$/;" c +CommunityIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^CommunityIdentifiers = rfc4108.CommunityIdentifiers$/;" v +CommunityUpdates adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class CommunityUpdates(univ.Sequence):$/;" c +comm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ comm_fn = lambda x: x + 1$/;" f member:OneDeviceDistributionTestBase._test_device_and_input_device_are_colocated file: +comm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ comm_fn = lambda x: x + 1$/;" f member:OneDeviceDistributionTestBase._test_device_and_input_device_are_colocated_with_function file: +comm_split adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def comm_split(comm, root=0):$/;" f +comp adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ comp = lambda dc, dtc: dc >= dtc$/;" f member:rrulebase.xafter file: +compact adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def compact(paths):$/;" f +companion adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def companion(a):$/;" f +CompaqFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^class CompaqFCompiler(FCompiler):$/;" c +CompaqVisualFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^class CompaqVisualFCompiler(FCompiler):$/;" c +comparable_formats adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def comparable_formats():$/;" f +compare adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def compare(i, j):$/;" f member:TestBooleanMaskOp.test_sequence_mask_batching_triangle file: +compare adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def compare(i, j):$/;" f member:TestBooleanMaskOp.test_sequence_mask_triangle file: +Compare adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/lstm_comparison.py /^def Compare(args):$/;" f +compare adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def compare(self, idx, baseline, extension, *, _lock=False):$/;" m class:_ImageComparisonBase +compare adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^ def compare(x, y):$/;" f function:assert_array_almost_equal file: +compare adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^ def compare(x, y):$/;" f function:assert_array_approx_equal file: +compare adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^ def compare(x, y):$/;" f function:fail_if_array_equal file: +compare adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def compare(x, y):$/;" f function:assert_allclose file: +compare adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def compare(x, y):$/;" f function:assert_array_almost_equal file: +Compare adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^class Compare(object):$/;" c +CompareAndBitpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^CompareAndBitpack = tf_export("raw_ops.CompareAndBitpack")(_ops.to_raw_op(compare_and_bitpack))$/;" v +CompareNets adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def CompareNets(nets, outputs, outputs_with_grad_ids,$/;" m class:NetGradientChecker +CompareRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class CompareRequest(univ.Sequence):$/;" c +CompareResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class CompareResponse(LDAPResult):$/;" c +compare_accumulators adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ compare_accumulators = assertAllCloseOrEqual$/;" v class:PreprocessingLayerTest +compare_and_bitpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def compare_and_bitpack(input, threshold, name=None):$/;" f +compare_and_bitpack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def compare_and_bitpack_eager_fallback(input, threshold, name, ctx):$/;" f +compare_and_visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def compare_and_visit(self, node, pattern):$/;" m class:PatternMatcher +compare_array_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def compare_array_value(self, dz, value, fill_value):$/;" m class:TestLikeFuncs +compare_coeffs_to_alt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def compare_coeffs_to_alt(window_length, order):$/;" f +compare_executors adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^ def compare_executors(self, model, ref_executor, test_executor, model_run_func):$/;" m class:ExecutorTestBase +compare_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def compare_figure(fname, savefig_kwargs={}, tol=0):$/;" f +compare_functions_1v adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^def compare_functions_1v(func, nloop=500,$/;" f +compare_functions_2v adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^def compare_functions_2v(func, nloop=500, test=True,$/;" f +compare_images adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def compare_images(expected, actual, tol, in_decorator=False):$/;" f +compare_matrix_multiply_results adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def compare_matrix_multiply_results(self, tp):$/;" m class:TestUfunc +compare_medians_ms adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def compare_medians_ms(group_1, group_2, axis=None):$/;" f +compare_methods adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^def compare_methods(methodname, args, vars='x', nloop=500, test=True,$/;" f +compare_model_outputs adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def compare_model_outputs($/;" f +compare_model_stub adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def compare_model_stub($/;" f +compare_networks adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def compare_networks(self, other):$/;" m class:_BaseNetwork +COMPARE_OP adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^COMPARE_OP = re.compile(r'^(<=?|>=?|={2,3}|[~!]=)\\s*')$/;" v +compare_outputs adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def compare_outputs(original, reference, match_what):$/;" f function:_check_trace file: +compare_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^ def compare_reference($/;" m class:TestBisectPercentileOp +compare_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def compare_reference(weight, group_boundaries, reg_lambda, output):$/;" f member:TestRegularizer.test_group_l1_norm file: +compare_results adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^def compare_results(res, desired):$/;" f +compare_results adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^def compare_results(res, desired):$/;" f +compare_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def compare_results(results_with_ds,$/;" f +compare_rowwise adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^def compare_rowwise(emb_orig, emb_reconstructed, fp16):$/;" f +compare_solutions adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def compare_solutions(A, B, m):$/;" f +compare_test adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def compare_test(self, inputs, outputs, grad_ops, atol=1e-7, rtol=1e-7):$/;" m class:SerializedTestCase +compare_urls adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def compare_urls(cls, url1, url2):$/;" m class:VersionControl +compare_versions adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def compare_versions(a, b):$/;" f +compare_weights adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def compare_weights(float_dict, quantized_dict):$/;" f +compare_with_numpy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def compare_with_numpy(self, torch_fn, np_fn, tensor_like,$/;" m class:TestCase +compare_yellowfin_models adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def compare_yellowfin_models(self,$/;" m class:TestYellowFin +Compatibility adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^Compatibility = _reflection.GeneratedProtocolMessageType('Compatibility', (_message.Message,), {$/;" v +CompatibilityVerdict adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^CompatibilityVerdict = enum_type_wrapper.EnumTypeWrapper(_COMPATIBILITYVERDICT)$/;" v +compatible_platforms adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def compatible_platforms(provided, required):$/;" f +compatible_platforms adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def compatible_platforms(provided, required):$/;" f +COMPATIBLE_TAGS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^COMPATIBLE_TAGS = compatible_tags()$/;" v +compatible_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^def compatible_tags():$/;" f +compatible_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def compatible_tags($/;" f +compatible_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def compatible_tags($/;" f +CompatV1ImportReplacer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^class CompatV1ImportReplacer(ast.NodeVisitor):$/;" c +compat_range adpepsenv/lib/python3.8/site-packages/flatbuffers/__init__.py /^from .compat import range_func as compat_range$/;" x +compat_system adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def compat_system(source_dir):$/;" f +compat_v1_import adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^compat_v1_import = VersionedTFImport("compat.v1")$/;" v +compat_v2_import adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^compat_v2_import = VersionedTFImport("compat.v2")$/;" v +CompilationResultProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^CompilationResultProto = _reflection.GeneratedProtocolMessageType('CompilationResultProto', (_me/;" v +CompilationUnit adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class CompilationUnit(object):$/;" c +COMPILATION_UNITS adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^COMPILATION_UNITS = [$/;" v +compile adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def compile(self, session_class):$/;" m class:Job +compile adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def compile(cls, runnable, workspace_type=None, setup_net_list=None):$/;" m class:Session +compile adpepsenv/lib/python3.8/site-packages/numpy/f2py/__init__.py /^def compile(source,$/;" f +compile adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^ def compile(self):$/;" m class:FontFile +compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def compile(self, sources,$/;" m class:BCPPCompiler +compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def compile(self, sources, output_dir=None, macros=None,$/;" m class:CCompiler +compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def compile(self, sources,$/;" m class:MSVCCompiler +compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def compile(self, sources,$/;" m class:MSVCCompiler +compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def compile(self, sources,$/;" m class:MSVCCompiler +compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def compile(computation, inputs=None): # pylint: disable=redefined-builtin$/;" f +compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def compile(self,$/;" m class:Model +compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def compile(self,$/;" m class:Model +compile adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def compile(self):$/;" m class:Rule +compiledREtype adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ compiledREtype = type(re.compile("[A-Z]"))$/;" v class:Regex +compiledREtype adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ compiledREtype = type(re.compile("[A-Z]"))$/;" v class:Regex +CompiledRunnable adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^class CompiledRunnable(object):$/;" c +compiled_interp adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ interp as compiled_interp, interp_complex as compiled_interp_complex$/;" x +compiled_interp_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ interp as compiled_interp, interp_complex as compiled_interp_complex$/;" x +COMPILED_SOURCE_SUFFIXES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^COMPILED_SOURCE_SUFFIXES = (".pyc", ".pyo")$/;" v +compiled_with_cuda adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def compiled_with_cuda(sysinfo):$/;" f +compiled_with_cxx11_abi adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def compiled_with_cxx11_abi():$/;" f +CompileError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class CompileError (CCompilerError):$/;" c +CompileOptions adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^CompileOptions = _xla.CompileOptions$/;" v +compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ compiler = customized_fcompiler(compiler='nagfor')$/;" v +CompilerNotFound adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^class CompilerNotFound(Exception):$/;" c +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^compilers = ['AbsoftFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^compilers = ['CompaqFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^compilers = ['G95FCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^compilers = ['GnuFCompiler', 'Gnu95FCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^compilers = ['HPUXFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^compilers = ['IBMFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^compilers = ['IntelFCompiler', 'IntelVisualFCompiler',$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^compilers = ['LaheyFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^compilers = ['MIPSFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^compilers = ['NAGFCompiler', 'NAGFORCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/none.py /^compilers = ['NoneFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^compilers = ['NVHPCFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^compilers = ['PathScaleFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^compilers = ['PGroupFCompiler', 'PGroupFlangCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^compilers = ['SunFCompiler']$/;" v +compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^compilers = ['VastFCompiler']$/;" v +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ compiler_aliases = ('g77', )$/;" v class:GnuFCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ compiler_aliases = ('gfortran', )$/;" v class:Gnu95FCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_aliases = ('ifort',)$/;" v class:IntelFCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_aliases = ()$/;" v class:IntelEM64TFCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_aliases = ()$/;" v class:IntelItaniumFCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ compiler_aliases = ()$/;" v class:VastFCompiler +compiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compiler_aliases = ()$/;" v class:FCompiler +compiler_class adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^compiler_class = { 'unix': ('unixccompiler', 'UnixCCompiler',$/;" v +compiler_cxx adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_cxx = 'icl'$/;" v class:IntelCCompilerW +compiler_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compiler_f77 = _command_property('compiler_f77')$/;" v class:FCompiler +compiler_f90 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compiler_f90 = _command_property('compiler_f90')$/;" v class:FCompiler +compiler_fix adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compiler_fix = _command_property('compiler_fix')$/;" v class:FCompiler +compiler_ir_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def compiler_ir_generator(stage='hlo'):$/;" f member:Function.experimental_get_compiler_ir file: +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ compiler_type = 'absoft'$/;" v class:AbsoftFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ compiler_type = 'compaq'$/;" v class:CompaqFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ compiler_type = 'compaqv'$/;" v class:CompaqVisualFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ compiler_type = 'g95'$/;" v class:G95FCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ compiler_type = 'gnu'$/;" v class:GnuFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ compiler_type = 'gnu95'$/;" v class:Gnu95FCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ compiler_type = 'hpux'$/;" v class:HPUXFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ compiler_type = 'ibm'$/;" v class:IBMFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intel'$/;" v class:IntelFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intele'$/;" v class:IntelItaniumFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intelem'$/;" v class:IntelEM64TFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intelev'$/;" v class:IntelItaniumVisualFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intelv'$/;" v class:IntelVisualFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compiler_type = 'intelvem'$/;" v class:IntelEM64VisualFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ compiler_type = 'lahey'$/;" v class:LaheyFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ compiler_type = 'mips'$/;" v class:MIPSFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ compiler_type = 'nag'$/;" v class:NAGFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ compiler_type = 'nagfor'$/;" v class:NAGFORCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/none.py /^ compiler_type = 'none'$/;" v class:NoneFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ compiler_type = 'nv'$/;" v class:NVHPCFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ compiler_type = 'pathf95'$/;" v class:PathScaleFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ compiler_type = 'flang'$/;" v class:PGroupFlangCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ compiler_type = 'pg'$/;" v class:PGroupFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ compiler_type = 'sun'$/;" v class:SunFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ compiler_type = 'vast'$/;" v class:VastFCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compiler_type = None$/;" v class:FCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_type = 'intelemw'$/;" v class:IntelEM64TCCompilerW +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_type = 'intelw'$/;" v class:IntelCCompilerW +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_type = 'intel'$/;" v class:IntelCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_type = 'intele'$/;" v class:IntelItaniumCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ compiler_type = 'intelem'$/;" v class:IntelEM64TCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ compiler_type = 'mingw32'$/;" v class:Mingw32CCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/numpy/distutils/pathccompiler.py /^ compiler_type = 'pathcc'$/;" v class:PathScaleCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ compiler_type = 'bcpp'$/;" v class:BCPPCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ compiler_type = None$/;" v class:CCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ compiler_type = 'cygwin'$/;" v class:CygwinCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ compiler_type = 'mingw32'$/;" v class:Mingw32CCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ compiler_type = 'msvc'$/;" v class:MSVCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ compiler_type = 'msvc'$/;" v class:MSVCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ compiler_type = 'unix'$/;" v class:UnixCCompiler +compiler_type adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ compiler_type = 'msvc'$/;" v class:MSVCCompiler +COMPILER_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^COMPILER_VERSION = __compiler_version__$/;" v +compile_args_from_training_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def compile_args_from_training_config(training_config, custom_objects=None):$/;" f +compile_lock adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ compile_lock = Lock()$/;" v class:_ScriptLocalOptimizer +compile_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ compile_switch = '\/compile_only'$/;" v class:CompaqVisualFCompiler +compile_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ compile_switch = '\/c '$/;" v class:IntelVisualFCompiler +compile_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ compile_switch = "-c"$/;" v class:FCompiler +compile_unbound_method adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def compile_unbound_method(concrete_type, fn):$/;" f +complete adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def complete(self, terminal_metadata, code, details):$/;" m class:ResponseReceiver +complete adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def complete(y):$/;" f +COMPLETED adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ COMPLETED = 'completed'$/;" v class:Outcome.Kind +CompleteInTimeOrDie adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^def CompleteInTimeOrDie(timeout_secs):$/;" f +complete_absl_config adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def complete_absl_config(self, absl_flags):$/;" m class:Config +Completion adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class Completion(six.with_metaclass(abc.ABCMeta)):$/;" c +completion adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/utilities.py /^def completion(terminal_metadata, code, message):$/;" f +CompletionCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^class CompletionCommand(Command):$/;" c +COMPLETION_SCRIPTS adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^COMPLETION_SCRIPTS = {$/;" v +complex adpeps/utils/empty_tensor.py /^ def complex(self):$/;" m class:EmptyT +complex adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def complex(x, y):$/;" f +complex adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def complex(self):$/;" m class:_LazyDtypes +complex adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def complex(x: Array, y: Array) -> Array:$/;" f +Complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class Complex:$/;" c +complex adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^complex = _broadcasting_binary_op(math_ops.complex)$/;" v +Complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Complex = tf_export("raw_ops.Complex")(_ops.to_raw_op(_complex))$/;" v +complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def complex(real, imag, name=None):$/;" f +complex128 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^complex128 = cdouble = _make_scalar_type(np.complex128)$/;" v +COMPLEX128 adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ COMPLEX128 = 1$/;" v class:PocketFftDtype +complex128 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^complex128 = DType(types_pb2.DT_COMPLEX128)$/;" v +COMPLEX128 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ COMPLEX128 = 11$/;" v class:TensorType +COMPLEX128 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^COMPLEX128 = 12$/;" v +complex128 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^complex128 = DType(types_pb2.DT_COMPLEX128)$/;" v +complex128 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^complex128 = np_export.np_export_constant(__name__, 'complex128', np.complex128)$/;" v +complex128_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^complex128_ref = DType(types_pb2.DT_COMPLEX128_REF)$/;" v +complex128_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^complex128_ref = DType(types_pb2.DT_COMPLEX128_REF)$/;" v +complex64 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^complex64 = csingle = _make_scalar_type(np.complex64)$/;" v +COMPLEX64 adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ COMPLEX64 = 0$/;" v class:PocketFftDtype +complex64 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^complex64 = DType(types_pb2.DT_COMPLEX64)$/;" v +COMPLEX64 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^COMPLEX64 = dtypes.complex64$/;" v +COMPLEX64 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ COMPLEX64 = 8$/;" v class:TensorType +COMPLEX64 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^COMPLEX64 = 8$/;" v +complex64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^complex64 = DType(types_pb2.DT_COMPLEX64)$/;" v +complex64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^complex64 = np_export.np_export_constant(__name__, 'complex64', np.complex64)$/;" v +complex64_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^complex64_ref = DType(types_pb2.DT_COMPLEX64_REF)$/;" v +complex64_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^complex64_ref = DType(types_pb2.DT_COMPLEX64_REF)$/;" v +ComplexAbs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ComplexAbs = tf_export("raw_ops.ComplexAbs")(_ops.to_raw_op(complex_abs))$/;" v +ComplexArg adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^class ComplexArg(object):$/;" c +ComplexDoubleStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class ComplexDoubleStorage(_CudaBase, torch._C.CudaComplexDoubleStorageBase, _StorageBase):$/;" c +ComplexDoubleStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class ComplexDoubleStorage(_C.ComplexDoubleStorageBase, _StorageBase):$/;" c +ComplexExp adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class ComplexExp(ODE):$/;" c +complexfloating adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^complexfloating = np.complexfloating$/;" v +ComplexFloatingFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class ComplexFloatingFormat:$/;" c +ComplexFloatStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class ComplexFloatStorage(_CudaBase, torch._C.CudaComplexFloatStorageBase, _StorageBase):$/;" c +ComplexFloatStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class ComplexFloatStorage(_C.ComplexFloatStorageBase, _StorageBase):$/;" c +complexjson adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^from .compat import json as complexjson$/;" x +complexjson adpepsenv/lib/python3.8/site-packages/requests/models.py /^from .compat import json as complexjson$/;" x +ComplexStruct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ComplexStruct = tf_export("raw_ops.ComplexStruct")(_ops.to_raw_op(complex_struct))$/;" v +ComplexWarning adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ComplexWarning = np.ComplexWarning$/;" v +ComplexWarning adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^class ComplexWarning(RuntimeWarning):$/;" c +complex_ adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^complex_ = np.complex128$/;" v +complex_ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^complex_ = complex64 if dtypes.complex_ == np.complex64 else complex128$/;" v +complex_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^complex_ = np_export.np_export_constant(__name__, 'complex_', np.complex_)$/;" v +complex_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def complex_abs(x, Tout=_dtypes.float32, name=None):$/;" f +complex_abs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def complex_abs_eager_fallback(x, Tout, name, ctx):$/;" f +complex_double adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def complex_double(self):$/;" m class:_StorageBase +COMPLEX_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^COMPLEX_DTYPES = [np.complex64, np.complex128, np.clongdouble]$/;" v +COMPLEX_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^COMPLEX_DTYPES = [complex64, complex128]$/;" v +COMPLEX_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^COMPLEX_DTYPES = [np.complex64, np.complex128]$/;" v +COMPLEX_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^COMPLEX_DTYPES = (np.complex64, np.complex128)$/;" v +COMPLEX_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^COMPLEX_DTYPES = [np.complex64, np.complex128]$/;" v +complex_float adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def complex_float(self):$/;" m class:_StorageBase +complex_floating_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^complex_floating_types = np.complexfloating.__subclasses__()$/;" v +complex_ode adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class complex_ode(ode):$/;" c +complex_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^complex_p = naryop(_complex_dtype, [_complex_elem_types, _complex_elem_types],$/;" v +complex_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^complex_points = [complex(*tup) for tup in product(real_points, repeat=2)]$/;" v +complex_resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def complex_resnet():$/;" f +complex_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^complex_spectrum = functools.partial(_single_spectrum_helper, "complex")$/;" v +complex_struct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def complex_struct(n_a, n_b, t_c, name=None):$/;" f +complex_struct_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def complex_struct_eager_fallback(n_a, n_b, t_c, name, ctx):$/;" f +complex_types adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/__init__.py /^complex_types = [numpy.complex64, numpy.complex128]$/;" v +complex_types adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^complex_types = (_numbers.Complex, _np.number)$/;" v +complex_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^complex_types = (_numbers.Complex, _np.number)$/;" v +complex_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def complex_types():$/;" f +ComplicatedSubArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class ComplicatedSubArray(SubArray):$/;" c +compmap adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^compmap = {"<": operator.lt, "<=": operator.le, "==": operator.eq,$/;" v +COMPONENT adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ COMPONENT = 'component:'$/;" v class:Tags +ComponentAbsentConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ComponentAbsentConstraint(AbstractConstraint):$/;" c +ComponentPresentConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ComponentPresentConstraint(AbstractConstraint):$/;" c +components adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def components(self):$/;" m class:ConstructedAsn1Type +components adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def components(self):$/;" m class:SequenceAndSetBase +components adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def components(self):$/;" m class:SequenceOfAndSetOfBase +componentTagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def componentTagMap(self):$/;" m class:SequenceOfAndSetOfBase +componentTagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def componentTagMap(self):$/;" m class:Set +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ componentType = None$/;" v class:ConstructedAsn1Type +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = namedtype.NamedTypes()$/;" v class:Choice +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = namedtype.NamedTypes()$/;" v class:Sequence +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = namedtype.NamedTypes()$/;" v class:SequenceAndSetBase +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = namedtype.NamedTypes()$/;" v class:Set +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = None$/;" v class:SequenceOf +componentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ componentType = None$/;" v class:SetOf +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ componentType = namedtype.NamedTypes($/;" v class:ApplicationSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ componentType = namedtype.NamedTypes($/;" v class:NetworkAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ componentType = namedtype.NamedTypes($/;" v class:ObjectSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ componentType = namedtype.NamedTypes($/;" v class:SimpleSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = namedtype.NamedTypes($/;" v class:Message +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = namedtype.NamedTypes($/;" v class:Pdus +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = namedtype.NamedTypes($/;" v class:TrapPDU +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = namedtype.NamedTypes($/;" v class:VarBind +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = namedtype.NamedTypes($/;" v class:_RequestBase +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ componentType = VarBind()$/;" v class:VarBindList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1901.py /^ componentType = namedtype.NamedTypes($/;" v class:Message +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ componentType = namedtype.NamedTypes($/;" v class:ApplicationSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ componentType = namedtype.NamedTypes($/;" v class:ObjectSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ componentType = namedtype.NamedTypes($/;" v class:SimpleSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = namedtype.NamedTypes($/;" v class:BulkPDU +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = namedtype.NamedTypes($/;" v class:PDU +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = namedtype.NamedTypes($/;" v class:PDUs +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = namedtype.NamedTypes($/;" v class:VarBind +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = namedtype.NamedTypes($/;" v class:_BindValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ componentType = VarBind()$/;" v class:VarBindList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = AttributeDescription()$/;" v class:AttributeDescriptionList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = Control()$/;" v class:Controls +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = LDAPURL()$/;" v class:Referral +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = LDAPURL()$/;" v class:SearchResultReference +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:AddRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:Attribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeTypeAndValues +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeValueAssertion +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:AuthenticationChoice +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:BindRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:BindResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:CompareRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:Control +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:Filter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:Filter2 +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:Filter3 +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:LDAPMessage +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:LDAPResult +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:MatchingRuleAssertion +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:ModifyDNRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:ModifyRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:SaslCredentials +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:SearchRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:SearchResultEntry +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = namedtype.NamedTypes($/;" v class:SubstringFilter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = univ.Sequence($/;" v class:AttributeList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ componentType = univ.Sequence($/;" v class:PartialAttributeList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^ componentType = Attribute()$/;" v class:Attributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^ componentType = namedtype.NamedTypes($/;" v class:CertificationRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^ componentType = namedtype.NamedTypes($/;" v class:CertificationRequestInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = Attribute()$/;" v class:Attributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = CertificateRevocationList()$/;" v class:CertificateRevocationLists +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = DigestAlgorithmIdentifier()$/;" v class:DigestAlgorithmIdentifiers +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = ExtendedCertificateOrCertificate()$/;" v class:ExtendedCertificatesAndCertificates +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:Attribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeValueAssertion +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:CertificateRevocationList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:ContentInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:CRLEntry +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:DigestedData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:DigestInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedContentInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:EnvelopedData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedCertificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedCertificateInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedCertificateOrCertificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:IssuerAndSerialNumber +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:RecipientInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:SignedAndEnvelopedData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:SignedData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:SignerInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = namedtype.NamedTypes($/;" v class:TBSCertificateRevocationList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = RecipientInfo()$/;" v class:RecipientInfos +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^ componentType = SignerInfo()$/;" v class:SignerInfos +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAES_OAEP_params +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAPrivateKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAPublicKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = AccessDescription()$/;" v class:AuthorityInfoAccessSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = Attribute()$/;" v class:SubjectDirectoryAttributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = AttributeTypeAndValue()$/;" v class:RelativeDistinguishedName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = BuiltInDomainDefinedAttribute()$/;" v class:BuiltInDomainDefinedAttributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = DistributionPoint()$/;" v class:CRLDistPointsSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = Extension()$/;" v class:Extensions +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = ExtensionAttribute()$/;" v class:ExtensionAttributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = GeneralName()$/;" v class:GeneralNames +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = GeneralSubtree()$/;" v class:GeneralSubtrees +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = KeyPurposeId()$/;" v class:ExtKeyUsageSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AccessDescription +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AdministrationDomainName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AlgorithmIdentifier +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AnotherName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Attribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeTypeAndValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:AuthorityKeyIdentifier +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:BasicConstraints +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:BuiltInDomainDefinedAttribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:BuiltInStandardAttributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Certificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:CertificateList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:CountryName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DirectoryString +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DisplayText +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DistributionPoint +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DistributionPointName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DomainParameters +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:DSAPrivateKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Dss_Parms +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Dss_Sig_Value +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:E163_4_address +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:EDIPartyName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtendedNetworkAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Extension +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtensionAttribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:GeneralName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:GeneralSubtree +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:IssuingDistributionPoint +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Name +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:NameConstraints +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:NoticeReference +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:ORAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PDSParameter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PersonalName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PhysicalDeliveryCountryName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PolicyConstraints +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PolicyInformation +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PolicyMapping +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PolicyQualifierInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PostalCode +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PresentationAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PrivateDomainName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:PrivateKeyUsagePeriod +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:RevokedCertificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:SubjectPublicKeyInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:TBSCertificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:TBSCertList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:TeletexDomainDefinedAttribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:TeletexPersonalName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Time +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:UnformattedPostalAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:UserNotice +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:ValidationParms +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:Validity +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520CommonName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520LocalityName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520name +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520OrganizationalUnitName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520OrganizationName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520StateOrProvinceName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = namedtype.NamedTypes($/;" v class:X520Title +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = OrganizationalUnitName()$/;" v class:OrganizationalUnitNames +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = PolicyInformation()$/;" v class:CertificatePolicies +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = PolicyMapping()$/;" v class:PolicyMappings +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = RelativeDistinguishedName()$/;" v class:RDNSequence +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = TeletexDomainDefinedAttribute()$/;" v class:TeletexDomainDefinedAttributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ componentType = TeletexOrganizationalUnitName()$/;" v class:TeletexOrganizationalUnitNames +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = AttributeTypeAndValue()$/;" v class:Controls +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = CertReqMsg()$/;" v class:CertReqMessages +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:CertId +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:CertReqMsg +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:CertRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:CertTemplate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:OptionalValidity +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:PBMParameter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIArchiveOptions +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIPublicationInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:PKMACValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:POPOPrivKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:POPOSigningKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:POPOSigningKeyInput +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:ProofOfPossession +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ componentType = namedtype.NamedTypes($/;" v class:SinglePubInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:BasicOCSPResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:CertID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:CertStatus +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:OCSPRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:OCSPResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:Request +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:ResponderID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:ResponseBytes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:ResponseData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:RevokedInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:Signature +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:SingleResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = namedtype.NamedTypes($/;" v class:TBSRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ componentType = univ.ObjectIdentifier()$/;" v class:AcceptableResponses +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2631.py /^ componentType = namedtype.NamedTypes($/;" v class:KeySpecificInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2631.py /^ componentType = namedtype.NamedTypes($/;" v class:OtherInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^ componentType = char.UTF8String()$/;" v class:SecurityCategoryValues +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:Characteristic_two +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:Curve +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:DomainParameters +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:Dss_Parms +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:Dss_Sig_Value +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:ECDSA_Sig_Value +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:ECParameters +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:EcpkParameters +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:FieldID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:Pentanomial +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAPublicKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ componentType = namedtype.NamedTypes($/;" v class:ValidationParms +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^ componentType = namedtype.NamedTypes($/;" v class:HeaderData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^ componentType = namedtype.NamedTypes($/;" v class:ScopedPDU +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^ componentType = namedtype.NamedTypes($/;" v class:ScopedPduData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^ componentType = namedtype.NamedTypes($/;" v class:SNMPv3Message +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3414.py /^ componentType = namedtype.NamedTypes($/;" v class:UsmSecurityParameters +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^ componentType = namedtype.NamedTypes($/;" v class:OtherPrimeInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAPrivateKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^ componentType = OtherPrimeInfo()$/;" v class:OtherPrimeInfos +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^ componentType = namedtype.NamedTypes($/;" v class:PermanentIdentifier +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PollRepContent.CertReq +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PollReqContent.CertReq +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = CertReq()$/;" v class:PollRepContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = CertReq()$/;" v class:PollReqContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = CertStatus()$/;" v class:CertConfirmContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = Challenge()$/;" v class:POPODecKeyChallContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = char.UTF8String()$/;" v class:PKIFreeText +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = InfoTypeAndValue()$/;" v class:GenMsgContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = InfoTypeAndValue()$/;" v class:GenRepContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CAKeyUpdAnnContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CertifiedKeyPair +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CertOrEncCert +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CertRepMessage +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CertResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:CertStatus +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:Challenge +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:DHBMParameter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:ErrorMsgContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:InfoTypeAndValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:KeyRecRepContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:OOBCertHash +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PBMParameter +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIBody +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIHeader +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIMessage +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:PKIStatusInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:ProtectedPart +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:RevAnnContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:RevDetails +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = namedtype.NamedTypes($/;" v class:RevRepContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = PKIMessage()$/;" v class:PKIMessages +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = RevDetails()$/;" v class:RevReqContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = rfc2459.CertificateList()$/;" v class:CRLAnnContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = univ.Any()$/;" v class:NestedMessageContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ componentType = univ.Integer()$/;" v class:POPODecKeyRespContent +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^ componentType = SSID()$/;" v class:SSIDList +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedPrivateKeyInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^ componentType = namedtype.NamedTypes($/;" v class:PrivateKeyInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^ componentType = rfc2251.Attribute()$/;" v class:Attributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:AlgorithmIdentifier +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:AnotherName +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:Attribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeTypeAndValue +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:ExtensionAttribute +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ componentType = namedtype.NamedTypes($/;" v class:PolicyQualifierInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:AAControls +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:ACClearAttrs +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:AttCertIssuer +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:AttCertValidityPeriod +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeCertificate +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:AttributeCertificateInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:Clearance +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:Clearance_rfc3281 +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:Holder +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:IetfAttrSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:IssuerSerial +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:ObjectDigestInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:RoleSyntax +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:SecurityCategory +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:SvceAuthInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:Target +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:TargetCert +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = namedtype.NamedTypes($/;" v class:V2Form +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = Target()$/;" v class:Targets +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = Targets()$/;" v class:ProxyInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ componentType = univ.ObjectIdentifier()$/;" v class:AttrSpec +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^ componentType = rfc5755.Clearance()$/;" v class:AuthorityClearanceConstraints +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^ componentType = namedtype.NamedTypes($/;" v class:DirectoryString +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^ componentType = namedtype.NamedTypes($/;" v class:EncryptedPrivateKeyInfo +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^ componentType = namedtype.NamedTypes($/;" v class:OneAsymmetricKey +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^ componentType = rfc5652.Attribute()$/;" v class:Attributes +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^ componentType = namedtype.NamedTypes($/;" v class:ROAIPAddress +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^ componentType = namedtype.NamedTypes($/;" v class:ROAIPAddressFamily +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^ componentType = namedtype.NamedTypes($/;" v class:RouteOriginAttestation +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^ componentType = namedtype.NamedTypes($/;" v class:FileAndHash +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^ componentType = namedtype.NamedTypes($/;" v class:Manifest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ componentType = ECParameters()$/;" v class:EC_SMimeCaps +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ componentType = namedtype.NamedTypes($/;" v class:DSAKeyCapabilities +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ componentType = namedtype.NamedTypes($/;" v class:RSAKeyCapabilities +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ componentType = namedtype.NamedTypes($/;" v class:RsaSsa_Pss_sig_caps +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^ componentType = namedtype.NamedTypes($/;" v class:DhSigStatic +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:BasicOCSPResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:CertID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:CrlID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:OCSPRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:PreferredSignatureAlgorithm +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:Request +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:ResponderID +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:ResponseData +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:ServiceLocator +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:Signature +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:SingleResponse +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = namedtype.NamedTypes($/;" v class:TBSRequest +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ componentType = PreferredSignatureAlgorithm()$/;" v class:PreferredSignatureAlgorithms +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ componentType = HeaderField()$/;" v class:HeaderFields +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ componentType = namedtype.NamedTypes($/;" v class:HeaderField +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ componentType = namedtype.NamedTypes($/;" v class:SecureHeaderFields +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7633.py /^ componentType = univ.Integer()$/;" v class:Features +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^ componentType = AuthenticationContext()$/;" v class:AuthenticationContexts +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^ componentType = namedtype.NamedTypes($/;" v class:AuthenticationContext +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7914.py /^ componentType = namedtype.NamedTypes($/;" v class:Scrypt_params +componentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^ componentType = namedtype.NamedTypes($/;" v class:DigestInfo +componentType adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^ componentType = namedtype.NamedTypes($/;" v class:AsnPubKey +componentType adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^ componentType = namedtype.NamedTypes($/;" v class:OpenSSLPubKey +componentType adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^ componentType = namedtype.NamedTypes($/;" v class:PubKeyHeader +componentType adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ componentType = namedtype.NamedTypes($/;" v class:PrivateKey._save_pkcs1_der.AsnPrivKey +component_distribution adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def component_distribution(self):$/;" m class:MixtureSameFamily +component_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ component_re = re.compile(r'(\\d+ | [a-z]+ | \\.)', re.VERBOSE)$/;" v class:LooseVersion +component_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def component_to_placeholder(component):$/;" f member:KerasTensor._to_placeholder file: +compose adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def compose(stream, Loader=Loader):$/;" f +Composer adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^class Composer:$/;" c +ComposerError adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^class ComposerError(MarkedYAMLError):$/;" c +ComposeTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class ComposeTransform(Transform):$/;" c +compose_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def compose_all(stream, Loader=Loader):$/;" f +compose_document adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def compose_document(self):$/;" m class:Composer +compose_mapping_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def compose_mapping_node(self, anchor):$/;" m class:Composer +compose_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def compose_node(self, parent, index):$/;" m class:Composer +compose_scalar_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def compose_scalar_node(self, anchor):$/;" m class:Composer +compose_sequence_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def compose_sequence_node(self, anchor):$/;" m class:Composer +composite adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def composite(image1, image2, mask):$/;" f +composite adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def composite(image1, image2, mask):$/;" f +CompositeAffine2D adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class CompositeAffine2D(Affine2DBase):$/;" c +CompositeGenericTransform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class CompositeGenericTransform(Transform):$/;" c +CompositePart adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^CompositePart = namedtuple('CompositePart', 'name, dx, dy')$/;" v +CompositeReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class CompositeReader(Reader):$/;" c +CompositeReaderBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class CompositeReaderBuilder(ReaderBuilder):$/;" c +CompositeTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor.py /^class CompositeTensor(object):$/;" c +CompositeTensorDataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class CompositeTensorDataAdapter(DataAdapter):$/;" c +composite_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^composite_call_credentials = grpc.composite_call_credentials$/;" v +composite_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def composite_call_credentials(*call_credentials):$/;" f +composite_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^composite_channel_credentials = grpc.composite_channel_credentials$/;" v +composite_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def composite_channel_credentials(channel_credentials, *call_credentials):$/;" f +composite_images adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def composite_images(images, renderer, magnification=1.0):$/;" f +composite_transform_factory adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def composite_transform_factory(a, b):$/;" f +compress adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def compress(condition, a, axis: Optional[int] = None, out=None):$/;" f +compress adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def compress(condition, a, axis=None, out=None):$/;" f +compress adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def compress(self, condition, axis=None, out=None):$/;" m class:MaskedArray +compress adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^compress = _frommethod('compress', reversed=True)$/;" v +compress adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/compression_ops.py /^def compress(element):$/;" f +compress adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def compress(condition, a, axis=None): # pylint: disable=redefined-outer-name,missing-function-/;" f +compressed adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def compressed(self):$/;" m class:MaskedArray +compressed adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def compressed(x):$/;" f +compressed adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def compressed(self):$/;" m class:TestMaskedArrayFunctions.test_compressed.M +compressed adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def compressed(self):$/;" m class:_IPAddressBase +CompressedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^class CompressedContentInfo(univ.Sequence):$/;" c +CompressedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^class CompressedData(univ.Sequence):$/;" c +CompressedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^class CompressedData(univ.Sequence):$/;" c +CompressedHistogramEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^CompressedHistogramEvent = namedtuple($/;" v +CompressedHistograms adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def CompressedHistograms(self, tag):$/;" m class:EventAccumulator +CompressedHistograms adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def CompressedHistograms(self, run, tag):$/;" m class:EventMultiplexer +CompressedHistogramValue adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/compressor.py /^CompressedHistogramValue = collections.namedtuple($/;" v +COMPRESSED_HISTOGRAMS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^COMPRESSED_HISTOGRAMS = tag_types.COMPRESSED_HISTOGRAMS$/;" v +COMPRESSED_HISTOGRAMS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^COMPRESSED_HISTOGRAMS = "distributions"$/;" v +compressed_steps adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^ def compressed_steps(steps):$/;" f function:get_dict_to_print file: +COMPRESSED_ZIP_ARCHIVE adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ COMPRESSED_ZIP_ARCHIVE = 3$/;" v class:ExportTypes +CompressElement adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^CompressElement = tf_export("raw_ops.CompressElement")(_ops.to_raw_op(compress_element))$/;" v +Compression adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Compression(enum.IntEnum):$/;" c +compression adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def compression(self):$/;" m class:Dataset +COMPRESSION adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^COMPRESSION = {1: "raw", 5: "jpeg"}$/;" v +COMPRESSION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^COMPRESSION = 259$/;" v +compression adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def compression(self):$/;" m class:SSLTransport +CompressionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^class CompressionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +CompressionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^class CompressionAlgorithmIdentifier(univ.Choice):$/;" c +CompressionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class CompressionError(TarError):$/;" c +COMPRESSIONS adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ COMPRESSIONS = {"RAW": 0, "RLE8": 1, "RLE4": 2, "BITFIELDS": 3, "JPEG": 4, "PNG": 5}$/;" v class:BmpImageFile +compression_algorithm_to_metadata adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^def compression_algorithm_to_metadata(compression):$/;" f +COMPRESSION_GZIP adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^COMPRESSION_GZIP = "GZIP"$/;" v +COMPRESSION_GZIP adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^COMPRESSION_GZIP = "GZIP"$/;" v +COMPRESSION_INFO adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^COMPRESSION_INFO = {$/;" v +COMPRESSION_INFO_REV adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^COMPRESSION_INFO_REV = {v: k for k, v in COMPRESSION_INFO.items()}$/;" v +COMPRESSION_NONE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^COMPRESSION_NONE = None$/;" v +compression_opts adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def compression_opts(self):$/;" m class:Dataset +COMPRESSION_SNAPPY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^COMPRESSION_SNAPPY = "NONE"$/;" v +COMPRESSION_SNAPPY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^COMPRESSION_SNAPPY = "SNAPPY"$/;" v +compression_type_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ compression_type_map = {$/;" v class:TFRecordOptions +compress_cols adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def compress_cols(a):$/;" f +compress_csc adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compress_csc(self):$/;" m class:_Sparse_Matrix_coo +compress_csr adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compress_csr(self):$/;" m class:_Sparse_Matrix_coo +compress_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def compress_element(components, name=None):$/;" f +compress_element_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def compress_element_eager_fallback(components, name, ctx):$/;" f +compress_for_output_listing adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def compress_for_output_listing(paths):$/;" f +compress_for_rename adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def compress_for_rename(paths):$/;" f +compress_histogram adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/compressor.py /^def compress_histogram(buckets, bps=NORMAL_HISTOGRAM_BPS):$/;" f +compress_histogram_proto adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/compressor.py /^def compress_histogram_proto(histo, bps=NORMAL_HISTOGRAM_BPS):$/;" f +compress_nd adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def compress_nd(x, axis=None):$/;" f +compress_rowcols adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def compress_rowcols(x, axis=None):$/;" f +compress_rows adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def compress_rows(a):$/;" f +computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/batch_ops.py /^ def computation(*computation_args):$/;" f function:batch_function.decorator.decorated file: +computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def computation():$/;" f function:_build_tpu_computation_for_inference file: +computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def computation(computation_input):$/;" f function:_build_computation_for_inference file: +computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def computation(i):$/;" f function:_wrap_computation_in_while_loop file: +computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def computation(unused_scalar_stopping_signal):$/;" f function:_wrap_computation_in_while_loop_with_stopping_signals file: +ComputationStats adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ComputationStats = _reflection.GeneratedProtocolMessageType('ComputationStats', (_message.Messag/;" v +computation_maker adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def computation_maker(*args, **kwargs):$/;" f function:xla_computation file: +Compute adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^ def Compute(self):$/;" m class:ComputeLoss +Compute adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^ def Compute(self):$/;" m class:ComputeTopKAccuracy +Compute adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/meter.py /^ def Compute(self):$/;" m class:Meter +compute adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ def compute(InType, use_weights, isa):$/;" f function:generic file: +compute adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ def compute(regid, InType, use_weights, isa, prefetch):$/;" f function:unroll file: +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def compute(self, batch_values, accumulator=None):$/;" m class:Combiner +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def compute(self, values, accumulator=None):$/;" m class:_CategoryEncodingCombiner +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def compute(self, values, accumulator=None):$/;" m class:_IndexLookupCombiner +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def compute(self, values, accumulator=None):$/;" m class:_NormalizingCombiner +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def compute(i, a):$/;" f function:foldl file: +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def compute(i, a):$/;" f function:foldr file: +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def compute(i, a_flat, tas):$/;" f function:scan file: +compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^ def compute(i, tas):$/;" f function:map_fn file: +ComputeAccidentalHits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^ComputeAccidentalHits = tf_export("raw_ops.ComputeAccidentalHits")(_ops.to_raw_op(compute_accide/;" v +ComputeBatchSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ComputeBatchSize = tf_export("raw_ops.ComputeBatchSize")(_ops.to_raw_op(compute_batch_size))$/;" v +COMPUTED_PARAM adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ COMPUTED_PARAM = 'COMPUTED_PARAM'$/;" v class:ParameterTags +ComputeHistogramForBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs.py /^class ComputeHistogramForBlobs(NetModifier):$/;" c +ComputeHistogramForBlobsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs_test.py /^class ComputeHistogramForBlobsTest(unittest.TestCase):$/;" c +ComputeLoss adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^class ComputeLoss(Meter.Meter):$/;" c +ComputeLoss adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ compute_loss as ComputeLoss # noqa$/;" I +ComputeNormForBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs.py /^class ComputeNormForBlobs(NetModifier):$/;" c +ComputeNormForBlobsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^class ComputeNormForBlobsTest(unittest.TestCase):$/;" c +computeoffset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def computeoffset(self, net):$/;" m class:_DatasetRandomReader +ComputerModernFontConstants adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class ComputerModernFontConstants(FontConstantsBase):$/;" c +ComputeStatisticsForBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs.py /^class ComputeStatisticsForBlobs(NetModifier):$/;" c +ComputeStatisticsForBlobsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs_test.py /^class ComputeStatisticsForBlobsTest(unittest.TestCase):$/;" c +ComputeTopKAccuracy adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^class ComputeTopKAccuracy(Meter.Meter):$/;" c +ComputeTopKAccuracy adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ compute_topk_accuracy as ComputeTopKAccuracy # noqa$/;" I +compute_a adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def compute_a(n):$/;" f +compute_accidental_hits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/candidate_sampling_ops.py /^def compute_accidental_hits(true_classes, sampled_candidates, num_true,$/;" f +compute_accidental_hits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def compute_accidental_hits(true_classes, sampled_candidates, num_true, seed=0, seed2=0, name=No/;" f +compute_accidental_hits_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def compute_accidental_hits_eager_fallback(true_classes, sampled_candidates, num_true, seed, see/;" f +compute_alpha adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def compute_alpha(n):$/;" f +compute_assignments adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_assignments(ranges, static_blobs, algo):$/;" f +compute_assignments_dp adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_assignments_dp(ranges_sorted, init_assignment, counter=None):$/;" f +compute_assignments_greedy adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_assignments_greedy(ranges_sorted, init_assignments=None):$/;" f +compute_auc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_auc(tp, fn, tn, fp, name):$/;" f function:auc file: +compute_auc_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_auc_value(_, values):$/;" f function:auc file: +compute_average_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def compute_average_loss(per_example_loss,$/;" f +compute_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^def compute_batch_size(dataset):$/;" f +compute_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def compute_batch_size(input_dataset, name=None):$/;" f +compute_batch_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def compute_batch_size_eager_fallback(input_dataset, name, ctx):$/;" f +compute_best_candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def compute_best_candidate($/;" m class:CandidateEvaluator +compute_blob_assignments adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_blob_assignments(assignments):$/;" f +compute_capability_from_device_desc adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/gpu_util.py /^def compute_capability_from_device_desc(device_attrs):$/;" f +compute_coverage_attention_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def compute_coverage_attention_logits($/;" f +compute_ctc_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def compute_ctc_loss(logits_t, labels_t, label_length_t, logit_length_t,$/;" f function:ctc_loss_dense file: +compute_curve adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def compute_curve(labels, predictions, num_thresholds=None, weights=None):$/;" f +compute_d adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def compute_d(K, N):$/;" f +compute_devices_for_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def compute_devices_for_worker(self, worker_index):$/;" m class:InputWorkers +compute_dof_from_df adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_dof_from_df(self):$/;" m class:_DOF_estimator +compute_dot_attention_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def compute_dot_attention_logits($/;" f +compute_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def compute_dtype(self):$/;" m class:Layer +compute_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def compute_dtype(self):$/;" m class:Policy +compute_dz adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_dz(self):$/;" m class:_DOF_estimator_geom +compute_dz adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_dz(self):$/;" m class:_DOF_estimator_min_E +compute_dz adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_dz(self, **kwargs):$/;" m class:_DOF_estimator +compute_dz adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_dz(self, dz):$/;" m class:_DOF_estimator_user +compute_energy adpeps/ipeps/ipeps.py /^ def compute_energy(self, tensors):$/;" m class:iPEPS +compute_energy adpeps/ipeps/ipeps.py /^ def compute_energy(self, tensors):$/;" m class:iPEPS_exci +compute_engine_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def compute_engine_channel_credentials(call_credentials):$/;" f +compute_error adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def compute_error(y, y_true, rtol, atol):$/;" f +compute_exci_norm adpeps/ipeps/evaluation.py /^def compute_exci_norm(tensors):$/;" f +compute_frequency adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^def compute_frequency(t, theta):$/;" f +compute_g adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def compute_g(n):$/;" f +compute_gathered_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def compute_gathered_values():$/;" f member:CollectiveAllReduce._batch_all_gather file: +compute_geom_grads adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_geom_grads(self):$/;" m class:_DOF_estimator_geom +compute_geom_weights adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def compute_geom_weights(self):$/;" m class:_DOF_estimator_geom +compute_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def compute_grad(J, f):$/;" f +compute_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def compute_gradient(x,$/;" f +compute_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def compute_gradient(f, x, delta=1e-3):$/;" f +compute_gradient adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def compute_gradient(delta):$/;" f function:get_numerical_jacobian.update_jacobians file: +compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def compute_gradients(self, loss, var_list=None, **kwargs):$/;" m class:CrossShardOptimizer +compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def compute_gradients(self,$/;" m class:MixedPrecisionLossScaleOptimizer +compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def compute_gradients(self, loss, var_list=None,$/;" m class:Optimizer +compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def compute_gradients(self, *args, **kwargs):$/;" m class:SyncReplicasOptimizer +compute_gradient_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def compute_gradient_error(x,$/;" f +compute_hash adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def compute_hash(message: typing.Union[bytes, typing.BinaryIO], method_name: str) -> bytes:$/;" f +compute_interference_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_interference_graph(ops):$/;" f +compute_is_active adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def compute_is_active():$/;" f member:ProfilePlugin.is_active file: +compute_jac_indices adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def compute_jac_indices(n, m, k):$/;" f +compute_jac_scale adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def compute_jac_scale(J, scale_inv_old=None):$/;" f +compute_kkt_optimality adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/bvls.py /^def compute_kkt_optimality(g, on_bound):$/;" f +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def compute_mask(self, inputs, mask=None): # pylint: disable=unused-argument$/;" m class:Layer +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def compute_mask(self, inputs, mask=None): # pylint: disable=unused-argument$/;" m class:Layer +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def compute_mask(self, inputs, mask):$/;" m class:Functional +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def compute_mask(self, inputs, mask):$/;" m class:Sequential +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:Lambda +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:Masking +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:BaseDenseAttention +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:Embedding +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:Concatenate +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:Dot +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:_Merge +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:GlobalAveragePooling1D +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def compute_mask(self, inputs, mask):$/;" m class:RNN +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def compute_mask(self, inputs, mask):$/;" m class:Bidirectional +compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def compute_mask(self, inputs, mask=None):$/;" m class:TimeDistributed +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:BasePruningMethod +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:CustomFromMask +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:Identity +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:L1Unstructured +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:LnStructured +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:PruningContainer +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:RandomStructured +compute_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def compute_mask(self, t, default_mask):$/;" m class:RandomUnstructured +compute_masked_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def compute_masked_output(mask_t, flat_out, flat_mask):$/;" f function:rnn file: +compute_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ compute_mean = lambda _, t, c: math_ops.div_no_nan( # pylint: disable=g-long-lambda$/;" f function:mean_tensor file: +compute_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_mean(_, t, c):$/;" f function:mean file: +compute_mean_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_mean_accuracy(_, count, total):$/;" f function:mean_per_class_accuracy file: +compute_mean_iou adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_mean_iou(_, total_cm):$/;" f function:mean_iou file: +compute_on_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def compute_on_matrix(matrix, name=None):$/;" f function:isotonic_regression file: +compute_orth_basis adpeps/ipeps/ipeps.py /^ def compute_orth_basis(self):$/;" m class:iPEPS_exci +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def compute_output_shape(self, input_shape):$/;" m class:Layer +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def compute_output_shape(self, input_shape):$/;" m class:Layer +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Functional +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def compute_output_shape(self, input_shape):$/;" m class:Sequential +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def compute_output_shape(self, input_shape):$/;" m class:_BaseFeaturesLayer +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:ELU +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:LeakyReLU +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:PReLU +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:ReLU +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:Softmax +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def compute_output_shape(self, input_shape):$/;" m class:ThresholdedReLU +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Conv +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Conv1DTranspose +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Conv2DTranspose +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Conv3DTranspose +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Cropping1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Cropping2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:Cropping3D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:DepthwiseConv2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:UpSampling1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:UpSampling2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:UpSampling3D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:ZeroPadding1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:ZeroPadding2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def compute_output_shape(self, input_shape):$/;" m class:ZeroPadding3D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def compute_output_shape(self, input_shape):$/;" m class:ConvRNN2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Activation +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:ActivityRegularization +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Dense +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Dropout +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Flatten +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Lambda +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Masking +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Permute +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:RepeatVector +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def compute_output_shape(self, input_shape):$/;" m class:Reshape +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^ def compute_output_shape(self, _):$/;" m class:EinsumDense +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def compute_output_shape(self, input_shape):$/;" m class:Embedding +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomFourierFeatures +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def compute_output_shape(self, input_shape):$/;" m class:LocallyConnected1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def compute_output_shape(self, input_shape):$/;" m class:LocallyConnected2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_output_shape(self, input_shape):$/;" m class:Concatenate +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_output_shape(self, input_shape):$/;" m class:Dot +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def compute_output_shape(self, input_shape):$/;" m class:_Merge +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def compute_output_shape(self, input_shape):$/;" m class:AlphaDropout +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def compute_output_shape(self, input_shape):$/;" m class:GaussianDropout +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def compute_output_shape(self, input_shape):$/;" m class:GaussianNoise +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def compute_output_shape(self, input_shape):$/;" m class:BatchNormalizationBase +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def compute_output_shape(self, input_shape):$/;" m class:LayerNormalization +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:GlobalPooling1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:GlobalPooling2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:GlobalPooling3D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:Pooling1D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:Pooling2D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def compute_output_shape(self, input_shape):$/;" m class:Pooling3D +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def compute_output_shape(self, input_shape):$/;" m class:CategoryCrossing +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def compute_output_shape(self, input_shape):$/;" m class:CategoryEncoding +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def compute_output_shape(self, input_shape):$/;" m class:Discretization +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def compute_output_shape(self, input_shape):$/;" m class:Hashing +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:CenterCrop +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomContrast +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomCrop +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomFlip +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomHeight +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomRotation +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomTranslation +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomWidth +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:RandomZoom +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:Rescaling +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def compute_output_shape(self, input_shape):$/;" m class:Resizing +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def compute_output_shape(self, input_shape):$/;" m class:IndexLookup +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def compute_output_shape(self, input_shape):$/;" m class:Normalization +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def compute_output_shape(self, input_shape):$/;" m class:TextVectorization +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def compute_output_shape(self, input_shape):$/;" m class:RNN +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def compute_output_shape(self, input_shape):$/;" m class:Bidirectional +compute_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def compute_output_shape(self, input_shape):$/;" m class:TimeDistributed +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def compute_output_signature(self, input_signature):$/;" m class:Layer +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def compute_output_signature(self, input_signature):$/;" m class:Layer +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def compute_output_signature(self, input_spec):$/;" m class:CategoryCrossing +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def compute_output_signature(self, input_spec):$/;" m class:CategoryEncoding +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def compute_output_signature(self, input_spec):$/;" m class:Discretization +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def compute_output_signature(self, input_spec):$/;" m class:Hashing +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def compute_output_signature(self, input_spec):$/;" m class:IndexLookup +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def compute_output_signature(self, input_spec):$/;" m class:Normalization +compute_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def compute_output_signature(self, input_spec):$/;" m class:TextVectorization +compute_precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_precision(tp, fp, name):$/;" f function:precision file: +compute_precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_precision(tp, fp, name):$/;" f function:precision_at_thresholds file: +compute_R adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^def compute_R(order, factor):$/;" f +compute_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_ranges(linearized_ops, blob_sizes=None):$/;" f +compute_recall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_recall(tp, fn, name):$/;" f function:recall_at_thresholds file: +compute_recall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_recall(true_p, false_n, name):$/;" f function:recall file: +compute_recall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_recall(_, tp, fn):$/;" f function:recall_at_top_k file: +compute_recurrent_attention_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def compute_recurrent_attention_logits($/;" f +compute_regular_attention_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def compute_regular_attention_logits($/;" f +compute_sensitivity_at_specificity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_sensitivity_at_specificity(tp, tn, fp, fn, name):$/;" f function:sensitivity_at_specificity file: +compute_should_use_set_data adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def compute_should_use_set_data(tensor, tensor_applied):$/;" f member:Module._apply file: +compute_size_by_dict adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^def compute_size_by_dict(indices, idx_dict):$/;" f +compute_smoothed_label adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_softmax_loss.py /^ def compute_smoothed_label(self, net):$/;" m class:BatchSoftmaxLoss +compute_specificity_at_sensitivity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def compute_specificity_at_sensitivity(tp, tn, fp, fn, name):$/;" f function:specificity_at_sensitivity file: +compute_statistics adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def compute_statistics(assignments):$/;" f +compute_stats adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def compute_stats(stats):$/;" f +compute_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def compute_step(completed_fraction, geometric=False):$/;" f member:CosineDecayRestarts.__call__ file: +compute_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def compute_sum(fn, world_size):$/;" f function:simple_sparse_reduce_tests file: +compute_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ def compute_summary(tp, fp, tn, fn, collections):$/;" f function:streaming_op file: +compute_ulp_error adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def compute_ulp_error(opname, xvec, y_nnpi):$/;" f +compute_weight adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def compute_weight(self, module: Module, do_power_iteration: bool) -> torch.Tensor:$/;" m class:SpectralNorm +compute_weight adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^ def compute_weight(self, module: Module) -> Any:$/;" m class:WeightNorm +compute_weighted_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def compute_weighted_loss(losses,$/;" f +compute_weighted_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def compute_weighted_loss($/;" f +compute_weight_mat adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def compute_weight_mat(input_size: int, output_size: int, scale,$/;" f +comp_state adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^def comp_state(state1, state2):$/;" f +COMVERSE_INFOSYS_AVQSBC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ COMVERSE_INFOSYS_AVQSBC = 0xA101$/;" v class:WAVE_FORMAT +COMVERSE_INFOSYS_G723_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ COMVERSE_INFOSYS_G723_1 = 0xA100$/;" v class:WAVE_FORMAT +COMVERSE_INFOSYS_SBC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ COMVERSE_INFOSYS_SBC = 0xA102$/;" v class:WAVE_FORMAT +con adpeps/tensor/ncon.py /^def con(A, B, inds, mult_method=None):$/;" f +con1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def con1(self, x):$/;" m class:TestCobyla +con2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def con2(self, x):$/;" m class:TestCobyla +Concat adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Concat(self, *args, **kwargs):$/;" m class:CNNModelHelper +concat adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/array_helpers.py /^def concat(model, blobs_in, blob_out, **kwargs):$/;" f +Concat adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/concat.py /^class Concat(ModelLayer):$/;" c +concat adpepsenv/lib/python3.8/site-packages/cycler.py /^ def concat(self, other):$/;" m class:Cycler +concat adpepsenv/lib/python3.8/site-packages/cycler.py /^def concat(left, right):$/;" f +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def concat(*combined):$/;" f +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def concat(tensors, axis=0):$/;" f +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def concat(values, axis, name="concat"):$/;" f +Concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Concat = tf_export("raw_ops.Concat")(_ops.to_raw_op(concat))$/;" v +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat(concat_dim, values, name=None):$/;" f +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def concat(values, axis, name=None):$/;" f +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def concat(self, name=None):$/;" m class:TensorArray +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def concat(self, name=None):$/;" m class:_EagerTensorArray +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def concat(self, name=None):$/;" m class:_GraphTensorArray +concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def concat(self, name=None):$/;" m class:_GraphTensorArrayV2 +concat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_concat as concat$/;" x +concat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_concat_v2 as concat$/;" x +concat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_concat_v2 as concat$/;" x +ConcatAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class ConcatAggregator(Aggregator):$/;" c +ConcatDataset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class ConcatDataset(Dataset[T_co]):$/;" c +ConcatEmbeddingsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ConcatEmbeddingsOptions = 3$/;" v class:BuiltinOptions +ConcatEmbeddingsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ConcatEmbeddingsOptions(object):$/;" c +ConcatEmbeddingsOptionsAddEmbeddingDimPerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsAddEmbeddingDimPerChannel(builder, embeddingDimPerChannel): builder.P/;" f +ConcatEmbeddingsOptionsAddNumChannels adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsAddNumChannels(builder, numChannels): builder.PrependInt32Slot(0, num/;" f +ConcatEmbeddingsOptionsAddNumColumnsPerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsAddNumColumnsPerChannel(builder, numColumnsPerChannel): builder.Prepe/;" f +ConcatEmbeddingsOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ConcatEmbeddingsOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ConcatEmbeddingsOptions +ConcatEmbeddingsOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsEnd(builder): return builder.EndObject()$/;" f +ConcatEmbeddingsOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsStart(builder): builder.StartObject(3)$/;" f +ConcatEmbeddingsOptionsStartEmbeddingDimPerChannelVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsStartEmbeddingDimPerChannelVector(builder, numElems): return builder./;" f +ConcatEmbeddingsOptionsStartNumColumnsPerChannelVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatEmbeddingsOptionsStartNumColumnsPerChannelVector(builder, numElems): return builder.St/;" f +ConcatEmbeddingsOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ConcatEmbeddingsOptionsT(object):$/;" c +concatenate adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def concatenate(operands, dimension):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def concatenate(operands: Sequence[Array], dimension: int) -> Array:$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def concatenate(arrays, axis: int = 0):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def concatenate(xs):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def concatenate(arrays, axis=None, out=None):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ concatenate = staticmethod(_nx.concatenate)$/;" v class:AxisConcatenator +concatenate adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def concatenate(arrays, axis=0):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ concatenate = staticmethod(concatenate)$/;" v class:MAxisConcatenator +concatenate adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def concatenate(cls, canonical_constraints, sparse_jacobian):$/;" m class:CanonicalConstraint +concatenate adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def concatenate(self, other):$/;" m class:TensorShape +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^concatenate = array_ops.concat$/;" v +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def concatenate(self, dataset):$/;" m class:DatasetV1 +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def concatenate(self, dataset):$/;" m class:DatasetV2 +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def concatenate(self, other):$/;" m class:TensorShape +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def concatenate(tensors, axis=-1):$/;" f +Concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Concatenate(_Merge):$/;" c +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def concatenate(inputs, axis=-1, **kwargs):$/;" f +concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def concatenate(arys, axis=0):$/;" f +concatenateCharacterTokens adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/__init__.py /^def concatenateCharacterTokens(tokens):$/;" f +concatenateCharacterTokens adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/__init__.py /^def concatenateCharacterTokens(tokens):$/;" f +ConcatenateDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ConcatenateDataset(DatasetV2):$/;" c +ConcatenateDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ConcatenateDataset = tf_export("raw_ops.ConcatenateDataset")(_ops.to_raw_op(concatenate_dataset)/;" v +CONCATENATED_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ CONCATENATED_VARIABLES = "concatenated_variables"$/;" v class:GraphKeys +concatenate_context_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def concatenate_context_input(context_input, sequence_input):$/;" f +concatenate_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def concatenate_dataset(input_dataset, another_dataset, output_types, output_shapes, name=None):$/;" f +concatenate_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def concatenate_dataset_eager_fallback(input_dataset, another_dataset, output_types, output_shap/;" f +concatenate_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^concatenate_p = standard_primitive($/;" v +concatenate_paths adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def concatenate_paths(paths):$/;" f +concatenate_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def concatenate_unique(la, lb):$/;" f +CONCATENATION adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CONCATENATION = 2$/;" v class:BuiltinOperator +ConcatenationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ConcatenationOptions = 10$/;" v class:BuiltinOptions +ConcatenationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ConcatenationOptions(object):$/;" c +ConcatenationOptionsAddAxis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatenationOptionsAddAxis(builder, axis): builder.PrependInt32Slot(0, axis, 0)$/;" f +ConcatenationOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatenationOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.Pr/;" f +ConcatenationOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ConcatenationOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ConcatenationOptions +ConcatenationOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatenationOptionsEnd(builder): return builder.EndObject()$/;" f +ConcatenationOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ConcatenationOptionsStart(builder): builder.StartObject(2)$/;" f +ConcatenationOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ConcatenationOptionsT(object):$/;" c +ConcatOffset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ConcatOffset = tf_export("raw_ops.ConcatOffset")(_ops.to_raw_op(concat_offset))$/;" v +ConcatV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ConcatV2 = tf_export("raw_ops.ConcatV2")(_ops.to_raw_op(concat_v2))$/;" v +concat_along_batch_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def concat_along_batch_dimension(outputs):$/;" f +concat_data adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def concat_data(self, net):$/;" m class:AdaptiveWeight +concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat_eager_fallback(concat_dim, values, name, ctx):$/;" f +CONCAT_EMBEDDINGS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CONCAT_EMBEDDINGS = 29$/;" v class:BuiltinOperator +concat_idxs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^ concat_idxs = lambda spatial_idx, filter_idx: (filter_idx,) + spatial_idx$/;" f function:conv_kernel_idxs file: +concat_idxs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^ concat_idxs = lambda spatial_idx, filter_idx: spatial_idx + (filter_idx,)$/;" f function:conv_kernel_idxs file: +concat_offset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat_offset(concat_dim, shape, name=None):$/;" f +concat_offset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat_offset_eager_fallback(concat_dim, shape, name, ctx):$/;" f +concat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat_v2(values, axis, name=None):$/;" f +concat_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def concat_v2_eager_fallback(values, axis, name, ctx):$/;" f +concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def concentration(self):$/;" m class:Dirichlet +concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def concentration(self):$/;" m class:DirichletMultinomial +concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def concentration(self):$/;" m class:Gamma +concentration0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def concentration0(self):$/;" m class:Beta +concentration0 adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def concentration0(self):$/;" m class:Beta +concentration1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def concentration1(self):$/;" m class:Beta +concentration1 adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def concentration1(self):$/;" m class:Beta +ConciseDateConverter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class ConciseDateConverter(DateConverter):$/;" c +ConciseDateFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class ConciseDateFormatter(ticker.Formatter):$/;" c +CONCISE_HEALTH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^CONCISE_HEALTH = 3$/;" v +ConcreteArray adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^ConcreteArray = core.ConcreteArray$/;" v +ConcreteArray adpepsenv/lib/python3.8/site-packages/jax/core.py /^class ConcreteArray(ShapedArray):$/;" c +ConcreteFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class ConcreteFunction(object):$/;" c +ConcreteFunctionGarbageCollector adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class ConcreteFunctionGarbageCollector(object):$/;" c +ConcreteTypeStore adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^class ConcreteTypeStore(object):$/;" c +concrete_aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^def concrete_aval(x):$/;" f +concrete_or_error adpepsenv/lib/python3.8/site-packages/jax/core.py /^def concrete_or_error(force: Any, val: Any, context=""):$/;" f +concrete_type_store adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^concrete_type_store = ConcreteTypeStore()$/;" v +ConcretizationTypeError adpepsenv/lib/python3.8/site-packages/jax/core.py /^class ConcretizationTypeError(TypeError): pass$/;" c +concretization_function_error adpepsenv/lib/python3.8/site-packages/jax/core.py /^def concretization_function_error(fun, suggest_astype=False):$/;" f +cond adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/control_ops.py /^def cond(model, cond_blob, external_blobs, then_model, else_model=None):$/;" f +cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def cond(idx_carry):$/;" f function:ControlFlowOpsTest.test_while.func file: +cond adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cond(*args, **kwargs):$/;" f +cond adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def cond(x, p=None):$/;" f +cond adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def cond(x, p=None):$/;" f +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def cond(i):$/;" f member:SessionDebugTestBase.testDebugWhileLoopGeneratesMultipleDumps file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def cond(has_data, data, state):$/;" f member:_IterableInput.reduce file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ cond = lambda i, *args: i < iterations$/;" f member:MirroredExtended._experimental_run_steps_on_iterator file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ cond = lambda i, *args: i < iterations$/;" f member:OneDeviceExtended._experimental_run_steps_on_iterator file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ cond = lambda i, lv: ( # pylint: disable=g-long-lambda$/;" f function:while_loop file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def cond(pred,$/;" f +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def cond(i, num_elems, *args):$/;" f function:_scan file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def cond(self, name="cond"):$/;" m class:LinearOperator +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def cond(pred, true_fn, false_fn):$/;" f +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def cond(not_all_done, *_):$/;" f member:WhileOp.__call__ file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def cond(not_all_done, *_):$/;" f member:WhileV2.__call__ file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/util_ops.py /^ cond = lambda _, b: math_ops.greater(b, array_ops.zeros_like(b))$/;" f function:gcd file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^ def cond(i, *args):$/;" f function:repeat file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def cond(grads, ready_to_update, is_first_iteration):$/;" f function:_compute_gradients_until_finite file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import cond_for_tf_v2 as cond$/;" x +cond adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import cond_for_tf_v2 as cond$/;" x +cond adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import cond_for_tf_v2 as cond$/;" x +cond adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.control_flow_ops import cond_for_tf_v2 as cond$/;" x +cond adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.control_flow_ops import cond_for_tf_v2 as cond$/;" x +cond adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def cond(scalar_stopping_signal):$/;" f function:_predict_on_tpu_system.multi_tpu_predict_steps_on_single_shard file: +cond adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def cond(scalar_stopping_signal):$/;" f function:_wrap_computation_in_while_loop_with_stopping_signals file: +conda adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ conda = shutil.which('conda')$/;" v +conda_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ conda_dir = os.path.dirname(conda)$/;" v +CondBranchFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^CondBranchFuncGraph = control_flow_v2_func_graphs.CondBranchFuncGraph$/;" v +CondBranchFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_func_graphs.py /^class CondBranchFuncGraph(ControlFlowFuncGraph):$/;" c +CondCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class CondCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +CondContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^class CondContext(ControlFlowContext):$/;" c +CondContextDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^CondContextDef = _reflection.GeneratedProtocolMessageType('CondContextDef', (_message.Message,),/;" v +condfuncgen adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^ def condfuncgen(num, val1, val2):$/;" f function:_bspline_piecefunctions file: +Condition adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def Condition(self, name=None):$/;" m class:Operations +condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ condition = lambda i, _1, _2: i < n$/;" f function:scan file: +condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ condition = lambda i, _1, _2: i >= 0$/;" f function:scan file: +condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def condition(unused_time, elements_finished, *_):$/;" f function:raw_rnn file: +ConditionalAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class ConditionalAccumulator(ConditionalAccumulatorBase):$/;" c +ConditionalAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ConditionalAccumulator = tf_export("raw_ops.ConditionalAccumulator")(_ops.to_raw_op(conditional_/;" v +ConditionalAccumulatorBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class ConditionalAccumulatorBase(object):$/;" c +ConditionalExpressionTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/conditional_expressions.py /^class ConditionalExpressionTransformer(converter.Base):$/;" c +ConditionalReturnRewriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^class ConditionalReturnRewriter(converter.Base):$/;" c +conditional_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def conditional_accumulator(dtype, shape, container="", shared_name="", reduction_type="MEAN", n/;" f +conditional_accumulator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def conditional_accumulator_eager_fallback(dtype, shape, container, shared_name, reduction_type,/;" f +conditional_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def conditional_headers(self, request):$/;" m class:CacheController +conditionAsParseAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def conditionAsParseAction(fn, message=None, fatal=False):$/;" f +conditionAsParseAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def conditionAsParseAction(fn, message=None, fatal=False):$/;" f +conditions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ conditions = [np.array([False, False, False]),$/;" v class:TestSelect +condition_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^ def condition_wrapper(*inputs):$/;" f function:while_loop file: +CondSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CondSubgraphIndex(self):$/;" m class:WhileOptions +CondWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def CondWrapper(*args):$/;" f function:While file: +cond_bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cond_bind(*args, branches, linear):$/;" f +COND_CONTEXT adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ COND_CONTEXT = "cond_context"$/;" v class:GraphKeys +cond_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def cond_fn(carry):$/;" f function:_poisson_knuth file: +cond_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def cond_fn(carry):$/;" f function:_poisson_rejection file: +cond_for_tf_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def cond_for_tf_v2(pred, true_fn=None, false_fn=None, name=None):$/;" f +cond_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def cond_fun(state):$/;" f function:_odeint.scan_fun file: +cond_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def cond_fun(vals):$/;" f function:_scan_impl_loop file: +cond_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^ def cond_fun(state):$/;" f function:minimize_bfgs file: +cond_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def cond_fun(value):$/;" f function:_cg_solve file: +cond_fun adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def cond_fun(value):$/;" f function:_gmres_solve file: +cond_function adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def cond_function(carry):$/;" f function:_iterative_classical_gram_schmidt file: +cond_func_wrapped adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def cond_func_wrapped(*args):$/;" f member:_WhileBuilder.build_output_vals file: +cond_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^cond_p = lax.Primitive('cond')$/;" v +cond_range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def cond_range(self, pred):$/;" m class:Scope +COND_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ COND_SCOPE = 'The scope for the test node of a conditional statement.'$/;" v class:Static +COND_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ COND_SCOPE = 'The scope for the test node of a conditional statement.'$/;" v class:NodeAnno +cond_tf_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def cond_tf_func(*args: TfVal) -> TfVal:$/;" f function:_while file: +cond_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def cond_v2(pred, true_fn, false_fn, name="cond"):$/;" f +cond_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^cond_v2 = LazyLoader("cond_v2", globals(),$/;" v +Config adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^class Config(object):$/;" c +Config adpepsenv/lib/python3.8/site-packages/jax/config.py /^class Config:$/;" c +config adpepsenv/lib/python3.8/site-packages/jax/config.py /^config = Config()$/;" v +config adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ config = {}$/;" v class:Extension +config adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^class config(old_config):$/;" c +config adpepsenv/lib/python3.8/site-packages/numpy/f2py/setup.py /^ config = config.todict()$/;" v +config adpepsenv/lib/python3.8/site-packages/numpy/f2py/setup.py /^ config = configuration(top_path='')$/;" v +config adpepsenv/lib/python3.8/site-packages/numpy/ma/setup.py /^ config = configuration(top_path='').todict()$/;" v +config adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/setup.py /^ config = configuration(top_path='').todict()$/;" v +config adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^class config(Command):$/;" c +Config adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^Config = _reflection.GeneratedProtocolMessageType('Config', (_message.Message,), {$/;" v +config adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def config(self):$/;" m class:BaseUI +config adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def config(self):$/;" m class:Context +config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def config(self):$/;" m class:Estimator +config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def config(self):$/;" m class:_InternalTPUContext +ConfigHandler adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^class ConfigHandler:$/;" c +ConfigMetadataHandler adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^class ConfigMetadataHandler(ConfigHandler):$/;" c +ConfigOptionParser adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^class ConfigOptionParser(CustomOptionParser):$/;" c +ConfigOptionsHandler adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^class ConfigOptionsHandler(ConfigHandler):$/;" c +ConfigParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^from configparser import RawConfigParser as ConfigParser$/;" x +configparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import ConfigParser as configparser$/;" I +configparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ import ConfigParser as configparser$/;" I +configparser versioneer.py /^ import ConfigParser as configparser$/;" I +ConfigProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^ConfigProto = _reflection.GeneratedProtocolMessageType('ConfigProto', (_message.Message,), {$/;" v +ConfigProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^ConfigProto = _reflection.GeneratedProtocolMessageType('ConfigProto', (_message.Message,), {$/;" v +configs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def configs(self):$/;" m class:ProjectorPlugin +configtest_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def configtest_name(config):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/compat/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +Configuration adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^class Configuration:$/;" c +configuration adpepsenv/lib/python3.8/site-packages/numpy/distutils/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/f2py/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/fft/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/lib/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/linalg/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/ma/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/polynomial/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/random/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/numpy/testing/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +Configuration adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^class Configuration(object):$/;" c +configuration adpepsenv/lib/python3.8/site-packages/scipy/cluster/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/constants/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/fft/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/fftpack/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/integrate/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/interpolate/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/io/arff/setup.py /^def configuration(parent_package='io',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/setup.py /^def configuration(parent_package='io',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/io/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/linalg/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/misc/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/ndimage/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/odr/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/optimize/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trlib/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/signal/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/sparse/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/spatial/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/special/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/setup.py /^def configuration(parent_name='special', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/stats/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/_lib/setup.py /^def configuration(parent_package='',top_path=None):$/;" f +configuration adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/setup.py /^def configuration(parent_package='', top_path=None):$/;" f +ConfigurationCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^class ConfigurationCommand(Command):$/;" c +ConfigurationError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class ConfigurationError(PipError):$/;" c +ConfigurationFileCouldNotBeLoaded adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class ConfigurationFileCouldNotBeLoaded(ConfigurationError):$/;" c +configuration_to_dict adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^def configuration_to_dict(handlers):$/;" f +Configurator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class Configurator(BaseConfigurator):$/;" c +configure adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def configure(self, options, conf):$/;" m class:KnownFailurePlugin +configure adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def configure(self, options, config):$/;" m class:NumpyDoctest +configure adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def configure(self, options, config):$/;" m class:Unplugger +configure adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def configure(self, xtol, rtol, maxiter, disp, k):$/;" m class:TOMS748Solver +configure adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def configure(self, argv=("",), **kwargs):$/;" m class:TensorBoard +configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def configure(self,$/;" m class:StrategyBase +configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def configure(self, message):$/;" m class:WorkerHeartbeatManager +ConfigureDistributedTPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^ConfigureDistributedTPU = tf_export("raw_ops.ConfigureDistributedTPU")(_ops.to_raw_op(configure_/;" v +ConfigureSubplotsBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ConfigureSubplotsBase(ToolBase):$/;" c +ConfigureSubplotsGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class ConfigureSubplotsGTK3(backend_tools.ConfigureSubplotsBase, Gtk.Window):$/;" c +ConfigureSubplotsQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class ConfigureSubplotsQt(backend_tools.ConfigureSubplotsBase):$/;" c +ConfigureSubplotsTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class ConfigureSubplotsTk(backend_tools.ConfigureSubplotsBase):$/;" c +ConfigureSubplotsWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class ConfigureSubplotsWx(backend_tools.ConfigureSubplotsBase):$/;" c +ConfigureTPUEmbedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^ConfigureTPUEmbedding = tf_export("raw_ops.ConfigureTPUEmbedding")(_ops.to_raw_op(configure_tpu_/;" v +configure_and_create_distributed_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def configure_and_create_distributed_session(distribution_strategy):$/;" f +configure_and_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def configure_and_run(self):$/;" m class:WatchdogManager +configure_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^def configure_callbacks(callbacks,$/;" f +configure_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^def configure_cluster(worker_hosts=None, task_index=-1):$/;" f +configure_collective_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def configure_collective_ops($/;" m class:Context +configure_custom adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def configure_custom(self, config):$/;" m class:BaseConfigurator +configure_custom adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def configure_custom(self, config):$/;" m class:Configurator +configure_distributed_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def configure_distributed_tpu(embedding_config="", tpu_embedding_config="", is_global_init=False/;" f +configure_distributed_tpu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def configure_distributed_tpu_eager_fallback(embedding_config, tpu_embedding_config, is_global_i/;" f +configure_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def configure_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +configure_mtls_channel adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def configure_mtls_channel(self, client_cert_callback=None):$/;" m class:AuthorizedSession +configure_mtls_channel adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def configure_mtls_channel(self, client_cert_callback=None):$/;" m class:AuthorizedHttp +configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def configure_subplots(self, button):$/;" m class:NavigationToolbar2GTK3 +configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def configure_subplots(self):$/;" m class:NavigationToolbar2QT +configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def configure_subplots(self):$/;" m class:ConfigureSubplotsWx +configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def configure_subplots(self, *args):$/;" m class:NavigationToolbar2Wx +configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def configure_subplots(self):$/;" m class:NavigationToolbar2Tk +configure_tempdir_registry adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def configure_tempdir_registry(registry):$/;" f function:with_cleanup file: +configure_tpu_embedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def configure_tpu_embedding(config, name=None):$/;" f +configure_tpu_embedding_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def configure_tpu_embedding_eager_fallback(config, name, ctx):$/;" f +configure_tpu_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def configure_tpu_version(self, version, restart_type='always'):$/;" m class:Client +configure_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def configure_worker(worker):$/;" f member:Client.configure_tpu_version file: +config_axis adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def config_axis(self):$/;" m class:ColorbarBase +CONFIG_BASENAME adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^CONFIG_BASENAME = 'pip.ini' if WINDOWS else 'pip.conf'$/;" v +config_cc adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^class config_cc(Command):$/;" c +config_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ config_env_var = 'PKG_CONFIG'$/;" v class:_pkg_config_info +config_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ config_env_var = 'WX_CONFIG'$/;" v class:wx_info +CONFIG_ERROR versioneer.py /^CONFIG_ERROR = """$/;" v +config_fc adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^class config_fc(Command):$/;" c +config_file adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^def config_file(kind="local"):$/;" f +config_handler adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def config_handler(*args, **kwargs):$/;" f member:ConfigHandler._deprecated_config_handler file: +CONFIG_H_NOTOK adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^CONFIG_H_NOTOK = "not ok"$/;" v +CONFIG_H_OK adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^CONFIG_H_OK = "ok"$/;" v +CONFIG_H_UNCERTAIN adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^CONFIG_H_UNCERTAIN = "uncertain"$/;" v +config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def config_proto(self):$/;" m class:TPUEmbedding +config_proto_serialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def config_proto_serialized(self):$/;" m class:FunctionCallOptions +config_proto_serialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def config_proto_serialized(self, config):$/;" m class:FunctionCallOptions +CONFIG_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^CONFIG_ROUTE = "\/info"$/;" v +CONFIG_SUMMARY_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/metadata.py /^CONFIG_SUMMARY_TAG = "custom_scalars__config__"$/;" v +config_with_absl adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def config_with_absl(self):$/;" m class:Config +confirm_redirect_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def confirm_redirect_uri(self, client_id, code, redirect_uri, client, request,$/;" m class:RequestValidator +Conflict adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Conflict(HTTPException):$/;" c +ConflictDetails adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ ConflictDetails = Tuple[PackageSet, CheckResult]$/;" v +Conflicting adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ Conflicting = Tuple[str, str, Any]$/;" v +ConflictingDict adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ ConflictingDict = Dict[str, List[Conflicting]]$/;" v +ConfusionMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^class ConfusionMatrix(Enum):$/;" c +confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/confusion_matrix.py /^def confusion_matrix(labels,$/;" f +confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.confusion_matrix import confusion_matrix_v1 as confusion_matrix$/;" x +confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.confusion_matrix import confusion_matrix_v1 as confusion_matrix$/;" x +confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.confusion_matrix import confusion_matrix_v1 as confusion_matrix$/;" x +confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.confusion_matrix import confusion_matrix_v1 as confusion_matrix$/;" x +confusion_matrix_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/confusion_matrix.py /^def confusion_matrix_v1(labels,$/;" f +CONGRUENCY adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CONGRUENCY = 0x008D$/;" v class:WAVE_FORMAT +conj adpeps/utils/tlist.py /^ def conj(self):$/;" m class:TList +conj adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def conj(x):$/;" f +conj adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^conj = partial(tree_map, lambda x: np.conj(x, dtype=_dtype(x)))$/;" v +conj adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conj(x: Array) -> Array:$/;" f +conj adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^conj = conjugate$/;" v +conj adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def conj(self, copy=True):$/;" m class:spmatrix +conj adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def conj(self, copy=True):$/;" m class:_data_matrix +conj adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^conj = _unary_op(math_ops.conj)$/;" v +Conj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Conj = tf_export("raw_ops.Conj")(_ops.to_raw_op(conj))$/;" v +conj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def conj(input, name=None):$/;" f +conj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def conj(self):$/;" m class:SparseMatrix +conj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def conj(x, name=None):$/;" f +conj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def conj(x):$/;" f +conjtransp adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def conjtransp(self):$/;" m class:dok_matrix +conjugate adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def conjugate(x):$/;" f +conjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def conjugate(self):$/;" m class:TestUfuncGenericLoops.foo +conjugate adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^conjugate = _MaskedUnaryOperation(umath.conjugate)$/;" v +conjugate adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def conjugate(self, copy=True):$/;" m class:spmatrix +conjugate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def conjugate(x):$/;" f +conjugate adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def conjugate(A):$/;" f +ConjugateTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ConjugateTranspose = tf_export("raw_ops.ConjugateTranspose")(_ops.to_raw_op(conjugate_transpose)/;" v +conjugate_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/conjugate_gradient.py /^def conjugate_gradient(operator,$/;" f +conjugate_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def conjugate_transpose(x, perm, name=None):$/;" f +conjugate_transpose_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def conjugate_transpose_eager_fallback(x, perm, name, ctx):$/;" f +conj_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def conj_eager_fallback(input, name, ctx):$/;" f +conj_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^conj_p = unop(_complex_dtype, _complex_elem_types | _complex, 'conj')$/;" v +connect adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def connect(self, s, func):$/;" m class:CallbackRegistry +connect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def connect(self, posA, posB):$/;" m class:ConnectionStyle.Angle +connect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def connect(self, posA, posB):$/;" m class:ConnectionStyle.Angle3 +connect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def connect(self, posA, posB):$/;" m class:ConnectionStyle.Arc +connect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def connect(self, posA, posB):$/;" m class:ConnectionStyle.Arc3 +connect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def connect(self, posA, posB):$/;" m class:ConnectionStyle.Bar +connect adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def connect(s, func):$/;" f +connect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def connect(self, s, func):$/;" m class:Test_callback_registry +connect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def connect(self):$/;" m class:MultiCursor +connect adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ connect = disconnect = has_receivers_for = receivers_for = \\$/;" v class:_FakeSignal +connect adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def connect(self):$/;" m class:HTTPSConnection +connect adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def connect(self, f, t):$/;" m class:DirectedGraph +connect adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def connect(self):$/;" m class:HTTPConnection +connect adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def connect(self):$/;" m class:HTTPSConnection +connect adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def connect(self, v):$/;" m class:Vertex +connect adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def connect(self):$/;" m class:VerifyingHTTPSConn +connect adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def connect(tpu=None,$/;" m class:TPUClusterResolver +connect adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def connect(self):$/;" m class:HTTPConnection +connect adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def connect(self):$/;" m class:HTTPSConnection +connected adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def connected(self):$/;" m class:FigureManagerNbAgg +connected adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def connected(self, f, t):$/;" m class:DirectedGraph +connected adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def connected(self, x, y):$/;" m class:DisjointSet +CONNECTING adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ CONNECTING = (_cygrpc.ConnectivityState.connecting, 'connecting')$/;" v class:ChannelConnectivity +connection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def connection(self):$/;" m class:HTTPResponse +connection adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def connection(self):$/;" m class:HTTPResponse +ConnectionCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ ConnectionCls = HTTPConnection$/;" v class:HTTPConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ ConnectionCls = HTTPSConnection$/;" v class:HTTPSConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ ConnectionCls = SOCKSConnection$/;" v class:SOCKSHTTPConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ ConnectionCls = SOCKSHTTPSConnection$/;" v class:SOCKSHTTPSConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ ConnectionCls = HTTPConnection$/;" v class:HTTPConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ ConnectionCls = HTTPSConnection$/;" v class:HTTPSConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ ConnectionCls = SOCKSConnection$/;" v class:SOCKSHTTPConnectionPool +ConnectionCls adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ ConnectionCls = SOCKSHTTPSConnection$/;" v class:SOCKSHTTPSConnectionPool +ConnectionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ConnectionError(RequestException):$/;" c +ConnectionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ class ConnectionError(Exception):$/;" c +ConnectionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ConnectionError = ProtocolError$/;" v +ConnectionError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ConnectionError(RequestException):$/;" c +ConnectionError adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ class ConnectionError(Exception):$/;" c +ConnectionError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ConnectionError = ProtocolError$/;" v +ConnectionPatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class ConnectionPatch(FancyArrowPatch):$/;" c +ConnectionPool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^class ConnectionPool(object):$/;" c +ConnectionPool adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^class ConnectionPool(object):$/;" c +ConnectionStyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class ConnectionStyle(_Style):$/;" c +ConnectionWrapper adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^class ConnectionWrapper(object):$/;" c +connection_dropped adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def connection_dropped(self, error, environ=None):$/;" m class:WSGIRequestHandler +connection_from_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def connection_from_context(self, request_context):$/;" m class:PoolManager +connection_from_context adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def connection_from_context(self, request_context):$/;" m class:PoolManager +connection_from_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def connection_from_host(self, host, port=None, scheme="http", pool_kwargs=None):$/;" m class:PoolManager +connection_from_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def connection_from_host(self, host, port=None, scheme="http", pool_kwargs=None):$/;" m class:ProxyManager +connection_from_host adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def connection_from_host(self, host, port=None, scheme="http", pool_kwargs=None):$/;" m class:PoolManager +connection_from_host adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def connection_from_host(self, host, port=None, scheme="http", pool_kwargs=None):$/;" m class:ProxyManager +connection_from_pool_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def connection_from_pool_key(self, pool_key, request_context=None):$/;" m class:PoolManager +connection_from_pool_key adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def connection_from_pool_key(self, pool_key, request_context=None):$/;" m class:PoolManager +connection_from_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^def connection_from_url(url, **kw):$/;" f +connection_from_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def connection_from_url(self, url, pool_kwargs=None):$/;" m class:PoolManager +connection_from_url adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^def connection_from_url(url, **kw):$/;" f +connection_from_url adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def connection_from_url(self, url, pool_kwargs=None):$/;" m class:PoolManager +connection_info adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^def connection_info():$/;" f +connection_requires_http_tunnel adpepsenv/lib/python3.8/site-packages/urllib3/util/proxy.py /^def connection_requires_http_tunnel($/;" f +ConnectTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ConnectTimeout(ConnectionError, Timeout):$/;" c +ConnectTimeout adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ConnectTimeout(ConnectionError, Timeout):$/;" c +ConnectTimeoutError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ConnectTimeoutError(TimeoutError):$/;" c +ConnectTimeoutError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ConnectTimeoutError(TimeoutError):$/;" c +connect_ancillary_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def connect_ancillary_layers(model, created_layers):$/;" f +connect_bbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def connect_bbox(bbox1, bbox2, loc1, loc2=None):$/;" m class:BboxConnector +connect_default_events adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def connect_default_events(self):$/;" m class:_SelectorWidget +connect_event adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def connect_event(self, event, callback):$/;" m class:AxesWidget +connect_graph adpeps/tensor/ncon.py /^def connect_graph(L, v, order):$/;" f +connect_raise_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def connect_raise_node(self, node, except_guards):$/;" m class:GraphBuilder +connect_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ connect_src = csp_property("connect-src")$/;" v class:ContentSecurityPolicy +connect_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def connect_timeout(self):$/;" m class:Timeout +CONNECT_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^CONNECT_TIMEOUT = "connect() timed out."$/;" v +connect_timeout adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def connect_timeout(self):$/;" m class:Timeout +connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/remote.py /^def connect_to_cluster(cluster_spec_or_resolver,$/;" f +connect_to_remote_host adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/remote.py /^def connect_to_remote_host(remote_host=None, job_name="worker"):$/;" f +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ cons = ({'type': 'eq', 'fun': feqcon, 'jac': jeqcon, 'args': (1, )},$/;" v +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def cons(x):$/;" f member:TestOptimizeSimple.test_minimize_automethod file: +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def cons(x):$/;" f member:TestOptimizeSimple.test_slsqp_respect_bounds file: +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTest1 +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTest2 +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTest3 +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTest4 +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTest5 +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTestInfeasible +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTestLJ +cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ cons = wrap_constraints(g)$/;" v class:StructTestTable +cons1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def cons1(x):$/;" f function:test_vector_constraints file: +cons2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def cons2(x):$/;" f function:test_vector_constraints file: +ConsentRequired adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class ConsentRequired(OAuth2Error):$/;" c +ConsentRequired adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class ConsentRequired(OpenIDClientError):$/;" c +ConsistencyTests adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class ConsistencyTests:$/;" c +consistent_subclass adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def consistent_subclass(out, in_):$/;" f +Console adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^class Console(object):$/;" c +console adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def console(self):$/;" m class:Frame +CONSOLE_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^CONSOLE_HTML = ($/;" v +CONSOLE_SCREEN_BUFFER_INFO adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ class CONSOLE_SCREEN_BUFFER_INFO(Structure):$/;" c +CONSOLE_SCRIPTS adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^CONSOLE_SCRIPTS = [$/;" v +console_to_str adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def console_to_str(data):$/;" f +Const adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Const(self, array, blob_out=None, dtype=None):$/;" m class:Net +Const adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^def Const(net, value, dtype=None, name=None):$/;" f +const adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ const = lambda dtype, x: tf.constant(np.array(x), dtype)$/;" f function:_select_and_gather_add file: +const adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def const(shape):$/;" f member:ShapePolyTest.test_arg_avals file: +const adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ const = lambda c, dtype, x: xb.constant(c, np.array(x, dtype=dtype),$/;" f function:_select_and_gather_add_translation file: +Const adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Const = tf_export("raw_ops.Const")(_ops.to_raw_op(const))$/;" v +const adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def const(value, dtype, name=None):$/;" f +const adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ const = lambda x: constant_op.constant(x, l1_norm.dtype)$/;" f function:matrix_exponential file: +constant adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def constant(step_size) -> Schedule:$/;" f +constant adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def constant(builder, py_val, canonicalize_types=True):$/;" f +constant adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def constant(image, value):$/;" f +CONSTANT adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^CONSTANT = 5 # All lower bounds are equal to the upper bounds$/;" v +constant adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^constant = constant_op.constant$/;" v +constant adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Constant as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def constant(value, dtype=None, shape=None, name="Const"):$/;" f +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Constant as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def constant(value, dtype=None, shape=None, name=None):$/;" f +Constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Constant(Initializer):$/;" c +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^constant = init_ops.constant_initializer$/;" v +Constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Constant(Initializer):$/;" c +Constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Constant(Initializer):$/;" c +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^constant = Constant$/;" v +constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def constant(pylist, dtype=None, ragged_rank=None, inner_shape=None,$/;" f +constant adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.constant_op import constant_v1 as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.constant_op import constant_v1 as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.constant_op import constant_v1 as constant$/;" x +constant adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^constant = _make_deprecate(constant_)$/;" v +ConstantDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^class ConstantDenseOutput(DenseOutput):$/;" c +ConstantInit adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def ConstantInit(self, value):$/;" m class:CNNModelHelper +ConstantNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class ConstantNorm(Regularizer):$/;" c +ConstantPad1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ConstantPad1d(_ConstantPadNd):$/;" c +ConstantPad2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ConstantPad2d(_ConstantPadNd):$/;" c +ConstantPad3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ConstantPad3d(_ConstantPadNd):$/;" c +constants adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^constants = []$/;" v +constants adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python import lite_constants as constants$/;" x +constants_str adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ constants_str = "\\n".join(constants_str)$/;" v +constants_str adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ constants_str = []$/;" v +ConstantWarning adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^class ConstantWarning(DeprecationWarning):$/;" c +ConstantWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/constant_weight.py /^class ConstantWeight(ModelLayer):$/;" c +constant_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def constant_(tensor, val):$/;" f +constant_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^ def constant_fill(*args, **kw):$/;" f member:TestGivenTensorByteStringToUInt8FillOps.test_empty_given_tensor_byte_string_to_uint8_fill file: +constant_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^ def constant_fill(*args, **kw):$/;" f member:TestGivenTensorByteStringToUInt8FillOps.test_given_tensor_byte_string_to_uint8_fill file: +constant_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_fill_op_test.py /^ def constant_fill(*args, **kw):$/;" f member:TestGivenTensorFillOps.test_given_tensor_fill file: +constant_folding_opset_versions adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^constant_folding_opset_versions = [9, 10, 11, 12]$/;" v +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^constant_initializer = Constant$/;" v +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^constant_initializer = Constant$/;" v +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.init_ops_v2 import Constant as constant_initializer$/;" x +constant_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Constant as constant_initializer$/;" x +constant_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^constant_op = lazy_loader.LazyLoader($/;" v +constant_pad_nd adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def constant_pad_nd(g, input, padding, value=None):$/;" f +constant_pad_nd adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def constant_pad_nd(g, input, padding, value):$/;" f +constant_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def constant_v1($/;" f +constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def constant_value(tensor, partial=False): # pylint: disable=invalid-name$/;" f +constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def constant_value(pred): # pylint: disable=invalid-name$/;" f +constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^def constant_value(pred):$/;" f +constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def constant_value(pylist, dtype=None, ragged_rank=None, inner_shape=None,$/;" f +constant_value_as_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def constant_value_as_shape(tensor): # pylint: disable=invalid-name$/;" f +constant_window_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def constant_window_func(unused_key):$/;" f function:group_by_window file: +ConstMap adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class ConstMap:$/;" c +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:Elec +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:EqIneqRosenbrock +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:HyperbolicIneq +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:IneqRosenbrock +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:Maratos +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:MaratosGradInFunc +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:MaratosTestArgs +constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constr(self):$/;" m class:Rosenbrock +constrain adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def constrain(self, v, min_val, max_val):$/;" m class:TestPiecewiseLinearTransform +constrained_layout adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib._constrained_layout as constrained_layout$/;" I +constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constraint(x):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def constraint(self):$/;" m class:DistributedVariable +Constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^class Constraint(object):$/;" c +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def constraint(self):$/;" m class:AutoCastVariable +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def constraint(self):$/;" m class:BaseResourceVariable +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def constraint(self):$/;" m class:RefVariable +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def constraint(self):$/;" m class:Variable +constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def constraint(self):$/;" m class:VariableScope +Constraint adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class Constraint(object):$/;" c +constraintjacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constraintjacobian(x):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +constraintlcoh adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def constraintlcoh(x, v):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +ConstraintRegistry adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^class ConstraintRegistry(object):$/;" c +constraints adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def constraints():$/;" f +constraints adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def constraints(self):$/;" m class:Bidirectional +ConstraintsExclusion adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ConstraintsExclusion(AbstractConstraint):$/;" c +ConstraintsIntersection adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ConstraintsIntersection(AbstractConstraintSet):$/;" c +ConstraintsUnion adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ConstraintsUnion(AbstractConstraintSet):$/;" c +constrain_bottom adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_bottom(self, bottom, strength='strong'):$/;" m class:LayoutBox +constrain_bottom_margin adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_bottom_margin(self, margin, strength='strong'):$/;" m class:LayoutBox +constrain_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_geometry(self, left, bottom, right, top, strength='strong'):$/;" m class:LayoutBox +constrain_height adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_height(self, height, strength='strong'):$/;" m class:LayoutBox +constrain_height_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_height_min(self, height, strength='strong'):$/;" m class:LayoutBox +constrain_internal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def constrain_internal(x):$/;" f member:TestPiecewiseLinearTransform.constrain file: +constrain_left adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_left(self, left, strength='strong'):$/;" m class:LayoutBox +constrain_left_margin adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_left_margin(self, margin, strength='strong'):$/;" m class:LayoutBox +constrain_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_margins(self):$/;" m class:LayoutBox +constrain_right adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_right(self, right, strength='strong'):$/;" m class:LayoutBox +constrain_right_margin adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_right_margin(self, margin, strength='strong'):$/;" m class:LayoutBox +constrain_same adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_same(self, other, strength='strong'):$/;" m class:LayoutBox +constrain_top adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_top(self, top, strength='strong'):$/;" m class:LayoutBox +constrain_top_margin adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_top_margin(self, margin, strength='strong'):$/;" m class:LayoutBox +constrain_width adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_width(self, width, strength='strong'):$/;" m class:LayoutBox +constrain_width_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def constrain_width_min(self, width, strength='strong'):$/;" m class:LayoutBox +ConstRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def ConstRecord(net, array_record):$/;" f +ConstructedAsn1Type adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^class ConstructedAsn1Type(Asn1Type):$/;" c +ConstructInitTrainNetfromNet adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def ConstructInitTrainNetfromNet(self, net):$/;" m class:ModelHelper +Constructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class Constructor(UnsafeConstructor):$/;" c +ConstructorError adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class ConstructorError(MarkedYAMLError):$/;" c +constructor_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def constructor_args(self):$/;" m class:CriterionTest +constructor_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def constructor_args(self):$/;" m class:NewModuleTest +constructor_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def constructor_args(self):$/;" m class:TestBase +constructTrainerClass adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^def constructTrainerClass(myTrainerClass, opts):$/;" f +construct_complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def construct_complex(self):$/;" m class:SHGO +construct_document adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_document(self, node):$/;" m class:BaseConstructor +construct_fast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def construct_fast(cls, c, x, extrapolate=None):$/;" m class:NdPPoly +construct_fast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def construct_fast(cls, c, x, extrapolate=None, axis=0):$/;" m class:_PPolyBase +construct_fast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def construct_fast(cls, t, c, k, extrapolate=True, axis=0):$/;" m class:BSpline +construct_global_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def construct_global_jac(n, m, k, i_jac, j_jac, h, df_dy, df_dy_middle, df_dp,$/;" f +construct_hypercube adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def construct_hypercube(self, origin, supremum, gen, hgr,$/;" m class:Complex +construct_lcb_delaunay adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def construct_lcb_delaunay(self, v_min, ind=None):$/;" m class:SHGO +construct_lcb_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def construct_lcb_simplicial(self, v_min):$/;" m class:SHGO +construct_loss_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def construct_loss_function(m, loss, f_scale):$/;" f +construct_mapping adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_mapping(self, node, deep=False):$/;" m class:BaseConstructor +construct_mapping adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_mapping(self, node, deep=False):$/;" m class:SafeConstructor +construct_my_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def construct_my_script_module(rank: int) -> MyModuleInterface:$/;" f +construct_object adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_object(self, node, deep=False):$/;" m class:BaseConstructor +construct_pairs adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_pairs(self, node, deep=False):$/;" m class:BaseConstructor +construct_python_bytes adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_bytes(self, node):$/;" m class:FullConstructor +construct_python_complex adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_complex(self, node):$/;" m class:FullConstructor +construct_python_long adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_long(self, node):$/;" m class:FullConstructor +construct_python_module adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_module(self, suffix, node):$/;" m class:FullConstructor +construct_python_name adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_name(self, suffix, node):$/;" m class:FullConstructor +construct_python_object adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_object(self, suffix, node):$/;" m class:FullConstructor +construct_python_object_apply adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_object_apply(self, suffix, node, newobj=False):$/;" m class:FullConstructor +construct_python_object_new adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_object_new(self, suffix, node):$/;" m class:FullConstructor +construct_python_str adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_str(self, node):$/;" m class:FullConstructor +construct_python_tuple adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_tuple(self, node):$/;" m class:FullConstructor +construct_python_unicode adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_python_unicode(self, node):$/;" m class:FullConstructor +construct_rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def construct_rpc_backend_options($/;" f +construct_scalar adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_scalar(self, node):$/;" m class:BaseConstructor +construct_scalar adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_scalar(self, node):$/;" m class:SafeConstructor +construct_sequence adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_sequence(self, node, deep=False):$/;" m class:BaseConstructor +construct_stmt_and_label adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def construct_stmt_and_label(pr, params):$/;" f +construct_table adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def construct_table(results, device_str, test_variance):$/;" f +construct_undefined adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_undefined(self, node):$/;" m class:SafeConstructor +construct_yaml_binary adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_binary(self, node):$/;" m class:SafeConstructor +construct_yaml_bool adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_bool(self, node):$/;" m class:SafeConstructor +construct_yaml_float adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_float(self, node):$/;" m class:SafeConstructor +construct_yaml_int adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_int(self, node):$/;" m class:SafeConstructor +construct_yaml_map adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_map(self, node):$/;" m class:SafeConstructor +construct_yaml_null adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_null(self, node):$/;" m class:SafeConstructor +construct_yaml_object adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_object(self, node, cls):$/;" m class:SafeConstructor +construct_yaml_omap adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_omap(self, node):$/;" m class:SafeConstructor +construct_yaml_pairs adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_pairs(self, node):$/;" m class:SafeConstructor +construct_yaml_seq adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_seq(self, node):$/;" m class:SafeConstructor +construct_yaml_set adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_set(self, node):$/;" m class:SafeConstructor +construct_yaml_str adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_str(self, node):$/;" m class:SafeConstructor +construct_yaml_timestamp adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def construct_yaml_timestamp(self, node):$/;" m class:SafeConstructor +constr_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_accept_trial file: +constr_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_population_feasibilities file: +constr_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_solve file: +constr_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_violation_fn file: +constr_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_impossible_constraint file: +constr_f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f2(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_population_feasibilities file: +constr_f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def constr_f2(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_violation_fn file: +ConstVar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ConstVar = namedtuple('ConstVar', ['val'])$/;" v +const_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def const_eager_fallback(value, dtype, name, ctx):$/;" f +const_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def const_ext(x, n, axis=-1):$/;" f +const_if_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ def const_if_tensor(arg):$/;" f function:_graph_op file: +Consume adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def Consume(self, token):$/;" m class:Tokenizer +consume adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def consume(self, value):$/;" m class:_Callback +consume adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream.py /^ def consume(self, value):$/;" m class:Consumer +consume adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume(self, value):$/;" m class:IterableConsumer +consume adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume(self, value):$/;" m class:ThreadSwitchingConsumer +consume adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume(self, value):$/;" m class:TransformingConsumer +consume adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def consume(self, c):$/;" m class:_Stream +consume adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def consume(iterator):$/;" f +ConsumeBool adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeBool(self):$/;" m class:Tokenizer +ConsumeByteString adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeByteString(self):$/;" m class:Tokenizer +ConsumeComment adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeComment(self):$/;" m class:Tokenizer +ConsumeCommentOrTrailingComment adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeCommentOrTrailingComment(self):$/;" m class:Tokenizer +consumeEntity adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def consumeEntity(self, allowedChar=None, fromAttribute=False):$/;" m class:HTMLTokenizer +consumeEntity adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def consumeEntity(self, allowedChar=None, fromAttribute=False):$/;" m class:HTMLTokenizer +ConsumeEnum adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeEnum(self, field):$/;" m class:Tokenizer +ConsumeFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeFloat(self):$/;" m class:Tokenizer +ConsumeIdentifier adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeIdentifier(self):$/;" m class:Tokenizer +ConsumeIdentifierOrNumber adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeIdentifierOrNumber(self):$/;" m class:Tokenizer +ConsumeInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeInteger(self, is_long=False):$/;" m class:Tokenizer +ConsumeMutexLock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ConsumeMutexLock = tf_export("raw_ops.ConsumeMutexLock")(_ops.to_raw_op(consume_mutex_lock))$/;" v +consumeNumberEntity adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def consumeNumberEntity(self, isHex):$/;" m class:HTMLTokenizer +consumeNumberEntity adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def consumeNumberEntity(self, isHex):$/;" m class:HTMLTokenizer +Consumer adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream.py /^class Consumer(six.with_metaclass(abc.ABCMeta)):$/;" c +consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def consumers(self):$/;" m class:IndexedSlices +consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def consumers(self):$/;" m class:Tensor +consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def consumers(self):$/;" m class:_EagerTensorBase +consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def consumers(self):$/;" m class:SparseTensor +consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def consumers(self):$/;" m class:RaggedTensor +ConsumeString adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ConsumeString(self):$/;" m class:Tokenizer +consume_and_terminate adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def consume_and_terminate(self, value):$/;" m class:_Callback +consume_and_terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream.py /^ def consume_and_terminate(self, value):$/;" m class:Consumer +consume_and_terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume_and_terminate(self, value):$/;" m class:IterableConsumer +consume_and_terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume_and_terminate(self, value):$/;" m class:ThreadSwitchingConsumer +consume_and_terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def consume_and_terminate(self, value):$/;" m class:TransformingConsumer +consume_mutex_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def consume_mutex_lock(mutex_lock, name=None):$/;" f +consume_mutex_lock_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def consume_mutex_lock_eager_fallback(mutex_lock, name, ctx):$/;" f +consume_request_iterator adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def consume_request_iterator(): # pylint: disable=too-many-branches$/;" f function:_consume_request_iterator file: +consume_until adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def consume_until(self, c):$/;" m class:_Stream +cons_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def cons_f(x):$/;" f function:test_violation file: +cons_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def cons_f(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_wrapper_violation file: +cont adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object cont(void *func, void *state, object size, object lock, int narg,$/;" f +cont2discrete adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def cont2discrete(system, dt, method="zoh", alpha=None):$/;" f +ContainedSubtypeConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ContainedSubtypeConstraint(AbstractConstraint):$/;" c +contained_in adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def contained_in(filename, directory):$/;" f +Container adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^class Container(tuple):$/;" c +container adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^class container:$/;" c +CONTAINER adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^CONTAINER = 2$/;" v +Container adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class Container(object):$/;" c +Container adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from types import SimpleNamespace as Container$/;" x +container adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def container(self):$/;" m class:_Node +container adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def container(self, container_name):$/;" m class:_FuncGraph +container adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def container(self, container_name):$/;" m class:Graph +container adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def container(container_name):$/;" f +Container adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^class Container(object):$/;" c +Container adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class Container(Module):$/;" c +ContainerCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ ContainerCls = OrderedDict$/;" v class:RecentlyUsedContainer +ContainerCls adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ ContainerCls = OrderedDict$/;" v class:RecentlyUsedContainer +ContainerIO adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^class ContainerIO:$/;" c +container_abcs adpepsenv/lib/python3.8/site-packages/caffe2/python/compatibility.py /^ container_abcs = collections$/;" v +container_abcs adpepsenv/lib/python3.8/site-packages/caffe2/python/compatibility.py /^ container_abcs = collections.abc$/;" v +container_abcs adpepsenv/lib/python3.8/site-packages/torch/_six.py /^container_abcs = collections.abc$/;" v +contains adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def contains(self, mouseevent):$/;" m class:Artist +contains adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def contains(self, mouseevent):$/;" m class:_AxesBase +contains adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def contains(self, mouseevent):$/;" m class:Tick +contains adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def contains(self, mouseevent):$/;" m class:XAxis +contains adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def contains(self, mouseevent):$/;" m class:YAxis +contains adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def contains(self, mouseevent):$/;" m class:Collection +contains adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def contains(self, mouseevent):$/;" m class:Figure +contains adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def contains(self, mouseevent):$/;" m class:BboxImage +contains adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def contains(self, mouseevent):$/;" m class:_ImageBase +contains adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def contains(self, event):$/;" m class:Legend +contains adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def contains(self, mouseevent):$/;" m class:Line2D +contains adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def contains(self, mouseevent):$/;" m class:AnnotationBbox +contains adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def contains(self, mouseevent):$/;" m class:OffsetBox +contains adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def contains(self, mouseevent, radius=None):$/;" m class:Patch +contains adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def contains(self, mouseevent):$/;" m class:QuiverKey +contains adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def contains(self, mouseevent):$/;" m class:Table +contains adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def contains(self, event):$/;" m class:Annotation +contains adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def contains(self, mouseevent):$/;" m class:Text +contains adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def contains(self, x, y):$/;" m class:BboxBase +contains adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:BaseSpecifier +contains adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:SpecifierSet +contains adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:_IndividualSpecifier +contains adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:BaseSpecifier +contains adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:SpecifierSet +contains adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:_IndividualSpecifier +contains adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:BaseSpecifier +contains adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:SpecifierSet +contains adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def contains(self, item, prereleases=None):$/;" m class:_IndividualSpecifier +contains adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^ def contains(self, value):$/;" m class:Interval +contains adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def contains(self, etag):$/;" m class:ETags +containscommon adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def containscommon(rout):$/;" f +containsmodule adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def containsmodule(block):$/;" f +containspattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^containspattern = re.compile($/;" v +containsx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def containsx(self, x):$/;" m class:BboxBase +containsy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def containsy(self, y):$/;" m class:BboxBase +contains_branch adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def contains_branch(self, other):$/;" m class:BlendedGenericTransform +contains_branch adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def contains_branch(self, other):$/;" m class:Transform +contains_branch_seperately adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def contains_branch_seperately(self, other_transform):$/;" m class:Transform +contains_branch_seperately adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def contains_branch_seperately(self, transform):$/;" m class:_BlendedMixin +contains_deprecation_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^def contains_deprecation_decorator(decorators):$/;" f +contains_doctest adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def contains_doctest(text):$/;" f +contains_path adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def contains_path(self, path, transform=None):$/;" m class:Path +contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def contains_point(self, point):$/;" m class:_AxesBase +contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def contains_point(self, point, radius=None):$/;" m class:Patch +contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def contains_point(self, point, transform=None, radius=0.0):$/;" m class:Path +contains_points adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def contains_points(self, points, radius=None):$/;" m class:Patch +contains_points adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def contains_points(self, points, transform=None, radius=0.0):$/;" m class:Path +contains_raw adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def contains_raw(self, etag):$/;" m class:ETags +contains_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def contains_saved_model(export_dir):$/;" f +contains_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/__init__.py /^from tensorflow.python.saved_model.loader_impl import maybe_saved_model_directory as contains_sa/;" x +contains_training_quant_op adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def contains_training_quant_op(self):$/;" m class:QuantizationMode +contains_weak adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def contains_weak(self, etag):$/;" m class:ETags +content adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def content(self):$/;" m class:Dataset +content adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ async def content(self):$/;" m class:_CombinedResponse +content adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def content(self):$/;" m class:Response +content adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def content(self):$/;" m class:Response +content adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def content(self):$/;" m class:MenuItem +ContentAttrParser adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class ContentAttrParser(object):$/;" c +ContentAttrParser adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class ContentAttrParser(object):$/;" c +ContentChecker adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^class ContentChecker:$/;" c +ContentCollection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^class ContentCollection(univ.SequenceOf):$/;" c +ContentDecodingError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ContentDecodingError(RequestException, BaseHTTPError):$/;" c +ContentDecodingError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ContentDecodingError(RequestException, BaseHTTPError):$/;" c +ContentDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^class ContentDecryptKeyID(univ.OctetString):$/;" c +ContentDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class ContentDecryptKeyID(univ.OctetString):$/;" c +ContentEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ContentEncryptionAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +ContentEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ContentEncryptionAlgorithmIdentifier(rfc3280.AlgorithmIdentifier):$/;" c +ContentEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ContentEncryptionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +ContentHints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ContentHints(univ.Sequence):$/;" c +ContentHints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ContentHints = rfc2634.ContentHints$/;" v +ContentHints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ContentHints = rfc2634.ContentHints$/;" v +ContentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ContentIdentifier(univ.OctetString):$/;" c +ContentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ContentIdentifier = rfc2634.ContentIdentifier$/;" v +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ContentInfo(univ.Sequence):$/;" c +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^ContentInfo = rfc5652.ContentInfo$/;" v +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^ContentInfo = rfc5652.ContentInfo$/;" v +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ContentInfo(univ.Sequence):$/;" c +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ContentInfo(univ.Sequence):$/;" c +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ContentInfo = rfc5652.ContentInfo$/;" v +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^ContentInfo = rfc5652.ContentInfo$/;" v +ContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^ContentInfo = rfc5652.ContentInfo$/;" v +ContentRange adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ContentRange(object):$/;" c +ContentReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ContentReference(univ.Sequence):$/;" c +ContentReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ContentReference = rfc2634.ContentReference$/;" v +contents adpepsenv/lib/python3.8/site-packages/certifi/core.py /^def contents():$/;" f +contents adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def contents(self):$/;" m class:_concrete_ndptr +contents adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^def contents():$/;" f +contents adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def contents(self):$/;" m class:CapturedWrites +ContentSecurityPolicy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ContentSecurityPolicy(UpdateDictMixin, dict):$/;" c +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ContentType(univ.ObjectIdentifier):$/;" c +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ContentType = rfc5652.ContentType$/;" v +contentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^contentType = CMSSingleAttribute()$/;" v +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^ContentType = rfc5652.ContentType$/;" v +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ContentType(univ.ObjectIdentifier):$/;" c +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ContentType = rfc5652.ContentType$/;" v +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ContentType(univ.ObjectIdentifier):$/;" c +ContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ContentType = rfc5652.ContentType$/;" v +ContentTypeConstraint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^class ContentTypeConstraint(univ.Sequence):$/;" c +ContentTypeGeneration adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^class ContentTypeGeneration(univ.Enumerated):$/;" c +contentTypeMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^contentTypeMap = {}$/;" v +ContentType_ShortForm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^class ContentType_ShortForm(univ.Integer):$/;" c +ContentWithAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^class ContentWithAttributes(univ.Sequence):$/;" c +content_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def content_check(self, ua, ua_scalar, nbytes):$/;" m class:AssignValues +content_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def content_check(self, ua, ua_scalar, nbytes):$/;" m class:CreateValues +content_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def content_check(self, ua, ua_scalar, nbytes):$/;" m class:CreateZeros +CONTENT_CHUNK_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^CONTENT_CHUNK_SIZE = 10 * 1024$/;" v +CONTENT_CHUNK_SIZE adpepsenv/lib/python3.8/site-packages/requests/models.py /^CONTENT_CHUNK_SIZE = 10 * 1024$/;" v +CONTENT_DECODERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ CONTENT_DECODERS = ["gzip", "deflate"]$/;" v class:HTTPResponse +CONTENT_DECODERS adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ CONTENT_DECODERS = ["gzip", "deflate"]$/;" v class:HTTPResponse +content_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_encoding = environ_property($/;" v class:CommonRequestDescriptorsMixin +content_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_encoding = header_property($/;" v class:CommonResponseDescriptorsMixin +content_language adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_language = _set_property($/;" v class:CommonResponseDescriptorsMixin +content_length adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def content_length(self):$/;" m class:FileStorage +content_length adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def content_length(self):$/;" m class:EnvironBuilder +content_length adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def content_length(self, value):$/;" m class:EnvironBuilder +content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_length = header_property($/;" v class:CommonResponseDescriptorsMixin +content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def content_length(self):$/;" m class:CommonRequestDescriptorsMixin +content_location adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_location = header_property($/;" v class:CommonResponseDescriptorsMixin +content_md5 adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_md5 = environ_property($/;" v class:CommonRequestDescriptorsMixin +content_md5 adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_md5 = header_property($/;" v class:CommonResponseDescriptorsMixin +content_range adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def content_range(self):$/;" m class:ETagResponseMixin +content_range adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def content_range(self, value):$/;" m class:ETagResponseMixin +content_security_policy adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_security_policy = header_property($/;" v class:CommonResponseDescriptorsMixin +content_security_policy_report_only adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_security_policy_report_only = header_property($/;" v class:CommonResponseDescriptorsMixin +content_type adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def content_type(self):$/;" m class:FileStorage +content_type adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def content_type(self):$/;" m class:EnvironBuilder +content_type adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def content_type(self, value):$/;" m class:EnvironBuilder +content_type adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_type = environ_property($/;" v class:CommonRequestDescriptorsMixin +content_type adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ content_type = header_property($/;" v class:CommonResponseDescriptorsMixin +CONTENT_TYPE_FORM_URLENCODED adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^CONTENT_TYPE_FORM_URLENCODED = 'application\/x-www-form-urlencoded'$/;" v +CONTENT_TYPE_FORM_URLENCODED adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^CONTENT_TYPE_FORM_URLENCODED = 'application\/x-www-form-urlencoded'$/;" v +CONTENT_TYPE_FORM_URLENCODED adpepsenv/lib/python3.8/site-packages/requests/auth.py /^CONTENT_TYPE_FORM_URLENCODED = 'application\/x-www-form-urlencoded'$/;" v +CONTENT_TYPE_FORM_URLENCODED adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^CONTENT_TYPE_FORM_URLENCODED = "application\/x-www-form-urlencoded"$/;" v +CONTENT_TYPE_MULTI_PART adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^CONTENT_TYPE_MULTI_PART = 'multipart\/form-data'$/;" v +CONTENT_TYPE_MULTI_PART adpepsenv/lib/python3.8/site-packages/requests/auth.py /^CONTENT_TYPE_MULTI_PART = 'multipart\/form-data'$/;" v +CONTENT_TYPE_MULTI_PART adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^CONTENT_TYPE_MULTI_PART = "multipart\/form-data"$/;" v +context adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def context(self, text):$/;" m class:Text +context adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def context(self, protocol_context):$/;" m class:ProtocolReceiver +context adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def context(style, after_reset=False):$/;" f +Context adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^class Context(object):$/;" c +context adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^def context(environ):$/;" f +Context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class Context(object):$/;" c +Context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class Context(object):$/;" c +context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def context():$/;" f +context adpepsenv/lib/python3.8/site-packages/torch/distributed/autograd/__init__.py /^class context(object):$/;" c +ContextAdjuster adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^class ContextAdjuster(gast.NodeTransformer):$/;" c +ContextDecorator adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class ContextDecorator(object):$/;" c +ContextDecorator adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ class ContextDecorator(object): # type: ignore[no-redef]$/;" c +contextlib_nullcontext adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^class contextlib_nullcontext:$/;" c +contextmanager adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^def contextmanager(func):$/;" f +ContextManager adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^class ContextManager(_GeneratorContextManager):$/;" c +contextmanager adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^contextmanager = decorator(ContextManager)$/;" v +contextmanager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_contextlib.py /^def contextmanager(target):$/;" f +contextmanager adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_contextlib.py /^def contextmanager(target):$/;" f +ContextManager adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class ContextManager(typing.Generic[T_co]):$/;" c +ContextManager adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class ContextManager(typing.Generic[T_co],$/;" c +ContextManager adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ ContextManager = typing.ContextManager$/;" v +ContextProp adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^class ContextProp(object):$/;" c +ContextStack adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class ContextStack(ExitStack):$/;" c +ContextSwitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ContextSwitch = collections.namedtuple($/;" v +ContextualVersionConflict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ContextualVersionConflict(VersionConflict):$/;" c +ContextualVersionConflict adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ContextualVersionConflict(VersionConflict):$/;" c +ContextValueCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^class ContextValueCache(weakref.WeakKeyDictionary):$/;" c +CONTEXT_AWARE_METADATA_PATH adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^CONTEXT_AWARE_METADATA_PATH = "~\/.secureConnect\/context_aware_metadata.json"$/;" v +context_cleanup_test_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def context_cleanup_test_helper(self, rpc_args, func):$/;" m class:FaultyAgentDistAutogradTest +context_cleanup_test_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def context_cleanup_test_helper(self, rpc_args, func, nested=False):$/;" m class:DistAutogradTest +context_managers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^ def context_managers(self, kwargs):$/;" m class:EagerGraphCombination +context_managers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def context_managers(self, kwargs):$/;" m class:TestCombination +context_managers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def context_managers(self, kwargs):$/;" m class:KerasModeCombination +context_managers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def context_managers(self, kwargs):$/;" m class:KerasModelTypeCombination +context_managers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def context_managers(self, kwargs):$/;" m class:KerasTensorCombination +context_safe adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def context_safe():$/;" f +context_switches adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def context_switches(self):$/;" m class:Context +contiguous adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def contiguous(g, input, memory_format):$/;" f +contiguous_regions adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def contiguous_regions(mask):$/;" f +contingency_public_key_decrypt_key adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^contingency_public_key_decrypt_key = Attribute()$/;" v +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request):$/;" f member:_UnaryStreamMultiCallable.__call__ file: +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request):$/;" f member:_UnaryUnaryMultiCallable.future file: +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request):$/;" f member:_UnaryUnaryMultiCallable._with_call file: +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request_iterator):$/;" f member:_StreamStreamMultiCallable.__call__ file: +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request_iterator):$/;" f member:_StreamUnaryMultiCallable.future file: +continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def continuation(new_details, request_iterator):$/;" f member:_StreamUnaryMultiCallable._with_call file: +ContinueCanonicalizationTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^class ContinueCanonicalizationTransformer(converter.Base):$/;" c +ContinuousBernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^class ContinuousBernoulli(ExponentialFamily):$/;" c +contour adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def contour(self, *args, **kwargs):$/;" m class:Axes +contour adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.contour as contour$/;" I +contour adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def contour(*args, data=None, **kwargs):$/;" f +contour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib.contour as contour$/;" I +contour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def contour(self, *XYCL, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +contour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def contour(self, X, Y, Z, *args,$/;" m class:Axes3D +CONTOUR adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class CONTOUR(BuiltinFilter):$/;" c +contour3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ contour3D = contour$/;" v class:Axes3D +contourf adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def contourf(self, *args, **kwargs):$/;" m class:Axes +contourf adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def contourf(*args, data=None, **kwargs):$/;" f +contourf adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def contourf(self, *XYCL, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +contourf adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def contourf(self, X, Y, Z, *args, zdir='z', offset=None, **kwargs):$/;" m class:Axes3D +contourf3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ contourf3D = contourf$/;" v class:Axes3D +ContourLabeler adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^class ContourLabeler:$/;" c +ContourSet adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^class ContourSet(cm.ScalarMappable, ContourLabeler):$/;" c +contour_dat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def contour_dat():$/;" f +contract adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def contract(x, y):$/;" f function:inner_prod file: +contract adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def contract(*operands, **kwargs):$/;" f +ContractExpression adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^class ContractExpression:$/;" c +contract_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def contract_expression(subscripts, *shapes, **kwargs):$/;" f +contract_path adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def contract_path(*operands, **kwargs):$/;" f +Contrast adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^class Contrast(_Enhance):$/;" c +contrib_iterator_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^from tensorflow.python.data.experimental.ops import iterator_ops as contrib_iterator_ops$/;" x +contrib_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.python.ops import summary_ops_v2 as contrib_summary$/;" x +CONTROL adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ CONTROL = 251$/;" v class:CharacterCategory +CONTROL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ CONTROL = 251$/;" v class:CharacterCategory +Control adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Control(univ.Sequence):$/;" c +controlFlow adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def controlFlow(self):$/;" m class:NNModule +ControlFlowContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^class ControlFlowContext(object):$/;" c +ControlFlowContextDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^ControlFlowContextDef = _reflection.GeneratedProtocolMessageType('ControlFlowContextDef', (_mess/;" v +ControlFlowFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_func_graphs.py /^class ControlFlowFuncGraph(func_graph.FuncGraph):$/;" c +ControlFlowOpsTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^class ControlFlowOpsTest(tf_test_util.JaxToTfTestCase):$/;" c +ControlFlowTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^class ControlFlowTransformer(converter.Base):$/;" c +ControlFlowTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^class ControlFlowTransformer(converter.Base):$/;" c +Controls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Controls(univ.SequenceOf):$/;" c +Controls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class Controls(univ.SequenceOf):$/;" c +Controls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class Controls(univ.SequenceOf):$/;" c +ControlsProcessed adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class ControlsProcessed(univ.Sequence):$/;" c +ControlsProcessed adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class ControlsProcessed(univ.Sequence):$/;" c +ControlStatusCtx adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^class ControlStatusCtx(object):$/;" c +ControlTrigger adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^ControlTrigger = tf_export("raw_ops.ControlTrigger")(_ops.to_raw_op(control_trigger))$/;" v +control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def control_dependencies(self, control_inputs):$/;" m class:FuncGraph +control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def control_dependencies(self, control_inputs):$/;" m class:Graph +control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def control_dependencies(control_inputs):$/;" f +control_dependency_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/context_managers.py /^ def control_dependency_handle(t):$/;" f function:control_dependency_on_returns file: +control_dependency_on_returns adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/context_managers.py /^def control_dependency_on_returns(return_value):$/;" f +control_flow_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def control_flow_op(op):$/;" m class:TensorTracer +control_flow_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^control_flow_ops = LazyLoader($/;" v +control_flow_v2_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_toggles.py /^def control_flow_v2_enabled(): # pylint: disable=invalid-name$/;" f +control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def control_inputs(self):$/;" m class:Graph._ControlDependenciesController +control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def control_inputs(self):$/;" m class:Operation +control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def control_inputs(self):$/;" m class:WhileOp +control_op_remap adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def control_op_remap(op, prefix, blob_remap):$/;" f +control_points adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def control_points(self):$/;" m class:BezierSegment +CONTROL_RES_CR10 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CONTROL_RES_CR10 = 0x0037$/;" v class:WAVE_FORMAT +CONTROL_RES_VQLPC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CONTROL_RES_VQLPC = 0x0034$/;" v class:WAVE_FORMAT +control_status_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^def control_status_ctx():$/;" f +control_trigger adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def control_trigger(name=None):$/;" f +control_trigger_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def control_trigger_eager_fallback(name, ctx):$/;" f +CONTTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^CONTTYPE = b"7" # contiguous file$/;" v +cont_broadcast_3 adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object cont_broadcast_3(void *func, void *state, object size, object lock,$/;" f +cont_f adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object cont_f(void *func, bitgen_t *state, object size, object lock,$/;" f +Conv adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Conv(self, *args, **kwargs):$/;" m class:CNNModelHelper +conv adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def conv($/;" f +Conv adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/conv.py /^class Conv(ModelLayer):$/;" c +conv adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def conv(n, m, yh, yw):$/;" f function:TestLocallyConnectedOp.test_lc_2d.lc_2d_nchw file: +conv adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def conv(n, m, yl):$/;" f function:TestLocallyConnectedOp.test_lc_1d.lc_1d_nchw file: +conv adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def conv(n, m, yt, yh, yw):$/;" f function:TestLocallyConnectedOp.test_lc_3d.lc_3d_nchw file: +Conv adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Conv = functools.partial(GeneralConv, ('NHWC', 'HWIO', 'NHWC'))$/;" v +conv adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def conv(lhs, rhs, window_strides, padding):$/;" f +conv adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ conv = lambda x, y: xops.ConvGeneralDilated($/;" f function:_conv_general_dilated_translation_rule file: +conv adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv(lhs: Array, rhs: Array, window_strides: Sequence[int],$/;" f +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_byteorder_converter$/;" v class:TestByteorderConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_casting_converter$/;" v class:TestCastingConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_clipmode_converter$/;" v class:TestClipmodeConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_order_converter$/;" v class:TestOrderConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_searchside_converter$/;" v class:TestSearchsideConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_selectkind_converter$/;" v class:TestSelectkindConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ conv = mt.run_sortkind_converter$/;" v class:TestSortkindConverter +conv adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def conv(astr):$/;" f +conv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ conv = lambda n,p: gamma(n+1)*gamma(n+p)\/gamma(2*n+p)$/;" f member:_test_sh_jacobi.test_sh_jacobi file: +conv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def conv(lhs,$/;" f +Conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv(Layer):$/;" c +conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def conv1d(x,$/;" f +Conv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv1D(Conv):$/;" c +Conv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class Conv1D(keras_layers.Conv1D, base.Layer):$/;" c +conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def conv1d(inputs,$/;" f +Conv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Conv1D = convolutional.Conv1D$/;" v +conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv1d($/;" f +conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv1d_v2 as conv1d$/;" x +conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv1d_v2 as conv1d$/;" x +conv1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv1d = _add_docstr(torch.conv1d, r"""$/;" v +Conv1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class Conv1d(_ConvNd):$/;" c +conv1d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def conv1d(input, weight, bias,$/;" f +Conv1d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class Conv1d(_ConvNd):$/;" c +conv1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv1d(g, input, weight, bias, stride, padding, dilation, groups):$/;" f +Conv1DTranspose adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Conv1DTranspose = functools.partial(GeneralConvTranspose, ('NHC', 'HIO', 'NHC'))$/;" v +Conv1DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv1DTranspose(Conv1D):$/;" c +conv1d_input adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv1d_input(input_size, weight, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +conv1d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv1d_transpose($/;" f +conv1d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv1d_v2($/;" f +conv1d_weight adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv1d_weight(input, weight_size, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def conv2d(x,$/;" f +Conv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv2D(Conv):$/;" c +Conv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class Conv2D(keras_layers.Conv2D, base.Layer):$/;" c +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def conv2d(inputs,$/;" f +Conv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Conv2D = convolutional.Conv2D$/;" v +Conv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv2D = tf_export("raw_ops.Conv2D")(_ops.to_raw_op(conv2d))$/;" v +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d(input, filter, strides, padding, use_cudnn_on_gpu=True, explicit_paddings=[], data_fo/;" f +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d( # pylint: disable=redefined-builtin,dangerous-default-value$/;" f +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv2d_v2 as conv2d$/;" x +conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv2d_v2 as conv2d$/;" x +conv2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv2d = _add_docstr(torch.conv2d, r"""$/;" v +Conv2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class Conv2d(_ConvNd):$/;" c +Conv2d adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^class Conv2d(nn.Conv2d):$/;" c +conv2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def conv2d(input, weight, bias,$/;" f +Conv2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class Conv2d(_ConvNd):$/;" c +conv2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def conv2d(g, input, weight, bias, stride, padding, dilation, groups, scale, zero_point):$/;" f +conv2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv2d(g, input, weight, bias, stride, padding, dilation, groups):$/;" f +Conv2DBackpropFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv2DBackpropFilter = tf_export("raw_ops.Conv2DBackpropFilter")(_ops.to_raw_op(conv2d_backprop_/;" v +Conv2DBackpropInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv2DBackpropInput = tf_export("raw_ops.Conv2DBackpropInput")(_ops.to_raw_op(conv2d_backprop_in/;" v +Conv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ Conv2DOptions = 1$/;" v class:BuiltinOptions +Conv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Conv2DOptions(object):$/;" c +Conv2DOptionsAddDilationHFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddDilationHFactor(builder, dilationHFactor): builder.PrependInt32Slot(5, dilat/;" f +Conv2DOptionsAddDilationWFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddDilationWFactor(builder, dilationWFactor): builder.PrependInt32Slot(4, dilat/;" f +Conv2DOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependIn/;" f +Conv2DOptionsAddPadding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddPadding(builder, padding): builder.PrependInt8Slot(0, padding, 0)$/;" f +Conv2DOptionsAddStrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddStrideH(builder, strideH): builder.PrependInt32Slot(2, strideH, 0)$/;" f +Conv2DOptionsAddStrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsAddStrideW(builder, strideW): builder.PrependInt32Slot(1, strideW, 0)$/;" f +Conv2DOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Conv2DOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Conv2DOptions +Conv2DOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsEnd(builder): return builder.EndObject()$/;" f +Conv2DOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Conv2DOptionsStart(builder): builder.StartObject(6)$/;" f +Conv2DOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Conv2DOptionsT(object):$/;" c +Conv2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv2DTranspose(Conv2D):$/;" c +Conv2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class Conv2DTranspose(keras_layers.Conv2DTranspose, base.Layer):$/;" c +Conv2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Conv2DTranspose = convolutional.Conv2DTranspose$/;" v +conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d_backprop_filter(input, filter_sizes, out_backprop, strides, padding, use_cudnn_on_gpu/;" f +conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d_backprop_filter( # pylint: disable=redefined-builtin,dangerous-default-value$/;" f +conv2d_backprop_filter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d_backprop_filter_eager_fallback(input, filter_sizes, out_backprop, strides, padding, u/;" f +conv2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d_backprop_input(input_sizes, filter, out_backprop, strides, padding, use_cudnn_on_gpu=/;" f +conv2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d_backprop_input( # pylint: disable=redefined-builtin,dangerous-default-value$/;" f +conv2d_backprop_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d_backprop_input_eager_fallback(input_sizes, filter, out_backprop, strides, padding, us/;" f +conv2d_bn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^def conv2d_bn(x,$/;" f +conv2d_bn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^def conv2d_bn(x,$/;" f +conv2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv2d_eager_fallback(input, filter, strides, padding, use_cudnn_on_gpu, explicit_paddings, /;" f +conv2d_input adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv2d_input(input_size, weight, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +conv2d_relu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def conv2d_relu(g, input, weight, bias, stride, padding, dilation, groups, scale, zero_point):$/;" f +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def conv2d_transpose(x,$/;" f +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def conv2d_transpose(inputs,$/;" f +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^conv2d_transpose = convolutional.conv2d_transpose$/;" v +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d_transpose($/;" f +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv2d_transpose_v2 as conv2d_transpose$/;" x +conv2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv2d_transpose_v2 as conv2d_transpose$/;" x +conv2d_transpose_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d_transpose_v2($/;" f +conv2d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv2d_v2(input, # pylint: disable=redefined-builtin$/;" f +conv2d_weight adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv2d_weight(input, weight_size, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def conv3d(x,$/;" f +Conv3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv3D(Conv):$/;" c +Conv3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class Conv3D(keras_layers.Conv3D, base.Layer):$/;" c +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def conv3d(inputs,$/;" f +Conv3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Conv3D = convolutional.Conv3D$/;" v +Conv3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv3D = tf_export("raw_ops.Conv3D")(_ops.to_raw_op(conv3d))$/;" v +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d(input, filter, strides, padding, data_format="NDHWC", dilations=[1, 1, 1, 1, 1], name/;" f +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv3d_v1 as conv3d$/;" x +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv3d_v2 as conv3d$/;" x +conv3d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv3d_v2 as conv3d$/;" x +conv3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv3d = _add_docstr(torch.conv3d, r"""$/;" v +Conv3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class Conv3d(_ConvNd):$/;" c +conv3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def conv3d(input, weight, bias, stride=1, padding=0, dilation=1, groups=1,$/;" f +Conv3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class Conv3d(_ConvNd):$/;" c +conv3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv3d(g, input, weight, bias, stride, padding, dilation, groups):$/;" f +Conv3DBackpropFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv3DBackpropFilter = tf_export("raw_ops.Conv3DBackpropFilter")(_ops.to_raw_op(conv3d_backprop_/;" v +Conv3DBackpropFilterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv3DBackpropFilterV2 = tf_export("raw_ops.Conv3DBackpropFilterV2")(_ops.to_raw_op(conv3d_backp/;" v +Conv3DBackpropInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv3DBackpropInput = tf_export("raw_ops.Conv3DBackpropInput")(_ops.to_raw_op(conv3d_backprop_in/;" v +Conv3DBackpropInputV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Conv3DBackpropInputV2 = tf_export("raw_ops.Conv3DBackpropInputV2")(_ops.to_raw_op(conv3d_backpro/;" v +Conv3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Conv3DTranspose(Conv3D):$/;" c +Conv3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class Conv3DTranspose(keras_layers.Conv3DTranspose, base.Layer):$/;" c +Conv3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Conv3DTranspose = convolutional.Conv3DTranspose$/;" v +conv3d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_filter(input, filter, out_backprop, strides, padding, dilations=[1, 1, 1, 1,/;" f +conv3d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.gen_nn_ops import conv3d_backprop_filter_v2 as conv3d_backprop_filter$/;" x +conv3d_backprop_filter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_filter_eager_fallback(input, filter, out_backprop, strides, padding, dilatio/;" f +conv3d_backprop_filter_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_filter_v2(input, filter_sizes, out_backprop, strides, padding, data_format="/;" f +conv3d_backprop_filter_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_filter_v2_eager_fallback(input, filter_sizes, out_backprop, strides, padding/;" f +conv3d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_input(input, filter, out_backprop, strides, padding, dilations=[1, 1, 1, 1, /;" f +conv3d_backprop_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_input_eager_fallback(input, filter, out_backprop, strides, padding, dilation/;" f +conv3d_backprop_input_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_input_v2(input_sizes, filter, out_backprop, strides, padding, data_format="N/;" f +conv3d_backprop_input_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_backprop_input_v2_eager_fallback(input_sizes, filter, out_backprop, strides, padding,/;" f +conv3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def conv3d_eager_fallback(input, filter, strides, padding, data_format, dilations, name, ctx):$/;" f +conv3d_input adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv3d_input(input_size, weight, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def conv3d_transpose(x,$/;" f +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def conv3d_transpose(inputs,$/;" f +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^conv3d_transpose = convolutional.conv3d_transpose$/;" v +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv3d_transpose($/;" f +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv3d_transpose_v2 as conv3d_transpose$/;" x +conv3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import conv3d_transpose_v2 as conv3d_transpose$/;" x +conv3d_transpose_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv3d_transpose_v2(input, # pylint: disable=redefined-builtin$/;" f +conv3d_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv3d_v1( # pylint: disable=missing-docstring,dangerous-default-value$/;" f +conv3d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv3d_v2(input, # pylint: disable=redefined-builtin,missing-docstring$/;" f +conv3d_weight adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def conv3d_weight(input, weight_size, grad_output, stride=1, padding=0, dilation=1, groups=1):$/;" f +ConvBn1d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBn1d(torch.nn.Sequential):$/;" c +ConvBn2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBn2d(torch.nn.Sequential):$/;" c +ConvBn2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^class ConvBn2d(_ConvBnNd, nn.Conv2d):$/;" c +ConvBn3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBn3d(torch.nn.Sequential):$/;" c +ConvBnModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ConvBnModel(torch.nn.Module):$/;" c +ConvBNReLU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ConvBNReLU(nn.Sequential):$/;" c +ConvBnReLU1d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBnReLU1d(torch.nn.Sequential):$/;" c +ConvBnReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBnReLU2d(torch.nn.Sequential):$/;" c +ConvBnReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^class ConvBnReLU2d(ConvBn2d):$/;" c +ConvBnReLU3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvBnReLU3d(torch.nn.Sequential):$/;" c +ConvBNReLUFusion adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^class ConvBNReLUFusion():$/;" c +ConvDimensionNumbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^class ConvDimensionNumbers(NamedTuple):$/;" c +CONVEDIA_G729 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CONVEDIA_G729 = 0x008C$/;" v class:WAVE_FORMAT +converged adpeps/ipeps/ctm.py /^ def converged(self):$/;" m class:CTM +CONVERGED adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^CONVERGED = 'converged'$/;" v +converged adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def converged(self):$/;" m class:DifferentialEvolutionSolver +converged adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def converged(curr_modules, prev_modules, threshold=1e-4):$/;" f +convergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def convergence(self):$/;" m class:DifferentialEvolutionSolver +converge_boundaries adpeps/ipeps/ipeps.py /^ def converge_boundaries(self):$/;" m class:iPEPS +CONVERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^CONVERR = 'convergence error'$/;" v +ConversionError adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^class ConversionError(TypeError):$/;" c +ConversionError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class ConversionError(AutoGraphError):$/;" c +ConversionInterface adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^class ConversionInterface:$/;" c +ConversionNotImplementedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class ConversionNotImplementedError(Exception):$/;" c +ConversionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^ConversionOptions = _reflection.GeneratedProtocolMessageType('ConversionOptions', (_message.Mess/;" v +ConversionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^class ConversionOptions(object):$/;" c +ConversionParams adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/tensorrt/__init__.py /^from tensorflow.python.compiler.tensorrt.trt_convert import TrtConversionParams as ConversionPar/;" x +ConversionParams adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/tensorrt/__init__.py /^from tensorflow.python.compiler.tensorrt.trt_convert import TrtConversionParams as ConversionPar/;" x +ConversionWarning adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class ConversionWarning(UserWarning):$/;" c +CONVERSION_RULES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config.py /^CONVERSION_RULES = ($/;" v +convert adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def convert(self, argument):$/;" m class:FloatParser +convert adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def convert(self, argument):$/;" m class:IntegerParser +convert adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def convert(self, argument):$/;" m class:NumericParser +convert adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^def convert(credentials):$/;" f +convert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def convert(fun: Callable, *,$/;" f +convert adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ convert = lambda k: lax.reshape(lax.convert_element_type(k, np.uint32), [1])$/;" f function:PRNGKey file: +convert adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def convert(pyval):$/;" f function:shape_from_pyval file: +convert adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def convert(self, source):$/;" m class:Markdown +convert adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def convert(value, unit, axis):$/;" m class:StrCategoryConverter +convert adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def convert(value, unit, axis):$/;" m class:DateConverter +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def convert(old, new):$/;" f function:make_external_conversion_command file: +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def convert(filename, cache):$/;" f +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def convert(self, frame):$/;" m class:Epoch +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def convert(value, unit, axis):$/;" m class:EpochConverter +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^ def convert(value, unit, axis):$/;" m class:StrConverter +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def convert(self, units):$/;" m class:UnitDbl +convert adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^ def convert(value, unit, axis):$/;" m class:UnitDblConverter +convert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def convert(value, unit, axis):$/;" f function:quantity_converter file: +convert adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def convert(x): return x.decode('ascii', 'replace')$/;" f member:Type1Font._parse file: +convert adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def convert(obj, unit, axis):$/;" m class:ConversionInterface +convert adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def convert(value, unit, axis):$/;" m class:DecimalConverter +convert adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^ def convert(name, locals=locals,$/;" f function:formatargvalues file: +convert adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^def convert(s, datatype="np.float32"):$/;" f +convert adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ convert = lambda x : x$/;" f member:EnvironmentConfig.dump_variable file: +convert adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ convert = lambda x: x$/;" f member:EnvironmentConfig._get_var file: +convert adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def convert(self, domain=None, kind=None, window=None):$/;" m class:ABCPolyBase +convert adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def convert(self, mode=None, matrix=None, dither=None, palette=WEB, colors=256):$/;" m class:Image +convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def convert(self, value):$/;" m class:BaseConfigurator +convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def convert(o):$/;" f member:Configurator.configure_custom file: +convert adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def convert(t, p, alt):$/;" f function:test_ttest_1samp_new file: +convert adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^from tensorboard_plugin_profile.convert import raw_to_tool_data as convert$/;" x +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteConverter +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteConverterBaseV1 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteConverterV2 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteFrozenGraphConverterV2 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteKerasModelConverter +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteKerasModelConverterV2 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self):$/;" m class:TFLiteSavedModelConverterV2 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def convert(self, graph_def, input_tensors, output_tensors):$/;" m class:TFLiteConverterBaseV2 +Convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config.py /^Convert = config_lib.Convert$/;" v +CONVERT adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ CONVERT = 1$/;" v class:Action +Convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^class Convert(Rule):$/;" c +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def convert(recursive=False,$/;" f +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def convert(self):$/;" m class:TrtGraphConverter +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def convert(self, calibration_input_fn=None):$/;" m class:TrtGraphConverterV2 +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def convert(x):$/;" f function:func_graph_from_py_func file: +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def convert(w):$/;" f function:_canonical_to_params file: +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def convert(x):$/;" f function:while_loop file: +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^ def convert(val):$/;" f function:_build_element_shape file: +convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def convert(self, y):$/;" m class:PFor +convert adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def convert(t):$/;" f member:Module.to file: +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node):$/;" m class:DefaultQuant +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:Add +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:BatchNorm +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:Cat +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:ConvRelu +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:CopyNode +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:CustomModuleQuantizeHandler +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:DefaultNode +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:DynamicLinear +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:ELU +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:LinearReLU +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:Mul +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def convert(self, quantizer, node, load_arg, debug=False):$/;" m class:QuantizeHandler +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def convert(self, model, inplace=False, debug=False, is_dynamic=False):$/;" m class:Quantizer +convert adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def convert(module, mapping=None, inplace=False, remove_qconfig=True):$/;" f +convert adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^def convert(files, dest_dir, verbose):$/;" f +convert2byte adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def convert2byte(self, depth=255):$/;" m class:SpiderImageFile +ConvertAndCompare adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def ConvertAndCompare(self,$/;" m class:JaxToTfTestCase +convertAttributeProto adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^def convertAttributeProto(onnx_arg):$/;" f +converted_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def converted_call(f,$/;" f +converted_enclosing_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_enclosing_graph(self):$/;" m class:_Convertible +converted_fun adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def converted_fun(*args_hconsts):$/;" f function:_closure_convert_for_avals file: +converted_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def converted_fun(*args: TfVal) -> TfVal:$/;" f function:convert file: +converted_function_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_function_names(self):$/;" m class:_GraphDef +converted_fun_flat_with_custom_gradient adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def converted_fun_flat_with_custom_gradient(*args_flat: TfVal) -> TfVal:$/;" f function:convert.converted_fun file: +converted_grad_fn adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def converted_grad_fn(*out_cts_flat: TfVal,$/;" f function:convert.converted_fun file: +converted_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_self(self):$/;" m class:_Convertible +converted_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_self(self):$/;" m class:_Function +converted_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_self(self):$/;" m class:_FunctionCaller +converted_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_self(self):$/;" m class:_GraphDef +converted_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def converted_self(self):$/;" m class:_Node +converter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^converter = {}$/;" v +Converter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/tensorrt/__init__.py /^from tensorflow.python.compiler.tensorrt.trt_convert import TrtGraphConverterV2 as Converter$/;" x +Converter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/tensorrt/__init__.py /^from tensorflow.python.compiler.tensorrt.trt_convert import TrtGraphConverterV2 as Converter$/;" x +ConverterError adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class ConverterError(Exception):$/;" c +ConverterError adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^class ConverterError(Exception):$/;" c +ConverterLockError adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class ConverterLockError(ConverterError):$/;" c +converter_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def converter_flags(self, inference_ty=None, inference_input_ty=None):$/;" m class:QuantizationMode +convertFile adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def convertFile(self, input=None, output=None, encoding=None):$/;" m class:Markdown +ConvertingDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ConvertingDict(dict):$/;" c +ConvertingList adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ConvertingList(list):$/;" c +ConvertingTuple adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ConvertingTuple(tuple):$/;" c +ConvertMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def ConvertMessage(self, value, message):$/;" m class:_Parser +ConvertNetForDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def ConvertNetForDevice(net, device=None):$/;" f +ConvertProtoToBinary adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def ConvertProtoToBinary(proto_class, filename, out_filename):$/;" f +ConvertTensorProtosToInitNet adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def ConvertTensorProtosToInitNet(net_params, input_name):$/;" f +convertToCaffe2Proto adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def convertToCaffe2Proto(self, old_proto=None):$/;" m class:NNModule +convertToDate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def convertToDate(fmt="%Y-%m-%d"):$/;" m class:pyparsing_common +convertToDate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def convertToDate(fmt="%Y-%m-%d"):$/;" m class:pyparsing_common +convertToDate adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def convertToDate(fmt="%Y-%m-%d"):$/;" m class:pyparsing_common +convertToDate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def convertToDate(fmt="%Y-%m-%d"):$/;" m class:pyparsing_common +convertToDatetime adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def convertToDatetime(fmt="%Y-%m-%dT%H:%M:%S.%f"):$/;" m class:pyparsing_common +convertToDatetime adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def convertToDatetime(fmt="%Y-%m-%dT%H:%M:%S.%f"):$/;" m class:pyparsing_common +convertToDatetime adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def convertToDatetime(fmt="%Y-%m-%dT%H:%M:%S.%f"):$/;" m class:pyparsing_common +convertToDatetime adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def convertToDatetime(fmt="%Y-%m-%dT%H:%M:%S.%f"):$/;" m class:pyparsing_common +convertToFloat adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ convertToFloat = tokenMap(float)$/;" v class:pyparsing_common +convertToFloat adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ convertToFloat = tokenMap(float)$/;" v class:pyparsing_common +convertToFloat adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ convertToFloat = tokenMap(float)$/;" v class:pyparsing_common +convertToFloat adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ convertToFloat = tokenMap(float)$/;" v class:pyparsing_common +convertToInteger adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ convertToInteger = tokenMap(int)$/;" v class:pyparsing_common +convertToInteger adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ convertToInteger = tokenMap(int)$/;" v class:pyparsing_common +convertToInteger adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ convertToInteger = tokenMap(int)$/;" v class:pyparsing_common +convertToInteger adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ convertToInteger = tokenMap(int)$/;" v class:pyparsing_common +convertyear adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def convertyear(self, year, century_specified=False):$/;" m class:parserinfo +convert_ansi adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def convert_ansi(self, paramstring, command):$/;" m class:AnsiToWin32 +CONVERT_BACKENDS adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^CONVERT_BACKENDS = {$/;" v +convert_bytes_to_c_source adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def convert_bytes_to_c_source(data,$/;" f +convert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def convert_checkpoint(estimator_type, source_checkpoint, source_graph,$/;" f +convert_constvars_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def convert_constvars_jaxpr(jaxpr: Jaxpr):$/;" f +convert_conv2d_weight_memory_format adpepsenv/lib/python3.8/site-packages/torch/nn/utils/memory_format.py /^def convert_conv2d_weight_memory_format(module, memory_format):$/;" f +convert_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def convert_data_format(data_format, ndim):$/;" f +convert_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^def convert_data_format(data_format, ndim):$/;" f +convert_debug_info_func adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def convert_debug_info_func(saved_debug_info):$/;" f +convert_dense_weights_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def convert_dense_weights_data_format(dense,$/;" f +convert_dict_qtables adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def convert_dict_qtables(qtables):$/;" f +convert_dict_to_ordered_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def convert_dict_to_ordered_dict(qconfig_dict):$/;" f +convert_dilation_and_compute_result adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def convert_dilation_and_compute_result(tf_padding, tf_dim_nums):$/;" f function:_try_tf_conv file: +convert_dim_nums adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def convert_dim_nums():$/;" f function:_try_tf_conv file: +convert_dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def convert_dtype(self, arr):$/;" m class:_TestLinearFilter +convert_dtype adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def convert_dtype(obj, dtype, requires_grad=False):$/;" f member:CriterionTest.test_cuda file: +convert_dtypes adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def convert_dtypes(dtype_template, order_code):$/;" f +convert_dtype_to_tflite_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def convert_dtype_to_tflite_type(tf_dtype):$/;" f +convert_dynamic adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def convert_dynamic(module):$/;" f +convert_dynamic_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def convert_dynamic_fx(graph_module, inplace=False, debug=False):$/;" f +convert_dynamic_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def convert_dynamic_jit(model, inplace=False, debug=False, preserved_attrs=None):$/;" f +convert_element_type adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def convert_element_type(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +convert_element_type adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def convert_element_type(operand, dtype):$/;" f +convert_element_type adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def convert_element_type(operand: Array, new_dtype: DType) -> Array:$/;" f +convert_element_type adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^convert_element_type = math_ops.cast$/;" v +convert_element_type_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^convert_element_type_p = standard_primitive($/;" v +convert_envvars_to_constvars adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def convert_envvars_to_constvars(jaxpr: Jaxpr, num_env_vars: int):$/;" f +convert_extras adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def convert_extras(extras):$/;" f +convert_f adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def convert_f(args):$/;" f +convert_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/mlir/mlir.py /^def convert_function(concrete_function, pass_pipeline='tf-standard-pipeline'):$/;" f +convert_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def convert_fx(graph_module, inplace=False, debug=False):$/;" f +convert_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/mlir/mlir.py /^def convert_graph_def(graph_def, pass_pipeline='tf-standard-pipeline'):$/;" f +convert_gru_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def convert_gru_weights(weights, from_cudnn=True):$/;" f function:_convert_rnn_weights file: +convert_image_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def convert_image_dtype(image, dtype, saturate=False, name=None):$/;" f +convert_inner_node_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def convert_inner_node_data(nested, wrap=False):$/;" f +convert_inputs_if_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def convert_inputs_if_ragged(inputs):$/;" f +convert_interleaved_input adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def convert_interleaved_input(operands):$/;" f +convert_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def convert_jit(model, inplace=False, debug=False, preserved_attrs=None):$/;" f +convert_legacy_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def convert_legacy_structure(output_types, output_shapes, output_classes):$/;" f +convert_lstm_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def convert_lstm_weights(weights, from_cudnn=True):$/;" f function:_convert_rnn_weights file: +convert_mbcs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ convert_mbcs = staticmethod(convert_mbcs)$/;" v class:Reg +convert_mbcs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def convert_mbcs(s):$/;" m class:Reg +convert_mbcs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^def convert_mbcs(s):$/;" f +convert_mesh_to_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def convert_mesh_to_paths(meshWidth, meshHeight, coordinates):$/;" m class:QuadMesh +convert_mesh_to_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def convert_mesh_to_paths(tri):$/;" m class:TriMesh +convert_mesh_to_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def convert_mesh_to_triangles(self, meshWidth, meshHeight, coordinates):$/;" m class:QuadMesh +convert_nan_none adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^def convert_nan_none(arr):$/;" f +convert_nested_bidirectional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def convert_nested_bidirectional(weights):$/;" f function:preprocess_weights_for_loading file: +convert_nested_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def convert_nested_model(weights):$/;" f function:preprocess_weights_for_loading file: +convert_nested_time_distributed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def convert_nested_time_distributed(weights):$/;" f function:preprocess_weights_for_loading file: +convert_nonref_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def convert_nonref_to_tensor(value, dtype=None, dtype_hint=None, name=None):$/;" f +convert_numpy_to_dataset_with_unknown_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def convert_numpy_to_dataset_with_unknown_cardinality(inputs, targets=None):$/;" f +convert_n_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_n_to_tensor(values, dtype=None, name=None, preferred_dtype=None):$/;" f +convert_n_to_tensor_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_n_to_tensor_or_composite(values, dtype=None, name=None):$/;" f +convert_n_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^def convert_n_to_tensor_or_indexed_slices(values, dtype=None, name=None):$/;" f +convert_n_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^convert_n_to_tensor_or_indexed_slices = \\$/;" v +convert_onnx_model_to_trt_op adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def convert_onnx_model_to_trt_op(onnx_model,$/;" f +convert_op_hints_to_stubs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def convert_op_hints_to_stubs(session=None,$/;" f +convert_osc adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def convert_osc(self, text):$/;" m class:AnsiToWin32 +convert_padding adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def convert_padding():$/;" f function:_try_tf_conv file: +convert_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def convert_padding(padding, expected_length=4):$/;" f +convert_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def convert_path(gfx_ctx, path, transform):$/;" m class:RendererWx +convert_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def convert_path(pathname):$/;" f +convert_path adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def convert_path (pathname):$/;" f +convert_paths adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def convert_paths(self, *names):$/;" m class:install +CONVERT_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ CONVERT_PATTERN = re.compile(r'^(?P[a-z]+):\/\/(?P.*)$')$/;" v class:BaseConfigurator +convert_pattern_to_indices adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def convert_pattern_to_indices(pattern):$/;" f member:VizParams.__init__ file: +convert_prediction_values adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/common_utils.py /^def convert_prediction_values(values, serving_bundle, model_spec=None):$/;" f +convert_predict_response adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/common_utils.py /^def convert_predict_response(pred, serving_bundle):$/;" f +convert_psfrags adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def convert_psfrags(tmpfile, psfrags, font_preamble, custom_preamble,$/;" f +convert_qat_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^convert_qat_fx = convert_fx$/;" v +convert_remote_to_local adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def convert_remote_to_local(event_name):$/;" f member:RpcTest.test_rpc_profiling_remote_record_function file: +convert_remote_to_local adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def convert_remote_to_local(event_name):$/;" f member:RpcTest._run_test_profiler_remote_events_profiled file: +convert_remote_to_local adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def convert_remote_to_local(event_name):$/;" f member:RpcTest.validate_profiling_workload file: +convert_requirements adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def convert_requirements(requirements):$/;" f +convert_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def convert_saved_model(saved_model_dir=None,$/;" f +convert_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def convert_shapes(input_shape, to_tuples=True):$/;" f +convert_static_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^convert_static_fx = convert_fx$/;" v +convert_structure_to_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def convert_structure_to_signature(structure, arg_names=None):$/;" f +convert_subscripts adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def convert_subscripts(old_sub, symbol_map):$/;" f +convert_sync_batchnorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def convert_sync_batchnorm(cls, module, process_group=None):$/;" m class:SyncBatchNorm +convert_temperature adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^def convert_temperature(val, old_scale, new_scale):$/;" f +convert_to_absolute_paths_inplace adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def convert_to_absolute_paths_inplace(paths):$/;" f member:BuildExtension.build_extensions file: +convert_to_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def convert_to_batch_shape(s):$/;" f member:DropoutWrapperBase.__init__ file: +convert_to_constants adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^convert_to_constants = lazy_loader.LazyLoader($/;" v +convert_to_eager_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def convert_to_eager_tensor(value, ctx, dtype=None):$/;" f +convert_to_generator_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def convert_to_generator_like(data,$/;" f +convert_to_HWC adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^def convert_to_HWC(tensor, input_format): # tensor: numpy array$/;" f +convert_to_int_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def convert_to_int_tensor(tensor, name, dtype=dtypes.int32):$/;" f +convert_to_int_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^convert_to_int_tensor = array_ops.convert_to_int_tensor$/;" v +convert_to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^def convert_to_list(values, sparse_default_value=None):$/;" f +convert_to_mixed_eager_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def convert_to_mixed_eager_tensors(values, ctx):$/;" f +convert_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^def convert_to_ndarray(values):$/;" f +convert_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^def convert_to_ndarray(x, dtype=None):$/;" f +convert_to_pct adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def convert_to_pct(self, x):$/;" m class:PercentFormatter +convert_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def convert_to_placeholder(s):$/;" f member:FuncGraph.capture_call_time_value file: +convert_to_single_tpu_eval_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def convert_to_single_tpu_eval_step(self, dequeue_fn):$/;" m class:_ModelFnWrapper +convert_to_single_tpu_predict_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def convert_to_single_tpu_predict_step(self, dequeue_fn):$/;" m class:_ModelFnWrapper +convert_to_single_tpu_train_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def convert_to_single_tpu_train_step(self, dequeue_fn):$/;" m class:_ModelFnWrapper +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor(value,$/;" f +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^def convert_to_tensor(value, dtype=None, dtype_hint=None):$/;" f +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v1_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v2_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v1_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v1_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v2_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v2_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.ops import convert_to_tensor_v2_with_dispatch as convert_to_ten/;" x +convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.ops import convert_to_tensor_v2_with_dispatch as convert_to_ten/;" x +convert_to_tensor_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor_or_composite(value, dtype=None, name=None):$/;" f +convert_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^def convert_to_tensor_or_indexed_slices(value, dtype=None, name=None):$/;" f +convert_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^convert_to_tensor_or_indexed_slices = \\$/;" v +convert_to_tensor_or_ragged_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def convert_to_tensor_or_ragged_tensor(value,$/;" f +convert_to_tensor_or_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^def convert_to_tensor_or_sparse_tensor(value, dtype=None, name=None):$/;" f +convert_to_tensor_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor_v1(value,$/;" f +convert_to_tensor_v1_with_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor_v1_with_dispatch($/;" f +convert_to_tensor_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor_v2(value, dtype=None, dtype_hint=None, name=None):$/;" f +convert_to_tensor_v2_with_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def convert_to_tensor_v2_with_dispatch($/;" f +convert_to_valid_einsum_chars adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def convert_to_valid_einsum_chars(einsum_str):$/;" f +convert_transparency adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def convert_transparency(m, v):$/;" f member:Image.convert file: +convert_units adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def convert_units(self, x):$/;" m class:Axis +convert_url_to_download_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def convert_url_to_download_info(self, url, project_name):$/;" m class:Locator +convert_variables_to_constants adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def convert_variables_to_constants(sess,$/;" f +convert_variables_to_constants_from_session_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def convert_variables_to_constants_from_session_graph($/;" f +convert_variables_to_constants_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def convert_variables_to_constants_v2(func,$/;" f +convert_variables_to_constants_v2_as_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def convert_variables_to_constants_v2_as_graph(func,$/;" f +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_Convertible +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_Function +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_FunctionCaller +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_Intermediate +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_Merge +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_Node +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_ReadVariable +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_ResourceGather +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_ResourceGatherNd +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_VarHandle +convert_variable_to_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def convert_variable_to_constant(self, incoming_edge, tensor_data):$/;" m class:_While +convert_with_tensorrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def convert_with_tensorrt(args):$/;" f +convert_xunits adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def convert_xunits(self, x):$/;" m class:Artist +convert_yunits adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def convert_yunits(self, y):$/;" m class:Artist +convert_zunits adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def convert_zunits(self, z):$/;" m class:Axes3D +convex_hull_plot_2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^def convex_hull_plot_2d(hull, ax=None):$/;" f +ConvFusionTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^class ConvFusionTest(hu.HypothesisTestCase):$/;" c +ConvGeneralDilatedDimensionNumbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ConvGeneralDilatedDimensionNumbers = Union[$/;" v +ConvLSTM2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^class ConvLSTM2D(ConvRNN2D):$/;" c +ConvLSTM2DCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^class ConvLSTM2DCell(DropoutRNNCellMixin, Layer):$/;" c +ConvModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ConvModel(torch.nn.Module):$/;" c +ConvNd adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def ConvNd(self, *args, **kwargs):$/;" m class:CNNModelHelper +Convolution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^class Convolution(object):$/;" c +convolution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def convolution($/;" f +convolution adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import convolution_v2 as convolution$/;" x +convolution adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import convolution_v2 as convolution$/;" x +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1D as Convolution1D$/;" x +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1D as Convolution1D$/;" x +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1D as Convolution1D$/;" x +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1D as Convolution1D$/;" x +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^Convolution1D = Conv1D$/;" v +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^Convolution1D = Conv1D$/;" v +Convolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Convolution1D = Conv1D$/;" v +Convolution1DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1DTranspose as Convolution1DTranspo/;" x +Convolution1DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1DTranspose as Convolution1DTranspo/;" x +Convolution1DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1DTranspose as Convolution1DTranspo/;" x +Convolution1DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv1DTranspose as Convolution1DTranspo/;" x +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2D as Convolution2D$/;" x +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2D as Convolution2D$/;" x +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2D as Convolution2D$/;" x +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2D as Convolution2D$/;" x +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^Convolution2D = Conv2D$/;" v +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^Convolution2D = Conv2D$/;" v +Convolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Convolution2D = Conv2D$/;" v +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2DTranspose as Convolution2DTranspo/;" x +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2DTranspose as Convolution2DTranspo/;" x +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2DTranspose as Convolution2DTranspo/;" x +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv2DTranspose as Convolution2DTranspo/;" x +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^Convolution2DTranspose = Conv2DTranspose$/;" v +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^Convolution2DTranspose = Deconvolution2D = Deconv2D = Conv2DTranspose$/;" v +Convolution2DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Convolution2DTranspose = Deconvolution2D = Deconv2D = Conv2DTranspose$/;" v +convolution2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^convolution2d_transpose = deconvolution2d = deconv2d = conv2d_transpose$/;" v +convolution2d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^convolution2d_transpose = deconvolution2d = deconv2d = conv2d_transpose$/;" v +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3D as Convolution3D$/;" x +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3D as Convolution3D$/;" x +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3D as Convolution3D$/;" x +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3D as Convolution3D$/;" x +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^Convolution3D = Conv3D$/;" v +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^Convolution3D = Conv3D$/;" v +Convolution3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Convolution3D = Conv3D$/;" v +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3DTranspose as Convolution3DTranspo/;" x +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3DTranspose as Convolution3DTranspo/;" x +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3DTranspose as Convolution3DTranspo/;" x +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import Conv3DTranspose as Convolution3DTranspo/;" x +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^Convolution3DTranspose = Conv3DTranspose$/;" v +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^Convolution3DTranspose = Deconvolution3D = Deconv3D = Conv3DTranspose$/;" v +Convolution3DTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^Convolution3DTranspose = Deconvolution3D = Deconv3D = Conv3DTranspose$/;" v +convolution3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^convolution3d_transpose = deconvolution3d = deconv3d = conv3d_transpose$/;" v +convolution3d_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^convolution3d_transpose = deconvolution3d = deconv3d = conv3d_transpose$/;" v +convolutional_delta_orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^convolutional_delta_orthogonal = ConvolutionDeltaOrthogonal$/;" v +convolutional_orthogonal_1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^convolutional_orthogonal_1d = ConvolutionOrthogonal1D$/;" v +convolutional_orthogonal_2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^convolutional_orthogonal_2d = ConvolutionOrthogonal2D$/;" v +convolutional_orthogonal_3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^convolutional_orthogonal_3d = ConvolutionOrthogonal3D$/;" v +ConvolutionDeltaOrthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class ConvolutionDeltaOrthogonal(Initializer):$/;" c +ConvolutionDimensionNumbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class ConvolutionDimensionNumbers(object):$/;" c +ConvolutionDimensionNumbers adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ConvolutionDimensionNumbers = _reflection.GeneratedProtocolMessageType('ConvolutionDimensionNumb/;" v +ConvolutionOrthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class ConvolutionOrthogonal(Initializer):$/;" c +ConvolutionOrthogonal1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class ConvolutionOrthogonal1D(ConvolutionOrthogonal):$/;" c +ConvolutionOrthogonal2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class ConvolutionOrthogonal2D(ConvolutionOrthogonal):$/;" c +ConvolutionOrthogonal3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class ConvolutionOrthogonal3D(ConvolutionOrthogonal):$/;" c +ConvolutionTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^class ConvolutionTest(test.TestCase):$/;" c +convolution_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def convolution_internal($/;" f +convolution_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def convolution_kernel(self, name="convolution_kernel"):$/;" m class:_BaseLinearOperatorCirculant +convolution_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def convolution_matrix(a, n, mode='full'):$/;" f +convolution_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def convolution_v2( # pylint: disable=missing-docstring$/;" f +convolve adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def convolve(a, v, mode='full', *, precision=None):$/;" f +convolve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def convolve(in1, in2, mode='full', method='auto',$/;" f +convolve adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def convolve(a, v, mode='full'):$/;" f +convolve adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def convolve(a, v, mode='full', propagate_mask=True):$/;" f +convolve adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def convolve(input, weights, output=None, mode='reflect', cval=0.0,$/;" f +convolve adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def convolve(in1, in2, mode='full', method='auto'):$/;" f +convolve1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def convolve1d(input, weights, axis=-1, output=None, mode="reflect",$/;" f +convolve2d adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def convolve2d(in1, in2, mode='full', boundary='fill', fillvalue=0,$/;" f +convolve2d adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def convolve2d(in1, in2, mode='full', boundary='fill', fillvalue=0):$/;" f +ConvRelu adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class ConvRelu(QuantizeHandler):$/;" c +ConvReLU1d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvReLU1d(torch.nn.Sequential):$/;" c +ConvReLU1d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^class ConvReLU1d(nnq.Conv1d):$/;" c +ConvReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvReLU2d(torch.nn.Sequential):$/;" c +ConvReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^class ConvReLU2d(nnqat.Conv2d):$/;" c +ConvReLU2d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^class ConvReLU2d(nnq.Conv2d):$/;" c +ConvReLU3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class ConvReLU3d(torch.nn.Sequential):$/;" c +ConvReLU3d adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^class ConvReLU3d(nnq.Conv3d):$/;" c +ConvRNN2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^class ConvRNN2D(RNN):$/;" c +ConvTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^class ConvTest(hu.HypothesisTestCase):$/;" c +ConvTranspose adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def ConvTranspose(self, *args, **kwargs):$/;" m class:CNNModelHelper +ConvTranspose adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ConvTranspose = functools.partial(GeneralConvTranspose,$/;" v +ConvTranspose1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class ConvTranspose1d(_ConvTransposeNd):$/;" c +ConvTranspose1d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class ConvTranspose1d(_ConvTransposeNd):$/;" c +ConvTranspose2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class ConvTranspose2d(_ConvTransposeNd):$/;" c +ConvTranspose2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class ConvTranspose2d(_ConvTransposeNd):$/;" c +ConvTranspose3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class ConvTranspose3d(_ConvTransposeNd):$/;" c +ConvTransposeModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ConvTransposeModel(torch.nn.Module):$/;" c +ConvTransposeTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_transpose_test.py /^class ConvTransposeTest(hu.HypothesisTestCase):$/;" c +conv_1x1_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def conv_1x1_nchw_ref(X, filter, bias=None):$/;" f member:TestConvolution.test_1x1_conv file: +conv_1x1_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def conv_1x1_nhwc_ref(X, filter, bias=None):$/;" f member:TestConvolution.test_1x1_conv file: +CONV_2D adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CONV_2D = 3$/;" v class:BuiltinOperator +conv_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def conv_block(x, growth_rate, name):$/;" f +conv_bn adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def conv_bn($/;" m class:ResNetModelHelper +conv_bn_relu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def conv_bn_relu($/;" m class:ResNetModelHelper +CONV_CACHE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_CACHE = 15$/;" v +conv_connected_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_connected_inputs(input_shape, kernel_shape, output_position, strides,$/;" f +CONV_CONTEXT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_CONTEXT = 12$/;" v +CONV_COORD_FUNC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_COORD_FUNC = 5$/;" v +CONV_D3D10 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_D3D10 = 25$/;" v +CONV_D3D11 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_D3D11 = 26$/;" v +CONV_D3D9 adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_D3D9 = 24$/;" v +CONV_DEF adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_DEF = 18$/;" v +CONV_DEVICE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_DEVICE = 2$/;" v +CONV_DEVICE_FUNC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_DEVICE_FUNC = 7$/;" v +conv_dimension_numbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_dimension_numbers(lhs_shape, rhs_shape, dimension_numbers$/;" f +CONV_EGL adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_EGL = 28$/;" v +CONV_ERROR adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_ERROR = 17$/;" v +CONV_EVENT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_EVENT = 10$/;" v +CONV_EXEC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_EXEC = 16$/;" v +conv_general_dilated adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def conv_general_dilated(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +conv_general_dilated adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def conv_general_dilated(lhs, rhs, window_strides, padding, lhs_dilation,$/;" f +conv_general_dilated adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_general_dilated($/;" f +conv_general_dilated_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^conv_general_dilated_p = standard_primitive($/;" v +conv_general_dilated_patches adpepsenv/lib/python3.8/site-packages/jax/_src/lax/other.py /^def conv_general_dilated_patches($/;" f +conv_general_permutations adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_general_permutations(dimension_numbers):$/;" f +conv_general_shape_tuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_general_shape_tuple(lhs_shape, rhs_shape, window_strides, padding,$/;" f +CONV_GL adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_GL = 20$/;" v +CONV_GRAPHICS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_GRAPHICS = 21$/;" v +CONV_INCLUDE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_INCLUDE = 31$/;" v +CONV_INCLUDE_CUDA_MAIN_H adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_INCLUDE_CUDA_MAIN_H = 32$/;" v +CONV_INIT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_INIT = 1$/;" v +conv_input_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_input_length(output_length, filter_size, padding, stride):$/;" f +conv_input_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^def conv_input_length(output_length, filter_size, padding, stride):$/;" f +CONV_JIT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_JIT = 23$/;" v +CONV_KERN adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_KERN = 4$/;" v +conv_kernel_idxs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_kernel_idxs(input_shape, kernel_shape, strides, padding, filters_in,$/;" f +CONV_KERNEL_INITIALIZER adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^CONV_KERNEL_INITIALIZER = {$/;" v +conv_kernel_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_kernel_mask(input_shape, kernel_shape, strides, padding):$/;" f +CONV_LAST adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_LAST = 36$/;" v +CONV_LITERAL adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_LITERAL = 34$/;" v +CONV_MATH_FUNC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_MATH_FUNC = 6$/;" v +CONV_MEM adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_MEM = 3$/;" v +conv_model_generators adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def conv_model_generators():$/;" f +CONV_MODULE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_MODULE = 14$/;" v +conv_nd adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def conv_nd($/;" f +CONV_NUMERIC_LITERAL adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_NUMERIC_LITERAL = 35$/;" v +CONV_OCCUPANCY adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_OCCUPANCY = 11$/;" v +CONV_OTHER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_OTHER = 30$/;" v +conv_output_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_output_length(input_length, filter_size, padding, stride, dilation=1):$/;" f +conv_output_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^def conv_output_length(input_length, filter_size, padding, stride, dilation=1):$/;" f +conv_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/conv_utils.py /^def conv_output_shape(input_shape, kernel_shape, strides, padding):$/;" f +CONV_PEER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_PEER = 13$/;" v +conv_prepack adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def conv_prepack(g, input, weight, bias, stride, padding, dilation, groups):$/;" f +conv_shape_tuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_shape_tuple(lhs_shape, rhs_shape, strides, pads, batch_group_count=1):$/;" f +CONV_SPECIAL_FUNC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_SPECIAL_FUNC = 8$/;" v +CONV_STREAM adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_STREAM = 9$/;" v +CONV_SURFACE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_SURFACE = 22$/;" v +conv_tbc adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv_tbc = _add_docstr(torch.conv_tbc, r"""$/;" v +conv_tbc adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv_tbc(g, input, weight, bias, pad):$/;" f +CONV_TEX adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_TEX = 19$/;" v +CONV_THREAD adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_THREAD = 29$/;" v +conv_transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def conv_transpose($/;" f +conv_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_transpose(lhs: Array, rhs: Array, strides: Sequence[int],$/;" f +conv_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def conv_transpose(input, # pylint: disable=redefined-builtin$/;" f +conv_transpose1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv_transpose1d = _add_docstr(torch.conv_transpose1d, r"""$/;" v +conv_transpose1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv_transpose1d(g, input, weight, bias, stride, padding, output_padding, groups, dilation):$/;" f +conv_transpose2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv_transpose2d = _add_docstr(torch.conv_transpose2d, r"""$/;" v +conv_transpose2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv_transpose2d(g, input, weight, bias, stride, padding, output_padding, groups, dilation):$/;" f +conv_transpose3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^conv_transpose3d = _add_docstr(torch.conv_transpose3d, r"""$/;" v +conv_transpose3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def conv_transpose3d(g, input, weight, bias, stride, padding, output_padding, groups, dilation):$/;" f +CONV_TRANSPOSE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^CONV_TRANSPOSE_OPS = ($/;" v +conv_transpose_shape_tuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_transpose_shape_tuple(lhs_shape, rhs_shape, window_strides, padding,$/;" f +CONV_TYPE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_TYPE = 33$/;" v +CONV_VDPAU adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_VDPAU = 27$/;" v +CONV_VERSION adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^CONV_VERSION = 0,$/;" v +conv_with_general_padding adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def conv_with_general_padding($/;" f +conv_with_general_padding adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def conv_with_general_padding(lhs: Array, rhs: Array,$/;" f +CookieConflictError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^class CookieConflictError(RuntimeError):$/;" c +CookieConflictError adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^class CookieConflictError(RuntimeError):$/;" c +cookiejar_from_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def cookiejar_from_dict(cookie_dict, cookiejar=None, overwrite=True):$/;" f +cookiejar_from_dict adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def cookiejar_from_dict(cookie_dict, cookiejar=None, overwrite=True):$/;" f +cookielib adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ from http import cookiejar as cookielib$/;" x +cookielib adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ from http import cookiejar as cookielib$/;" x +cookies adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def cookies(self):$/;" m class:BaseRequest +cookie_date adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def cookie_date(expires=None):$/;" f +cookie_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ cookie_re = re.compile(r"coding[:=]\\s*([-\\w.]+)")$/;" v +cool adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def cool():$/;" f +cooperative_qwidget_init adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def cooperative_qwidget_init(self, *args, **kwargs):$/;" f function:_allow_super_init file: +CoopTestCase adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def CoopTestCase(other_base_class): # pylint: disable=invalid-name$/;" f +COORD adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ COORD = wintypes._COORD$/;" v +coord adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def coord(self):$/;" m class:Supervisor +coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def coordinates(self, replica, logical_core):$/;" m class:DeviceAssignment +Coordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^class Coordinator(object):$/;" c +CoordinatorMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^class CoordinatorMode(object):$/;" c +CoordinatorResetError adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class CoordinatorResetError(errors.AbortedError):$/;" c +coord_at_angle adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def coord_at_angle(coord, angle):$/;" f member:ImageDraw.line file: +coo_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^class coo_matrix(_data_matrix, _minmax_mixin):$/;" c +cophenet adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def cophenet(Z, Y=None):$/;" f +copper adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def copper():$/;" f +copy adpeps/utils/empty_tensor.py /^ def copy(self):$/;" m class:EmptyT +copy adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def copy(self, source, dest, name=None,$/;" m class:Group +copy adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^from copy import deepcopy as copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def copy(self):$/;" m class:omnistaging_disabler.TraceStack +copy adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def copy(self):$/;" m class:omnistaging_disabler.TraceState +copy adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def copy(self):$/;" m class:TraceStack +copy adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def copy(self):$/;" m class:TraceState +copy adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def copy(self):$/;" f +copy adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^def copy(source):$/;" f +copy adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def copy(self):$/;" m class:FontProperties +copy adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def copy(self):$/;" m class:Parser.State +copy adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def copy(self):$/;" m class:GlueSpec +copy adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ copy = __copy__$/;" v class:Path +copy adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def copy(self):$/;" m class:RcParams +copy adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def copy(self):$/;" m class:FCompiler +copy adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def copy(a, order='K', subok=False):$/;" f +copy adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def copy(self):$/;" m class:container +copy adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ copy = _arraymethod('copy')$/;" v class:MaskedArray +copy adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def copy(self, *args, **kwargs):$/;" m class:MaskedConstant +copy adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^copy = _frommethod('copy')$/;" v +copy adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def copy(self):$/;" m class:MaskedRecords +copy adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def copy(self):$/;" m class:ABCPolyBase +copy adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def copy(self):$/;" m class:Image +copy adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def copy(self):$/;" m class:ImagePalette +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def copy(self):$/;" m class:ChainMap +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def copy(self):$/;" m class:OrderedDict +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copy(src, dst):$/;" f +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Forward +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Keyword +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copy(self):$/;" m class:ParseExpression +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copy(self):$/;" m class:ParserElement +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copy(self):$/;" m class:ParseResults +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def copy(self):$/;" m class:RequestsCookieJar +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def copy(self):$/;" m class:PreparedRequest +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def copy(self):$/;" m class:CaseInsensitiveDict +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def copy(self):$/;" m class:DirectedGraph +copy adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def copy(self):$/;" m class:HTTPHeaderDict +copy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copy( self ):$/;" m class:ParserElement +copy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copy( self ):$/;" m class:ParseResults +copy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Forward +copy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Keyword +copy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copy(self):$/;" m class:ParseExpression +copy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copy(self):$/;" m class:Forward +copy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copy(self):$/;" m class:Keyword +copy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copy(self):$/;" m class:ParseExpression +copy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copy(self):$/;" m class:ParserElement +copy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copy(self):$/;" m class:ParseResults +copy adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def copy(self):$/;" m class:RequestsCookieJar +copy adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def copy(self):$/;" m class:PreparedRequest +copy adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def copy(self):$/;" m class:CaseInsensitiveDict +copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def copy(self):$/;" m class:spmatrix +copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def copy(self):$/;" m class:_data_matrix +copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def copy(self):$/;" m class:dok_matrix +copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def copy(self):$/;" m class:lil_matrix +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def copy(self):$/;" m class:OrderedSet +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copy( self ):$/;" m class:ParserElement +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copy( self ):$/;" m class:ParseResults +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Forward +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copy(self):$/;" m class:Keyword +copy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copy(self):$/;" m class:ParseExpression +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def copy(self, node):$/;" m class:CleanCopier +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def copy(self):$/;" m class:TraceableStack +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def copy(oldpath, newpath, overwrite=False):$/;" f +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def copy(self, **override_parameters_kwargs):$/;" m class:Distribution +Copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^Copy = tf_export("raw_ops.Copy")(_ops.to_raw_op(copy))$/;" v +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def copy(input, tensor_name="", debug_ops_spec=[], name=None):$/;" f +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def copy(a):$/;" f +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def copy(self):$/;" m class:EagerVariableStore +Copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import copy as Copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def copy(self):$/;" m class:List +copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def copy(self):$/;" m class:_DictWrapper +Copy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import copy as Copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import copy_v2 as copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import copy_v2 as copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import copy_v2 as copy$/;" x +copy adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def copy(self):$/;" m class:HTTPHeaderDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:CombinedMultiDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:EnvironHeaders +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:Headers +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:ImmutableDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:ImmutableMultiDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:ImmutableOrderedMultiDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:ImmutableTypeConversionDict +copy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def copy(self):$/;" m class:MultiDict +copy2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copy2(src, dst):$/;" f +copy2_fixed adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def copy2_fixed(src, dest):$/;" f +copyanno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def copyanno(from_node, to_node, key, field_name='___pyct_anno'):$/;" f +CopyDeviceOption adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def CopyDeviceOption(op, src_op):$/;" f +copydir_run_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def copydir_run_2to3(src, dest, template=None, fixer_names=None,$/;" f +copyfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copyfile(src, dst):$/;" f +copyfileobj adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copyfileobj(fsrc, fdst, length=16*1024):$/;" f +copyfileobj adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def copyfileobj(src, dst, length=None):$/;" f +CopyFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def CopyFrom(self, other_msg):$/;" m class:Message +CopyHost adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^CopyHost = tf_export("raw_ops.CopyHost")(_ops.to_raw_op(copy_host))$/;" v +copymode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copymode(src, dst):$/;" f +CopyNode adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class CopyNode(QuantizeHandler):$/;" c +CopyOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^CopyOp = tf_export("raw_ops.CopyOp")(_ops.to_raw_op(copy_op))$/;" v +CopyOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^class CopyOpsTest(test_util.TestCase):$/;" c +COPYRIGHT adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^COPYRIGHT = 33432$/;" v +copyright docs/source/conf.py /^copyright = '2021, Boris Ponsioen'$/;" v +copysign adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def copysign(x1, x2):$/;" f +copystat adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copystat(src, dst):$/;" f +CopyTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^class CopyTest(unittest.TestCase):$/;" c +copyto adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def copyto(dst, src, casting=None, where=None):$/;" f +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copyTokenToRepeater(s, l, t):$/;" f function:matchPreviousExpr file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def copyTokenToRepeater(s, l, t):$/;" f function:matchPreviousLiteral file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copyTokenToRepeater(s,l,t):$/;" f function:matchPreviousExpr file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def copyTokenToRepeater(s,l,t):$/;" f function:matchPreviousLiteral file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copyTokenToRepeater(s, l, t):$/;" f function:matchPreviousExpr file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def copyTokenToRepeater(s, l, t):$/;" f function:matchPreviousLiteral file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copyTokenToRepeater(s,l,t):$/;" f function:matchPreviousExpr file: +copyTokenToRepeater adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def copyTokenToRepeater(s,l,t):$/;" f function:matchPreviousLiteral file: +CopyToProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def CopyToProto(self, proto):$/;" m class:Descriptor +CopyToProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def CopyToProto(self, proto):$/;" m class:EnumDescriptor +CopyToProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def CopyToProto(self, proto):$/;" m class:FileDescriptor +CopyToProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def CopyToProto(self, proto):$/;" m class:ServiceDescriptor +CopyToProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def CopyToProto(self, proto):$/;" m class:_NestedDescriptorBase +copytree adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def copytree(src, dst, symlinks=False, ignore=None, copy_function=copy2,$/;" f +copytree adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def copytree(self):$/;" m class:install_egg_info +CopytreeKwargs adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ CopytreeKwargs = TypedDict($/;" v +copy_assets_to_destination_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def copy_assets_to_destination_dir(asset_filename_map, destination_dir):$/;" f +copy_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def copy_baseline(self, baseline, extension):$/;" m class:_ImageComparisonBase +copy_clean adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def copy_clean(node, preserve_annos=None):$/;" f +copy_docstring adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def copy_docstring(source_class):$/;" f +copy_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def copy_eager_fallback(input, tensor_name, debug_ops_spec, name, ctx):$/;" f +copy_extensions_to_source adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def copy_extensions_to_source(self):$/;" m class:build_ext +copy_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def copy_file(self, infile, outfile, check=True):$/;" m class:FileOperator +copy_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def copy_file(self, infile, outfile, preserve_mode=1, preserve_times=1,$/;" m class:Command +copy_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/file_util.py /^def copy_file(src, dst, preserve_mode=1, preserve_times=1, update=0,$/;" f +copy_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def copy_fn(cur_i, cand_i):$/;" f function:raw_rnn.body._copy_some_through file: +copy_from adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def copy_from(self, other):$/;" m class:Scope +copy_from_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def copy_from_bbox(self, bbox):$/;" m class:FigureCanvasAgg +copy_from_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def copy_from_bbox(self, bbox):$/;" m class:FigureCanvasCairo +copy_func_between_devices adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def copy_func_between_devices(src, dst):$/;" f +copy_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^copy_handle_data = handle_data_util.copy_handle_data$/;" v +copy_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/handle_data_util.py /^def copy_handle_data(source_t, target_t):$/;" f +copy_host adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def copy_host(input, tensor_name="", debug_ops_spec=[], name=None):$/;" f +copy_host_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def copy_host_eager_fallback(input, tensor_name, debug_ops_spec, name, ctx):$/;" f +copy_imports adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def copy_imports(self, from_dest_module, to_dest_module):$/;" m class:_ModuleInitCodeBuilder +copy_location adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def copy_location(new_node, old_node):$/;" f +copy_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def copy_metadata(self):$/;" m class:TraceableObject +copy_metadata_to adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def copy_metadata_to(self, target_dir):$/;" m class:bdist_egg +copy_of adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def copy_of(cls, other):$/;" m class:Scope +copy_op adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def copy_op(inputs, outputs):$/;" f function:local_copy_op file: +copy_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def copy_op(a, name=None):$/;" f +copy_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def copy_op_eager_fallback(a, name, ctx):$/;" f +copy_origin adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^def copy_origin(from_node, to_node):$/;" f +copy_properties adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def copy_properties(self, other):$/;" m class:GraphicsContextPdf +copy_properties adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def copy_properties(self, gc):$/;" m class:GraphicsContextBase +copy_scoped_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def copy_scoped_meta_graph(from_scope, to_scope,$/;" f +copy_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def copy_scripts(self):$/;" m class:build_scripts +copy_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def copy_scripts(self):$/;" m class:build_scripts_2to3 +copy_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def copy_stream(self, instream, outfile, encoding=None):$/;" m class:FileOperator +copy_tensor_or_indexed_slices_to_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def copy_tensor_or_indexed_slices_to_device(value, device):$/;" f +copy_torchscript_modifier adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def copy_torchscript_modifier(orig, new):$/;" f +Copy_to_Clipboard adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def Copy_to_Clipboard(self, event=None):$/;" m class:_FigureCanvasWxBase +copy_to_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^def copy_to_device(target_device, source_device="\/cpu:0"):$/;" f +copy_to_graph_uninitialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def copy_to_graph_uninitialized(var):$/;" f +copy_to_host_async adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def copy_to_host_async(self):$/;" m class:ShardedDeviceArray +copy_to_host_async adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def copy_to_host_async(self):$/;" m class:_DeviceArray +COPY_TO_SCRIPT_WRAPPER adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ COPY_TO_SCRIPT_WRAPPER = \\$/;" v class:FunctionModifiers +copy_tree adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def copy_tree($/;" m class:install_lib +copy_tree adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def copy_tree(self, infile, outfile, preserve_mode=1, preserve_times=1,$/;" m class:Command +copy_tree adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def copy_tree(src, dst, preserve_mode=1, preserve_times=1,$/;" f +copy_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def copy_v2(src, dst, overwrite=False):$/;" f +copy_with adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def copy_with(self, params):$/;" m class:_AnnotatedAlias +copy_with_path_effect adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def copy_with_path_effect(self, path_effects):$/;" m class:PathEffectRenderer +core adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^import caffe2.python.core as core$/;" I +core adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ import caffe2.python.core as core$/;" I function:RunPlan file: +core adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ import caffe2.python.core as core$/;" I function:RunPlanInBackground file: +core adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^from . import backend_webagg_core as core$/;" x +core adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ import numpy.core as core$/;" I function:get_include file: +core adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ core = deferred_error(ImportError("The _imaging C module is not installed."))$/;" v +core adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ from . import _imaging as core$/;" x +core adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^core = _imagingcms$/;" v +core adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ core = _imagingft_not_installed()$/;" v +core adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ from . import _imagingft as core$/;" x +core adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def core(num):$/;" f +CoreDims adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^CoreDims = Tuple[str, ...]$/;" v +CoreFoundation adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^CoreFoundation = CDLL(core_foundation_path, use_errno=True)$/;" v +CoreFoundation adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^CoreFoundation = load_cdll($/;" v +CorePlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^class CorePlugin(base_plugin.TBPlugin):$/;" c +CorePluginLoader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^class CorePluginLoader(base_plugin.TBLoader):$/;" c +core_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def core_assignment(self):$/;" m class:DeviceAssignment +core_fc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow.python.feature_column import feature_column as core_fc$/;" x +core_fc_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow.python.feature_column import feature_column_lib as core_fc_lib$/;" x +core_foundation_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^core_foundation_path = find_library("CoreFoundation")$/;" v +core_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def core_metadata(self):$/;" m class:DebugDumpDir +CORE_METADATA_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^CORE_METADATA_TAG = "core_metadata_"$/;" v +core_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^from tensorflow.python.keras.layers.ops import core as core_ops$/;" x +core_random_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.framework import random_seed as core_random_seed$/;" x +core_readers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^from tensorflow.python.data.ops import readers as core_readers$/;" x +core_readers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^from tensorflow.python.data.ops import readers as core_readers$/;" x +core_tf_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^from tensorflow.python.types import core as core_tf_types$/;" x +corners adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def corners(self):$/;" m class:BboxBase +corners adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def corners(self):$/;" m class:RectangleSelector +Coroutine adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Coroutine(Awaitable[V_co], typing.Generic[T_co, T_contra, V_co],$/;" c +corrcoef adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def corrcoef(x, y=None, rowvar=True):$/;" f +corrcoef adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def corrcoef(x, y=None, rowvar=True, bias=np._NoValue, ddof=np._NoValue):$/;" f +corrcoef adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def corrcoef(x, y=None, rowvar=True, bias=np._NoValue, allow_masked=True,$/;" f +correct_n_by_n adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def correct_n_by_n(self, n):$/;" m class:TestIsValidY +correct_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def correct_pad(inputs, kernel_size):$/;" f +correct_roundoff adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def correct_roundoff(x, dpi, n):$/;" f function:adjusted_figsize file: +correlate adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def correlate(a, v, mode='valid', *, precision=None):$/;" f +correlate adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def correlate(in1, in2, mode='full', method='auto',$/;" f +correlate adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def correlate(a, v, mode='valid'):$/;" f +correlate adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def correlate(a, v, mode='valid', propagate_mask=True):$/;" f +correlate adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def correlate(input, weights, output=None, mode='reflect', cval=0.0,$/;" f +correlate adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def correlate(in1, in2, mode='full', method='auto'):$/;" f +correlate1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def correlate1d(input, weights, axis=-1, output=None, mode="reflect",$/;" f +correlate2d adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def correlate2d(in1, in2, mode='full', boundary='fill', fillvalue=0,$/;" f +correlate2d adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def correlate2d(in1, in2, mode='full', boundary='fill', fillvalue=0):$/;" f +correlation adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def correlation(u, v, w=None, centered=True):$/;" f +correlation_lags adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def correlation_lags(in1_len, in2_len, mode='full'):$/;" f +correspond adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def correspond(Z, Y):$/;" f +CORSRequestMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^class CORSRequestMixin(object):$/;" c +CORSResponseMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^class CORSResponseMixin(object):$/;" c +cos adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^cos = np.cos$/;" v +cos adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def cos(x: Array) -> Array:$/;" f +cos adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^cos = _one_to_one_unop(np.cos, lax.cos, True)$/;" v +cos adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^cos = _MaskedUnaryOperation(umath.cos)$/;" v +cos adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^cos = _unary_op(math_ops.cos)$/;" v +COS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ COS = 108$/;" v class:BuiltinOperator +cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cos(x):$/;" f +Cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cos = tf_export("raw_ops.Cos")(_ops.to_raw_op(cos))$/;" v +cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cos(x, name=None):$/;" f +cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def cos(x):$/;" f +cos adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def cos(g, self):$/;" f +cosdg adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double cosdg(double x0) nogil$/;" f +cosh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cosh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +cosh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^cosh = np.cosh$/;" v +cosh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def cosh(x: Array) -> Array:$/;" f +cosh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^cosh = _one_to_one_unop(np.cosh, lax.cosh, True)$/;" v +cosh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^cosh = _MaskedUnaryOperation(umath.cosh)$/;" v +Cosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cosh = tf_export("raw_ops.Cosh")(_ops.to_raw_op(cosh))$/;" v +cosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cosh(x, name=None):$/;" f +cosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def cosh(x):$/;" f +coshm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def coshm(A):$/;" f +cosh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cosh_eager_fallback(x, name, ctx):$/;" f +cosh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^cosh_p = standard_unop(_float | _complex, 'cosh')$/;" v +cosine adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def cosine(M, sym=True):$/;" f +cosine adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def cosine(u, v, w=None):$/;" f +cosine adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^cosine = cosine_gen(a=-np.pi, b=np.pi, name='cosine')$/;" v +cosine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine$/;" x +cosine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine$/;" x +cosine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine$/;" x +cosine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine$/;" x +CosineAnnealingLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class CosineAnnealingLR(_LRScheduler):$/;" c +CosineAnnealingWarmRestarts adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class CosineAnnealingWarmRestarts(_LRScheduler):$/;" c +CosineDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class CosineDecay(LearningRateSchedule):$/;" c +CosineDecayRestarts adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class CosineDecayRestarts(LearningRateSchedule):$/;" c +CosineEmbeddingLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class CosineEmbeddingLoss(_Loss):$/;" c +cosineembeddingloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def cosineembeddingloss_reference(input1, input2, target, margin=0, reduction='mean'):$/;" f +CosineSimilarity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class CosineSimilarity(LossFunctionWrapper):$/;" c +CosineSimilarity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class CosineSimilarity(MeanMetricWrapper):$/;" c +CosineSimilarity adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^class CosineSimilarity(Module):$/;" c +cosine_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def cosine_decay(learning_rate, global_step, decay_steps, alpha=0.0, name=None):$/;" f +cosine_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^cosine_decay = learning_rate_decay.cosine_decay$/;" v +cosine_decay_restarts adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def cosine_decay_restarts(learning_rate,$/;" f +cosine_decay_restarts adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^cosine_decay_restarts = learning_rate_decay.cosine_decay_restarts$/;" v +COSINE_DISTANCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^COSINE_DISTANCE = 'cosine'$/;" v +cosine_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def cosine_distance($/;" f +COSINE_DISTANCE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ COSINE_DISTANCE = clustering_ops.COSINE_DISTANCE$/;" v class:KMeansClustering +cosine_embedding_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def cosine_embedding_loss(input1, input2, target, margin=0, size_average=None,$/;" f +cosine_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class cosine_gen(rv_continuous):$/;" c +cosine_proximity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine_proximity$/;" x +cosine_proximity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine_proximity$/;" x +cosine_proximity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine_proximity$/;" x +cosine_proximity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import cosine_similarity as cosine_proximity$/;" x +cosine_proximity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def cosine_proximity(y_true, y_pred, axis=-1):$/;" f +cosine_similarity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def cosine_similarity(y_true, y_pred, axis=-1):$/;" f +cosine_similarity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^cosine_similarity = cosine_proximity$/;" v +cosine_similarity adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^cosine_similarity = _add_docstr(torch.cosine_similarity, r"""$/;" v +cosine_similarity adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def cosine_similarity(g, x1, x2, dim, eps):$/;" f +cosm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def cosm(A):$/;" f +cosm1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double cosm1(double x0) nogil$/;" f +CosOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CosOptions = 84$/;" v class:BuiltinOptions +CosOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CosOptions(object):$/;" c +CosOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CosOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:CosOptions +CosOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CosOptionsEnd(builder): return builder.EndObject()$/;" f +CosOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CosOptionsStart(builder): builder.StartObject(0)$/;" f +CosOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CosOptionsT(object):$/;" c +cospi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^from scipy.special._ufuncs import _cospi as cospi$/;" x +cossin adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_cossin.py /^def cossin(X, p=None, q=None, separate=False,$/;" f +cost adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def cost(x):$/;" f member:TestSLSQP.test_inconsistent_inequalities file: +CostGraphDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^CostGraphDef = _reflection.GeneratedProtocolMessageType('CostGraphDef', (_message.Message,), {$/;" v +CostGraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^CostGraphDef = _reflection.GeneratedProtocolMessageType('CostGraphDef', (_message.Message,), {$/;" v +cost_memory_removed adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def cost_memory_removed(size12, size1, size2, k12, k1, k2):$/;" f +cost_memory_removed_jitter adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def cost_memory_removed_jitter(size12, size1, size2, k12, k1, k2):$/;" f +cos_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cos_eager_fallback(x, name, ctx):$/;" f +cos_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^cos_p = standard_unop(_float | _complex, 'cos')$/;" v +cos_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def cos_ref(input_tensor):$/;" f member:TestOperators.test_cos file: +cotdg adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double cotdg(double x0) nogil$/;" f +could_be_day adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def could_be_day(self, value):$/;" m class:_ymd +could_possibly_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def could_possibly_record():$/;" f +count adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def count(self):$/;" m class:rrulebase +count adpepsenv/lib/python3.8/site-packages/jax/core.py /^ count = -1$/;" v class:DropVar +count adpepsenv/lib/python3.8/site-packages/jax/core.py /^ count = -1$/;" v class:UnitVar +count adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def count(self, sub, start=0, end=None):$/;" m class:chararray +count adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def count(a, sub, start=0, end=None):$/;" f +count adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def count():$/;" f member:TestFromTxt.test_gft_using_generator file: +count adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def count():$/;" f member:TestLoadTxt.test_generator_source file: +count adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def count(self, axis=None, keepdims=np._NoValue):$/;" m class:MaskedArray +count adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^count = _frommethod('count')$/;" v +count adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def count(self, value):$/;" m class:SequenceOfAndSetOfBase +count adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^count = [0]$/;" v +count adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^count = [0]$/;" v +count adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^count = [0]$/;" v +count adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def count(self):$/;" m class:TensorList +COUNT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^COUNT = "count"$/;" v +COUNT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^COUNT = category_encoding.COUNT$/;" v +count adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def count(self):$/;" m class:MeanTensor +Countdown adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^class Countdown(Progress):$/;" c +countedArray adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def countedArray(expr, intExpr=None):$/;" f +countedArray adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def countedArray( expr, intExpr=None ):$/;" f +countedArray adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def countedArray(expr, intExpr=None):$/;" f +countedArray adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def countedArray( expr, intExpr=None ):$/;" f +Counter adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^class Counter(Infinite):$/;" c +Counter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class Counter(univ.Integer):$/;" c +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/counter.py /^ Counter = CounterV2$/;" v +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class Counter(Metric):$/;" c +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class Counter(keras.callbacks.Callback):$/;" c +counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def counter(self):$/;" m class:_DynamicLossScaleState +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.counter import CounterV1 as Counter$/;" x +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.counter import CounterV2 as Counter$/;" x +Counter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.counter import CounterV2 as Counter$/;" x +Counter adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Counter(collections.Counter,$/;" c +Counter adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Counter = typing.Counter$/;" v +Counter32 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Counter32(univ.Integer):$/;" c +Counter64 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Counter64(univ.Integer):$/;" c +CounterCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class CounterCell(object):$/;" c +CounterReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class CounterReader(Reader):$/;" c +counterSignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^counterSignature = CMSAttribute()$/;" v +Countersignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^Countersignature = rfc5652.Countersignature$/;" v +Countersignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class Countersignature(SignerInfo):$/;" c +Countersignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class Countersignature(SignerInfo):$/;" c +CounterV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/counter.py /^def CounterV1(start=0, step=1, dtype=dtypes.int64):$/;" f +CounterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/counter.py /^def CounterV2(start=0, step=1, dtype=dtypes.int64):$/;" f +counter_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_options.py /^ counter_prefix = options.create_option($/;" v class:StatsOptions +countFieldParseAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def countFieldParseAction(s, l, t):$/;" f function:countedArray file: +countFieldParseAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def countFieldParseAction(s,l,t):$/;" f function:countedArray file: +countFieldParseAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def countFieldParseAction(s, l, t):$/;" f function:countedArray file: +countFieldParseAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def countFieldParseAction(s,l,t):$/;" f function:countedArray file: +CountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CountryName(univ.Choice):$/;" c +CountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CountryName(univ.Choice):$/;" c +CountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CountryName(univ.Choice):$/;" c +countryOfCitizenship adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^countryOfCitizenship = Attribute()$/;" v +countryOfResidence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^countryOfResidence = Attribute()$/;" v +COUNTS adpepsenv/lib/python3.8/site-packages/scipy/stats/_wilcoxon_data.py /^COUNTS = {$/;" v +counts adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def counts(self, *, include_lookdict_unicode: bool = True) -> int:$/;" m class:CallgrindStats +CountUntil adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^def CountUntil(num_iter):$/;" f +CountUpTo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^CountUpTo = tf_export("raw_ops.CountUpTo")(_ops.to_raw_op(count_up_to))$/;" v +count_0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^count_0 = count[0]$/;" v +count_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^count_1 = count[0]$/;" v +count_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^count_2 = count[0]$/;" v +count_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^def count_blobs(proto):$/;" f +count_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def count_blobs(proto):$/;" f +count_blocks adpepsenv/lib/python3.8/site-packages/scipy/sparse/spfuncs.py /^def count_blocks(A,blocksize):$/;" f +count_cached_ops adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def count_cached_ops(cache):$/;" f +count_contains adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def count_contains(self, vertices):$/;" m class:BboxBase +count_elem adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^ def count_elem(dt):$/;" f function:_get_fields_and_offsets file: +COUNT_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ COUNT_IDX = 0$/;" v class:_NormalizingCombiner +count_jit_and_pmap_compiles adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def count_jit_and_pmap_compiles():$/;" f +count_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def count_masked(arr, axis=None):$/;" f +count_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def count_neighbors(self, other, r, p=2., weights=None, cumulative=True):$/;" m class:KDTree +count_neighbors_consistency adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class count_neighbors_consistency:$/;" c +count_nonzero adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def count_nonzero(a, axis: Optional[Union[int, Tuple[int, ...]]] = None,$/;" f +count_nonzero adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def count_nonzero(a, axis=None, *, keepdims=False):$/;" f +count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def count_nonzero(self):$/;" m class:spmatrix +count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def count_nonzero(self):$/;" m class:_data_matrix +count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def count_nonzero(self):$/;" m class:dia_matrix +count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def count_nonzero(self):$/;" m class:dok_matrix +count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def count_nonzero(self):$/;" m class:lil_matrix +count_nonzero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def count_nonzero(input_tensor=None,$/;" f +count_nonzero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def count_nonzero(a, axis=None):$/;" f +count_nonzero adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import count_nonzero_v2 as count_nonzero$/;" x +count_nonzero adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import count_nonzero_v2 as count_nonzero$/;" x +count_nonzero_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def count_nonzero_v2($/;" f +count_outputs adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def count_outputs(*args, **kwargs):$/;" f +count_overlaps adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def count_overlaps(self, bboxes):$/;" m class:BboxBase +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def count_params(x):$/;" f +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def count_params(self):$/;" m class:Layer +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def count_params(self):$/;" m class:Layer +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def count_params(self):$/;" m class:IndexLookup +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def count_params(self):$/;" m class:TextVectorization +count_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def count_params(weights):$/;" f +count_primitive_compiles adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def count_primitive_compiles():$/;" f +count_rank_tie adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def count_rank_tie(ranks):$/;" f function:kendalltau file: +count_shared_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def count_shared_blobs(proto):$/;" f +count_tied_groups adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def count_tied_groups(x, use_missing=False):$/;" f +count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def count_up_to(ref, limit, name=None):$/;" f +count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def count_up_to(self, limit):$/;" m class:BaseResourceVariable +count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def count_up_to(ref, limit, name=None):$/;" f +count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def count_up_to(self, limit):$/;" m class:RefVariable +count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def count_up_to(self, limit):$/;" m class:Variable +count_up_to_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def count_up_to_eager_fallback(ref, limit, name, ctx):$/;" f +CoupledDecay adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class CoupledDecay(ODE):$/;" c +cov adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def cov(m, y=None, rowvar=True, bias=False, ddof=None, fweights=None,$/;" f +cov adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def cov(m, y=None, rowvar=True, bias=False, ddof=None, fweights=None,$/;" f +cov adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def cov(x, y=None, rowvar=True, bias=False, allow_masked=True, ddof=None):$/;" f +cov adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cov(self):$/;" m class:multinomial_frozen +cov adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cov(self):$/;" m class:multivariate_hypergeom_frozen +cov adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cov(self, m, n):$/;" m class:multivariate_hypergeom_gen +cov adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def cov(self, n, p):$/;" m class:multinomial_gen +covariance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def covariance(self, name="covariance"):$/;" m class:Distribution +covariance_factor adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ covariance_factor = scotts_factor$/;" v class:GaussianKDE +covariance_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ covariance_factor = scotts_factor$/;" v class:gaussian_kde +covariance_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def covariance_factor(self):$/;" m class:_kde_subclass4 +covariance_matrix adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def covariance_matrix(self):$/;" m class:LowRankMultivariateNormal +covariance_matrix adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def covariance_matrix(self):$/;" m class:MultivariateNormal +co_argcount adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def co_argcount(self):$/;" m class:_AdapterFunctionCode +co_code adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def co_code(self):$/;" m class:_AdapterFunctionCode +co_flags adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def co_flags(self):$/;" m class:_AdapterFunctionCode +co_kwonlyargcount adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def co_kwonlyargcount(self):$/;" m class:_AdapterFunctionCode +CO_NEWLOCALS adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^CO_OPTIMIZED, CO_NEWLOCALS, CO_VARARGS, CO_VARKEYWORDS = 1, 2, 4, 8$/;" v +CO_OPTIMIZED adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^CO_OPTIMIZED, CO_NEWLOCALS, CO_VARARGS, CO_VARKEYWORDS = 1, 2, 4, 8$/;" v +CO_VARARGS adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^CO_OPTIMIZED, CO_NEWLOCALS, CO_VARARGS, CO_VARKEYWORDS = 1, 2, 4, 8$/;" v +CO_VARKEYWORDS adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^CO_OPTIMIZED, CO_NEWLOCALS, CO_VARARGS, CO_VARKEYWORDS = 1, 2, 4, 8$/;" v +co_varnames adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def co_varnames(self):$/;" m class:_AdapterFunctionCode +CP949Prober adpepsenv/lib/python3.8/site-packages/chardet/cp949prober.py /^class CP949Prober(MultiByteCharSetProber):$/;" c +CP949Prober adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cp949prober.py /^class CP949Prober(MultiByteCharSetProber):$/;" c +CP949_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^CP949_CHAR_LEN_TABLE = (0, 1, 2, 0, 1, 1, 2, 2, 0, 2)$/;" v +CP949_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^CP949_CHAR_LEN_TABLE = (0, 1, 2, 0, 1, 1, 2, 2, 0, 2)$/;" v +CP949_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^CP949_CLS = ($/;" v +CP949_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^CP949_CLS = ($/;" v +CP949_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^CP949_SM_MODEL = {'class_table': CP949_CLS,$/;" v +CP949_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^CP949_SM_MODEL = {'class_table': CP949_CLS,$/;" v +CP949_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^CP949_ST = ($/;" v +CP949_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^CP949_ST = ($/;" v +cpa_zlibCompress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^cpa_zlibCompress = rfc5280.AlgorithmIdentifier()$/;" v +cpbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbcon(char *uplo, int *n, int *kd, c *ab, int *ldab, s *anorm, s *rcond, c *work, s */;" f +cpbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbequ(char *uplo, int *n, int *kd, c *ab, int *ldab, s *s, s *scond, s *amax, int *in/;" f +cpbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbrfs(char *uplo, int *n, int *kd, int *nrhs, c *ab, int *ldab, c *afb, int *ldafb, c/;" f +cpbstf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbstf(char *uplo, int *n, int *kd, c *ab, int *ldab, int *info) nogil$/;" f +cpbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbsv(char *uplo, int *n, int *kd, int *nrhs, c *ab, int *ldab, c *b, int *ldb, int *i/;" f +cpbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbsvx(char *fact, char *uplo, int *n, int *kd, int *nrhs, c *ab, int *ldab, c *afb, i/;" f +cpbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbtf2(char *uplo, int *n, int *kd, c *ab, int *ldab, int *info) nogil$/;" f +cpbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbtrf(char *uplo, int *n, int *kd, c *ab, int *ldab, int *info) nogil$/;" f +cpbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpbtrs(char *uplo, int *n, int *kd, int *nrhs, c *ab, int *ldab, c *b, int *ldb, int */;" f +cpftrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpftrf(char *transr, char *uplo, int *n, c *a, int *info) nogil$/;" f +cpftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpftri(char *transr, char *uplo, int *n, c *a, int *info) nogil$/;" f +cpftrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpftrs(char *transr, char *uplo, int *n, int *nrhs, c *a, c *b, int *ldb, int *info) n/;" f +cplx01_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx01_f(z, n, a):$/;" f +cplx01_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx01_fp(z, n, a):$/;" f +cplx01_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx01_fpp(z, n, a):$/;" f +cplx02_f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx02_f(z, a):$/;" f +cplx02_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx02_fp(z, a):$/;" f +cplx02_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def cplx02_fpp(z, a):$/;" f +cpocon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpocon(char *uplo, int *n, c *a, int *lda, s *anorm, s *rcond, c *work, s *rwork, int /;" f +cpoequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpoequ(int *n, c *a, int *lda, s *s, s *scond, s *amax, int *info) nogil$/;" f +cpoequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpoequb(int *n, c *a, int *lda, s *s, s *scond, s *amax, int *info) nogil$/;" f +cporfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cporfs(char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, c *b, int *ldb/;" f +cposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cposv(char *uplo, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, int *info) nogil$/;" f +cposvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cposvx(char *fact, char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, ch/;" f +cpotf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpotf2(char *uplo, int *n, c *a, int *lda, int *info) nogil$/;" f +cpotrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpotrf(char *uplo, int *n, c *a, int *lda, int *info) nogil$/;" f +cpotri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpotri(char *uplo, int *n, c *a, int *lda, int *info) nogil$/;" f +cpotrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpotrs(char *uplo, int *n, int *nrhs, c *a, int *lda, c *b, int *ldb, int *info) nogil$/;" f +cppcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cppcon(char *uplo, int *n, c *ap, s *anorm, s *rcond, c *work, s *rwork, int *info) no/;" f +cppequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cppequ(char *uplo, int *n, c *ap, s *s, s *scond, s *amax, int *info) nogil$/;" f +CppExtension adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def CppExtension(name, sources, *args, **kwargs):$/;" f +cppmacros adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^cppmacros = {'cppmacros': '\/*need_cppmacros*\/'}$/;" v +CppMemoryChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ CppMemoryChecker = None$/;" v +CppMemoryChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ from tensorflow.python.platform.cpp_memory_checker import _CppMemoryChecker as CppMemoryChecke/;" x +cppProfilingFlagsToProfilingMode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def cppProfilingFlagsToProfilingMode():$/;" f +cpprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpprfs(char *uplo, int *n, int *nrhs, c *ap, c *afp, c *b, int *ldb, c *x, int *ldx, s/;" f +CppShapeInferenceInputsNeeded adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^CppShapeInferenceInputsNeeded = _reflection.GeneratedProtocolMessageType('CppShapeInferenceInput/;" v +CppShapeInferenceInputsNeeded adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^CppShapeInferenceInputsNeeded = _reflection.GeneratedProtocolMessageType('CppShapeInferenceInput/;" v +CppShapeInferenceResult adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^CppShapeInferenceResult = _reflection.GeneratedProtocolMessageType('CppShapeInferenceResult', (_/;" v +CppShapeInferenceResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^CppShapeInferenceResult = _reflection.GeneratedProtocolMessageType('CppShapeInferenceResult', (_/;" v +cppStyleComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^cppStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/' | dblSlashComment).setName(/;" v +cppStyleComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^cppStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/'| dblSlashComment).setName("/;" v +cppStyleComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^cppStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/' | dblSlashComment).setName(/;" v +cppStyleComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^cppStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/'| dblSlashComment).setName("/;" v +cppsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cppsv(char *uplo, int *n, int *nrhs, c *ap, c *b, int *ldb, int *info) nogil$/;" f +cppsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cppsvx(char *fact, char *uplo, int *n, int *nrhs, c *ap, c *afp, char *equed, s *s, c /;" f +cpptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpptrf(char *uplo, int *n, c *ap, int *info) nogil$/;" f +cpptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpptri(char *uplo, int *n, c *ap, int *info) nogil$/;" f +cpptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpptrs(char *uplo, int *n, int *nrhs, c *ap, c *b, int *ldb, int *info) nogil$/;" f +CPPTYPE_BOOL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_BOOL = 7$/;" v class:FieldDescriptor +CPPTYPE_DOUBLE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_DOUBLE = 5$/;" v class:FieldDescriptor +CPPTYPE_ENUM adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_ENUM = 8$/;" v class:FieldDescriptor +CPPTYPE_FLOAT adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_FLOAT = 6$/;" v class:FieldDescriptor +CPPTYPE_INT32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_INT32 = 1$/;" v class:FieldDescriptor +CPPTYPE_INT64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_INT64 = 2$/;" v class:FieldDescriptor +CPPTYPE_MESSAGE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_MESSAGE = 10$/;" v class:FieldDescriptor +CPPTYPE_STRING adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_STRING = 9$/;" v class:FieldDescriptor +CPPTYPE_UINT32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_UINT32 = 3$/;" v class:FieldDescriptor +CPPTYPE_UINT64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ CPPTYPE_UINT64 = 4$/;" v class:FieldDescriptor +cpp_dict adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def cpp_dict(self):$/;" m class:OrderedDictWrapper +cpp_guard adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^cpp_guard = """$/;" v +cpp_padding adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ cpp_padding = '{' + ', '.join(map(str, padding)) + '}'$/;" v +cprint adpepsenv/lib/python3.8/site-packages/termcolor.py /^def cprint(text, color=None, on_color=None, attrs=None, **kwargs):$/;" f +cprof_summary adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^cprof_summary = """$/;" v +cpstf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpstf2(char *uplo, int *n, c *a, int *lda, int *piv, int *rank, s *tol, s *work, int */;" f +cpstrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpstrf(char *uplo, int *n, c *a, int *lda, int *piv, int *rank, s *tol, s *work, int */;" f +CPSuri adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CPSuri(char.IA5String):$/;" c +CPSuri adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CPSuri(char.IA5String):$/;" c +CPSuri adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CPSuri(char.IA5String):$/;" c +cptcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cptcon(int *n, s *d, c *e, s *anorm, s *rcond, s *rwork, int *info) nogil$/;" f +cpteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpteqr(char *compz, int *n, s *d, s *e, c *z, int *ldz, s *work, int *info) nogil$/;" f +cptrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cptrfs(char *uplo, int *n, int *nrhs, s *d, c *e, s *df, c *ef, c *b, int *ldb, c *x, /;" f +cptsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cptsv(int *n, int *nrhs, s *d, c *e, c *b, int *ldb, int *info) nogil$/;" f +cptsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cptsvx(char *fact, int *n, int *nrhs, s *d, c *e, s *df, c *ef, c *b, int *ldb, c *x, /;" f +cpttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpttrf(int *n, s *d, c *e, int *info) nogil$/;" f +cpttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cpttrs(char *uplo, int *n, int *nrhs, s *d, c *e, c *b, int *ldb, int *info) nogil$/;" f +cptts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cptts2(int *iuplo, int *n, int *nrhs, s *d, c *e, c *b, int *ldb) nogil$/;" f +CPU adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def CPU(self):$/;" m class:CNNModelHelper +cpu adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^cpu = cpuinfo()$/;" v +cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def cpu(self):$/;" m class:_EagerTensorBase +CPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ CPU = 1$/;" v class:EmbeddingDevice +cpu adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def cpu(self: T) -> T:$/;" m class:_RemoteModule +cpu adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def cpu(self: T) -> T:$/;" m class:Module +cpu adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def cpu(self, *args, **kwargs):$/;" m class:PackedSequence +cpu adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def cpu(self):$/;" m class:_StorageBase +cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ cpuinfo = DarwinCPUInfo$/;" v +cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ cpuinfo = IRIXCPUInfo$/;" v +cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ cpuinfo = LinuxCPUInfo$/;" v +cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ cpuinfo = SunOSCPUInfo$/;" v +cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ cpuinfo = Win32CPUInfo$/;" v +CPUInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^CPUInfo = _reflection.GeneratedProtocolMessageType('CPUInfo', (_message.Message,), {$/;" v +CPUInfoBase adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class CPUInfoBase:$/;" c +CPUTestBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class CPUTestBase(DeviceTypeTestBase):$/;" c +cpu_children_populated adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def cpu_children_populated(self):$/;" m class:EventList +cpu_device_name_at_coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def cpu_device_name_at_coordinates(self, device_coordinates, job=None):$/;" m class:Topology +cpu_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ cpu_device_option = caffe2_pb2.DeviceOption()$/;" v +cpu_do adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^cpu_do = caffe2_pb2.DeviceOption()$/;" v +cpu_do adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^cpu_do = hu.cpu_do$/;" v +cpu_do adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^cpu_do = hu.cpu_do$/;" v +cpu_embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def cpu_embedding_lookup(inputs, weights, tables, feature_config):$/;" f +cpu_have adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def cpu_have(self, feature_name):$/;" m class:AbstractTest +CPU_ONLY adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ CPU_ONLY = 'cpu_only'$/;" v class:Tags +cpu_time adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def cpu_time(self):$/;" m class:FormattedTimesMixin +cpu_time_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ cpu_time_str = attr_formatter('cpu_time')$/;" v class:FormattedTimesMixin +cpu_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def cpu_time_total(self):$/;" m class:FunctionEvent +cpu_time_total adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def cpu_time_total(autograd_prof):$/;" f +cpu_time_total_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ cpu_time_total_str = attr_formatter('cpu_time_total')$/;" v class:FormattedTimesMixin +cpu_tmp adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^ def cpu_tmp(name):$/;" f function:rewrite_run_net_simple_xrayocr_lstm file: +CPU_TYPE_ARM64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^CPU_TYPE_ARM64 = 0x0100000c$/;" v +cpython_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def cpython_tags($/;" f +cpython_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def cpython_tags($/;" f +cp_accessible adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def cp_accessible(self, epoch=None):$/;" m class:CheckpointManager +cp_accessible adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def cp_accessible(self, epoch=None):$/;" m class:MultiNodeCheckpointManager +crack2fortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def crack2fortran(block):$/;" f +crack2fortrangen adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def crack2fortrangen(block, tab='\\n', as_interface=False):$/;" f +crackfortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def crackfortran(files):$/;" f +crackline adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def crackline(line, reset=0):$/;" f +crackline_re_1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^crackline_re_1 = re.compile(r'\\s*(?P\\b[a-z]+[\\w]*\\b)\\s*[=].*', re.I)$/;" v +cracktypespec adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def cracktypespec(typespec, selector):$/;" f +cracktypespec0 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def cracktypespec0(typespec, ll):$/;" f +cramervonmises adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def cramervonmises(rvs, cdf, args=()):$/;" f +CramerVonMisesResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^class CramerVonMisesResult:$/;" c +crashing_callback adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def crashing_callback(fig, stale):$/;" f function:test_canvas_reinit file: +CrazyInt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ class CrazyInt:$/;" c member:TestLoadTxt.test_usecols file: +crc adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def crc(self, cid, data):$/;" m class:ChunkStream +crc32c adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def crc32c(data):$/;" f +crc_finalize adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def crc_finalize(crc):$/;" f +CRC_INIT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^CRC_INIT = 0$/;" v +crc_skip adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def crc_skip(self, cid, data):$/;" m class:ChunkStream +CRC_TABLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^CRC_TABLE = ($/;" v +crc_update adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def crc_update(crc, data):$/;" f +Create adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^def Create(args):$/;" f +create adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def create(self):$/;" m class:ShuffleNetV2Builder +create adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def create(flags, method, host, deadline, metadata, credentials,$/;" f function:_channel_managed_call_management file: +create adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def create(self, name, data, shape=None, dtype=None):$/;" m class:AttributeManager +create adpepsenv/lib/python3.8/site-packages/jaxlib/tpu_client.py /^ def create(worker=None, force=False):$/;" m class:TpuBackend +create adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def create(cls, session, options, suppress_no_index=False):$/;" m class:LinkCollector +create adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def create($/;" m class:CandidateEvaluator +create adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def create($/;" m class:PackageFinder +create adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ def create($/;" m class:SearchScope +create adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def create(cls, obj, body, evaldict, defaults=None,$/;" m class:FunctionMaker +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def create(self,$/;" m class:_PythonFnFactory +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def create(self, batch_element):$/;" m class:ConcatAggregator +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def create(self, batch_element):$/;" m class:SliceAggregator +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def create(self, batch_outs):$/;" m class:Aggregator +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def create(self, batch_outs):$/;" m class:MetricsAggregator +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def create(self, batch_outs):$/;" m class:OutputsAggregator +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def create(self):$/;" m class:BaseResourceVariable +create adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def create():$/;" f member:_GraphTensorArray.__init__ file: +CreateBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^CreateBlob = C.create_blob$/;" v +createBody adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def createBody(self):$/;" m class:OpDocGenerator +createBody adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def createBody(self):$/;" m class:GHOpDocGenerator +CreateByteVector adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def CreateByteVector(self, x):$/;" m class:Builder +CreateDB adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def CreateDB(self, blob_out, db, db_type, **kwargs):$/;" m class:ModelHelper +createDimension adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def createDimension(self, name, length):$/;" m class:netcdf_file +createEdge adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def createEdge(self, a, b):$/;" m class:NNModule +createElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def createElement(self, token):$/;" m class:TreeBuilder +createElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def createElement(self, token):$/;" m class:TreeBuilder +CreateExperiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^ def CreateExperiment(self, request, **kwargs):$/;" m class:DryRunTensorBoardWriterStub +CreateExperiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def CreateExperiment(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +CreateExperimentRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^CreateExperimentRequest = _reflection.GeneratedProtocolMessageType('CreateExperimentRequest', (_/;" v +CreateExperimentResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^CreateExperimentResponse = _reflection.GeneratedProtocolMessageType('CreateExperimentResponse', /;" v +createFontList adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def createFontList(fontfiles, fontext='ttf'):$/;" f +createFunctionFromGraph adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def createFunctionFromGraph(self, trace):$/;" m class:JitTestCase +createfuncwrapper adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^def createfuncwrapper(rout, signature=0):$/;" f +createLock adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^ def createLock(self): self.lock = None$/;" m class:NullHandler +createMetricsPlotsModelsOutputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def createMetricsPlotsModelsOutputs(self):$/;" m class:AnyExpTrainer +CreateModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^ def CreateModel(self):$/;" m class:CharRNN +CreateNet adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def CreateNet(model, overwrite=False):$/;" f +CreateNet adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def CreateNet(net, overwrite=False, input_blobs=None):$/;" f +CreateNetOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^def CreateNetOnce(net, created_names=set()): # noqa$/;" f +createNets adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def createNets(i, disabled):$/;" f member:TestOperators.test_disabled_execution_step file: +createNode adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def createNode(self, val):$/;" m class:NNModule +CreateNumpyVector adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def CreateNumpyVector(self, x):$/;" m class:Builder +CreateOfflineTensor adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^CreateOfflineTensor = C.create_offline_tensor$/;" v +CreateOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def CreateOperator($/;" f +createProfile adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def createProfile(colorSpace, colorTemp=-1):$/;" f +CreatePythonOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def CreatePythonOperator($/;" f +createResolutionCallbackForClassMethods adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def createResolutionCallbackForClassMethods(cls):$/;" f +createResolutionCallbackFromClosure adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def createResolutionCallbackFromClosure(fn):$/;" f +createResolutionCallbackFromEnv adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def createResolutionCallbackFromEnv(lookup_base):$/;" f +createResolutionCallbackFromFrame adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def createResolutionCallbackFromFrame(frames_up=0):$/;" f +CreateShapeFromDtypeAndTuple adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^def CreateShapeFromDtypeAndTuple(dtype, shape_tuple): # pylint: disable=invalid-name$/;" f +CreateShapeFromNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^def CreateShapeFromNumpy(value): # pylint: disable=invalid-name$/;" f +CreateString adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def CreateString(self, s, encoding='utf-8', errors='strict'):$/;" m class:Builder +createsubrwrapper adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^def createsubrwrapper(rout, signature=0):$/;" f +CreateSummaryDbWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^CreateSummaryDbWriter = tf_export("raw_ops.CreateSummaryDbWriter")(_ops.to_raw_op(create_summary/;" v +CreateSummaryFileWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^CreateSummaryFileWriter = tf_export("raw_ops.CreateSummaryFileWriter")(_ops.to_raw_op(create_sum/;" v +createToolTip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def createToolTip(widget, text):$/;" m class:ToolTip +createTrainerClass adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def createTrainerClass(opts):$/;" f +createType1Descriptor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def createType1Descriptor(self, t1font, fontfile):$/;" m class:PdfFile +createUniqueDataNode adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def createUniqueDataNode(self, prefix="_unique"):$/;" m class:NNModule +CreateValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class CreateValues:$/;" c +CreateValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class CreateValues:$/;" c +createVariable adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def createVariable(self, name, type, dimensions):$/;" m class:netcdf_file +CreateZeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class CreateZeros:$/;" c +CreateZeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class CreateZeros:$/;" c +create_access_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/access_token.py /^ def create_access_token(self, request, credentials):$/;" m class:AccessTokenEndpoint +create_access_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/access_token.py /^ def create_access_token_response(self, uri, http_method='GET', body=None,$/;" m class:AccessTokenEndpoint +create_analyzer_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^def create_analyzer_ui(debug_dump,$/;" f +create_api_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def create_api_files(output_files,$/;" f +create_arg adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def create_arg(self, a: Any) -> Argument:$/;" m class:TracerBase +create_arg adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def create_arg(self, a: Any) -> Argument:$/;" m class:Tracer +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerBase +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerErrorbar +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerLine2D +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerLineCollection +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerPatch +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerPolyCollection +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerRegularPolyCollection +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerStem +create_artists adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_artists(self, legend, orig_handle,$/;" m class:HandlerTuple +create_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def create_assignment(self, target, expression):$/;" m class:Base +create_atomic_iter adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^ def create_atomic_iter(self):$/;" m class:HomotopyWeight +create_authorization_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def create_authorization_code(self, request):$/;" m class:AuthorizationCodeGrant +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/authorization.py /^ def create_authorization_response(self, uri, http_method='GET', body=None,$/;" m class:AuthorizationEndpoint +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def create_authorization_response(self, uri, http_method='GET', body=None,$/;" m class:AuthorizationEndpoint +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def create_authorization_response(self, request, token_handler):$/;" m class:AuthorizationCodeGrant +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def create_authorization_response(self, request, token_handler):$/;" m class:GrantTypeBase +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ def create_authorization_response(self, request, token_handler):$/;" m class:ImplicitGrant +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def create_authorization_response(self, request, token_handler):$/;" m class:AuthorizationCodeGrantDispatcher +create_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def create_authorization_response(self, request, token_handler):$/;" m class:ImplicitTokenGrantDispatcher +create_autocast_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^def create_autocast_variable(variable):$/;" f +create_a_list adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def create_a_list(self, output_file, line, n):$/;" m class:VideoInputOpTest +create_bandmat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def create_bandmat(self):$/;" m class:TestEigBanded +create_bbox_transform_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def create_bbox_transform_inputs(roi_counts, num_classes, rotated):$/;" f +create_benchmark_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def create_benchmark_function(params):$/;" f member:ParameterizedBenchmark.__new__ file: +create_body adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def create_body(self):$/;" m class:DocGenerator +create_bound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def create_bound_method(func, obj):$/;" f +create_bound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def create_bound_method(func, obj):$/;" f +create_bound_method adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def create_bound_method(func, obj):$/;" f +create_bound_method adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def create_bound_method(func, obj):$/;" f +create_bound_method adpepsenv/lib/python3.8/site-packages/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/six.py /^ def create_bound_method(func, obj):$/;" f +create_bound_method adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ create_bound_method = types.MethodType$/;" v +create_bound_method adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def create_bound_method(func, obj):$/;" f +create_channel_option adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^def create_channel_option(compression):$/;" f +create_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def create_checkpoint(weights_and_biases,$/;" f +create_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def create_checkpoint(weights_and_biases,$/;" f +create_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def create_cluster(self,$/;" m class:TestBase +create_cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def create_cluster_spec(has_chief=False,$/;" f +create_collection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_collection(self, orig_handle, sizes, offsets, transOffset):$/;" m class:HandlerCircleCollection +create_collection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_collection(self, orig_handle, sizes, offsets, transOffset):$/;" m class:HandlerPathCollection +create_collection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def create_collection(self, orig_handle, sizes, offsets, transOffset):$/;" m class:HandlerRegularPolyCollection +create_colocated_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def create_colocated_variable(next_creator, **kwargs):$/;" f member:StrategyExtendedV2.colocate_vars_with file: +create_colocated_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/numpy_dataset.py /^ def create_colocated_variable(next_creator, **kwargs):$/;" f function:one_host_numpy_dataset file: +create_command adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/__init__.py /^def create_command(name, **kwargs):$/;" f +create_common_world adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def create_common_world(self, comm_rank, comm_size, tmpdir=None, existing_cw=None):$/;" m class:TestCase +create_connection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^def create_connection($/;" f +create_connection adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^def create_connection($/;" f +create_cookie adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def create_cookie(name, value, **kwargs):$/;" f +create_cookie adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def create_cookie(name, value, **kwargs):$/;" f +create_cpu_hostcall adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def create_cpu_hostcall(host_calls):$/;" m class:_OutfeedHostCall +create_datagroup_example adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def create_datagroup_example():$/;" f +create_datalist_example adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def create_datalist_example():$/;" f +create_dataset adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def create_dataset(self, name, shape=None, dtype=None, data=None, **kwds):$/;" m class:Group +create_dataset_like adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def create_dataset_like(self, name, other, **kwupdate):$/;" m class:Group +create_db adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/lmdb_create_example.py /^def create_db(output_file):$/;" f +create_db_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def create_db_writer(db_uri,$/;" f +create_default_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ create_default_dict = lambda: {"count": 0, "last_doc_id": -1}$/;" f member:_CategoryEncodingCombiner._create_accumulator file: +create_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def create_device(interface=None):$/;" f +create_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ create_dict = lambda x: {"count": x, "last_doc_id": -1}$/;" f member:_CategoryEncodingCombiner.deserialize file: +create_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def create_dir(dirname):$/;" f +create_dir_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def create_dir_v2(path):$/;" f +create_dummy_axis adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def create_dummy_axis(self, **kwargs):$/;" m class:TickHelper +create_dummy_table_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_gradient.py /^def create_dummy_table_variables(tpu_embedding):$/;" f +create_dummy_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def create_dummy_tensor(spec):$/;" f function:_dummy_tensor_fn file: +create_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def create_edges(self):$/;" m class:_Convertible +create_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def create_edges(self):$/;" m class:_Function +create_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def create_edges(self):$/;" m class:_FunctionCaller +create_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def create_edges(self):$/;" m class:_GraphDef +create_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def create_edges(self):$/;" m class:_Node +create_enqueue_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def create_enqueue_op(self, step=None):$/;" m class:_OutfeedHostCall +create_environ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^def create_environ(*args, **kwargs):$/;" f +create_env_error_message adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^def create_env_error_message(error, show_traceback, using_user_site):$/;" f +create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def create_estimator_spec(self,$/;" m class:_Head +create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def create_estimator_spec(self, features, mode, labels=None):$/;" m class:TimeSeriesRegressionHead +create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def create_estimator_spec(self,$/;" m class:Head +create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def create_estimator_spec(self,$/;" m class:MultiHead +create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def create_estimator_spec(self,$/;" m class:SequentialHeadWrapper +create_estimator_spec_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def create_estimator_spec_summary(regularized_training_loss,$/;" f +create_estimator_spec_train_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def create_estimator_spec_train_op($/;" f +create_eval_metrics_tuple adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def create_eval_metrics_tuple(fn, kwargs):$/;" f +create_event adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^def create_event(wall_time, step, string_ndarray):$/;" f +create_excalibur_fem_stripe_datafile adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def create_excalibur_fem_stripe_datafile(self, fname, nframes, excalibur_data,scale):$/;" m class:TestExcaliburHighLevel +create_excalibur_fem_stripe_datafile adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def create_excalibur_fem_stripe_datafile(self, fname, nframes, excalibur_data,scale):$/;" m class:TestExcaliburLowLevel +create_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def create_exception(self, source_error):$/;" m class:_ErrorMetadata +create_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def create_exception(self, source_error):$/;" m class:ErrorMetadataBase +create_exe adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def create_exe(self, arcname, fullname, bitmap=None):$/;" m class:bdist_wininst +create_experiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def create_experiment(self):$/;" m class:TensorBoardUploader +create_failing_net adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def create_failing_net(throw_exception):$/;" f member:ExecutorFailingOpTest.test_failing_op file: +create_fetcher adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def create_fetcher(kind, dataset, auto_collation, collate_fn, drop_last):$/;" m class:_DatasetKind +create_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def create_figure():$/;" f +create_file adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def create_file(self, file_path=None, content=None, mode='w', encoding='utf8',$/;" m class:_TempDir +create_file_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def create_file_writer(logdir,$/;" f +create_file_writer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/summary/__init__.py /^from tensorflow.python.ops.summary_ops_v2 import create_file_writer_v2 as create_file_writer$/;" x +create_file_writer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/summary/__init__.py /^from tensorflow.python.ops.summary_ops_v2 import create_file_writer_v2 as create_file_writer$/;" x +create_file_writer_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def create_file_writer_v2(logdir,$/;" f +create_forder adpeps/tensor/ncon.py /^def create_forder(v):$/;" f +create_from_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def create_from_proto(cls, plan_proto):$/;" m class:Plan +create_from_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def create_from_proto(cls, step_proto, net_obj_dict, net_proto_dict):$/;" m class:ExecutionStep +create_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def create_global_step(graph=None):$/;" f +create_gnu_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def create_gnu_header(self, info, encoding, errors):$/;" m class:TarInfo +create_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def create_grad(lossp):$/;" f function:_AddGradientOperators file: +create_graph_debug_info_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def create_graph_debug_info_def(func_named_operations):$/;" f +create_group adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def create_group(self, name, track_order=None):$/;" m class:Group +create_group adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def create_group(cls, group, axis='Z'):$/;" f +create_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def create_hatch(self, hatch):$/;" m class:RendererPS +create_hierarchy adpepsenv/lib/python3.8/site-packages/caffe2/python/hsm_util.py /^def create_hierarchy(tree_proto):$/;" f +create_home_path adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def create_home_path(self):$/;" m class:easy_install +create_home_path adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def create_home_path(self):$/;" m class:install +create_identity_with_grad_check_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^def create_identity_with_grad_check_fn(expected_gradient, expected_dtype=None):$/;" f +create_identity_with_nan_gradients_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^def create_identity_with_nan_gradients_fn(have_nan_gradients):$/;" f +create_index adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ create_index = PackageIndex$/;" v class:easy_install +create_inference_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def create_inference_graph($/;" f +create_init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def create_init_net(self, name):$/;" m class:LayerModelHelper +create_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def create_input(dims):$/;" f function:gru_input file: +create_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def create_input(dims):$/;" f function:gru_unit_op_input file: +create_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def create_input(dims):$/;" f function:lstm_input file: +create_input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def create_input(call_args, requires_grad=True, non_contiguous=False, call_kwargs=None, dtype=to/;" f +create_int8_bias_tensor_fill adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def create_int8_bias_tensor_fill(tensor, out_blob_name, x_q_param, w_q_param):$/;" f +create_int8_given_tensor_fill adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def create_int8_given_tensor_fill(tensor, out_blob_name, preserve_sparsity=False):$/;" f +create_internal adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def create_internal(nested_name, field):$/;" f member:Struct._struct_from_nested_name file: +create_introspect_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^ def create_introspect_response(self, uri, http_method='POST', body=None,$/;" m class:IntrospectEndpoint +create_in_process_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def create_in_process_cluster(num_workers,$/;" f +create_item adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def create_item(self, parent, block):$/;" m class:ListIndentProcessor +create_item adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ def create_item(self, parent, block):$/;" m class:DefListIndentProcessor +create_keras_history adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def create_keras_history(tensors):$/;" f +create_lars_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def create_lars_inputs(self, param_init_net, weight_decay, trust, lr_max):$/;" m class:Optimizer +create_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def create_layer(layer_name, *args, **kwargs):$/;" f +create_local_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def create_local_cluster(num_workers,$/;" f +create_local_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def create_local_server(config=None, start=True):$/;" m class:Server +create_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def create_loss(self, features, mode, logits, labels):$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +create_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def create_loss(self, features, mode, logits, labels):$/;" m class:_Head +create_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def create_loss(self, features, mode, logits, labels):$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +create_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def create_loss(self, features, mode, logits, labels):$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +create_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def create_loss(self, features, mode, logits=None, labels=None):$/;" m class:TimeSeriesRegressionHead +create_main_parser adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/main_parser.py /^def create_main_parser():$/;" f +create_mean_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def create_mean_metric(value, name=None):$/;" f +create_metadata_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def create_metadata_response(self, uri, http_method='GET', body=None,$/;" m class:MetadataEndpoint +create_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def create_meta_graph_def(meta_info_def=None,$/;" f +create_methods_and_properties_from_stubs adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def create_methods_and_properties_from_stubs(concrete_type, method_stubs, property_stubs):$/;" f +create_mirrored_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def create_mirrored_variable(strategy, real_mirrored_creator, class_mapping,$/;" f +create_model adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def create_model(args, queue, embedding_table, embedding_size):$/;" f +create_model adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^def create_model(args, queue, label_queue, input_shape):$/;" f +create_multimethod adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def create_multimethod(*args, **kwargs):$/;" f +create_multi_process_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def create_multi_process_cluster(num_workers,$/;" f +create_net adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def create_net():$/;" f member:TestAutoNaming.test_next_blob file: +create_net adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def create_net(net):$/;" f member:Seq2SeqModelCaffe2._init_model file: +create_new_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def create_new_tf_function(func_graph):$/;" f +create_new_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^ def create_new_variable(next_creator, **kwargs):$/;" f function:make_fn file: +create_node adpepsenv/lib/python3.8/site-packages/gast/gast.py /^ def create_node(self, *args, **kwargs):$/;" f function:_make_node file: +create_node adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def create_node(self, op: str, target: Target,$/;" m class:Graph +create_node adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def create_node(self, kind : str, target : Union[str, Callable],$/;" m class:TracerBase +create_node_with_nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/hsm_util.py /^def create_node_with_nodes(nodes, name='node'):$/;" f +create_node_with_words adpepsenv/lib/python3.8/site-packages/caffe2/python/hsm_util.py /^def create_node_with_words(words, name='node'):$/;" f +create_noop_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def create_noop_writer():$/;" f +create_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def create_op($/;" m class:Graph +create_option adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^def create_option(name, ty, docstring, default_factory=lambda: None):$/;" f +create_order adpeps/tensor/ncon.py /^def create_order(v):$/;" f +create_package_set_from_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^def create_package_set_from_installed(**kwargs):$/;" f +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def create_param($/;" m class:ModelLayer +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def create_param(self, param_name, shape, initializer, optimizer=None,$/;" m class:LayerModelHelper +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def create_param(self, param_name, init_net, shape):$/;" m class:ExternalInitializer +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def create_param(self, param_name, init_net, shape):$/;" m class:Initializer +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def create_param(self, param_name, init_net, shape):$/;" m class:PseudoFP16Initializer +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def create_param(self, param_name, init_net, shape):$/;" m class:ReversePseudoFP16Initializer +create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def create_param(self, param_name, shape, initializer, tags=None):$/;" m class:ModelHelper +create_parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def create_parser():$/;" f +create_partitioned_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^def create_partitioned_variables($/;" f +create_path adpepsenv/lib/python3.8/site-packages/caffe2/python/hsm_util.py /^ def create_path(path, word):$/;" f function:create_hierarchy file: +create_path_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def create_path_file(self):$/;" m class:install +create_pax_global_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def create_pax_global_header(cls, pax_headers):$/;" m class:TarInfo +create_pax_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def create_pax_header(self, info, encoding):$/;" m class:TarInfo +create_per_replica_ready_for_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def create_per_replica_ready_for_local_init_op(scaffold):$/;" f +create_per_worker_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def create_per_worker_dataset(self, dataset_fn):$/;" m class:ClusterCoordinator +create_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def create_placeholder(spec):$/;" f +create_port_scanning_werkzeug_server adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^create_port_scanning_werkzeug_server = with_port_scanning(WerkzeugServer)$/;" v +create_predict_init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def create_predict_init_net(ws, predictor_export_meta):$/;" f +create_predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def create_predict_net(predictor_export_meta):$/;" f +create_profiler_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^def create_profiler_ui(graph,$/;" f +create_proxy_ssl_context adpepsenv/lib/python3.8/site-packages/urllib3/util/proxy.py /^def create_proxy_ssl_context($/;" f +create_pseudo_input_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def create_pseudo_input_names(inputs):$/;" f +create_pseudo_output_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def create_pseudo_output_names(outputs):$/;" f +create_quadratic_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def create_quadratic_function(n, m, rng):$/;" f +create_quantile_stream_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_create_quantile_stream_res/;" x +create_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def create_queue(queue_name, num_blobs, capacity):$/;" f member:BatchFeeder._create_caffe2_queues file: +create_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^def create_queue():$/;" f +create_report adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def create_report(self, tt_config, tt_parameters,$/;" m class:TTReportHandle +create_report_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def create_report_proto(self, tt_config, tt_parameters, tensor_trace_order,$/;" m class:TTReportHandle +create_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/request_token.py /^ def create_request_token(self, request, credentials):$/;" m class:RequestTokenEndpoint +create_request_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/request_token.py /^ def create_request_token_response(self, uri, http_method='GET', body=None,$/;" m class:RequestTokenEndpoint +create_resnet50 adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^def create_resnet50($/;" f +create_resnet50_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/caffe2_resnet50_default_forward.py /^ def create_resnet50_model_ops(model, loss_scale):$/;" f function:gen_forward_pass_builder_fun file: +create_resnet50_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^ def create_resnet50_model_ops(model, loss_scale):$/;" f function:Create file: +create_resnet50_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^ def create_resnet50_model_ops(model, loss_scale):$/;" f function:build_resnet50_dataparallel_model file: +create_resnet_32x32 adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^def create_resnet_32x32($/;" f +create_resnext adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^def create_resnext($/;" f +create_resnext_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def create_resnext_model_ops(model, loss_scale):$/;" f function:Train file: +create_resnext_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def create_resnext_model_ops(model, loss_scale):$/;" f function:Train file: +create_revocation_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^ def create_revocation_response(self, uri, http_method='POST', body=None,$/;" m class:RevocationEndpoint +create_rng_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def create_rng_state(seed, alg):$/;" f +create_saveable_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def create_saveable_object(factory, name, call_with_mapped_captures):$/;" f +create_scale adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def create_scale(self, dset, name=''):$/;" m class:DimensionManager +create_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def create_scope(self, node):$/;" m class:Scope +create_scripted_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^def create_scripted_module(first_arg, first_kwarg=-1):$/;" f +create_script_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def create_script_fn(self, method_name, func_type, output_process_fn):$/;" f +create_script_module adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def create_script_module(nn_module, stubs_fn, share_types=True):$/;" f +create_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def create_script_module(self, nn_module, constructor_args, *args, **kwargs):$/;" f +create_script_module_impl adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def create_script_module_impl(nn_module, concrete_type, stubs_fn):$/;" f +create_server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def create_server(thread_pool, generic_rpc_handlers, interceptors, options,$/;" f +create_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def create_server_info(frontend_origin, api_endpoint, upload_plugins):$/;" f +create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def create_session(self):$/;" m class:_MonitoredSession._CoordinatedSessionCreator +create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def create_session(self):$/;" m class:ChiefSessionCreator +create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def create_session(self):$/;" m class:SessionCreator +create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def create_session(self):$/;" m class:WorkerSessionCreator +create_shufflenet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^def create_shufflenet($/;" f +create_shufflenet_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def create_shufflenet_model_ops(model, loss_scale):$/;" f function:Train file: +create_shufflenet_model_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def create_shufflenet_model_ops(model, loss_scale):$/;" f function:Train file: +create_signature_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def create_signature_map(signatures):$/;" f +create_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/slot_creator.py /^def create_slot(primary, val, name, colocate_with_primary=True):$/;" f +create_slot_with_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/slot_creator.py /^def create_slot_with_initializer(primary, initializer, shape, dtype, name,$/;" f +create_source_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^def create_source_map(nodes, code, filepath):$/;" f +create_spline adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def create_spline(y, yp, x, h):$/;" f +create_sprite_image adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def create_sprite_image(examples):$/;" f +create_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def create_state(self, state_manager):$/;" m class:EmbeddingColumn +create_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def create_state(self, state_manager):$/;" m class:FeatureColumn +create_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def create_state(self, state_manager):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +create_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def create_state(self, state_manager):$/;" m class:_TPUEmbeddingColumnV2 +create_states adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def create_states(self, model):$/;" m class:LSTMInitializer +create_states adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def create_states(self, model):$/;" m class:MultiRNNCellInitializer +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def create_static_lib (self,$/;" m class:BCPPCompiler +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def create_static_lib(self, objects, output_libname, output_dir=None,$/;" m class:CCompiler +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def create_static_lib(self,$/;" m class:MSVCCompiler +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def create_static_lib(self,$/;" m class:MSVCCompiler +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def create_static_lib(self, objects, output_libname,$/;" m class:UnixCCompiler +create_static_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def create_static_lib(self,$/;" m class:MSVCCompiler +create_store_handler adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ def create_store_handler(self):$/;" m class:TestFileStoreHandlerOp +create_store_handler adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^ def create_store_handler(self):$/;" m class:TestRedisStoreHandlerOp +create_summary_db_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def create_summary_db_writer(writer, db_uri, experiment_name, run_name, user_name, name=None):$/;" f +create_summary_db_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def create_summary_db_writer_eager_fallback(writer, db_uri, experiment_name, run_name, user_name/;" f +create_summary_file_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def create_summary_file_writer(writer, logdir, max_queue, flush_millis, filename_suffix, name=No/;" f +create_summary_file_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def create_summary_file_writer(*args, **kwargs):$/;" f +create_summary_file_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def create_summary_file_writer_eager_fallback(writer, logdir, max_queue, flush_millis, filename_/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^def create_summary_metadata(display_name, description, encoding):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/metadata.py /^def create_summary_metadata():$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/metadata.py /^def create_summary_metadata(display_name, description):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^def create_summary_metadata(hparams_plugin_data_pb):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/metadata.py /^def create_summary_metadata(display_name, description):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^def create_summary_metadata($/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^def create_summary_metadata(description):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^def create_summary_metadata(display_name, description, num_thresholds):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/metadata.py /^def create_summary_metadata(display_name, description):$/;" f +create_summary_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/metadata.py /^def create_summary_metadata(display_name, description):$/;" f +create_ta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def create_ta(elem):$/;" f function:foldl file: +create_ta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def create_ta(elem):$/;" f function:foldr file: +create_tempdir adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def create_tempdir(self, name=None, cleanup=None):$/;" m class:TestCase +create_tempfile adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def create_tempfile(self, file_path=None, content=None, mode='w',$/;" m class:TestCase +create_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def create_tensor():$/;" f +create_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def create_tensor_spec(t):$/;" f member:Model._build_model_with_inputs file: +create_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def create_test(output_dir, width, height, default_bound, minsize, crop, means,$/;" f +create_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def create_threads(self, sess, coord=None, daemon=False, start=False):$/;" m class:QueueRunner +create_threads adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^ def create_threads(self, sess, coord=None, daemon=False, start=False):$/;" m class:_FeedingQueueRunner +create_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def create_time(self):$/;" m class:_TensorTracker +create_token adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def create_token(_=None):$/;" f +create_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def create_token(self, request, refresh_token=False, **kwargs):$/;" m class:BearerToken +create_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^ def create_token(self, request, refresh_token=False):$/;" m class:JWTToken +create_token_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^create_token_p = Primitive("create_token")$/;" v +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def create_token_response(self, uri, http_method='POST', body=None,$/;" m class:TokenEndpoint +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def create_token_response(self, request, token_handler):$/;" m class:AuthorizationCodeGrant +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def create_token_response(self, request, token_handler):$/;" m class:GrantTypeBase +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/client_credentials.py /^ def create_token_response(self, request, token_handler):$/;" m class:ClientCredentialsGrant +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ def create_token_response(self, request, token_handler):$/;" m class:ImplicitGrant +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/refresh_token.py /^ def create_token_response(self, request, token_handler):$/;" m class:RefreshTokenGrant +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/resource_owner_password_credentials.py /^ def create_token_response(self, request, token_handler):$/;" m class:ResourceOwnerPasswordCredentialsGrant +create_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def create_token_response(self, request, token_handler):$/;" m class:AuthorizationTokenGrantDispatcher +create_torchscript_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def create_torchscript_tensor():$/;" f +create_tpu_hostcall adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def create_tpu_hostcall(self):$/;" m class:_OutfeedHostCall +create_traced_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def create_traced_fn(self, fn):$/;" f +create_training_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def create_training_target(self, target, run_eagerly=False):$/;" m class:_TrainingEndpoint +create_tree adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def create_tree(base_dir, files, mode=0o777, verbose=1, dry_run=0):$/;" f +create_trimat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def create_trimat(self):$/;" m class:TestEigTridiagonal +create_unbound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def create_unbound_method(func, cls):$/;" f +create_unbound_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def create_unbound_method(func, cls):$/;" f +create_unbound_method adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def create_unbound_method(func, cls):$/;" f +create_unbound_method adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def create_unbound_method(func, cls):$/;" f +create_unbound_method adpepsenv/lib/python3.8/site-packages/six.py /^ def create_unbound_method(func, cls):$/;" f +create_unbound_method adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def create_unbound_method(func, cls):$/;" f +create_urllib3_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def create_urllib3_context($/;" f +create_urllib3_context adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def create_urllib3_context($/;" f +create_userinfo_response adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/userinfo.py /^ def create_userinfo_response(self, uri, http_method='GET', body=None, headers=None):$/;" m class:UserInfoEndpoint +create_ustar_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def create_ustar_header(self, info, encoding, errors):$/;" m class:TarInfo +create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def create_variable(self,$/;" m class:StateManager +create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def create_variable(self,$/;" m class:_StateManagerImpl +create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def create_variable(self,$/;" m class:_StateManagerImplV2 +create_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def create_variables(table):$/;" f member:TPUEmbedding._create_variables_and_slots file: +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, embedding_variable_name_by_table=None,$/;" m class:TPUEmbedding +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_AdagradHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_AdamHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_FtrlHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_MomentumHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_OptimizerHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_ProximalAdagradHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_ProximalYogiHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_RMSPropHandler +create_variables_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def create_variables_and_ops(self, table, slot_variable_names, num_hosts,$/;" m class:_StochasticGradientDescentHandler +create_variable_like_keras_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def create_variable_like_keras_layer(name, shape, dtype):$/;" f +create_vector adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def create_vector(self, n, cpx):$/;" m class:TestConvolutionMatrix +create_vendor_txt_map adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def create_vendor_txt_map():$/;" f +create_verifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/authorization.py /^ def create_verifier(self, request, credentials):$/;" m class:AuthorizationEndpoint +create_video_db adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def create_video_db(self, list_file, output_file, use_list=False):$/;" m class:VideoInputOpTest +create_virtual_dataset adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def create_virtual_dataset(self, name, layout, fillvalue=None):$/;" m class:Group +create_worker adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^def create_worker(queue, get_blob_data):$/;" f +create_xml_dom_element adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def create_xml_dom_element(doc, name, value):$/;" f +create_zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def create_zeros(unnested_state_size):$/;" f function:_generate_zero_filled_state file: +create_zeros_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/slot_creator.py /^def create_zeros_slot(primary, name, dtype=None, colocate_with_primary=True):$/;" f +create_zipfile adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def create_zipfile(self, filename):$/;" m class:upload_docs +creation_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def creation_time(self):$/;" m class:ExperimentMetadata +CREATIVE_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CREATIVE_ADPCM = 0x0200$/;" v class:WAVE_FORMAT +CREATIVE_FASTSPEECH10 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CREATIVE_FASTSPEECH10 = 0x0203$/;" v class:WAVE_FORMAT +CREATIVE_FASTSPEECH8 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CREATIVE_FASTSPEECH8 = 0x0202$/;" v class:WAVE_FORMAT +creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def creator(next_creator, **kwargs):$/;" f member:_DefaultDistributionContext.__init__ file: +creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^ def creator(*args, **kwargs):$/;" f function:_version_chooser file: +creator_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def creator_fn(filename):$/;" f member:FixedLengthRecordDatasetV2.__init__ file: +creator_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def creator_fn(filename):$/;" f member:TextLineDatasetV2.__init__ file: +creator_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def creator_fn(filename):$/;" f member:TFRecordDatasetV2.__init__ file: +creator_with_resource_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def creator_with_resource_vars(next_creator, **kwargs):$/;" f member:StrategyExtendedV2._scope file: +Credential adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^class Credential:$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^class Credentials($/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^class Credentials(external_account.Credentials):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^class Credentials(credentials.Scoped, credentials.CredentialsWithQuotaProject):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class Credentials(object):$/;" c +CREDENTIALS adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^CREDENTIALS = "GOOGLE_APPLICATION_CREDENTIALS"$/;" v +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^class Credentials(credentials.Scoped, credentials.CredentialsWithQuotaProject):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^class Credentials(external_account.Credentials):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^class Credentials(credentials.CredentialsWithQuotaProject, credentials.Signing):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^class Credentials($/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class Credentials(credentials.Credentials):$/;" c +credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^ from google.oauth2 import _credentials_async as credentials$/;" x function:load_credentials_from_file file: +Credentials adpepsenv/lib/python3.8/site-packages/google/auth/_jwt_async.py /^class Credentials($/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^class Credentials(credentials.ReadOnlyScoped, credentials.CredentialsWithQuotaProject):$/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^class Credentials($/;" c +Credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^class Credentials(oauth2_credentials.Credentials):$/;" c +credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^from google.auth import _credentials_async as credentials$/;" x +Credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_service_account_async.py /^class Credentials($/;" c +credentials adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def credentials(self):$/;" m class:Flow +Credentials adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ Credentials = Tuple[str, str, str]$/;" v +CredentialsStore adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^class CredentialsStore(object):$/;" c +CredentialsWithQuotaProject adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class CredentialsWithQuotaProject(Credentials):$/;" c +CredentialsWithQuotaProject adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class CredentialsWithQuotaProject(credentials.CredentialsWithQuotaProject):$/;" c +credentials_async adpepsenv/lib/python3.8/site-packages/google/oauth2/_service_account_async.py /^from google.auth import _credentials_async as credentials_async$/;" x +credentials_from_session adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/helpers.py /^def credentials_from_session(session, client_config=None):$/;" f +creds_by_repository adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def creds_by_repository(self):$/;" m class:PyPIConfig +crelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def crelu(features, name=None, axis=-1):$/;" f +crelu adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import crelu_v2 as crelu$/;" x +crelu adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import crelu_v2 as crelu$/;" x +crelu_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def crelu_v2(features, axis=-1, name=None):$/;" f +CRFWithLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^class CRFWithLoss(object):$/;" c +crf_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def crf_loss(self, predictions, labels, seq_lengths=None):$/;" m class:CRFWithLoss +crf_update_predictions adpepsenv/lib/python3.8/site-packages/caffe2/python/crf_predict.py /^def crf_update_predictions(model, crf_with_loss, classes):$/;" f +crf_update_predictions_op adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def crf_update_predictions_op(inputs, outputs):$/;" f member:CRFWithLoss.update_predictions file: +Criterion adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class Criterion(object):$/;" c +CriterionTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class CriterionTest(InputVariableMixin, TestBase):$/;" c +criterion_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^criterion_tests = [$/;" v +critical adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def critical(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +CriticalSection adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^class CriticalSection(object):$/;" c +CRITICAL_SECTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^CRITICAL_SECTIONS = "critical_sections"$/;" v +CRITICAL_SECTION_EXECUTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^CRITICAL_SECTION_EXECUTIONS = "critical_section_executions"$/;" v +CRLAnnContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class CRLAnnContent(univ.SequenceOf):$/;" c +CRLBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class CRLBag(univ.Sequence):$/;" c +CRLDistPointsSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CRLDistPointsSyntax(univ.SequenceOf):$/;" c +CRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CRLDistributionPoints(univ.SequenceOf):$/;" c +CRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CRLDistributionPoints(univ.SequenceOf):$/;" c +CRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^CRLDistributionPoints = rfc5280.CRLDistributionPoints$/;" v +CRLEntry adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class CRLEntry(univ.Sequence):$/;" c +CrlID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class CrlID(univ.Sequence):$/;" c +CRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CRLNumber(univ.Integer):$/;" c +CRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CRLNumber(univ.Integer):$/;" c +CRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CRLNumber(univ.Integer):$/;" c +CRLReason adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class CRLReason(univ.Enumerated):$/;" c +CRLReason adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class CRLReason(univ.Enumerated):$/;" c +CRLReason adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class CRLReason(univ.Enumerated):$/;" c +CRLReason adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class CRLReason(univ.Enumerated):$/;" c +CRLReason adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^CRLReason = rfc5280.CRLReason$/;" v +crlTypes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^crlTypes = _OID(pkcs_9, 23)$/;" v +crlTypes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^crlTypes = _OID(pkcs_9, 23)$/;" v +crop adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def crop(self, box=None):$/;" m class:Image +crop adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def crop(image, border=0):$/;" f +CropAndResize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^CropAndResize = tf_export("raw_ops.CropAndResize")(_ops.to_raw_op(crop_and_resize))$/;" v +CropAndResizeGradBoxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^CropAndResizeGradBoxes = tf_export("raw_ops.CropAndResizeGradBoxes")(_ops.to_raw_op(crop_and_res/;" v +CropAndResizeGradImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^CropAndResizeGradImage = tf_export("raw_ops.CropAndResizeGradImage")(_ops.to_raw_op(crop_and_res/;" v +CropAndResizeOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class CropAndResizeOpTestBase(test.TestCase):$/;" c +Cropping1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Cropping1D(Layer):$/;" c +Cropping2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Cropping2D(Layer):$/;" c +Cropping3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class Cropping3D(Layer):$/;" c +crop_and_resize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize(image, boxes, box_ind, crop_size, method="bilinear", extrapolation_value=0, /;" f +crop_and_resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import crop_and_resize_v1 as crop_and_resize$/;" x +crop_and_resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import crop_and_resize_v2 as crop_and_resize$/;" x +crop_and_resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import crop_and_resize_v2 as crop_and_resize$/;" x +crop_and_resize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize_eager_fallback(image, boxes, box_ind, crop_size, method, extrapolation_value/;" f +crop_and_resize_grad_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize_grad_boxes(grads, image, boxes, box_ind, method="bilinear", name=None):$/;" f +crop_and_resize_grad_boxes_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize_grad_boxes_eager_fallback(grads, image, boxes, box_ind, method, name, ctx):$/;" f +crop_and_resize_grad_image adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize_grad_image(grads, boxes, box_ind, image_size, T, method="bilinear", name=Non/;" f +crop_and_resize_grad_image_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def crop_and_resize_grad_image_eager_fallback(grads, boxes, box_ind, image_size, T, method, name/;" f +crop_and_resize_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def crop_and_resize_v1( # pylint: disable=missing-docstring$/;" f +crop_and_resize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def crop_and_resize_v2(image,$/;" f +crop_to_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def crop_to_bounding_box(image, offset_height, offset_width, target_height,$/;" f +crop_to_same adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def crop_to_same(actual_path, actual_image, expected_path, expected_image):$/;" f +cross adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def cross(a, b, axisa: int = -1, axisb: int = -1, axisc: int = -1,$/;" f +cross adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def cross(a, b, axisa=-1, axisb=-1, axisc=-1, axis=None):$/;" f +Cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cross = tf_export("raw_ops.Cross")(_ops.to_raw_op(cross))$/;" v +cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cross(a, b, name=None):$/;" f +cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def cross(a, b, axisa=-1, axisb=-1, axisc=-1, axis=None): # pylint: disable=missing-docstring$/;" f +cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def cross(inputs, name=None):$/;" f +cross adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross as cross$/;" x +cross adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross as cross$/;" x +cross adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross as cross$/;" x +CrossDeviceOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class CrossDeviceOps(object):$/;" c +CrossedColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class CrossedColumn($/;" c +crossed_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def crossed_column(keys, hash_bucket_size, hash_key=None):$/;" f +CrossEntropyLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class CrossEntropyLoss(_WeightedLoss):$/;" c +CrossMapLRN2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^class CrossMapLRN2d(Module):$/;" c +CrossMapLRN2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^class CrossMapLRN2d(Function):$/;" c +CrossProgramPrefetch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^CrossProgramPrefetch = _reflection.GeneratedProtocolMessageType('CrossProgramPrefetch', (_messag/;" v +CrossReplicaSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^CrossReplicaSum = tf_export("raw_ops.CrossReplicaSum")(_ops.to_raw_op(cross_replica_sum))$/;" v +CrossShardOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^class CrossShardOptimizer(optimizer.Optimizer):$/;" c +cross_device_ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^from tensorflow.python.distribute import cross_device_ops as cross_device_ops_lib$/;" x +cross_device_ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^from tensorflow.python.distribute import cross_device_ops as cross_device_ops_lib$/;" x +cross_device_ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^from tensorflow.python.distribute import cross_device_ops as cross_device_ops_lib$/;" x +cross_device_ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^from tensorflow.python.distribute import cross_device_ops as cross_device_ops_lib$/;" x +cross_dir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def cross_dir(self, forcex86=False):$/;" m class:PlatformInfo +cross_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cross_eager_fallback(a, b, name, ctx):$/;" f +cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def cross_entropy(self, other, name="cross_entropy"):$/;" m class:Distribution +cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^def cross_entropy(ref, other,$/;" f +cross_entropy adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def cross_entropy(input, target, weight=None, size_average=None, ignore_index=-100,$/;" f +cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def cross_hashed(inputs, num_buckets=0, hash_key=None, name=None):$/;" f +cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross_hashed as cross_hashed$/;" x +cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross_hashed as cross_hashed$/;" x +cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_cross_hashed as cross_hashed$/;" x +cross_layer_equalization adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def cross_layer_equalization(module1, module2, output_axis=0, input_axis=1):$/;" f +cross_replica_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def cross_replica_sum(input, group_assignment, name=None):$/;" f +cross_replica_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def cross_replica_sum(x, group_assignment=None, name=None):$/;" f +cross_replica_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def cross_replica_sum_eager_fallback(input, group_assignment, name, ctx):$/;" f +cross_suppression_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def cross_suppression_func(boxes, box_slice, iou_threshold, inner_idx):$/;" f function:_suppression_loop_body file: +crot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void crot(int *n, c *cx, int *incx, c *cy, int *incy, s *c, c *s) nogil$/;" f +crotg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void crotg(c *ca, c *cb, s *c, c *s) nogil$/;" f +crt adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def crt(a_values: typing.Iterable[int], modulo_values: typing.Iterable[int]) -> int:$/;" f +CryptoError adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^class CryptoError(Exception):$/;" c +cryptography adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^ cryptography = None$/;" v +cryptography adpepsenv/lib/python3.8/site-packages/requests/help.py /^ cryptography = None$/;" v +cryptography_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__init__.py /^ from cryptography import __version__ as cryptography_version$/;" x +cryptography_version adpepsenv/lib/python3.8/site-packages/requests/__init__.py /^ from cryptography import __version__ as cryptography_version$/;" x +CryptoOperation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^class CryptoOperation(metaclass=abc.ABCMeta):$/;" c +crystalball adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^crystalball = crystalball_gen(name='crystalball', longname="A Crystalball Function")$/;" v +crystalball_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class crystalball_gen(rv_continuous):$/;" c +Cs adpeps/utils/ctmtensors.py /^ Cs: List[TList] = field(default_factory=list)$/;" v class:CTMTensors +CS2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CS2 = 0x0260$/;" v class:WAVE_FORMAT +CSafeDumper adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CSafeDumper(CEmitter, SafeRepresenter, Resolver):$/;" c +CSafeLoader adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CSafeLoader(CParser, SafeConstructor, Resolver):$/;" c +CSAIterator adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class CSAIterator:$/;" c +cscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cscal(int *n, c *ca, c *cx, int *incx) nogil$/;" f +csc_construct_func adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^ csc_construct_func = lambda *a, cls=type(A): cls(csc_matrix(*a))$/;" f function:spilu file: +csc_construct_func adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^ csc_construct_func = lambda *a, cls=type(A): cls(csc_matrix(*a))$/;" f function:splu file: +csc_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^class csc_matrix(_cs_matrix):$/;" c +CSC_ROUTINES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^CSC_ROUTINES = """$/;" v +csd adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def csd(self, x, y, NFFT=None, Fs=None, Fc=None, detrend=None,$/;" m class:Axes +csd adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def csd(x, y, NFFT=None, Fs=None, detrend=None, window=None,$/;" f +csd adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def csd($/;" f +csd adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def csd(x, y, fs=1.0, window='hann', nperseg=None, noverlap=None, nfft=None,$/;" f +CSI adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^CSI = '\\033['$/;" v +cspcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cspcon(char *uplo, int *n, c *ap, int *ipiv, s *anorm, s *rcond, c *work, int *info) n/;" f +cspline1d adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def cspline1d(signal, lamb=0.0):$/;" f +cspline1d_eval adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def cspline1d_eval(cj, newx, dx=1.0, x0=0):$/;" f +cspline_antideriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def cspline_antideriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +cspline_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def cspline_deriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +cspmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cspmv(char *uplo, int *n, c *alpha, c *ap, c *x, int *incx, c *beta, c *y, int *incy) /;" f +cspr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cspr(char *uplo, int *n, c *alpha, c *x, int *incx, c *ap) nogil$/;" f +csprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csprfs(char *uplo, int *n, int *nrhs, c *ap, c *afp, int *ipiv, c *b, int *ldb, c *x, /;" f +cspsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cspsv(char *uplo, int *n, int *nrhs, c *ap, int *ipiv, c *b, int *ldb, int *info) nogi/;" f +cspsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cspsvx(char *fact, char *uplo, int *n, int *nrhs, c *ap, c *afp, int *ipiv, c *b, int /;" f +csptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csptrf(char *uplo, int *n, c *ap, int *ipiv, int *info) nogil$/;" f +csptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csptri(char *uplo, int *n, c *ap, int *ipiv, c *work, int *info) nogil$/;" f +csptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csptrs(char *uplo, int *n, int *nrhs, c *ap, int *ipiv, c *b, int *ldb, int *info) nog/;" f +csp_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def csp_property(key):$/;" f +CsrAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^class CsrAttrs(univ.SequenceOf):$/;" c +csrot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void csrot(int *n, c *cx, int *incx, c *cy, int *incy, s *c, s *s) nogil$/;" f +csrscl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csrscl(int *n, s *sa, c *sx, int *incx) nogil$/;" f +CSRSparseMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^class CSRSparseMatrix(SparseMatrix):$/;" c +CSRSparseMatrixComponents adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^CSRSparseMatrixComponents = tf_export("raw_ops.CSRSparseMatrixComponents")(_ops.to_raw_op(csr_sp/;" v +CSRSparseMatrixToDense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^CSRSparseMatrixToDense = tf_export("raw_ops.CSRSparseMatrixToDense")(_ops.to_raw_op(csr_sparse_m/;" v +CSRSparseMatrixToSparseTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^CSRSparseMatrixToSparseTensor = tf_export("raw_ops.CSRSparseMatrixToSparseTensor")(_ops.to_raw_o/;" v +csr_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^class csr_matrix(_cs_matrix):$/;" c +CSR_ROUTINES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^CSR_ROUTINES = """$/;" v +csr_sparse_matrix_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_components(csr_sparse_matrix, index, type, name=None):$/;" f +csr_sparse_matrix_components_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_components_eager_fallback(csr_sparse_matrix, index, type, name, ctx):$/;" f +csr_sparse_matrix_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_to_dense(sparse_input, type, name=None):$/;" f +csr_sparse_matrix_to_dense_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_to_dense_eager_fallback(sparse_input, type, name, ctx):$/;" f +csr_sparse_matrix_to_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_to_sparse_tensor(sparse_matrix, type, name=None):$/;" f +csr_sparse_matrix_to_sparse_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def csr_sparse_matrix_to_sparse_tensor_eager_fallback(sparse_matrix, type, name, ctx):$/;" f +CSS4_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^CSS4_COLORS = {$/;" v +csscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void csscal(int *n, s *sa, c *cx, int *incx) nogil$/;" f +cstedc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cstedc(char *compz, int *n, s *d, s *e, c *z, int *ldz, c *work, int *lwork, s *rwork,/;" f +cstegr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cstegr(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, s /;" f +cstein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cstein(int *n, s *d, s *e, int *m, s *w, int *iblock, int *isplit, c *z, int *ldz, s */;" f +cstemr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cstemr(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, in/;" f +csteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csteqr(char *compz, int *n, s *d, s *e, c *z, int *ldz, s *work, int *info) nogil$/;" f +cStringIO adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^cStringIO = BytesIO$/;" v +cStyleComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^cStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/').setName("C style comment")$/;" v +cStyleComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^cStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/').setName("C style comment")$/;" v +cStyleComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^cStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/').setName("C style comment")$/;" v +cStyleComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^cStyleComment = Combine(Regex(r"\/\\*(?:[^*]|\\*(?!\/))*") + '*\/').setName("C style comment")$/;" v +CSub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class CSub(C):$/;" c member:TestSpecialMethods.test_ufunc_override_mro file: +CSV adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ CSV = "csv"$/;" v class:OutputFormat +CSV adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ CSV = "csv"$/;" v class:OutputFormat +CSVBase adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class CSVBase(object):$/;" c +CsvDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ CsvDataset = CsvDatasetV2$/;" v +CSVDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^CSVDataset = tf_export("raw_ops.CSVDataset")(_ops.to_raw_op(csv_dataset))$/;" v +CsvDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import CsvDatasetV1 as CsvDataset$/;" x +CsvDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import CsvDatasetV2 as CsvDataset$/;" x +CsvDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import CsvDatasetV2 as CsvDataset$/;" x +CsvDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^class CsvDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +CsvDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^class CsvDatasetV2(dataset_ops.DatasetSource):$/;" c +CSVDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^CSVDatasetV2 = tf_export("raw_ops.CSVDatasetV2")(_ops.to_raw_op(csv_dataset_v2))$/;" v +CsvListSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class CsvListSerializer(ArgumentSerializer):$/;" c +CsvListSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^CsvListSerializer = _argument_parser.CsvListSerializer$/;" v +CSVLogger adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class CSVLogger(Callback):$/;" c +CSVReader adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class CSVReader(CSVBase):$/;" c +CSVWriter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class CSVWriter(CSVBase):$/;" c +csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def csv_dataset(filenames, compression_type, buffer_size, header, field_delim, use_quote_delim, /;" f +csv_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def csv_dataset_eager_fallback(filenames, compression_type, buffer_size, header, field_delim, us/;" f +csv_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def csv_dataset_v2(filenames, compression_type, buffer_size, header, field_delim, use_quote_deli/;" f +csv_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def csv_dataset_v2_eager_fallback(filenames, compression_type, buffer_size, header, field_delim,/;" f +csv_io_kwargs adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def csv_io_kwargs(mode):$/;" f +csv_sniffer_has_bug_last_field adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def csv_sniffer_has_bug_last_field():$/;" f +cswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void cswap(int *n, c *cx, int *incx, c *cy, int *incy) nogil$/;" f +csycon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csycon(char *uplo, int *n, c *a, int *lda, int *ipiv, s *anorm, s *rcond, c *work, int/;" f +csyconv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csyconv(char *uplo, char *way, int *n, c *a, int *lda, int *ipiv, c *work, int *info) /;" f +csyequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csyequb(char *uplo, int *n, c *a, int *lda, s *s, s *scond, s *amax, c *work, int *inf/;" f +csymm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void csymm(char *side, char *uplo, int *m, int *n, c *alpha, c *a, int *lda, c *b, int *ldb/;" f +csymv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csymv(char *uplo, int *n, c *alpha, c *a, int *lda, c *x, int *incx, c *beta, c *y, in/;" f +csyr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csyr(char *uplo, int *n, c *alpha, c *x, int *incx, c *a, int *lda) nogil$/;" f +csyr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void csyr2k(char *uplo, char *trans, int *n, int *k, c *alpha, c *a, int *lda, c *b, int *l/;" f +csyrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csyrfs(char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, int *ipiv, c */;" f +csyrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void csyrk(char *uplo, char *trans, int *n, int *k, c *alpha, c *a, int *lda, c *beta, c *c/;" f +csysv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csysv(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, c *wor/;" f +csysvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csysvx(char *fact, char *uplo, int *n, int *nrhs, c *a, int *lda, c *af, int *ldaf, in/;" f +csyswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csyswapr(char *uplo, int *n, c *a, int *lda, int *i1, int *i2) nogil$/;" f +csytf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytf2(char *uplo, int *n, c *a, int *lda, int *ipiv, int *info) nogil$/;" f +csytrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytrf(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *lwork, int *info) /;" f +csytri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytri(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *info) nogil$/;" f +csytri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytri2(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *lwork, int *info)/;" f +csytri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytri2x(char *uplo, int *n, c *a, int *lda, int *ipiv, c *work, int *nb, int *info) n/;" f +csytrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytrs(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, int */;" f +csytrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void csytrs2(char *uplo, int *n, int *nrhs, c *a, int *lda, int *ipiv, c *b, int *ldb, c *w/;" f +cs_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def cs_diff(x, a, b, period=None, _cache=_cache):$/;" f +CS_IMAADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CS_IMAADPCM = 0x0039$/;" v class:WAVE_FORMAT +CT adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ from tools.codegen.code_template import CodeTemplate as CT # type: ignore[import,no-redef]$/;" x +CT adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ from tools.codegen.code_template import CodeTemplate as CT$/;" x +ctbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctbcon(char *norm, char *uplo, char *diag, int *n, int *kd, c *ab, int *ldab, s *rcond/;" f +ctbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctbmv(char *uplo, char *trans, char *diag, int *n, int *k, c *a, int *lda, c *x, int */;" f +ctbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctbrfs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, c *ab, int *ld/;" f +ctbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctbsv(char *uplo, char *trans, char *diag, int *n, int *k, c *a, int *lda, c *x, int */;" f +ctbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctbtrs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, c *ab, int *ld/;" f +ctc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.ops import ctc_ops as ctc$/;" x +CTCBeamSearchDecoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^CTCBeamSearchDecoder = tf_export("raw_ops.CTCBeamSearchDecoder")(_ops.to_raw_op(ctc_beam_search_/;" v +CTCGreedyDecoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^CTCGreedyDecoder = tf_export("raw_ops.CTCGreedyDecoder")(_ops.to_raw_op(ctc_greedy_decoder))$/;" v +CTCLoss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^CTCLoss = tf_export("raw_ops.CTCLoss")(_ops.to_raw_op(ctc_loss))$/;" v +CTCLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class CTCLoss(_Loss):$/;" c +CTCLossV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^CTCLossV2 = tf_export("raw_ops.CTCLossV2")(_ops.to_raw_op(ctc_loss_v2))$/;" v +ctcloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def ctcloss_reference(log_probs, targets, input_lengths, target_lengths, blank=0, reduction='mea/;" f +CTCOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^class CTCOpsTest(test_util.TestCase):$/;" c +ctc_batch_cost adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ctc_batch_cost(y_true, y_pred, input_length, label_length):$/;" f +ctc_beam_search_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_beam_search_decoder(inputs,$/;" f +ctc_beam_search_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_beam_search_decoder(inputs, sequence_length, beam_width, top_paths, merge_repeated=True,/;" f +ctc_beam_search_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.ctc_ops import ctc_beam_search_decoder_v2 as ctc_beam_search_decoder$/;" x +ctc_beam_search_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.ctc_ops import ctc_beam_search_decoder_v2 as ctc_beam_search_decoder$/;" x +ctc_beam_search_decoder_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_beam_search_decoder_eager_fallback(inputs, sequence_length, beam_width, top_paths, merge/;" f +ctc_beam_search_decoder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_beam_search_decoder_v2(inputs,$/;" f +ctc_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ctc_decode(y_pred, input_length, greedy=True, beam_width=100, top_paths=1):$/;" f +ctc_greedy_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_greedy_decoder(inputs, sequence_length, merge_repeated=True):$/;" f +ctc_greedy_decoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_greedy_decoder(inputs, sequence_length, merge_repeated=False, name=None):$/;" f +ctc_greedy_decoder_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_greedy_decoder_eager_fallback(inputs, sequence_length, merge_repeated, name, ctx):$/;" f +ctc_label_dense_to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ctc_label_dense_to_sparse(labels, label_lengths):$/;" f +ctc_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_loss(labels,$/;" f +ctc_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_loss(inputs, labels_indices, labels_values, sequence_length, preprocess_collapse_repeate/;" f +ctc_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.ctc_ops import ctc_loss_v3 as ctc_loss$/;" x +ctc_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.ctc_ops import ctc_loss_v3 as ctc_loss$/;" x +ctc_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def ctc_loss(log_probs, targets, input_lengths, target_lengths, blank=0,$/;" f +ctc_loss_and_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_loss_and_grad(logits, labels, label_length, logit_length, unique=None):$/;" f +ctc_loss_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_loss_dense(labels,$/;" f +ctc_loss_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_loss_eager_fallback(inputs, labels_indices, labels_values, sequence_length, preprocess_c/;" f +ctc_loss_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_loss_v2(labels,$/;" f +ctc_loss_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_loss_v2(inputs, labels_indices, labels_values, sequence_length, preprocess_collapse_repe/;" f +ctc_loss_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^def ctc_loss_v2_eager_fallback(inputs, labels_indices, labels_values, sequence_length, preproces/;" f +ctc_loss_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_loss_v3(labels,$/;" f +ctc_state_log_probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_state_log_probs(seq_lengths, max_seq_length):$/;" f +ctc_unique_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def ctc_unique_labels(labels, name=None):$/;" f +ctfsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctfsm(char *transr, char *side, char *uplo, char *trans, char *diag, int *m, int *n, c/;" f +ctftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctftri(char *transr, char *uplo, char *diag, int *n, c *a, int *info) nogil$/;" f +ctfttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctfttp(char *transr, char *uplo, int *n, c *arf, c *ap, int *info) nogil$/;" f +ctfttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctfttr(char *transr, char *uplo, int *n, c *arf, c *a, int *lda, int *info) nogil$/;" f +ctgevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgevc(char *side, char *howmny, bint *select, int *n, c *s, int *lds, c *p, int *ldp,/;" f +ctgex2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgex2(bint *wantq, bint *wantz, int *n, c *a, int *lda, c *b, int *ldb, c *q, int *ld/;" f +ctgexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgexc(bint *wantq, bint *wantz, int *n, c *a, int *lda, c *b, int *ldb, c *q, int *ld/;" f +ctgsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgsen(int *ijob, bint *wantq, bint *wantz, bint *select, int *n, c *a, int *lda, c *b/;" f +ctgsja adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgsja(char *jobu, char *jobv, char *jobq, int *m, int *p, int *n, int *k, int *l, c */;" f +ctgsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgsna(char *job, char *howmny, bint *select, int *n, c *a, int *lda, c *b, int *ldb, /;" f +ctgsy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgsy2(char *trans, int *ijob, int *m, int *n, c *a, int *lda, c *b, int *ldb, c *c, i/;" f +ctgsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctgsyl(char *trans, int *ijob, int *m, int *n, c *a, int *lda, c *b, int *ldb, c *c, i/;" f +CTM adpeps/ipeps/ctm.py /^class CTM:$/;" c +CTMTensors adpeps/utils/ctmtensors.py /^class CTMTensors:$/;" c +ctm_conv_tol adpeps/ipeps/config.py /^ctm_conv_tol: float = 1e-10$/;" v +ctm_max_iter adpeps/ipeps/config.py /^ctm_max_iter: int = 20$/;" v +ctm_min_iter adpeps/ipeps/config.py /^ctm_min_iter: int = 5$/;" v +ctor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ ctor = lambda items: type(element)(element.default_factory, items)$/;" f function:type_spec_from_value file: +ctpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpcon(char *norm, char *uplo, char *diag, int *n, c *ap, s *rcond, c *work, s *rwork,/;" f +ctpmqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpmqrt(char *side, char *trans, int *m, int *n, int *k, int *l, int *nb, c *v, int *l/;" f +ctpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctpmv(char *uplo, char *trans, char *diag, int *n, c *ap, c *x, int *incx) nogil$/;" f +ctpqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpqrt(int *m, int *n, int *l, int *nb, c *a, int *lda, c *b, int *ldb, c *t, int *ldt/;" f +ctpqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpqrt2(int *m, int *n, int *l, c *a, int *lda, c *b, int *ldb, c *t, int *ldt, int *i/;" f +ctprfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctprfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +ctprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctprfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, c *ap, c *b, int *ldb, /;" f +ctpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctpsv(char *uplo, char *trans, char *diag, int *n, c *ap, c *x, int *incx) nogil$/;" f +ctptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctptri(char *uplo, char *diag, int *n, c *ap, int *info) nogil$/;" f +ctptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctptrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, c *ap, c *b, int *ldb, /;" f +ctpttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpttf(char *transr, char *uplo, int *n, c *ap, c *arf, int *info) nogil$/;" f +ctpttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctpttr(char *uplo, int *n, c *ap, c *a, int *lda, int *info) nogil$/;" f +ctr adpeps/tensor/contractions.py /^ctr = safe_load(f)$/;" v +ctrcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrcon(char *norm, char *uplo, char *diag, int *n, c *a, int *lda, s *rcond, c *work, /;" f +ctrevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrevc(char *side, char *howmny, bint *select, int *n, c *t, int *ldt, c *vl, int *ldv/;" f +ctrexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrexc(char *compq, int *n, c *t, int *ldt, c *q, int *ldq, int *ifst, int *ilst, int /;" f +CTRL adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^CTRL = 2 # Deprecated.$/;" v +CTRL_LABEL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^CTRL_LABEL = "(Ctrl) "$/;" v +ctrmm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctrmm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, c *alpha, c *a/;" f +ctrmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctrmv(char *uplo, char *trans, char *diag, int *n, c *a, int *lda, c *x, int *incx) no/;" f +ctrrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrrfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, c *a, int *lda, c *b, i/;" f +ctrsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrsen(char *job, char *compq, bint *select, int *n, c *t, int *ldt, c *q, int *ldq, c/;" f +ctrsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctrsm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, c *alpha, c *a/;" f +ctrsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrsna(char *job, char *howmny, bint *select, int *n, c *t, int *ldt, c *vl, int *ldvl/;" f +ctrsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ctrsv(char *uplo, char *trans, char *diag, int *n, c *a, int *lda, c *x, int *incx) no/;" f +ctrsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrsyl(char *trana, char *tranb, int *isgn, int *m, int *n, c *a, int *lda, c *b, int /;" f +ctrti2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrti2(char *uplo, char *diag, int *n, c *a, int *lda, int *info) nogil$/;" f +ctrtri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrtri(char *uplo, char *diag, int *n, c *a, int *lda, int *info) nogil$/;" f +ctrtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrtrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, c *a, int *lda, c *b, i/;" f +ctrttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrttf(char *transr, char *uplo, int *n, c *a, int *lda, c *arf, int *info) nogil$/;" f +ctrttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctrttp(char *uplo, int *n, c *a, int *lda, c *ap, int *info) nogil$/;" f +ctx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def ctx(self):$/;" m class:NavigationToolbar2GTK3 +ctx_ids adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ctx_ids = [-1, -1, -1, -1]$/;" v +ctypes adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ ctypes = None$/;" v +ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ ctypes = None$/;" v +ctypes adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ ctypes = None$/;" v +ctypes adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ ctypes = None$/;" v +ctypes adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^ ctypes = None$/;" v +ctypes_load_library adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ ctypes_load_library = deprecate(load_library, 'ctypes_load_library',$/;" v +ctypes_load_library adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ ctypes_load_library = _dummy$/;" v +ctypes_state adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^ctypes_state = bit_gen.ctypes.state$/;" v +ctzrzf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ctzrzf(int *m, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nogil$/;" f +cubehelix adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def cubehelix(gamma=1.0, s=0.5, r=-1.5, h=1.0):$/;" f +cube_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def cube_grad_ref(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseOps.test_cube file: +cube_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def cube_ref(X):$/;" f member:TestElementwiseOps.test_cube file: +CUBIC adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ CUBIC = 4$/;" v class:ResizeMethod +cubic adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def cubic(x):$/;" f +CubicHermiteSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^class CubicHermiteSpline(PPoly):$/;" c +CubicSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^class CubicSpline(CubicHermiteSpline):$/;" c +CubicTriInterpolator adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class CubicTriInterpolator(TriInterpolator):$/;" c +cubic_soft_l1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def cubic_soft_l1(z):$/;" f +cuBLASModule adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^class cuBLASModule:$/;" c +cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^import pycuda.driver as cuda$/;" I +cuda adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def cuda(self: T, device: Optional[Union[int, device]] = None) -> T:$/;" m class:_RemoteModule +cuda adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def cuda(self: T, device: Optional[Union[int, device]] = None) -> T:$/;" m class:Module +cuda adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def cuda(self, *args, **kwargs):$/;" m class:PackedSequence +cuda adpepsenv/lib/python3.8/site-packages/torch/version.py /^cuda = None$/;" v +CudaCodeGenCreated adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class CudaCodeGenCreated(ExecutionCounter):$/;" c +CudaCodeGenExecuted adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class CudaCodeGenExecuted(ExecutionCounter):$/;" c +CudaError adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class CudaError(RuntimeError):$/;" c +CUDAExtension adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def CUDAExtension(name, sources, *args, **kwargs):$/;" f +CudaMemoryLeakCheck adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^class CudaMemoryLeakCheck():$/;" c +CudaNonDefaultStream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^class CudaNonDefaultStream():$/;" c +CudaProfileOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/prof/cuda_profile_ops_test.py /^class CudaProfileOpsTest(unittest.TestCase):$/;" c +cudart adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def cudart():$/;" f +cudaStatus adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class cudaStatus(object):$/;" c +CUDATestBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class CUDATestBase(DeviceTypeTestBase):$/;" c +CUDA_DEVICE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^CUDA_DEVICE = torch.device("cuda:0") if TEST_CUDA else None$/;" v +cuda_do adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^cuda_do = caffe2_pb2.DeviceOption(device_type=caffe2_pb2.CUDA)$/;" v +CUDA_HOME adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^CUDA_HOME = _find_cuda_home()$/;" v +CUDA_IDENTIFIER_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CUDA_IDENTIFIER_MAP = collections.OrderedDict($/;" v +CUDA_INCLUDE_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CUDA_INCLUDE_MAP = collections.OrderedDict($/;" v +cuda_path adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ cuda_path = os.path.join(os.getenv(cuda_path_var, default_path), 'bin')$/;" v +cuda_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ cuda_path = os.path.join(os.getenv(cuda_path_var, default_path), 'bin')$/;" v +cuda_path_var adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ cuda_path_var = 'CUDA_PATH_V' + cuda_version_1$/;" v +cuda_path_var adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ cuda_path_var = 'CUDA_PATH_V' + cuda_version_1$/;" v +cuda_prng adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^ cuda_prng = None$/;" v +CUDA_SPARSE_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CUDA_SPARSE_MAP = collections.OrderedDict($/;" v +cuda_time adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def cuda_time(self):$/;" m class:FormattedTimesMixin +cuda_time_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ cuda_time_str = attr_formatter('cuda_time')$/;" v class:FormattedTimesMixin +cuda_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def cuda_time_total(self):$/;" m class:FunctionEvent +cuda_time_total_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ cuda_time_total_str = attr_formatter('cuda_time_total')$/;" v class:FormattedTimesMixin +CUDA_TO_HIP_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CUDA_TO_HIP_MAPPINGS = [$/;" v +CUDA_TYPE_NAME_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^CUDA_TYPE_NAME_MAP = collections.OrderedDict($/;" v +cuda_version adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ cuda_version = torch_version.cuda$/;" v +CUDA_VERSION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ CUDA_VERSION = torch._C._cuda_getCompiledVersion()$/;" v +cuda_version adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ from .version import cuda as cuda_version$/;" x +cuda_version_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ cuda_version_1 = cuda_version.replace('.', '_')$/;" v +cuda_version_1 adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ cuda_version_1 = cuda_version.replace('.', '_')$/;" v +cudnn adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^import torch.backends.cudnn as cudnn$/;" I +CuDNNGRU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^class CuDNNGRU(_CuDNNRNN):$/;" c +CuDNNLSTM adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^class CuDNNLSTM(_CuDNNRNN):$/;" c +CudnnModule adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^class CudnnModule(PropModule):$/;" c +CudnnRNN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNN = tf_export("raw_ops.CudnnRNN")(_ops.to_raw_op(cudnn_rnn))$/;" v +CudnnRNNBackprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNBackprop = tf_export("raw_ops.CudnnRNNBackprop")(_ops.to_raw_op(cudnn_rnn_backprop))$/;" v +CudnnRNNBackpropV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNBackpropV2 = tf_export("raw_ops.CudnnRNNBackpropV2")(_ops.to_raw_op(cudnn_rnn_backprop_v/;" v +CudnnRNNBackpropV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNBackpropV3 = tf_export("raw_ops.CudnnRNNBackpropV3")(_ops.to_raw_op(cudnn_rnn_backprop_v/;" v +CudnnRNNCanonicalToParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNCanonicalToParams = tf_export("raw_ops.CudnnRNNCanonicalToParams")(_ops.to_raw_op(cudnn_/;" v +CudnnRNNCanonicalToParamsV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNCanonicalToParamsV2 = tf_export("raw_ops.CudnnRNNCanonicalToParamsV2")(_ops.to_raw_op(cu/;" v +CudnnRNNParamsSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNParamsSize = tf_export("raw_ops.CudnnRNNParamsSize")(_ops.to_raw_op(cudnn_rnn_params_siz/;" v +CudnnRNNParamsToCanonical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNParamsToCanonical = tf_export("raw_ops.CudnnRNNParamsToCanonical")(_ops.to_raw_op(cudnn_/;" v +CudnnRNNParamsToCanonicalV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNParamsToCanonicalV2 = tf_export("raw_ops.CudnnRNNParamsToCanonicalV2")(_ops.to_raw_op(cu/;" v +CudnnRNNV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNV2 = tf_export("raw_ops.CudnnRNNV2")(_ops.to_raw_op(cudnn_rnnv2))$/;" v +CudnnRNNV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^CudnnRNNV3 = tf_export("raw_ops.CudnnRNNV3")(_ops.to_raw_op(cudnn_rnnv3))$/;" v +cudnn_gru_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def cudnn_gru_fn():$/;" f function:gru_with_backend_selection.gpu_gru_with_fallback file: +CUDNN_HOME adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^CUDNN_HOME = os.environ.get('CUDNN_HOME') or os.environ.get('CUDNN_PATH')$/;" v +cudnn_LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def cudnn_LSTM(model, input_blob, initial_states, dim_in, dim_out,$/;" f +cudnn_lstm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def cudnn_lstm_fn():$/;" f function:lstm_with_backend_selection.gpu_lstm_with_fallback file: +cudnn_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn(input, input_h, input_c, params, rnn_mode="lstm", input_mode="linear_input", direc/;" f +cudnn_rnnv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnnv2(input, input_h, input_c, params, rnn_mode="lstm", input_mode="linear_input", dir/;" f +cudnn_rnnv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnnv2_eager_fallback(input, input_h, input_c, params, rnn_mode, input_mode, direction,/;" f +cudnn_rnnv3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnnv3(input, input_h, input_c, params, sequence_lengths, rnn_mode="lstm", input_mode="/;" f +cudnn_rnnv3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnnv3_eager_fallback(input, input_h, input_c, params, sequence_lengths, rnn_mode, inpu/;" f +cudnn_rnn_backprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop(input, input_h, input_c, params, output, output_h, output_c, output_backp/;" f +cudnn_rnn_backprop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop_eager_fallback(input, input_h, input_c, params, output, output_h, output_/;" f +cudnn_rnn_backprop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop_v2(input, input_h, input_c, params, output, output_h, output_c, output_ba/;" f +cudnn_rnn_backprop_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop_v2_eager_fallback(input, input_h, input_c, params, output, output_h, outp/;" f +cudnn_rnn_backprop_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop_v3(input, input_h, input_c, params, sequence_lengths, output, output_h, o/;" f +cudnn_rnn_backprop_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_backprop_v3_eager_fallback(input, input_h, input_c, params, sequence_lengths, outp/;" f +cudnn_rnn_canonical_to_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_canonical_to_params(num_layers, num_units, input_size, weights, biases, rnn_mode="/;" f +cudnn_rnn_canonical_to_params_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_canonical_to_params_eager_fallback(num_layers, num_units, input_size, weights, bia/;" f +cudnn_rnn_canonical_to_params_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_canonical_to_params_v2(num_layers, num_units, input_size, weights, biases, rnn_mod/;" f +cudnn_rnn_canonical_to_params_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_canonical_to_params_v2_eager_fallback(num_layers, num_units, input_size, weights, /;" f +cudnn_rnn_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_eager_fallback(input, input_h, input_c, params, rnn_mode, input_mode, direction, d/;" f +cudnn_rnn_params_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_size(num_layers, num_units, input_size, T, S, rnn_mode="lstm", input_mode="/;" f +cudnn_rnn_params_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_size_eager_fallback(num_layers, num_units, input_size, T, S, rnn_mode, inpu/;" f +cudnn_rnn_params_to_canonical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_to_canonical(num_layers, num_units, input_size, params, num_params, rnn_mod/;" f +cudnn_rnn_params_to_canonical_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_to_canonical_eager_fallback(num_layers, num_units, input_size, params, num_/;" f +cudnn_rnn_params_to_canonical_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_to_canonical_v2(num_layers, num_units, input_size, params, num_params_weigh/;" f +cudnn_rnn_params_to_canonical_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^def cudnn_rnn_params_to_canonical_v2_eager_fallback(num_layers, num_units, input_size, params, n/;" f +CUDNN_TENSOR_DTYPES adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^CUDNN_TENSOR_DTYPES = {$/;" v +cuFFTPlanCache adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^class cuFFTPlanCache(object):$/;" c +cuFFTPlanCacheAttrContextProp adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^class cuFFTPlanCacheAttrContextProp(object):$/;" c +cuFFTPlanCacheManager adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^class cuFFTPlanCacheManager(object):$/;" c +cufft_plan_cache adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^cufft_plan_cache = cuFFTPlanCacheManager()$/;" v +cumfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def cumfreq(a, numbins=10, defaultreallimits=None, weights=None):$/;" f +CumfreqResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^CumfreqResult = namedtuple('CumfreqResult',$/;" v +cummax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cummax(cls, harness):$/;" m class:Jax2TfLimitation +cummax adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cummax(operand: Array, axis: int = 0, reverse: bool = False) -> Array:$/;" f +cummax_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^cummax_p = _cumulative_reduction_primitive("cummax", lax._reduce_window_max)$/;" v +cummin adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cummin(cls, harness):$/;" m class:Jax2TfLimitation +cummin adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cummin(operand: Array, axis: int = 0, reverse: bool = False) -> Array:$/;" f +cummin_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^cummin_p = _cumulative_reduction_primitive("cummin", lax._reduce_window_min)$/;" v +cummulative_sizes adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def cummulative_sizes(self):$/;" m class:ConcatDataset +cumprod adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cumprod(cls, harness):$/;" m class:Jax2TfLimitation +cumprod adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cumprod(operand: Array, axis: int = 0, reverse: bool = False) -> Array:$/;" f +cumprod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^cumprod = _make_cumulative_reduction(np.cumprod, lax.cumprod, fill_nan=False)$/;" v +cumprod adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def cumprod(a, axis=None, dtype=None, out=None):$/;" f +cumprod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def cumprod(self, axis=None, dtype=None, out=None):$/;" m class:MaskedArray +cumprod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^cumprod = _frommethod('cumprod')$/;" v +cumprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cumprod(x, axis=0):$/;" f +Cumprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cumprod = tf_export("raw_ops.Cumprod")(_ops.to_raw_op(cumprod))$/;" v +cumprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumprod(x, axis, exclusive=False, reverse=False, name=None):$/;" f +cumprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def cumprod(x, axis=0, exclusive=False, reverse=False, name=None):$/;" f +cumprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def cumprod(a, axis=None, dtype=None): # pylint: disable=missing-docstring$/;" f +cumproduct adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^cumproduct = cumprod$/;" v +cumproduct adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def cumproduct(*args, **kwargs):$/;" f +cumprod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumprod_eager_fallback(x, axis, exclusive, reverse, name, ctx):$/;" f +cumprod_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^cumprod_p = _cumulative_reduction_primitive("cumprod", lax._reduce_window_prod)$/;" v +cumsum adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def cumsum(cls, harness):$/;" m class:Jax2TfLimitation +cumsum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def cumsum(operand: Array, axis: int = 0, reverse: bool = False) -> Array:$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^cumsum = _make_cumulative_reduction(np.cumsum, lax.cumsum, fill_nan=False)$/;" v +cumsum adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def cumsum(a, axis=None, dtype=None, out=None):$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def cumsum(self, axis=None, dtype=None, out=None):$/;" m class:MaskedArray +cumsum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^cumsum = _frommethod('cumsum')$/;" v +CUMSUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CUMSUM = 128$/;" v class:BuiltinOperator +cumsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def cumsum(x, axis=0):$/;" f +Cumsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Cumsum = tf_export("raw_ops.Cumsum")(_ops.to_raw_op(cumsum))$/;" v +cumsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumsum(x, axis, exclusive=False, reverse=False, name=None):$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def cumsum(x, axis=0, exclusive=False, reverse=False, name=None):$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def cumsum(a, axis=None, dtype=None): # pylint: disable=missing-docstring$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def cumsum(g, self, dim, dtype=None):$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def cumsum(g, input, dim, dtype):$/;" f +cumsum adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def cumsum(sequence):$/;" m class:ConcatDataset +CumsumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CumsumOptions = 102$/;" v class:BuiltinOptions +CumsumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CumsumOptions(object):$/;" c +CumsumOptionsAddExclusive adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CumsumOptionsAddExclusive(builder, exclusive): builder.PrependBoolSlot(0, exclusive, 0)$/;" f +CumsumOptionsAddReverse adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CumsumOptionsAddReverse(builder, reverse): builder.PrependBoolSlot(1, reverse, 0)$/;" f +CumsumOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CumsumOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:CumsumOptions +CumsumOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CumsumOptionsEnd(builder): return builder.EndObject()$/;" f +CumsumOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CumsumOptionsStart(builder): builder.StartObject(2)$/;" f +CumsumOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CumsumOptionsT(object):$/;" c +cumsum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumsum_eager_fallback(x, axis, exclusive, reverse, name, ctx):$/;" f +cumsum_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^cumsum_p = lax.standard_primitive($/;" v +cumtrapz adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def cumtrapz(y, x=None, dx=1.0, axis=-1, initial=None):$/;" f +CumulativeLogsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^CumulativeLogsumexp = tf_export("raw_ops.CumulativeLogsumexp")(_ops.to_raw_op(cumulative_logsume/;" v +cumulative_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumulative_logsumexp(x, axis, exclusive=False, reverse=False, name=None):$/;" f +cumulative_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def cumulative_logsumexp(x, axis=0, exclusive=False, reverse=False, name=None):$/;" f +cumulative_logsumexp_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def cumulative_logsumexp_eager_fallback(x, axis, exclusive, reverse, name, ctx):$/;" f +cumulative_reduction adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def cumulative_reduction(a,$/;" f function:_make_cumulative_reduction file: +cumulative_trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def cumulative_trapezoid(y, x=None, dx=1.0, axis=-1, initial=None):$/;" f +cunbdb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunbdb(char *trans, char *signs, int *m, int *p, int *q, c *x11, int *ldx11, c *x12, i/;" f +cuncsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cuncsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, char *signs,/;" f +CUnescape adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^def CUnescape(text):$/;" f +cung2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cung2l(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cung2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cung2r(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cungbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungbr(char *vect, int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *lwork/;" f +cunghr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunghr(int *n, int *ilo, int *ihi, c *a, int *lda, c *tau, c *work, int *lwork, int *i/;" f +cungl2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungl2(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cunglq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunglq(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *lwork, int *info)/;" f +cungql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungql(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *lwork, int *info)/;" f +cungqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungqr(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *lwork, int *info)/;" f +cungr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungr2(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *info) nogil$/;" f +cungrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungrq(int *m, int *n, int *k, c *a, int *lda, c *tau, c *work, int *lwork, int *info)/;" f +cungtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cungtr(char *uplo, int *n, c *a, int *lda, c *tau, c *work, int *lwork, int *info) nog/;" f +cunm2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunm2l(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunm2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunm2r(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmbr(char *vect, char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c /;" f +cunmhr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmhr(char *side, char *trans, int *m, int *n, int *ilo, int *ihi, c *a, int *lda, c /;" f +cunml2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunml2(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmlq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmlq(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmql(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmqr(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmr2(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmr3(char *side, char *trans, int *m, int *n, int *k, int *l, c *a, int *lda, c *tau/;" f +cunmrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmrq(char *side, char *trans, int *m, int *n, int *k, c *a, int *lda, c *tau, c *c, /;" f +cunmrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmrz(char *side, char *trans, int *m, int *n, int *k, int *l, c *a, int *lda, c *tau/;" f +cunmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cunmtr(char *side, char *uplo, char *trans, int *m, int *n, c *a, int *lda, c *tau, c /;" f +CUnsafeLoader adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^class CUnsafeLoader(CParser, UnsafeConstructor, Resolver):$/;" c +cupgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cupgtr(char *uplo, int *n, c *ap, c *tau, c *q, int *ldq, c *work, int *info) nogil$/;" f +cupmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void cupmtr(char *side, char *uplo, char *trans, int *m, int *n, c *ap, c *tau, c *c, int */;" f +cupy_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/cupy.py /^ def cupy_contract(*arrays):$/;" f function:build_expression file: +cupy_if_found adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ cupy_if_found = 'cupy'$/;" v +cupy_if_found adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ cupy_if_found = pytest.param('cupy', marks=[pytest.mark.skip(reason="CuPy not installed.")])$/;" v +cur adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def cur(self):$/;" m class:XeinsumSpecParser +cur adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ cur = np.sort(cur)$/;" v +cur adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ cur = timeit.Timer(func, setup_cur).repeat(nrepeat, nloop*10)$/;" v +curdir adpepsenv/lib/python3.8/site-packages/numpy/core/cversions.py /^ curdir = dirname(__file__)$/;" v +CurImageFile adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^class CurImageFile(BmpImagePlugin.BmpImageFile):$/;" c +current adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def current() -> Optional[Traceback]:$/;" f +current adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^def current():$/;" f +currentByte adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ currentByte = property(getCurrentByte)$/;" v class:EncodingBytes +currentByte adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ currentByte = property(getCurrentByte)$/;" v class:EncodingBytes +CurrentDeviceScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def CurrentDeviceScope():$/;" f +currentfilename adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^currentfilename = ''$/;" v +currentframe adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def currentframe():$/;" f +currentframe adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def currentframe():$/;" f +CurrentFWConfig adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class CurrentFWConfig(univ.Sequence):$/;" c +currently_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def currently_sharing():$/;" f +CurrentModuleFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^class CurrentModuleFilter(StackTraceFilter):$/;" c +CurrentNameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def CurrentNameScope():$/;" f +CurrentSourceInfoMetadata adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def CurrentSourceInfoMetadata(op_type=None, op_name=None, skip_frames=1):$/;" f +CurrentWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^CurrentWorkspace = C.current_workspace$/;" v +current_blas_handle adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def current_blas_handle():$/;" f +current_cpu adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ current_cpu = environ.get('processor_architecture', '').lower()$/;" v class:PlatformInfo +current_device adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def current_device() -> int:$/;" f +current_dir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def current_dir(self, hidex86=False, x64=False):$/;" m class:PlatformInfo +current_host adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def current_host(self):$/;" m class:TPUContext +current_input_fn_deployment adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def current_input_fn_deployment(self):$/;" m class:TPUContext +current_is_x86 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def current_is_x86(self):$/;" m class:PlatformInfo +current_key_axes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def current_key_axes(self):$/;" m class:_AxesStack +current_line adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def current_line(self):$/;" m class:Frame +current_loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def current_loss_scale(self):$/;" m class:_DynamicLossScaleState +current_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def current_net(self, name=None):$/;" m class:NetBuilder +current_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def current_prefix():$/;" m class:Net +current_settings adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^current_settings = settings._profiles[settings._current_profile].__dict__$/;" v +current_stream adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def current_stream(device: Optional[_device_t] = None) -> Stream:$/;" f +current_time adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^current_time = getattr(time, "monotonic", time.time)$/;" v +current_time adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^current_time = getattr(time, "monotonic", time.time)$/;" v +current_umask adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def current_umask():$/;" f +current_umask adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def current_umask():$/;" f +current_version adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^from pip import __version__ as current_version$/;" x +currsize adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def currsize(self):$/;" m class:Cache +currsize adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def currsize(self):$/;" m class:_UnboundCache +currsize adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def currsize(self):$/;" m class:TTLCache +curry adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def curry(f):$/;" f +curses adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^ curses = None$/;" v +CursesNavigationHistory adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^class CursesNavigationHistory(object):$/;" c +CursesUI adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^class CursesUI(base_ui.BaseUI):$/;" c +cursive adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def cursive(self):$/;" m class:TexManager +cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ cursor = cursors.MOVE$/;" v class:ToolPan +cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ cursor = cursors.SELECT_REGION$/;" v class:ToolZoom +cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ cursor = None$/;" v class:ToolToggleBase +Cursor adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class Cursor(AxesWidget):$/;" c +Cursor adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^Cursor = AnsiCursor()$/;" v +cursord adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^cursord = {$/;" v +cursord adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^cursord = {$/;" v +cursord adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^cursord = {$/;" v +cursors adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^cursors = tools.cursors$/;" v +Cursors adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class Cursors(IntEnum): # Must subclass int for the macOS backend.$/;" c +cursors adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^cursors = Cursors # Backcompat.$/;" v +cursor_adjust adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def cursor_adjust(self, x, y, on_stderr=False):$/;" m class:WinTerm +cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ cursor_data = [$/;" v class:TestScalarFormatter +CURT_HEALTH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^CURT_HEALTH = 2$/;" v +Curve adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Curve(_Curve):$/;" c class:ArrowStyle +Curve adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Curve(univ.Sequence):$/;" c +CURVE3 adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ CURVE3 = code_type(3) # 2 vertices$/;" v class:Path +CURVE4 adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ CURVE4 = code_type(4) # 3 vertices$/;" v class:Path +CurveA adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveA(_Curve):$/;" c class:ArrowStyle +CurveAB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveAB(_Curve):$/;" c class:ArrowStyle +CurveB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveB(_Curve):$/;" c class:ArrowStyle +curved adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^def curved(middle, pos):$/;" f +CurveFilledA adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveFilledA(_Curve):$/;" c class:ArrowStyle +CurveFilledAB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveFilledAB(_Curve):$/;" c class:ArrowStyle +CurveFilledB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class CurveFilledB(_Curve):$/;" c class:ArrowStyle +CurvePrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^class CurvePrivateKey(univ.OctetString):$/;" c +curve_fit adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def curve_fit(f, xdata, ydata, p0=None, sigma=None, absolute_sigma=False,$/;" f +cur_loc adpeps/utils/tlist.py /^def cur_loc(*loc: int):$/;" f +cur_sublevel adpepsenv/lib/python3.8/site-packages/jax/core.py /^def cur_sublevel() -> Sublevel:$/;" f +CUSEEME adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CUSEEME = 0x1F03$/;" v class:WAVE_FORMAT +cusolver adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^ cusolver = None$/;" v +custmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def custmin(fun, bracket, args=(), maxfev=None, stepsize=0.1,$/;" f member:TestOptimizeScalar.test_minimize_scalar_custom file: +custmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def custmin(fun, x0, **options):$/;" f member:TestOptimizeSimple.test_gh10771 file: +custmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def custmin(fun, x0, args=(), maxfev=None, stepsize=0.1,$/;" f member:TestOptimizeSimple.test_custom file: +CUSTOM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CUSTOM = 32$/;" v class:BuiltinOperator +Custom adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Custom(self, j):$/;" m class:CustomQuantization +CustomArg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^class CustomArg(NamedTuple):$/;" c +CustomAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomAsNumpy(self):$/;" m class:CustomQuantization +CustomCallModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class CustomCallModel(keras.Model):$/;" c +CustomCallOutputOperandAliasing adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^CustomCallOutputOperandAliasing = _reflection.GeneratedProtocolMessageType('CustomCallOutputOper/;" v +CustomCell adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^CustomCell = Cell # Backcompat. alias.$/;" v +CustomCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomCode(self):$/;" m class:OperatorCode +CustomDialect adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ class CustomDialect(csv.excel):$/;" c member:CSVLogger.on_epoch_end file: +CustomError adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^class CustomError(Exception):$/;" c +CustomFromMask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class CustomFromMask(BasePruningMethod):$/;" c +CustomHandler adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def CustomHandler(signum, frame):$/;" f function:test_fig_signals file: +CustomImportPickler adpepsenv/lib/python3.8/site-packages/torch/_package/_custom_import_pickler.py /^class CustomImportPickler(_Pickler):$/;" c +CustomInstalledAppFlow adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^class CustomInstalledAppFlow(google_auth_oauthlib.flow.InstalledAppFlow):$/;" c +CustomIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomIsNone(self):$/;" m class:CustomQuantization +customizable_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler.py /^customizable_flags = [$/;" v +customize adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def customize(self, dist = None):$/;" m class:FCompiler +customized_ccompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def customized_ccompiler():$/;" f +customized_ccompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/__init__.py /^def customized_ccompiler(plat=None, compiler=None, verbose=1):$/;" f +customized_fcompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/__init__.py /^def customized_fcompiler(plat=None, compiler=None):$/;" f +CUSTOMIZED_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^CUSTOMIZED_TIMEOUT = {"test_DistributedDataParallel": 500}$/;" v +customize_compiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def customize_compiler(compiler):$/;" f +CustomJVPCallPrimitive adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^class CustomJVPCallPrimitive(core.CallPrimitive):$/;" c +CustomJVPException adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^class CustomJVPException(Exception):$/;" c +CustomLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomLength(self):$/;" m class:CustomQuantization +CustomModuleQuantizeHandler adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class CustomModuleQuantizeHandler(QuantizeHandler):$/;" c +CustomOAuth2Error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class CustomOAuth2Error(OAuth2Error):$/;" c +CustomObjectScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^class CustomObjectScope(object):$/;" c +CustomOptionParser adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^class CustomOptionParser(optparse.OptionParser):$/;" c +CustomOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomOptions(self, j):$/;" m class:Operator +CustomOptionsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomOptionsAsNumpy(self):$/;" m class:Operator +CustomOptionsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomOptionsFormat(self):$/;" m class:Operator +CustomOptionsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CustomOptionsFormat(object):$/;" c +CustomOptionsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomOptionsIsNone(self):$/;" m class:Operator +CustomOptionsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomOptionsLength(self):$/;" m class:Operator +CustomQuantization adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ CustomQuantization = 1$/;" v class:QuantizationDetails +CustomQuantization adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CustomQuantization(object):$/;" c +CustomQuantizationAddCustom adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CustomQuantizationAddCustom(builder, custom): builder.PrependUOffsetTRelativeSlot(0, flatbuf/;" f +CustomQuantizationBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def CustomQuantizationBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:CustomQuantization +CustomQuantizationEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CustomQuantizationEnd(builder): return builder.EndObject()$/;" f +CustomQuantizationStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CustomQuantizationStart(builder): builder.StartObject(1)$/;" f +CustomQuantizationStartCustomVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def CustomQuantizationStartCustomVector(builder, numElems): return builder.StartVector(1, numEle/;" f +CustomQuantizationT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class CustomQuantizationT(object):$/;" c +CustomSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ class CustomSaveable(saver_module.BaseSaverBuilder.SaveableObject):$/;" c class:CheckpointedOp +CustomScalarsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^class CustomScalarsPlugin(base_plugin.TBPlugin):$/;" c +customspace adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def customspace(self, s, loc, toks):$/;" m class:Parser +CustomTransformsFunction adpepsenv/lib/python3.8/site-packages/jax/api.py /^class CustomTransformsFunction(object):$/;" c +CustomVJPCallPrimitive adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^class CustomVJPCallPrimitive(core.CallPrimitive):$/;" c +CustomVJPException adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^class CustomVJPException(Exception):$/;" c +CustomWriter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ class CustomWriter(list):$/;" c member:TestSaveTxt.test_custom_writer file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, **_):$/;" f member:Jax2TfLimitation.top_k file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, **_):$/;" f member:Jax2TfLimitation._min_max_test_util file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol): # noqa: F811$/;" f member:Jax2TfLimitation.erf_inv file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol): # noqa: F811$/;" f member:Jax2TfLimitation.igammac file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.digamma file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.eig file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.eigh file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.helper_get_trig_custom_limitation file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.igamma file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation.lu file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, args, tol):$/;" f member:Jax2TfLimitation._pow_test_util file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, result_jax, result_tf, *, tol, **_):$/;" f member:Jax2TfLimitation.cholesky file: +custom_assert adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_assert(tst, r_jax, r_tf, *, args, tol):$/;" f member:Jax2TfLimitation.svd file: +custom_bwd adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^def custom_bwd(bwd):$/;" f +custom_dist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ class custom_dist(object):$/;" c member:TestProbplot.test_dist_keyword file: +custom_from_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def custom_from_mask(module, name, mask):$/;" f +custom_fwd adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^def custom_fwd(fwd=None, **kwargs):$/;" f +custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def custom_getter(self):$/;" m class:VariableScope +custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def custom_getter(getter, name, *args, **kwargs):$/;" f function:split_compile_and_replicate file: +custom_gradient adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def custom_gradient(fun):$/;" f +custom_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def custom_gradient(f=None):$/;" f +custom_ivjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^class custom_ivjp:$/;" c +custom_ivjp_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^custom_ivjp_p = core.Primitive('custom_ivjp')$/;" v +custom_jvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def custom_jvp(primals, tangents):$/;" f function:defjvp file: +custom_jvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^class custom_jvp:$/;" c +custom_jvp_call_jaxpr adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def custom_jvp_call_jaxpr(fun: Callable, jvp: Callable, *args):$/;" f function:omnistaging_disabler file: +custom_jvp_call_jaxpr_p adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^custom_jvp_call_jaxpr_p = core.Primitive('custom_jvp_call_jaxpr')$/;" v +custom_jvp_call_p adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^custom_jvp_call_p = CustomJVPCallPrimitive('custom_jvp_call')$/;" v +custom_linear_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def custom_linear_solve(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +custom_linear_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def custom_linear_solve($/;" f +custom_lin_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^custom_lin_p = core.Primitive('custom_lin')$/;" v +custom_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def custom_loss(predict, label, weight):$/;" f member:TestDistributionStrategyWithDatasets.test_fit_with_dictionary_in_the_dataset_b135161171 file: +custom_numeric adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^def custom_numeric($/;" f +custom_object_scope adpepsenv/lib/python3.8/site-packages/tensorflow/keras/utils/__init__.py /^from tensorflow.python.keras.utils.generic_utils import CustomObjectScope as custom_object_scope$/;" x +custom_object_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/utils/__init__.py /^from tensorflow.python.keras.utils.generic_utils import CustomObjectScope as custom_object_scope$/;" x +custom_object_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/utils/__init__.py /^from tensorflow.python.keras.utils.generic_utils import CustomObjectScope as custom_object_scope$/;" x +custom_object_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/utils/__init__.py /^from tensorflow.python.keras.utils.generic_utils import CustomObjectScope as custom_object_scope$/;" x +custom_object_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^custom_object_scope = CustomObjectScope # pylint: disable=invalid-name$/;" v +custom_optimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ def custom_optimizer(inputs, output, size_dict, memory_limit):$/;" f function:test_optimizer_registration file: +custom_partial_eval_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^custom_partial_eval_rules: Dict[core.Primitive, Callable] = {}$/;" v +custom_root adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def custom_root(f, initial_guess, solve, tangent_solve):$/;" f +custom_scalar adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^custom_scalar = _custom_scalar_summary.op$/;" v +custom_scalars adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def custom_scalars(layout):$/;" f +custom_scalar_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^custom_scalar_pb = _custom_scalar_summary.pb$/;" v +custom_transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def custom_transform(grads_and_vars):$/;" f member:TestDistributionStrategyWithKerasModels.test_custom_gradient_transformation file: +custom_transforms adpepsenv/lib/python3.8/site-packages/jax/api.py /^def custom_transforms(fun):$/;" f +custom_transforms_jvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def custom_transforms_jvp(primals, tangents, **params):$/;" f function:defjvp_all file: +custom_transforms_vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def custom_transforms_vjp(*consts_and_args, **params):$/;" f function:defvjp_all file: +custom_typechecks adpepsenv/lib/python3.8/site-packages/jax/core.py /^custom_typechecks: Dict[Primitive, Callable] = {}$/;" v +custom_vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def custom_vjp(*primals):$/;" f function:defvjp file: +custom_vjp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^class custom_vjp:$/;" c +custom_vjp_call_jaxpr adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def custom_vjp_call_jaxpr(fun, fwd, bwd, *args, out_trees):$/;" f function:omnistaging_disabler file: +custom_vjp_call_jaxpr_p adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^custom_vjp_call_jaxpr_p = core.Primitive('custom_vjp_call_jaxpr')$/;" v +custom_vjp_call_p adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^custom_vjp_call_p = CustomVJPCallPrimitive('custom_vjp_call')$/;" v +cutdeg adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def cutdeg(self, deg):$/;" m class:ABCPolyBase +cutoff adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^ def cutoff(w):$/;" f function:_norm_factor file: +cut_tree adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def cut_tree(Z, n_clusters=None, height=None):$/;" f +CU_CODEC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ CU_CODEC = 0x0019$/;" v class:WAVE_FORMAT +cvt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def cvt(length, upe=font.units_per_EM, nearest=True):$/;" f member:PdfFile.embedTTF file: +cvt_enum adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^ def cvt_enum(self, value):$/;" m class:TagInfo +cvt_fn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def cvt_fn(s, l, t):$/;" f member:pyparsing_common.convertToDate file: +cvt_fn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def cvt_fn(s, l, t):$/;" f member:pyparsing_common.convertToDatetime file: +cvt_fn adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def cvt_fn(s,l,t):$/;" f member:pyparsing_common.convertToDate file: +cvt_fn adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def cvt_fn(s,l,t):$/;" f member:pyparsing_common.convertToDatetime file: +cvt_fn adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def cvt_fn(s, l, t):$/;" f member:pyparsing_common.convertToDate file: +cvt_fn adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def cvt_fn(s, l, t):$/;" f member:pyparsing_common.convertToDatetime file: +cvt_fn adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def cvt_fn(s,l,t):$/;" f member:pyparsing_common.convertToDate file: +cvt_fn adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def cvt_fn(s,l,t):$/;" f member:pyparsing_common.convertToDatetime file: +cwt adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def cwt(data, wavelet, widths, dtype=None, **kwargs):$/;" f +cwt_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/_sketches.py /^def cwt_matrix(n_rows, n_columns, seed=None):$/;" f +CXX11_ABI_FLAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^CXX11_ABI_FLAG = __cxx11_abi_flag__$/;" v +cxx_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/pathccompiler.py /^ cxx_exe = 'pathCC'$/;" v class:PathScaleCCompiler +cxx_ext_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^cxx_ext_match = re.compile(r'.*[.](cpp|cxx|cc)\\Z', re.I).match$/;" v +cxx_ext_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^cxx_ext_re = re.compile(r'.*[.](cpp|cxx|cc)\\Z', re.I).match$/;" v +CYAN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ CYAN = 36$/;" v class:AnsiFore +CYAN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ CYAN = 46$/;" v class:AnsiBack +CYAN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ CYAN = 3$/;" v class:WinColor +cyan_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def cyan_text(s):$/;" f +Cycler adpepsenv/lib/python3.8/site-packages/cycler.py /^class Cycler(object):$/;" c +cycler adpepsenv/lib/python3.8/site-packages/cycler.py /^def cycler(*args, **kwargs):$/;" f +cycler adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def cycler(*args, **kwargs):$/;" f +cyclic adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def cyclic(cls, n, axis=2):$/;" f +CyclicLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class CyclicLR(_LRScheduler):$/;" c +cyg2win32 adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def cyg2win32(path):$/;" f +CYGRPC_CONNECTIVITY_STATE_TO_CHANNEL_CONNECTIVITY adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^CYGRPC_CONNECTIVITY_STATE_TO_CHANNEL_CONNECTIVITY = {$/;" v +CYGRPC_STATUS_CODE_TO_STATUS_CODE adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^CYGRPC_STATUS_CODE_TO_STATUS_CODE = {$/;" v +CygwinCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^class CygwinCCompiler(UnixCCompiler):$/;" c +Cyrillic adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Cyrillic(unicode_set):$/;" c class:pyparsing_unicode +Cyrillic adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Cyrillic(unicode_set):$/;" c class:pyparsing_unicode +cython adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^ cython = None$/;" v +cython adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^ cython = None$/;" v +cython_func_name adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def cython_func_name(self, c_name, specialized=False, prefix="_func_",$/;" m class:Func +cython_lapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_lapack.py /^from scipy.linalg import cython_lapack as cython_lapack$/;" x +CYTHON_SIGNATURE_MAP adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^CYTHON_SIGNATURE_MAP = {$/;" v +CYTHON_SPECIAL_BENCHFUNCS adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^CYTHON_SPECIAL_BENCHFUNCS = {$/;" v +CYTHON_SPECIAL_PXD adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^CYTHON_SPECIAL_PXD = """\\$/;" v +CYTHON_SPECIAL_PYX adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^CYTHON_SPECIAL_PYX = """\\$/;" v +cython_version adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^ from Cython.Compiler.Version import version as cython_version$/;" x +CY_TYPES adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^CY_TYPES = {$/;" v +c_ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^c_ = CClass()$/;" v +C_ABI_VERSION adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C_ABI_VERSION = 0x01000009$/;" v +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/kernels.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/smart_cond.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^from tensorflow.python.client import pywrap_tf_session as c_api$/;" x +c_api_header adpepsenv/lib/python3.8/site-packages/numpy/core/generate_numpy_api.py /^c_api_header = """$/;" v +C_API_VERSION adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^C_API_VERSION = 0x0000000d$/;" v +C_BUILTIN adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^C_BUILTIN = 6$/;" v +c_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ c_compiler = None$/;" v class:FCompiler +c_end adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^c_end = """$/;" v +C_EXTENSION adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^C_EXTENSION = 3$/;" v +C_EXTRA adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ C_EXTRA = dop853_coefficients.C[n_stages + 1:]$/;" v class:DOP853 +c_forward_pointer adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ c_forward_pointer = test_cdll.forward_pointer$/;" v +c_func_decl adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def c_func_decl(name, return_type, args):$/;" f +c_func_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^c_func_template = ("void F_FUNC({name}wrp, {upname}WRP)"$/;" v +c_intp adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ c_intp = nic._getintp_ctype()$/;" v +c_intp adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ from numpy import intp as c_intp$/;" x +c_over_c adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def c_over_c(self, s, loc, toks):$/;" m class:Parser +c_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^c_preamble = """#ifndef SCIPY_LINALG_{lib}_FORTRAN_WRAPPERS_H$/;" v +c_sub_decl adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def c_sub_decl(name, return_type, args):$/;" f +c_sub_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^c_sub_template = "void F_FUNC({name},{upname})({args});\\n"$/;" v +c_template adpepsenv/lib/python3.8/site-packages/numpy/core/generate_numpy_api.py /^c_template = r"""$/;" v +c_TwoCurve adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^c_TwoCurve = _OID(ellipticCurve, 0)$/;" v +c_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^c_types = {'int': 'int',$/;" v +C_TYPES adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^C_TYPES = {$/;" v +c_void_p adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ class c_void_p:$/;" c class:_missing_ctypes +D adpeps/ipeps/config.py /^D: int = None$/;" v +d adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def d(theta):$/;" f member:MollweideAxes.MollweideTransform.transform_non_affine file: +D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class D:$/;" c member:TestGetImplementingArgs.test_many_duck_arrays file: +D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class D(C, B):$/;" c +d adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ d = os.environ.get('NPY_PKG_CONFIG_PATH')$/;" v +d adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^d = {'sizes': SZ}$/;" v +D adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^D = np.zeros((INTERPOLATOR_POWER - 3, N_STAGES_EXTENDED))$/;" v +D adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ D = dop853_coefficients.D$/;" v class:DOP853 +D adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def D(self):$/;" m class:StateSpace +D adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def D(self, D):$/;" m class:StateSpace +d adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^ def d(self, p):$/;" m class:LazyOperatorNormInfo +d10_loose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d10_loose(self):$/;" m class:_ExpmPadeHelper +d10_tight adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d10_tight(self):$/;" m class:_ExpmPadeHelper +d4_loose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d4_loose(self):$/;" m class:_ExpmPadeHelper +d4_tight adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d4_tight(self):$/;" m class:_ExpmPadeHelper +d6_loose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d6_loose(self):$/;" m class:_ExpmPadeHelper +d6_tight adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d6_tight(self):$/;" m class:_ExpmPadeHelper +d8_loose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d8_loose(self):$/;" m class:_ExpmPadeHelper +d8_tight adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def d8_tight(self):$/;" m class:_ExpmPadeHelper +dadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^ def dadd(line, s=doc):$/;" f function:buildhooks file: +dadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^ def dadd(line, s=doc):$/;" f function:buildhooks file: +daemon_threads adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ daemon_threads = True$/;" v class:WerkzeugServer +daemon_threads adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ daemon_threads = True$/;" v class:ThreadedWSGIServer +DANGEROUS_DOWNCAST adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^DANGEROUS_DOWNCAST = set([$/;" v +darker adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def darker(image1, image2):$/;" f +DArrow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class DArrow(_Base):$/;" c class:BoxStyle +DarwinCPUInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class DarwinCPUInfo(CPUInfoBase):$/;" c +darwinVersionString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^darwinVersionString = re.compile(r"darwin-(\\d+)\\.(\\d+)\\.(\\d+)-(.*)")$/;" v +darwinVersionString adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^darwinVersionString = re.compile(r"darwin-(\\d+)\\.(\\d+)\\.(\\d+)-(.*)")$/;" v +dash_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def dash_cmd(self, dashes):$/;" m class:GraphicsContextPdf +dasum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dasum(int *n, d *dx, int *incx) nogil$/;" f +data adpeps/utils/empty_tensor.py /^ def data(self):$/;" m class:EmptyT +Data adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^Data = C.NeuralNetData$/;" v +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def data(self):$/;" m class:_Response +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def data(self):$/;" m class:_Response +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def data(self):$/;" m class:_CombinedResponse +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def data(self):$/;" m class:_Response +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^ def data(self):$/;" m class:Response +data adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^ def data(self):$/;" m class:Response +data adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def data(self):$/;" m class:UnknownFieldRef +data adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ data = np.ones((100,), dtype=dt)$/;" v class:TestFieldNames +data adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def data(self, text):$/;" m class:XMLWriter +data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, data = zip(*test_cases)$/;" v class:TestUnitData +data adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def data(self):$/;" m class:_ctypes +data adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ data = 1$/;" v class:TestApplyAlongAxis.test_subclass.MinimalSubclass +data adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ data = property(fget=_get_data)$/;" v class:MaskedArray +data adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ data = (mrec, nrec, ddtype)$/;" v class:TestMRecordsImport +data adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline char* data(self) nogil:$/;" m class:numpy +data adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ data = []$/;" v class:getdata.Collector +data adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def data(self):$/;" m class:GifImageFile +data adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ data = None$/;" v class:Parser +data adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ data = []$/;" v class:getchunks.collector +data adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ data = property(_getData, _setData)$/;" v class:getETreeBuilder.Comment +data adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ data = property(_getData, _setData)$/;" v class:TreeBuilder.__init__.Comment +data adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def data(self):$/;" m class:HTTPResponse +Data adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Data(univ.OctetString):$/;" c +data adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^data = univ.ObjectIdentifier('1.2.840.113549.1.7.1')$/;" v +data adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ data = rstate.randn(*shape)$/;" v class:Test_DCTN_IDCTN +data adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^data = gen_data(np.float128)$/;" v +data adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^data = gen_data(np.float32)$/;" v +data adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^data = gen_data(np.float64)$/;" v +data adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ data = rstate.randn(*shape)$/;" v class:Test_DCTN_IDCTN +data adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ data = np.arange(5).astype(np.int64)$/;" v class:TestPaths +Data adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class Data(object):$/;" c +data adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def data(func, dataname, *a, **kw):$/;" f +data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^ data = [0.706560797,0.727229578,0.990399276,0.927065621,0.158953014,$/;" v class:TestQuantiles +data adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ data = property(_getData, _setData)$/;" v class:getETreeBuilder.Comment +data adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ data = property(_getData, _setData)$/;" v class:TreeBuilder.__init__.Comment +data adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^def data():$/;" f +Data adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Data(self, j):$/;" m class:Buffer +DATA adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ DATA = 2$/;" v class:AutoShardPolicy +data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def data(self):$/;" m class:TableHandler +data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def data(self):$/;" m class:ndarray +data adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def data(self):$/;" m class:HTTPResponse +data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def data(self):$/;" m class:BaseRequest +data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ data = property($/;" v class:BaseResponse +data2 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ data2 = data1 = {}$/;" v class:Base +data2grid adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def data2grid(self, xd, yd):$/;" m class:DomainMap +DataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class DataAdapter(object):$/;" c +DataAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DataAsNumpy(self):$/;" m class:Buffer +DataClass adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DataClass = enum_type_wrapper.EnumTypeWrapper(_DATACLASS)$/;" v +DataClass adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DataClass = enum_type_wrapper.EnumTypeWrapper(_DATACLASS)$/;" v +datad adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^datad = {$/;" v +DataEncapsulationMechanism adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class DataEncapsulationMechanism(AlgorithmIdentifier):$/;" c +datafile adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def datafile(fn):$/;" f +dataFlow adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def dataFlow(self):$/;" m class:NNModule +DataFormatDimMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^DataFormatDimMap = tf_export("raw_ops.DataFormatDimMap")(_ops.to_raw_op(data_format_dim_map))$/;" v +DataFormatVecPermute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^DataFormatVecPermute = tf_export("raw_ops.DataFormatVecPermute")(_ops.to_raw_op(data_format_vec_/;" v +dataforsize adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def dataforsize(self, size):$/;" m class:IcnsFile +DataFrameIterator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^class DataFrameIterator(BatchFromFilesMixin, Iterator):$/;" c +DataFrameIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^class DataFrameIterator(image.DataFrameIterator, Iterator):$/;" c +datagroup adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ datagroup = create_datagroup_example()$/;" v +DataHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class DataHandler(object):$/;" c +DataIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DataIsNone(self):$/;" m class:Buffer +DataLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DataLength(self):$/;" m class:Buffer +datalim_to_dt adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def datalim_to_dt(self):$/;" m class:DateLocator +datalist adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ datalist = create_datalist_example()$/;" v +DataLoader adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class DataLoader(Generic[T_co]):$/;" c +DataLossError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class DataLossError(OpError):$/;" c +DataLossError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class DataLossError(OpError):$/;" c +DataLossWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^class DataLossWarning(UserWarning):$/;" c +DataLossWarning adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^class DataLossWarning(UserWarning):$/;" c +DataParallel adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^class DataParallel(Module):$/;" c +DataParallelModelTest adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class DataParallelModelTest(TestCase):$/;" c +datapattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^datapattern = re.compile($/;" v +DataProvider adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class DataProvider(object):$/;" c +DataServiceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DataServiceDataset = tf_export("raw_ops.DataServiceDataset")(_ops.to_raw_op(data_service_dataset/;" v +Dataset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^class Dataset(object):$/;" c +Dataset adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^class Dataset(HLObject):$/;" c +Dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ Dataset = DatasetV2$/;" v +Dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import DatasetV1 as Dataset$/;" x +Dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import DatasetV2 as Dataset$/;" x +Dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import DatasetV2 as Dataset$/;" x +dataset adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def dataset(self):$/;" m class:_Inputs +Dataset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class Dataset(Generic[T_co]):$/;" c +DatasetAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class DatasetAdapter(DataAdapter):$/;" c +DatasetBenchmarkBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/benchmarks/benchmark_base.py /^class DatasetBenchmarkBase(test.Benchmark):$/;" c +DatasetCardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DatasetCardinality = tf_export("raw_ops.DatasetCardinality")(_ops.to_raw_op(dataset_cardinality)/;" v +datasetExperimentalStats adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def datasetExperimentalStats(self,$/;" m class:StatsDatasetTestBase +DatasetFromGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DatasetFromGraph = tf_export("raw_ops.DatasetFromGraph")(_ops.to_raw_op(dataset_from_graph))$/;" v +DatasetInitializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class DatasetInitializer(TableInitializerBase):$/;" c +DatasetIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DatasetIterator(DistributedIteratorV1):$/;" c +DATASETS adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^DATASETS = {$/;" v +DatasetSerializationTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^class DatasetSerializationTestBase(test.TestCase):$/;" c +DatasetSource adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class DatasetSource(DatasetV2):$/;" c +DatasetSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class DatasetSpec(type_spec.BatchableTypeSpec):$/;" c +DatasetStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.ops.dataset_ops import DatasetSpec as DatasetStructure$/;" x +DatasetStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.ops.dataset_ops import DatasetSpec as DatasetStructure$/;" x +DATASETS_BOOST adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^DATASETS_BOOST = np.load(os.path.join(os.path.dirname(__file__),$/;" v +DATASETS_GSL adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^DATASETS_GSL = np.load(os.path.join(os.path.dirname(__file__),$/;" v +DATASETS_LOCAL adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^DATASETS_LOCAL = np.load(os.path.join(os.path.dirname(__file__),$/;" v +DatasetTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^class DatasetTestBase(test.TestCase):$/;" c +DatasetToGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DatasetToGraph = tf_export("raw_ops.DatasetToGraph")(_ops.to_raw_op(dataset_to_graph))$/;" v +DatasetToGraphV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DatasetToGraphV2 = tf_export("raw_ops.DatasetToGraphV2")(_ops.to_raw_op(dataset_to_graph_v2))$/;" v +DatasetToSingleElement adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DatasetToSingleElement = tf_export("raw_ops.DatasetToSingleElement")(_ops.to_raw_op(dataset_to_s/;" v +DatasetToTFRecord adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DatasetToTFRecord = tf_export("raw_ops.DatasetToTFRecord")(_ops.to_raw_op(dataset_to_tf_record))$/;" v +DatasetType adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DatasetType = enum_type_wrapper.EnumTypeWrapper(_DATASETTYPE)$/;" v +DatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class DatasetV1(DatasetV2):$/;" c +DatasetV1Adapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class DatasetV1Adapter(DatasetV1):$/;" c +DatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class DatasetV2(collections_abc.Iterable, tracking_base.Trackable,$/;" c +dataset_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_cardinality(input_dataset, name=None):$/;" f +dataset_cardinality_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_cardinality_eager_fallback(input_dataset, name, ctx):$/;" f +dataset_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def dataset_fn():$/;" f function:batchnorm_example file: +dataset_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def dataset_fn():$/;" f function:minimize_loss_example file: +dataset_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def dataset_fn():$/;" f function:single_loss_example file: +dataset_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ dataset_fn = lambda: dataset_ops.Dataset.range(100)$/;" f member:RemoteSingleWorkerMirroredStrategyBase._testMakeInputFnIteratorWithDataset file: +dataset_from_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dataset_from_graph(graph_def, name=None):$/;" f +dataset_from_graph_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dataset_from_graph_eager_fallback(graph_def, name, ctx):$/;" f +dataset_initializer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def dataset_initializer(self):$/;" m class:_Inputs +dataset_is_infinite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def dataset_is_infinite(dataset):$/;" f +dataset_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_graph(input_dataset, stateful_whitelist=[], allow_stateful=False, strip_device_as/;" f +dataset_to_graph_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_graph_eager_fallback(input_dataset, stateful_whitelist, allow_stateful, strip_dev/;" f +dataset_to_graph_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_graph_v2(input_dataset, external_state_policy=0, strip_device_assignment=False, n/;" f +dataset_to_graph_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_graph_v2_eager_fallback(input_dataset, external_state_policy, strip_device_assign/;" f +dataset_to_single_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_single_element(dataset, output_types, output_shapes, name=None):$/;" f +dataset_to_single_element_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dataset_to_single_element_eager_fallback(dataset, output_types, output_shapes, name, ctx):$/;" f +dataset_to_tf_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dataset_to_tf_record(input_dataset, filename, compression_type, name=None):$/;" f +dataset_to_tf_record_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dataset_to_tf_record_eager_fallback(input_dataset, filename, compression_type, name, ctx):$/;" f +DATASET_TRAINING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATASET_TRAINING = 1$/;" v +DATASET_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATASET_UNKNOWN = 0$/;" v +DATASET_VALIDATION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATASET_VALIDATION = 2$/;" v +datasource adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^import numpy.lib._datasource as datasource$/;" I +DataSource adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^class DataSource:$/;" c +dataState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def dataState(self):$/;" m class:HTMLTokenizer +dataState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def dataState(self):$/;" m class:HTMLTokenizer +DataTable adpepsenv/lib/python3.8/site-packages/gviz_api.py /^class DataTable(object):$/;" c +DataTableException adpepsenv/lib/python3.8/site-packages/gviz_api.py /^class DataTableException(Exception):$/;" c +DataTableJSONEncoder adpepsenv/lib/python3.8/site-packages/gviz_api.py /^class DataTableJSONEncoder(json.JSONEncoder):$/;" c +DataType adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class DataType:$/;" c +Datatype adpepsenv/lib/python3.8/site-packages/h5py/_hl/datatype.py /^class Datatype(HLObject):$/;" c +Datatype adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Datatype [$/;" c +DataType adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DataType = enum_type_wrapper.EnumTypeWrapper(_DATATYPE)$/;" v +DataType adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DataType = enum_type_wrapper.EnumTypeWrapper(_DATATYPE)$/;" v +DataType adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DataType = enum_type_wrapper.EnumTypeWrapper(_DATATYPE)$/;" v +DataWorker adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^class DataWorker(Worker):$/;" c +DataWorkersTest adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^class DataWorkersTest(unittest.TestCase):$/;" c +data_as adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def data_as(self, obj):$/;" m class:_ctypes +DATA_CLASS_BLOB_SEQUENCE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DATA_CLASS_BLOB_SEQUENCE = 3$/;" v +DATA_CLASS_BLOB_SEQUENCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DATA_CLASS_BLOB_SEQUENCE = 3$/;" v +DATA_CLASS_SCALAR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DATA_CLASS_SCALAR = 1$/;" v +DATA_CLASS_SCALAR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DATA_CLASS_SCALAR = 1$/;" v +DATA_CLASS_TENSOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DATA_CLASS_TENSOR = 2$/;" v +DATA_CLASS_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DATA_CLASS_TENSOR = 2$/;" v +DATA_CLASS_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DATA_CLASS_UNKNOWN = 0$/;" v +DATA_CLASS_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DATA_CLASS_UNKNOWN = 0$/;" v +data_content_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^data_content_type = re.compile(r'''$/;" v +data_content_type adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^data_content_type = re.compile(r'''$/;" v +DATA_DIR adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^DATA_DIR = os.path.join(TOP_DIR, DATA_SUFFIX)$/;" v +DATA_DIR adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^DATA_DIR = os.path.join(os.path.dirname(__file__), 'data')$/;" v +data_file adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def data_file(basename):$/;" f +data_file adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^def data_file(basename):$/;" f +data_finished adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def data_finished(self):$/;" m class:ReaderWithLimitBase +data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def data_format(self):$/;" m class:ConvLSTM2D +data_format_dim_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def data_format_dim_map(x, src_format="NHWC", dst_format="NCHW", name=None):$/;" f +data_format_dim_map_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def data_format_dim_map_eager_fallback(x, src_format, dst_format, name, ctx):$/;" f +data_format_vec_permute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def data_format_vec_permute(x, src_format="NHWC", dst_format="NCHW", name=None):$/;" f +data_format_vec_permute_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def data_format_vec_permute_eager_fallback(x, src_format, dst_format, name, ctx):$/;" f +data_gsl adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def data_gsl(func, dataname, *a, **kw):$/;" f +data_impl adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def data_impl(self, request):$/;" m class:ProfilePlugin +data_local adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def data_local(func, dataname, *a, **kw):$/;" f +data_location adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def data_location(self, ctx=None, *, experiment_id):$/;" m class:MultiplexerDataProvider +data_location adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def data_location(self, ctx=None, *, experiment_id):$/;" m class:DataProvider +DATA_LOSS adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ DATA_LOSS = (_cygrpc.StatusCode.data_loss, 'data loss')$/;" v class:StatusCode +DATA_LOSS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^DATA_LOSS = error_codes.DATA_LOSS$/;" v +DATA_LOSS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^DATA_LOSS = 15$/;" v +DATA_LOSS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^DATA_LOSS = 15$/;" v +DATA_LOSS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^DATA_LOSS = error_codes_pb2.DATA_LOSS$/;" v +data_nest adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.python.data.util import nest as data_nest$/;" x +data_parallel adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^def data_parallel(module, inputs, device_ids=None, output_device=None, dim=0, module_kwargs=None/;" f +data_path adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^data_path = pjoin(os.path.dirname(__file__), 'data')$/;" v +DATA_PATH adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^DATA_PATH = path.join(path.dirname(__file__), 'data')$/;" v +DATA_PATH adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^DATA_PATH = path.join(path.dirname(__file__), 'data')$/;" v +data_plugin_names adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def data_plugin_names(self):$/;" m class:TBPlugin +data_plugin_names adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def data_plugin_names(self):$/;" m class:DistributionsPlugin +data_plugin_names adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def data_plugin_names(self):$/;" m class:MetricsPlugin +DATA_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^DATA_PREFIX = "\/data"$/;" v +data_provider adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^ def data_provider(self):$/;" m class:LocalDataIngester +DATA_RE adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^DATA_RE = re.compile(r"^_imp__(.*) in python%s\\.dll" % py_ver, re.MULTILINE)$/;" v +data_route adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def data_route(self, request):$/;" m class:ProfilePlugin +DATA_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^DATA_ROUTE = '\/data'$/;" v +data_rvs adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^ def data_rvs(n):$/;" f function:random file: +data_scheme_file_maker adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def data_scheme_file_maker(zip_file, scheme):$/;" f function:_install_wheel file: +data_service_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def data_service_dataset(dataset_id, processing_mode, address, protocol, job_name, max_outstandi/;" f +data_service_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def data_service_dataset_eager_fallback(dataset_id, processing_mode, address, protocol, job_name/;" f +data_source_from_info adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def data_source_from_info(info):$/;" f +DATA_SUFFIX adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^DATA_SUFFIX = 'data'$/;" v +DATA_TYPE_BOOL adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATA_TYPE_BOOL = 2$/;" v +DATA_TYPE_FLOAT64 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATA_TYPE_FLOAT64 = 3$/;" v +data_type_for_dtype adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def data_type_for_dtype(dtype):$/;" f +DATA_TYPE_STRING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATA_TYPE_STRING = 1$/;" v +DATA_TYPE_UNSET adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DATA_TYPE_UNSET = 0$/;" v +data_workers adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^import caffe2.python.data_workers as data_workers$/;" I +DATE adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^DATE = "Date"$/;" v +date adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ date = environ_property($/;" v class:CommonRequestDescriptorsMixin +date adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ date = header_property($/;" v class:CommonResponseDescriptorsMixin +date2num adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def date2num(d):$/;" f +DateAttribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class DateAttribute(Attribute):$/;" c +DateConverter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class DateConverter(units.ConversionInterface):$/;" c +DateFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class DateFormatter(ticker.Formatter):$/;" c +DateLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class DateLocator(ticker.Locator):$/;" c +dateOfBirth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^dateOfBirth = SingleAttribute()$/;" v +datestr2num adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def datestr2num(d, default=None):$/;" f +DatetimeFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class DatetimeFormat(_TimelikeFormat):$/;" c +datetime_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^def datetime_ambiguous(dt, tz=None):$/;" f +datetime_as_string adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def datetime_as_string(arr, unit=None, timezone=None, casting=None):$/;" f +datetime_exists adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^def datetime_exists(dt, tz=None):$/;" f +datetime_to_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^def datetime_to_header(dt):$/;" f +datetime_to_secs adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def datetime_to_secs(value):$/;" f +date_range adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def date_range(start, freq, periods):$/;" f function:test_date2num_dst file: +date_ticker adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^import matplotlib.dates as date_ticker$/;" I +date_ticker_factory adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def date_ticker_factory(span, tz=None, numticks=5):$/;" f +DATE_TIME adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^DATE_TIME = 306$/;" v +DATrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^from datrie import Trie as DATrie$/;" x +DATrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/__init__.py /^ from .datrie import Trie as DATrie$/;" x +daub adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def daub(p):$/;" f +dawsn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t dawsn(Dd_number_t x0) nogil$/;" f +Dawsn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^Dawsn = tf_export("raw_ops.Dawsn")(_ops.to_raw_op(dawsn))$/;" v +dawsn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def dawsn(x, name=None):$/;" f +dawsn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def dawsn(x, name=None):$/;" f +dawsn_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def dawsn_eager_fallback(x, name, ctx):$/;" f +daxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void daxpy(int *n, d *da, d *dx, int *incx, d *dy, int *incy) nogil$/;" f +day adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^day = UnitDbl(24.0, "hour")$/;" v +day adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^day = 24 * hour$/;" v +DayLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class DayLocator(RRuleLocator):$/;" c +DAYS_PER_MONTH adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^DAYS_PER_MONTH = 30.$/;" v +DAYS_PER_WEEK adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^DAYS_PER_WEEK = 7.$/;" v +DAYS_PER_YEAR adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^DAYS_PER_YEAR = 365.0$/;" v +dB adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^def dB(x):$/;" f +dbbcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dbbcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, int *m, int /;" f +dbdsdc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dbdsdc(char *uplo, char *compq, int *n, d *d, d *e, d *u, int *ldu, d *vt, int *ldvt, /;" f +dbdsqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dbdsqr(char *uplo, int *n, int *ncvt, int *nru, int *ncc, d *d, d *e, d *vt, int *ldvt/;" f +DBFileReader adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^class DBFileReader(Reader):$/;" c +dblint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def dblint(xa, xb, ya, yb, tck):$/;" f +dblquad adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def dblquad(func, a, b, gfun, hfun, args=(), epsabs=1.49e-8, epsrel=1.49e-8):$/;" f +dblQuotedString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^dblQuotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*') +/;" v +dblQuotedString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^dblQuotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*')+'/;" v +dblQuotedString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^dblQuotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*') +/;" v +dblQuotedString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^dblQuotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*')+'/;" v +dblSlashComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^dblSlashComment = Regex(r"\/\/(?:\\\\\\n|[^\\n])*").setName("\/\/ comment")$/;" v +dblSlashComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^dblSlashComment = Regex(r"\/\/(?:\\\\\\n|[^\\n])*").setName("\/\/ comment")$/;" v +dblSlashComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^dblSlashComment = Regex(r"\/\/(?:\\\\\\n|[^\\n])*").setName("\/\/ comment")$/;" v +dblSlashComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^dblSlashComment = Regex(r"\/\/(?:\\\\\\n|[^\\n])*").setName("\/\/ comment")$/;" v +dbode adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def dbode(system, w=None, n=100):$/;" f +DBReaderProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^DBReaderProto = _reflection.GeneratedProtocolMessageType('DBReaderProto', (_message.Message,), {$/;" v +db_input adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/db_input.py /^def db_input(model, blobs_out, batch_size, db, db_type):$/;" f +db_name adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^def db_name(epoch, node_name, db_prefix, path_prefix=None):$/;" f +dc adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^from tensorflow.python.distribute import distribute_coordinator as dc$/;" x +dc adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^from tensorflow.python.distribute import distribute_coordinator as dc$/;" x +dc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.distribute import distribute_coordinator as dc$/;" x +dc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.distribute import distribute_coordinator as dc$/;" x +dc10d adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^import torch.distributed.distributed_c10d as dc10d$/;" I +dcabs1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dcabs1(z *z) nogil$/;" f +dcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dcopy(int *n, d *dx, int *incx, d *dy, int *incy) nogil$/;" f +dct adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^dct = _MockFunction(np.random.random(10))$/;" v +dct adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^dct = functools.partial(_r2r, True, pfft.dct)$/;" v +dct adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def dct(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False, workers=None):$/;" f +dct adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def dct(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False):$/;" f +dct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^def dct(input, type=2, n=None, axis=-1, norm=None, name=None): # pylint: disable=redefined-buil/;" f +dctn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^dctn = _MockFunction(np.random.random(10))$/;" v +dctn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^dctn = functools.partial(_r2rn, True, pfft.dct)$/;" v +dctn adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def dctn(x, type=2, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +dctn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def dctn(x, type=2, shape=None, axes=None, norm=None, overwrite_x=False):$/;" f +dct_2d_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def dct_2d_ref(x, **kwargs):$/;" f +dct_type adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ dct_type = [1, 2, 3, 4]$/;" v class:Test_DCTN_IDCTN +dct_type adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ dct_type = [1, 2, 3, 4]$/;" v class:Test_DCTN_IDCTN +DcxImageFile adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^class DcxImageFile(PcxImageFile):$/;" c +dc_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^from tensorflow.python.distribute import distribute_coordinator_context as dc_context$/;" x +dc_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^from tensorflow.python.distribute import distribute_coordinator_context as dc_context$/;" x +dc_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.distribute import distribute_coordinator_context as dc_context$/;" x +dc_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^from tensorflow.python.distribute import distribute_coordinator_context as dc_context$/;" x +ddayset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def ddayset(self, year, month, day):$/;" m class:_iterinfo +ddisna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ddisna(char *job, int *m, int *n, d *d, d *sep, int *info) nogil$/;" f +ddot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d ddot(int *n, d *dx, int *incx, d *dy, int *incy) nogil$/;" f +DdpComparisonTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class DdpComparisonTest(RpcAgentTestFixture):$/;" c +DDPF_ALPHA adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_ALPHA = 0x2$/;" v +DDPF_ALPHAPIXELS adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_ALPHAPIXELS = 0x1$/;" v +DDPF_FOURCC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_FOURCC = 0x4$/;" v +DDPF_LUMINANCE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_LUMINANCE = 0x20000$/;" v +DDPF_PALETTEINDEXED8 adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_PALETTEINDEXED8 = 0x20$/;" v +DDPF_RGB adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDPF_RGB = 0x40$/;" v +DdpMode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class DdpMode(enum.Enum):$/;" c +DdpUnderDistAutogradTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class DdpUnderDistAutogradTest(RpcAgentTestFixture):$/;" c +DDPUnevenTestInput adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class DDPUnevenTestInput(NamedTuple):$/;" c member:DistributedTest._DistTestBase.test_ddp_uneven_inputs file: +ddp_cleanup adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def ddp_cleanup():$/;" f +DDP_NET adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^DDP_NET = Net()$/;" v +ddp_setup adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def ddp_setup(rank, world_size):$/;" f +DDSCAPS2_CUBEMAP adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP = 0x200$/;" v +DDSCAPS2_CUBEMAP_NEGATIVEX adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_NEGATIVEX = 0x800$/;" v +DDSCAPS2_CUBEMAP_NEGATIVEY adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_NEGATIVEY = 0x2000$/;" v +DDSCAPS2_CUBEMAP_NEGATIVEZ adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_NEGATIVEZ = 0x8000$/;" v +DDSCAPS2_CUBEMAP_POSITIVEX adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_POSITIVEX = 0x400$/;" v +DDSCAPS2_CUBEMAP_POSITIVEY adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_POSITIVEY = 0x1000$/;" v +DDSCAPS2_CUBEMAP_POSITIVEZ adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_CUBEMAP_POSITIVEZ = 0x4000$/;" v +DDSCAPS2_VOLUME adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS2_VOLUME = 0x200000$/;" v +DDSCAPS_COMPLEX adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS_COMPLEX = 0x8$/;" v +DDSCAPS_MIPMAP adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS_MIPMAP = 0x400000$/;" v +DDSCAPS_TEXTURE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSCAPS_TEXTURE = 0x1000$/;" v +DDSD_CAPS adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_CAPS = 0x1$/;" v +DDSD_DEPTH adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_DEPTH = 0x800000$/;" v +DDSD_HEIGHT adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_HEIGHT = 0x2$/;" v +DDSD_LINEARSIZE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_LINEARSIZE = 0x80000$/;" v +DDSD_MIPMAPCOUNT adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_MIPMAPCOUNT = 0x20000$/;" v +DDSD_PITCH adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_PITCH = 0x8$/;" v +DDSD_PIXELFORMAT adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_PIXELFORMAT = 0x1000$/;" v +DDSD_WIDTH adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDSD_WIDTH = 0x4$/;" v +DdsImageFile adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^class DdsImageFile(ImageFile.ImageFile):$/;" c +DDS_ALPHA adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_ALPHA = DDPF_ALPHA$/;" v +DDS_CUBEMAP_NEGATIVEX adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_NEGATIVEX = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_NEGATIVEX$/;" v +DDS_CUBEMAP_NEGATIVEY adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_NEGATIVEY = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_NEGATIVEY$/;" v +DDS_CUBEMAP_NEGATIVEZ adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_NEGATIVEZ = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_NEGATIVEZ$/;" v +DDS_CUBEMAP_POSITIVEX adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_POSITIVEX = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_POSITIVEX$/;" v +DDS_CUBEMAP_POSITIVEY adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_POSITIVEY = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_POSITIVEY$/;" v +DDS_CUBEMAP_POSITIVEZ adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_CUBEMAP_POSITIVEZ = DDSCAPS2_CUBEMAP | DDSCAPS2_CUBEMAP_POSITIVEZ$/;" v +DDS_FOURCC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_FOURCC = DDPF_FOURCC$/;" v +DDS_HEADER_FLAGS_LINEARSIZE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEADER_FLAGS_LINEARSIZE = DDSD_LINEARSIZE$/;" v +DDS_HEADER_FLAGS_MIPMAP adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEADER_FLAGS_MIPMAP = DDSD_MIPMAPCOUNT$/;" v +DDS_HEADER_FLAGS_PITCH adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEADER_FLAGS_PITCH = DDSD_PITCH$/;" v +DDS_HEADER_FLAGS_TEXTURE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEADER_FLAGS_TEXTURE = DDSD_CAPS | DDSD_HEIGHT | DDSD_WIDTH | DDSD_PIXELFORMAT$/;" v +DDS_HEADER_FLAGS_VOLUME adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEADER_FLAGS_VOLUME = DDSD_DEPTH$/;" v +DDS_HEIGHT adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_HEIGHT = DDSD_HEIGHT$/;" v +DDS_LUMINANCE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_LUMINANCE = DDPF_LUMINANCE$/;" v +DDS_LUMINANCEA adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_LUMINANCEA = DDPF_LUMINANCE | DDPF_ALPHAPIXELS$/;" v +DDS_MAGIC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_MAGIC = 0x20534444$/;" v +DDS_PAL8 adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_PAL8 = DDPF_PALETTEINDEXED8$/;" v +DDS_RGB adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_RGB = DDPF_RGB$/;" v +DDS_RGBA adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_RGBA = DDPF_RGB | DDPF_ALPHAPIXELS$/;" v +DDS_SURFACE_FLAGS_CUBEMAP adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_SURFACE_FLAGS_CUBEMAP = DDSCAPS_COMPLEX$/;" v +DDS_SURFACE_FLAGS_MIPMAP adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_SURFACE_FLAGS_MIPMAP = DDSCAPS_COMPLEX | DDSCAPS_MIPMAP$/;" v +DDS_SURFACE_FLAGS_TEXTURE adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_SURFACE_FLAGS_TEXTURE = DDSCAPS_TEXTURE$/;" v +DDS_WIDTH adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DDS_WIDTH = DDSD_WIDTH$/;" v +ddtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ ddtype = [('a', int), ('b', float), ('c', '|S8')]$/;" v class:TestMRecords +ddtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ ddtype = [('a', int), ('b', float), ('c', '|S8')]$/;" v class:TestMRecordsImport +DeadlineExceededError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class DeadlineExceededError(OpError):$/;" c +DeadlineExceededError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class DeadlineExceededError(OpError):$/;" c +DEADLINE_EXCEEDED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ DEADLINE_EXCEEDED = (_cygrpc.StatusCode.deadline_exceeded,$/;" v class:StatusCode +DEADLINE_EXCEEDED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^DEADLINE_EXCEEDED = error_codes.DEADLINE_EXCEEDED$/;" v +DEADLINE_EXCEEDED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^DEADLINE_EXCEEDED = 4$/;" v +DEADLINE_EXCEEDED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^DEADLINE_EXCEEDED = 4$/;" v +DEADLINE_EXCEEDED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^DEADLINE_EXCEEDED = error_codes_pb2.DEADLINE_EXCEEDED$/;" v +deb adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def deb(self, val, beta, i, zero_debias):$/;" m class:TestYellowFin +debug adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def debug(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +DEBUG adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^DEBUG = converter.ABSL_DEBUG$/;" v +debug adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def debug(msg, *args, **kwargs):$/;" f +debug adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def debug(f):$/;" f +DEBUG adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^DEBUG = False$/;" v +DEBUG adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^DEBUG = False$/;" v +debug adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^debug = []$/;" v +debug adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ debug = 0 # May be set from 0 (no msgs) to 3 (all msgs)$/;" v class:TarFile +Debug adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^class Debug(object):$/;" c +debug adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def debug(self, msg, *args):$/;" m class:PackageIndex +DEBUG adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/debug.py /^DEBUG = os.environ.get('DISTUTILS_DEBUG')$/;" v +debug adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def debug(self, msg, *args):$/;" m class:Log +DEBUG adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^DEBUG = 1$/;" v +debug adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^debug = _global_log.debug$/;" v +debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def debug(msg, *args, **kwargs):$/;" f +DEBUG adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^DEBUG = 'DEBUG'$/;" v +debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def debug(message, *args):$/;" f +debug adpepsenv/lib/python3.8/site-packages/torch/version.py /^debug = False$/;" v +DebugAnalyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^class DebugAnalyzer(object):$/;" c +debugcapi adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def debugcapi(var):$/;" f +DebugCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^class DebugCommand(Command):$/;" c +DebugConcurrentRunCallsTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^class DebugConcurrentRunCallsTest(test_util.TensorFlowTestCase):$/;" c +DebugDataReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class DebugDataReader(object):$/;" c +DebugDumpDir adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^class DebugDumpDir(object):$/;" c +DebugEvent adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^DebugEvent = _reflection.GeneratedProtocolMessageType('DebugEvent', (_message.Message,), {$/;" v +DebugEventsReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class DebugEventsReader(object):$/;" c +DebugEventsWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^class DebugEventsWriter(object):$/;" c +DebugEventWithOffset adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^DebugEventWithOffset = collections.namedtuple($/;" v +DebuggedApplication adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^class DebuggedApplication(object):$/;" c +DebuggedDevice adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^DebuggedDevice = _reflection.GeneratedProtocolMessageType('DebuggedDevice', (_message.Message,),/;" v +DebuggedDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class DebuggedDevice(object):$/;" c +DebuggedGraph adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^DebuggedGraph = _reflection.GeneratedProtocolMessageType('DebuggedGraph', (_message.Message,), {$/;" v +DebuggedGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class DebuggedGraph(object):$/;" c +DebuggedSourceFile adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^DebuggedSourceFile = _reflection.GeneratedProtocolMessageType('DebuggedSourceFile', (_message.Me/;" v +DebuggedSourceFile adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^DebuggedSourceFile = _reflection.GeneratedProtocolMessageType('DebuggedSourceFile', (_message.Me/;" v +DebuggedSourceFiles adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^DebuggedSourceFiles = _reflection.GeneratedProtocolMessageType('DebuggedSourceFiles', (_message./;" v +DebuggedSourceFiles adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^DebuggedSourceFiles = _reflection.GeneratedProtocolMessageType('DebuggedSourceFiles', (_message./;" v +DebuggerEventMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^DebuggerEventMetadata = _reflection.GeneratedProtocolMessageType('DebuggerEventMetadata', (_mess/;" v +DebuggerV2EventMultiplexer adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^class DebuggerV2EventMultiplexer(object):$/;" c +DebuggerV2Plugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^class DebuggerV2Plugin(base_plugin.TBPlugin):$/;" c +DebugGradientIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^DebugGradientIdentity = tf_export("raw_ops.DebugGradientIdentity")(_ops.to_raw_op(debug_gradient/;" v +DebugGradientRefIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^DebugGradientRefIdentity = tf_export("raw_ops.DebugGradientRefIdentity")(_ops.to_raw_op(debug_gr/;" v +DebugGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^class DebugGraph(object):$/;" c +DebugIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^DebugIdentity = tf_export("raw_ops.DebugIdentity")(_ops.to_raw_op(debug_identity))$/;" v +DebugIdentityV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^DebugIdentityV2 = tf_export("raw_ops.DebugIdentityV2")(_ops.to_raw_op(debug_identity_v2))$/;" v +DebugMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^DebugMetadata = _reflection.GeneratedProtocolMessageType('DebugMetadata', (_message.Message,), {$/;" v +DebugMode adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^class DebugMode(object):$/;" c +DebugNanCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^DebugNanCount = tf_export("raw_ops.DebugNanCount")(_ops.to_raw_op(debug_nan_count))$/;" v +DebugNumericSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^DebugNumericSummary = tf_export("raw_ops.DebugNumericSummary")(_ops.to_raw_op(debug_numeric_summ/;" v +DebugNumericSummaryV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^DebugNumericSummaryV2 = tf_export("raw_ops.DebugNumericSummaryV2")(_ops.to_raw_op(debug_numeric_/;" v +debugoptions adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^debugoptions = []$/;" v +DebugOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^DebugOptions = _reflection.GeneratedProtocolMessageType('DebugOptions', (_message.Message,), {$/;" v +DebugOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^DebugOptions = _reflection.GeneratedProtocolMessageType('DebugOptions', (_message.Message,), {$/;" v +DebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DebugOutput = _reflection.GeneratedProtocolMessageType('DebugOutput', (_message.Message,), {$/;" v +debugPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^debugPS = 0$/;" v +DebugReprGenerator adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^class DebugReprGenerator(object):$/;" c +DebugState adpepsenv/lib/python3.8/site-packages/jax/core.py /^class DebugState(threading.local):$/;" c +DebugTensorDatum adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^class DebugTensorDatum(object):$/;" c +DebugTensorWatch adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^DebugTensorWatch = _reflection.GeneratedProtocolMessageType('DebugTensorWatch', (_message.Messag/;" v +DebugTensorWatch adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^DebugTensorWatch = _reflection.GeneratedProtocolMessageType('DebugTensorWatch', (_message.Messag/;" v +DebugWatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^DebugWatch = collections.namedtuple("DebugWatch",$/;" v +DEBUG_ALL adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^DEBUG_ALL = 0xffff$/;" v +debug_application adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def debug_application(self, environ, start_response):$/;" m class:DebuggedApplication +DEBUG_DECODER adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^DEBUG_DECODER = 0x0002$/;" v +DEBUG_DIRECTORY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^DEBUG_DIRECTORY = "debug"$/;" v +DEBUG_ENCODER adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^DEBUG_ENCODER = 0x0001$/;" v +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def debug_error_string(self) -> str:$/;" m class:Call +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def debug_error_string(self) -> str:$/;" m class:AioRpcError +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def debug_error_string(self) -> Optional[str]:$/;" m class:InterceptedCall +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def debug_error_string(self) -> Optional[str]:$/;" m class:UnaryUnaryCallResponse +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def debug_error_string(self) -> Optional[str]:$/;" m class:_StreamCallResponseIterator +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def debug_error_string(self):$/;" m class:_InactiveRpcError +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def debug_error_string(self):$/;" m class:_MultiThreadedRendezvous +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def debug_error_string(self):$/;" m class:_Rendezvous +debug_error_string adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def debug_error_string(self):$/;" m class:_SingleThreadedRendezvous +debug_gradient_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def debug_gradient_identity(input, name=None):$/;" f +debug_gradient_identity_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def debug_gradient_identity_eager_fallback(input, name, ctx):$/;" f +debug_gradient_ref_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def debug_gradient_ref_identity(input, name=None):$/;" f +debug_gradient_ref_identity_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def debug_gradient_ref_identity_eager_fallback(input, name, ctx):$/;" f +debug_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def debug_graph_def(self):$/;" m class:DebugGraph +debug_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_identity(input, device_name="", tensor_name="", debug_urls=[], gated_grpc=False, name=/;" f +debug_identity_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_identity_eager_fallback(input, device_name, tensor_name, debug_urls, gated_grpc, name,/;" f +debug_identity_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_identity_v2(input, tfdbg_context_id="", op_name="", output_slot=-1, tensor_debug_mode=/;" f +debug_identity_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_identity_v2_eager_fallback(input, tfdbg_context_id, op_name, output_slot, tensor_debug/;" f +DEBUG_INFO_FILENAME_PB adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^DEBUG_INFO_FILENAME_PB = "saved_model_debug_info.pb"$/;" v +debug_mnist_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/debug_mnist.py /^import tensorflow.python.debug.examples.v1.debug_mnist_v1 as debug_mnist_v1$/;" I +debug_mnist_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/debug_mnist.py /^import tensorflow.python.debug.examples.v2.debug_mnist_v2 as debug_mnist_v2$/;" I +DEBUG_MSG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^def DEBUG_MSG(string, lvl=3, o=None):$/;" f +debug_nan_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_nan_count(input, device_name="", tensor_name="", debug_urls=[], gated_grpc=False, name/;" f +debug_nan_count_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_nan_count_eager_fallback(input, device_name, tensor_name, debug_urls, gated_grpc, name/;" f +debug_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def debug_net(net):$/;" f +DEBUG_NONE adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^DEBUG_NONE = 0x0000$/;" v +debug_numeric_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_numeric_summary(input, device_name="", tensor_name="", debug_urls=[], lower_bound=floa/;" f +debug_numeric_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_numeric_summary_eager_fallback(input, device_name, tensor_name, debug_urls, lower_boun/;" f +debug_numeric_summary_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_numeric_summary_v2(input, output_dtype=_dtypes.float32, tensor_debug_mode=-1, tensor_i/;" f +debug_numeric_summary_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^def debug_numeric_summary_v2_eager_fallback(input, output_dtype, tensor_debug_mode, tensor_id, n/;" f +debug_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def debug_op(self):$/;" m class:DebugTensorDatum +debug_print adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def debug_print(self, msg):$/;" m class:CCompiler +debug_print adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def debug_print(self, msg):$/;" m class:Command +debug_print adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def debug_print(self, msg):$/;" m class:FileList +debug_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def debug_print(self, node):$/;" m class:NodeStateTracker +DEBUG_PRINT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^ DEBUG_PRINT = args.debug_print$/;" v +DEBUG_PRINT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^DEBUG_PRINT = False$/;" v +debug_print_src adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def debug_print_src(self, node):$/;" m class:NodeStateTracker +debug_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^def debug_repr(obj):$/;" f +DEBUG_RUN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ DEBUG_RUN = "debug_run"$/;" v class:OnRunStartAction +debug_state adpepsenv/lib/python3.8/site-packages/jax/core.py /^debug_state = DebugState()$/;" v +DEBUG_TENSOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^DEBUG_TENSOR = False$/;" v +debug_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def debug_tensor_value(self):$/;" m class:GraphExecutionTrace +debug_tensor_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def debug_tensor_values(self):$/;" m class:Execution +debug_watch_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def debug_watch_keys(self, node_name, device_name=None):$/;" m class:DebugDumpDir +DEBUNDLED adpepsenv/lib/python3.8/site-packages/pip/_vendor/__init__.py /^DEBUNDLED = False$/;" v +dec adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dec = 12$/;" v class:_TestNormDoubleBase +dec adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dec = 12$/;" v class:_TestNormInt64Base +dec adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dec = 6$/;" v class:_TestNormSingleBase +dec adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dec = None$/;" v class:_TestNormBase +dec adpepsenv/lib/python3.8/site-packages/numpy/testing/__init__.py /^from ._private import decorators as dec$/;" x +dec adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ dec = 14$/;" v class:Test_DCTN_IDCTN +dec adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ dec = 14$/;" v class:Test_DCTN_IDCTN +dec adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def dec(f):$/;" f function:dispatch_on.gen_func_dec.register file: +dec adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def dec(fn):$/;" f function:skipCUDAMemoryLeakCheckIf file: +dec adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def dec(fn):$/;" f function:skipCUDANonDefaultStreamIf file: +dec adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^ def dec(fun):$/;" f function:annotate file: +decadeAbbrRe adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^decadeAbbrRe = r"(? bytes:$/;" f +DecryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class DecryptedPOP(univ.Sequence):$/;" c +DecryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class DecryptedPOP(univ.Sequence):$/;" c +DecryptionError adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^class DecryptionError(CryptoError):$/;" c +DecryptKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class DecryptKeyIdentifier(univ.OctetString):$/;" c +DecryptOperation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^class DecryptOperation(CryptoOperation):$/;" c +decrypt_int adpepsenv/lib/python3.8/site-packages/rsa/core.py /^def decrypt_int(cyphertext: int, dkey: int, n: int) -> int:$/;" f +decrypt_private_key adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def decrypt_private_key(key, passphrase):$/;" f +dec_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def dec_fn(fn):$/;" f function:skipCUDAIfCudnnVersionLessThan file: +dec_map adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^dec_map = {$/;" v +dec_octet adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^dec_octet = r"""(?: %(DIGIT)s |$/;" v +dec_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^ def dec_ref(Y):$/;" f member:TestFloatToFusedRandRowwiseQuantized.test_rand_quantization file: +dedent adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def dedent(self, text):$/;" m class:TestCase +dedent_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def dedent_block(code_string):$/;" f +dedent_description adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def dedent_description(pkg_info):$/;" f +dedent_interpd adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^def dedent_interpd(func):$/;" f +deduce_helpful_msg adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def deduce_helpful_msg(req):$/;" f +dedup adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def dedup(net, sparse_dedup_aggregator, grad):$/;" m class:Optimizer +dedupe_g_output adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def dedupe_g_output(op, g_output):$/;" f +DeduplicateGradientSlices adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def DeduplicateGradientSlices(self, g, aggregator='sum'):$/;" m class:Net +deepcopy adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ deepcopy = __deepcopy__$/;" v class:Path +DeepCopy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^DeepCopy = tf_export("raw_ops.DeepCopy")(_ops.to_raw_op(deep_copy))$/;" v +deepcopy adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def deepcopy(self, memo=None):$/;" m class:MultiDict +deep_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def deep_copy(x, name=None):$/;" f +deep_copy_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def deep_copy_eager_fallback(x, name, ctx):$/;" f +DEF adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^DEF = re.compile(r'\\s*def\\s*([_\\w][_\\w\\d]*)\\s*\\(')$/;" v +default adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def default(self):$/;" m class:FlagHolder +default adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def default(scopes=None, request=None, quota_project_id=None, default_scopes=None):$/;" f +Default adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def Default():$/;" f +Default adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^def Default():$/;" f +default adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def default(self, o):$/;" m class:DataTableJSONEncoder +default adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def default(self, o):$/;" m class:_JSONEncoder +DEFAULT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^DEFAULT = 0$/;" v +DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^DEFAULT = 0$/;" v +DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ DEFAULT = "DEFAULT"$/;" v class:Optimize +DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DEFAULT = 0$/;" v class:FullyConnectedOptionsWeightsFormat +default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ default = lambda: values[0]$/;" f member:PiecewiseConstantDecay.__call__ file: +default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^ def default(self, obj):$/;" m class:Encoder +default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def default(method):$/;" f +DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ DEFAULT = ADD_N$/;" v class:AggregationMethod +DEFAULT adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ DEFAULT = "default (compile if called from a exported function \/ forward)"$/;" v class:FunctionModifiers +DefaultAttrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^DefaultAttrs = tf_export("raw_ops.DefaultAttrs")(_ops.to_raw_op(default_attrs))$/;" v +defaultBinValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ defaultBinValue = defaultHexValue = noValue$/;" v class:BitString +defaultBinValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ defaultBinValue = defaultHexValue = noValue$/;" v class:OctetString +DefaultCredentialsError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class DefaultCredentialsError(GoogleAuthError):$/;" c +defaultdeletechars adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ defaultdeletechars = set(r"""~!@#$%^&*()-=+~\\|]}[{';: \/?.>,<""")$/;" v class:NameValidator +DefaultDict adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class DefaultDict(collections.defaultdict, typing.MutableMapping[KT, VT],$/;" c +DefaultDict adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ DefaultDict = typing.DefaultDict$/;" v +DefaultDirection adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DefaultDirection = enum_type_wrapper.EnumTypeWrapper(_DEFAULTDIRECTION)$/;" v +DefaultDownloadProgressBar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class DefaultDownloadProgressBar(BaseDownloadProgressBar,$/;" c +DefaultedNamedType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^class DefaultedNamedType(NamedType):$/;" c +defaultErrorState adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ defaultErrorState = stErrorCondition$/;" v class:Decoder +defaultexcludelist adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ defaultexcludelist = ['return', 'file', 'print']$/;" v class:NameValidator +defaultFont adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def defaultFont(self):$/;" m class:FontManager +defaultimplicitrules adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^defaultimplicitrules = {}$/;" v +DefaultInputIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ class DefaultInputIterator(object):$/;" c class:_DefaultDistributionExtended +DefaultNode adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class DefaultNode(QuantizeHandler):$/;" c +defaultParams adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ defaultParams = rcsetup.defaultParams = {$/;" v +DEFAULTPARSER adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^DEFAULTPARSER = parser()$/;" v +defaultPrinter adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ defaultPrinter = Printer()$/;" v class:Debug +DefaultProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class DefaultProvider(EggProvider):$/;" c +DefaultProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class DefaultProvider(EggProvider):$/;" c +DefaultQuant adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class DefaultQuant(QuantizeHandler):$/;" c +defaultRawDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ defaultRawDecoder = AnyDecoder()$/;" v class:Decoder +defaultRef adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^ def defaultRef(X):$/;" f member:TestThresholdedRelu.test_thresholded_relu_1 file: +defaults adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^ defaults = {$/;" v class:UnitDblConverter +defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ defaults = {$/;" v class:CSVBase +defaultType adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def defaultType(self):$/;" m class:TagMap +DEFAULTTZPARSER adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^DEFAULTTZPARSER = _tzparser()$/;" v +DefaultValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def DefaultValue(self):$/;" m class:EnumValueChecker +DefaultValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def DefaultValue(self):$/;" m class:FloatValueChecker +DefaultValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def DefaultValue(self):$/;" m class:IntValueChecker +DefaultValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def DefaultValue(self):$/;" m class:TypeCheckerWithDefault +DefaultValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def DefaultValue(self):$/;" m class:UnicodeValueChecker +defaultValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^ defaultValue = 0$/;" v class:EndOfOctets +defaultValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ defaultValue = noValue$/;" v class:SimpleAsn1Type +defaultValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ defaultValue = 0$/;" v class:Version +default_action adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def default_action():$/;" f function:_case_create_default_action file: +default_activation_only_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_activation_only_qconfig = QConfig(activation=default_fake_quant,$/;" v +DEFAULT_ALGORITHM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^DEFAULT_ALGORITHM = RNG_ALG_PHILOX$/;" v +DEFAULT_ALLOWED_METHODS adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ DEFAULT_ALLOWED_METHODS = frozenset($/;" v class:Retry +DEFAULT_ARGS_TESTS adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ DEFAULT_ARGS_TESTS = [$/;" v class:TestMultivariateT +default_arg_rev adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ default_arg_rev = 'HEAD'$/;" v class:Git +default_arg_rev adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ default_arg_rev = None # type: Optional[str]$/;" v class:VersionControl +default_async adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def default_async(scopes=None, request=None, quota_project_id=None):$/;" f +default_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def default_attrs(string_val="abc", string_list_val=["abc", ""], int_val=123, int_list_val=[1, 2/;" f +default_attrs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def default_attrs_eager_fallback(string_val, string_list_val, int_val, int_list_val, float_val, /;" f +DEFAULT_BEAM_WIDTH adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^DEFAULT_BEAM_WIDTH = 10$/;" v +DEFAULT_BLOCKS_ARGS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^DEFAULT_BLOCKS_ARGS = [{$/;" v +DEFAULT_BUCKET_COUNT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^DEFAULT_BUCKET_COUNT = 30$/;" v +DEFAULT_CACHE_DIR adpepsenv/lib/python3.8/site-packages/torch/hub.py /^DEFAULT_CACHE_DIR = '~\/.cache'$/;" v +DEFAULT_CALLBACKS adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^DEFAULT_CALLBACKS = [linkify_callbacks.nofollow]$/;" v +DEFAULT_CA_BUNDLE_PATH adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^DEFAULT_CA_BUNDLE_PATH = certs.where()$/;" v +DEFAULT_CA_BUNDLE_PATH adpepsenv/lib/python3.8/site-packages/requests/utils.py /^DEFAULT_CA_BUNDLE_PATH = certs.where()$/;" v +DEFAULT_CIPHERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^DEFAULT_CIPHERS = ":".join($/;" v +DEFAULT_CIPHERS adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^DEFAULT_CIPHERS = ":".join($/;" v +DEFAULT_CIRCULAR_BUFFER_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^DEFAULT_CIRCULAR_BUFFER_SIZE = 1000$/;" v +default_client_cert_source adpepsenv/lib/python3.8/site-packages/google/auth/transport/mtls.py /^def default_client_cert_source():$/;" f +default_client_encrypted_cert_source adpepsenv/lib/python3.8/site-packages/google/auth/transport/mtls.py /^def default_client_encrypted_cert_source(cert_path, key_path):$/;" f +default_collate adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^default_collate: _collate_fn_t = _utils.collate.default_collate$/;" v +default_collate adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/collate.py /^def default_collate(batch):$/;" f +default_collate_err_msg_format adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/collate.py /^default_collate_err_msg_format = ($/;" v +DEFAULT_COLORS adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^ DEFAULT_COLORS = {$/;" v class:LogFormatter +default_config_dict adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def default_config_dict(name = None, parent_name = None, local_path=None):$/;" f +default_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_config_exe = 'pkg-config'$/;" v class:_pkg_config_info +default_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_config_exe = 'wx-config'$/;" v class:wx_info +default_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^def default_context():$/;" f +DEFAULT_CONTEXT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^DEFAULT_CONTEXT = default_context()$/;" v +default_convert adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/collate.py /^def default_convert(data):$/;" f +default_converters adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ default_converters = ImmutableDict(DEFAULT_CONVERTERS)$/;" v class:Map +DEFAULT_CONVERTERS adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^DEFAULT_CONVERTERS = {$/;" v +DEFAULT_CREDENTIALS_FILENAME adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/tool/__main__.py /^DEFAULT_CREDENTIALS_FILENAME = "credentials.json"$/;" v +DEFAULT_DEBUGGER_RUN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^DEFAULT_DEBUGGER_RUN_NAME = "__default_debugger_run__"$/;" v +default_debug_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_debug_observer = RecordingObserver$/;" v +default_debug_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_debug_qconfig = QConfig(weight=default_weight_observer,$/;" v +default_doc_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def default_doc_func(func, num, p):$/;" f +DEFAULT_DYNAMIC_MODULE_MAPPING adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_DYNAMIC_MODULE_MAPPING = {$/;" v +default_dynamic_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_dynamic_qconfig = QConfigDynamic(activation=default_dynamic_quant_observer,$/;" v +default_dynamic_quant_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_dynamic_quant_observer = MinMaxDynamicQuantObserver$/;" v +default_environment adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def default_environment():$/;" f +default_environment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def default_environment():$/;" f +default_environment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def default_environment():$/;" f +default_etree adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ import xml.etree.cElementTree as default_etree$/;" I +default_etree adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ import xml.etree.ElementTree as default_etree$/;" I +default_etree adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ import xml.etree.ElementTree as default_etree$/;" I +default_etree adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ import xml.etree.cElementTree as default_etree$/;" I +default_etree adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ import xml.etree.ElementTree as default_etree$/;" I +default_eval_fn adpepsenv/lib/python3.8/site-packages/torch/quantization/__init__.py /^def default_eval_fn(model, calib_data):$/;" f +DEFAULT_EVAL_SIGNATURE_DEF_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^DEFAULT_EVAL_SIGNATURE_DEF_KEY = "eval"$/;" v +default_exceptions adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^default_exceptions = {}$/;" v +default_execution_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^default_execution_mode = EAGER_MODE if tf2.enabled() else GRAPH_MODE$/;" v +DEFAULT_EXPONENT adpepsenv/lib/python3.8/site-packages/rsa/key.py /^DEFAULT_EXPONENT = 65537$/;" v +default_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^default_fake_quant = FakeQuantize.with_args(observer=MovingAverageMinMaxObserver, quant_min=0, q/;" v +default_filler adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^default_filler = {'b': True,$/;" v +default_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def default_fill_value(obj):$/;" f +default_filter adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^def default_filter(src, dst):$/;" f +DEFAULT_FLAGS adpepsenv/lib/python3.8/site-packages/torch/cuda/profiler.py /^DEFAULT_FLAGS = [$/;" v +default_float_qparams_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_float_qparams_observer = PerChannelMinMaxObserver.with_args(dtype=torch.quint8,$/;" v +default_float_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def default_float_type():$/;" f +DEFAULT_FORMAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^DEFAULT_FORMAT = GNU_FORMAT$/;" v +default_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ default_format = {'posix': 'gztar',$/;" v class:bdist +default_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ default_format = { 'posix': 'gztar',$/;" v class:bdist_dumb +default_generators adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^default_generators: Tuple[torch._C.Generator] = ()$/;" v +default_gradient_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^default_gradient_tolerance = {$/;" v +default_grant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ default_grant = None$/;" v class:Dispatcher +default_grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def default_grant_type(self):$/;" m class:TokenEndpoint +default_grant_type_handler adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def default_grant_type_handler(self):$/;" m class:TokenEndpoint +DEFAULT_GRAPH_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^DEFAULT_GRAPH_SEED = 87654321$/;" v +DEFAULT_GZIP adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^DEFAULT_GZIP = 4$/;" v +default_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def default_headers():$/;" f +default_headers adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def default_headers():$/;" f +default_histogram_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^default_histogram_fake_quant = FakeQuantize.with_args(observer=HistogramObserver,$/;" v +default_histogram_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_histogram_observer = HistogramObserver.with_args(reduce_range=True)$/;" v +default_hooks adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/hooks.py /^def default_hooks():$/;" f +default_hooks adpepsenv/lib/python3.8/site-packages/requests/hooks.py /^def default_hooks():$/;" f +default_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_include_dirs = ['\/usr\/local\/include',$/;" v +default_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_include_dirs = []$/;" v +default_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^default_include_dirs = [_m for _m in default_include_dirs if os.path.isdir(_m)]$/;" v +DEFAULT_INDEX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^DEFAULT_INDEX = 'https:\/\/pypi.org\/pypi'$/;" v +DEFAULT_INDEX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^DEFAULT_INDEX = 'https:\/\/pypi.org\/pypi'$/;" v +DEFAULT_INIT_METHOD adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_INIT_METHOD = _DEFAULT_INIT_METHOD$/;" v +default_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def default_init_op():$/;" f member:Scaffold.finalize file: +DEFAULT_ISOPARSER adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^DEFAULT_ISOPARSER = isoparser()$/;" v +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = ('1', '2', '3', '4', '5', '6', '7', '8', '9')$/;" v class:_ToolEnableNavigation +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.all_axes']$/;" v class:_ToolEnableAllNavigation +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.back']$/;" v class:ToolBack +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.copy']$/;" v class:ToolCopyToClipboardBase +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.forward']$/;" v class:ToolForward +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.fullscreen']$/;" v class:ToolFullScreen +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.grid']$/;" v class:ToolGrid +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.grid_minor']$/;" v class:ToolMinorGrid +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.help']$/;" v class:ToolHelpBase +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.home']$/;" v class:ToolHome +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.pan']$/;" v class:ToolPan +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.quit']$/;" v class:ToolQuit +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.quit_all']$/;" v class:ToolQuitAll +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.save']$/;" v class:SaveFigureBase +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.xscale']$/;" v class:ToolXScale +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.yscale']$/;" v class:ToolYScale +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = mpl.rcParams['keymap.zoom']$/;" v class:ToolZoom +default_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_keymap = None$/;" v class:ToolBase +DEFAULT_KEYWORD_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ DEFAULT_KEYWORD_CHARS = alphanums + "_$"$/;" v class:Keyword +DEFAULT_KEYWORD_CHARS adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ DEFAULT_KEYWORD_CHARS = alphanums+"_$"$/;" v class:Keyword +DEFAULT_KEYWORD_CHARS adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ DEFAULT_KEYWORD_CHARS = alphanums + "_$"$/;" v class:Keyword +DEFAULT_KEYWORD_CHARS adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ DEFAULT_KEYWORD_CHARS = alphanums+"_$"$/;" v class:Keyword +default_kwargs adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ default_kwargs = {}$/;" v class:TestCase +default_lapl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^def default_lapl():$/;" f +default_lcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^def default_lcpl():$/;" f +DEFAULT_LEFT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DEFAULT_LEFT = 0$/;" v +default_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_lib_dirs = ['C:\\\\',$/;" v +default_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^default_lib_dirs = [_m for _m in default_lib_dirs if os.path.isdir(_m)]$/;" v +default_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def default_local_init_op():$/;" m class:Scaffold +default_locator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^default_locator = AggregatingLocator($/;" v +DEFAULT_MAPPING_TAG adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ DEFAULT_MAPPING_TAG = 'tag:yaml.org,2002:map'$/;" v class:BaseResolver +DEFAULT_MAX_REFRESH_ATTEMPTS adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^DEFAULT_MAX_REFRESH_ATTEMPTS = 2$/;" v +default_messages_to_delay adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^default_messages_to_delay = {$/;" v +DEFAULT_METHOD_WHITELIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ DEFAULT_METHOD_WHITELIST = frozenset($/;" v class:Retry +DEFAULT_METHOD_WHITELIST adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def DEFAULT_METHOD_WHITELIST(cls):$/;" m class:_RetryMeta +DEFAULT_METHOD_WHITELIST adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def DEFAULT_METHOD_WHITELIST(cls, value):$/;" m class:_RetryMeta +default_mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ default_mimetype = "text\/plain"$/;" v class:BaseResponse +DEFAULT_MODIFIER adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^DEFAULT_MODIFIER = 'DEFAULT'$/;" v +DEFAULT_MODULE_MAPPING adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_MODULE_MAPPING = {$/;" v +default_name_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def default_name_func(func, num, p):$/;" f +default_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^ default_name_suffix = 'cached_reader'$/;" v class:CachedReader +default_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ default_name_suffix = 'db_file_reader'$/;" v class:DBFileReader +DEFAULT_NDARRAY_DISPLAY_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^DEFAULT_NDARRAY_DISPLAY_THRESHOLD = 2000$/;" v +DEFAULT_NM adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^DEFAULT_NM = ['nm', '-Cs']$/;" v +DEFAULT_NUMERIC_SUITE_COMPARE_MODEL_OUTPUT_ALLOWED_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_NUMERIC_SUITE_COMPARE_MODEL_OUTPUT_ALLOWED_LIST = ($/;" v +DEFAULT_NUM_SEND_RECV_THREADS adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_NUM_SEND_RECV_THREADS = _DEFAULT_NUM_SEND_RECV_THREADS$/;" v +DEFAULT_NUM_WORKER_THREADS adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_NUM_WORKER_THREADS = _DEFAULT_NUM_WORKER_THREADS$/;" v +default_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_observer = MinMaxObserver.with_args(reduce_range=True)$/;" v +DEFAULT_OPERATOR_MAPPING adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_OPERATOR_MAPPING = {$/;" v +DEFAULT_OPTIM adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^DEFAULT_OPTIM = 'DEFAULT'$/;" v +default_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def default_optimizer(self):$/;" m class:LayerModelHelper +default_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def default_optimizer(self, optimizer):$/;" m class:LayerModelHelper +default_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ default_options = { 'strip_comments': 1,$/;" v class:TextFile +DEFAULT_ORIGIN adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^DEFAULT_ORIGIN = "https:\/\/tensorboard.dev"$/;" v +DEFAULT_ORIGIN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^DEFAULT_ORIGIN = "https:\/\/tensorboard.dev"$/;" v +default_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ default_params = dict(nbins=10,$/;" v class:MaxNLocator +default_path adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ default_path = 'C:\\\\Program Files\\\\NVIDIA GPU Computing Toolkit\\\\CUDA\\\\v' + cuda/;" v +default_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ default_path = os.path.join(pfiles_path, 'NVIDIA GPU Computing Toolkit', 'CUDA', 'v' + c/;" v +DEFAULT_PBKDF2_ITERATIONS adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^DEFAULT_PBKDF2_ITERATIONS = 150000$/;" v +default_per_channel_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_per_channel_qconfig = QConfig(activation=default_observer,$/;" v +default_per_channel_weight_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^default_per_channel_weight_fake_quant = FakeQuantize.with_args(observer=MovingAveragePerChannelM/;" v +default_per_channel_weight_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_per_channel_weight_observer = PerChannelMinMaxObserver.with_args(dtype=torch.qint8, qsch/;" v +DEFAULT_PER_TYPE_ALERT_LIMIT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^DEFAULT_PER_TYPE_ALERT_LIMIT = 1000$/;" v +default_pg_timeout adpepsenv/lib/python3.8/site-packages/torch/distributed/constants.py /^default_pg_timeout = timedelta(minutes=30)$/;" v +DEFAULT_POOLBLOCK adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^DEFAULT_POOLBLOCK = False$/;" v +DEFAULT_POOLBLOCK adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^DEFAULT_POOLBLOCK = False$/;" v +DEFAULT_POOLSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^DEFAULT_POOLSIZE = 10$/;" v +DEFAULT_POOLSIZE adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^DEFAULT_POOLSIZE = 10$/;" v +DEFAULT_POOL_TIMEOUT adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^DEFAULT_POOL_TIMEOUT = None$/;" v +DEFAULT_POOL_TIMEOUT adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^DEFAULT_POOL_TIMEOUT = None$/;" v +default_port adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ default_port = port_by_scheme["http"]$/;" v class:HTTPConnection +default_port adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ default_port = port_by_scheme["https"]$/;" v class:HTTPSConnection +DEFAULT_PORT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^DEFAULT_PORT = 6006$/;" v +default_port adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ default_port = port_by_scheme["http"]$/;" v class:HTTPConnection +default_port adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ default_port = port_by_scheme["https"]$/;" v class:HTTPSConnection +DEFAULT_PORTS adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^DEFAULT_PORTS = {'http': 80, 'https': 443}$/;" v +DEFAULT_PORTS adpepsenv/lib/python3.8/site-packages/requests/utils.py /^DEFAULT_PORTS = {'http': 80, 'https': 443}$/;" v +DEFAULT_PROCESS_GROUP_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_PROCESS_GROUP_TIMEOUT = timedelta(milliseconds=2 ** 31 - 1)$/;" v +default_process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def default_process_primitive(self, primitive, tracers, params):$/;" m class:JaxprTrace +DEFAULT_PROTOCOL adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^DEFAULT_PROTOCOL = 2$/;" v +DEFAULT_PRUNE_THRESHOLD adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^DEFAULT_PRUNE_THRESHOLD = 0.001$/;" v +DEFAULT_PYPIRC adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^DEFAULT_PYPIRC = """\\$/;" v +DEFAULT_QAT_MODULE_MAPPING adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_QAT_MODULE_MAPPING = {$/;" v +default_qat_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_qat_qconfig = QConfig(activation=default_fake_quant,$/;" v +default_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_qconfig = QConfig(activation=default_observer,$/;" v +DEFAULT_QCONFIG_PROPAGATE_ALLOWED_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^DEFAULT_QCONFIG_PROPAGATE_ALLOWED_LIST = ($/;" v +DEFAULT_QUEUE_CAPACITY adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^DEFAULT_QUEUE_CAPACITY = 100$/;" v +DEFAULT_RANDN_DTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^DEFAULT_RANDN_DTYPE = onp.float32$/;" v +default_ready_for_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def default_ready_for_local_init_op():$/;" f member:Scaffold.finalize file: +default_ready_for_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def default_ready_for_local_init_op():$/;" f function:create_per_replica_ready_for_local_init_op file: +default_ready_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def default_ready_op():$/;" f member:Scaffold.finalize file: +DEFAULT_REALM adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^DEFAULT_REALM = 'pypi'$/;" v +DEFAULT_REALM adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ DEFAULT_REALM = 'pypi'$/;" v class:PyPIRCCommand +DEFAULT_RECURSE_LIMIT adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^DEFAULT_RECURSE_LIMIT = 511$/;" v +DEFAULT_REDIRECT_HEADERS_BLACKLIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ DEFAULT_REDIRECT_HEADERS_BLACKLIST = frozenset(["Authorization"])$/;" v class:Retry +DEFAULT_REDIRECT_HEADERS_BLACKLIST adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def DEFAULT_REDIRECT_HEADERS_BLACKLIST(cls):$/;" m class:_RetryMeta +DEFAULT_REDIRECT_HEADERS_BLACKLIST adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def DEFAULT_REDIRECT_HEADERS_BLACKLIST(cls, value):$/;" m class:_RetryMeta +DEFAULT_REDIRECT_LIMIT adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^DEFAULT_REDIRECT_LIMIT = 30$/;" v +DEFAULT_REDIRECT_LIMIT adpepsenv/lib/python3.8/site-packages/requests/models.py /^DEFAULT_REDIRECT_LIMIT = 30$/;" v +DEFAULT_REFRESH_STATUS_CODES adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^DEFAULT_REFRESH_STATUS_CODES = (http_client.UNAUTHORIZED,)$/;" v +DEFAULT_RELOAD_INTERVAL_SEC adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^DEFAULT_RELOAD_INTERVAL_SEC = 30$/;" v +DEFAULT_REMAP_FUNCS adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^DEFAULT_REMAP_FUNCS = {$/;" v +DEFAULT_REMOVE_HEADERS_ON_REDIRECT adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ DEFAULT_REMOVE_HEADERS_ON_REDIRECT = frozenset(["Authorization"])$/;" v class:Retry +DEFAULT_REPOSITORY adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ DEFAULT_REPOSITORY = 'https:\/\/pypi.python.org\/pypi\/'$/;" v class:upload_docs +DEFAULT_REPOSITORY adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ DEFAULT_REPOSITORY = 'https:\/\/upload.pypi.org\/legacy\/'$/;" v class:PyPIRCCommand +default_residual_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def default_residual_fn(inputs, outputs):$/;" f member:ResidualWrapperBase._call_wrapped_cell file: +default_response_mode adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ default_response_mode = 'query'$/;" v class:AuthorizationCodeGrant +default_response_mode adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ default_response_mode = 'fragment'$/;" v class:GrantTypeBase +default_response_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def default_response_type(self):$/;" m class:AuthorizationEndpoint +default_response_type_handler adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def default_response_type_handler(self):$/;" m class:AuthorizationEndpoint +default_restore_location adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def default_restore_location(storage, location):$/;" f +DEFAULT_RETRIES adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^DEFAULT_RETRIES = 0$/;" v +DEFAULT_RETRIES adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^DEFAULT_RETRIES = 0$/;" v +DEFAULT_RIGHT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DEFAULT_RIGHT = 1$/;" v +DEFAULT_RPC_TIMEOUT_SEC adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_RPC_TIMEOUT_SEC = _DEFAULT_RPC_TIMEOUT_SEC$/;" v +default_runtime_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_runtime_dirs = []$/;" v +default_runtime_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^default_runtime_dirs = [_m for _m in default_runtime_dirs if os.path.isdir(_m)]$/;" v +default_save_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def default_save_signature(layer):$/;" f +DEFAULT_SCALAR_TAG adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ DEFAULT_SCALAR_TAG = 'tag:yaml.org,2002:str'$/;" v class:BaseResolver +default_scaling adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/equality_constrained_sqp.py /^def default_scaling(x):$/;" f +DEFAULT_SCHEME adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ DEFAULT_SCHEME = dict($/;" v class:easy_install +default_scopes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def default_scopes(self):$/;" m class:ReadOnlyScoped +DEFAULT_SEQUENCE_TAG adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ DEFAULT_SEQUENCE_TAG = 'tag:yaml.org,2002:seq'$/;" v class:BaseResolver +DEFAULT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^DEFAULT_SERVING_KEY = tf.saved_model.DEFAULT_SERVING_SIGNATURE_DEF_KEY$/;" v +DEFAULT_SERVING_SIGNATURE_DEF_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^DEFAULT_SERVING_SIGNATURE_DEF_KEY = "serving_default"$/;" v +default_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def default_session(session):$/;" f +DEFAULT_SHUTDOWN_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^DEFAULT_SHUTDOWN_TIMEOUT = 5.0$/;" v +DEFAULT_SIGNATURE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^DEFAULT_SIGNATURE_ATTR = "_default_save_signature"$/;" v +DEFAULT_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^DEFAULT_SIZE_GUIDANCE = {$/;" v +DEFAULT_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^DEFAULT_SIZE_GUIDANCE = {$/;" v +DEFAULT_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^DEFAULT_SIZE_GUIDANCE = {$/;" v +default_socket_options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ default_socket_options = [(socket.IPPROTO_TCP, socket.TCP_NODELAY, 1)]$/;" v class:HTTPConnection +default_socket_options adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ default_socket_options = [(socket.IPPROTO_TCP, socket.TCP_NODELAY, 1)]$/;" v class:HTTPConnection +default_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ default_src = csp_property("default-src")$/;" v class:ContentSecurityPolicy +default_src_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_src_dirs = ['.', '\/usr\/local\/src', '\/opt\/src', '\/sw\/src']$/;" v +default_src_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_src_dirs = ['.']$/;" v +default_src_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^default_src_dirs = [_m for _m in default_src_dirs if os.path.isdir(_m)]$/;" v +default_status adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ default_status = 200$/;" v class:BaseResponse +DEFAULT_STRATEGY adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ DEFAULT_STRATEGY = core.DEFAULT_STRATEGY$/;" v +default_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^default_strategy = combinations.NamedDistribution($/;" v +default_stream adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def default_stream(device: Optional[_device_t] = None) -> Stream:$/;" f +default_stream_factory adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def default_stream_factory($/;" f +DEFAULT_STRIP_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^DEFAULT_STRIP_REGEX = r'[!"#$%&()\\*\\+,-\\.\/:;<=>?@\\[\\\\\\]^_`{|}~\\']'$/;" v +default_subprocess_runner adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^def default_subprocess_runner(cmd, cwd=None, extra_environ=None):$/;" f +DEFAULT_SZIP adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^DEFAULT_SZIP = ('nn', 8)$/;" v +DEFAULT_TAGS adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ DEFAULT_TAGS = {$/;" v class:Parser +DEFAULT_TAG_PREFIXES adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ DEFAULT_TAG_PREFIXES = {$/;" v class:Emitter +default_tensor_constructor adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def default_tensor_constructor(size, dtype, **kwargs):$/;" m class:FuzzedTensor +DEFAULT_TENSOR_DEBUG_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^DEFAULT_TENSOR_DEBUG_MODE = "NO_TENSOR"$/;" v +DEFAULT_TENSOR_ELEMENT_HIGHLIGHT_FONT_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^DEFAULT_TENSOR_ELEMENT_HIGHLIGHT_FONT_ATTR = "bold"$/;" v +DEFAULT_TENSOR_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^DEFAULT_TENSOR_SIZE_GUIDANCE = {$/;" v +default_test_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^def default_test_combinations():$/;" f +default_test_modules adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^default_test_modules = [$/;" v +default_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def default_text(s):$/;" f +DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ DEFAULT_TIMEOUT = _GLOBAL_DEFAULT_TIMEOUT$/;" v class:Timeout +DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^DEFAULT_TIMEOUT = 300$/;" v +DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ DEFAULT_TIMEOUT = _GLOBAL_DEFAULT_TIMEOUT$/;" v class:Timeout +default_toggled adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ default_toggled = False$/;" v class:ToolToggleBase +default_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def default_token(self):$/;" m class:ResourceEndpoint +default_token_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def default_token_type(self):$/;" m class:AuthorizationEndpoint +default_token_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def default_token_type(self):$/;" m class:TokenEndpoint +default_token_type_handler adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def default_token_type_handler(self):$/;" m class:ResourceEndpoint +default_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def default_tolerance():$/;" f +default_toolbar_tools adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^default_toolbar_tools = [['navigation', ['home', 'back', 'forward']],$/;" v +default_tools adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^default_tools = {'home': ToolHome, 'back': ToolBack, 'forward': ToolForward,$/;" v +DEFAULT_TRAIN_SIGNATURE_DEF_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^DEFAULT_TRAIN_SIGNATURE_DEF_KEY = "train"$/;" v +DEFAULT_TRT_CONVERSION_PARAMS adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^DEFAULT_TRT_CONVERSION_PARAMS = TrtConversionParams()$/;" v +DEFAULT_TRT_MAX_WORKSPACE_SIZE_BYTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^DEFAULT_TRT_MAX_WORKSPACE_SIZE_BYTES = 1 << 30$/;" v +default_tzinfo adpepsenv/lib/python3.8/site-packages/dateutil/utils.py /^def default_tzinfo(dt, tzinfo):$/;" f +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def default_units(data, axis):$/;" m class:StrCategoryConverter +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def default_units(x, axis):$/;" m class:DateConverter +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def default_units(value, axis):$/;" m class:EpochConverter +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^ def default_units(value, axis):$/;" m class:StrConverter +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^ def default_units(value, axis):$/;" m class:UnitDblConverter +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def default_units(value, axis):$/;" f function:quantity_converter file: +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def default_units(x, axis):$/;" m class:ConversionInterface +default_units adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def default_units(x, axis):$/;" m class:DecimalConverter +default_user_agent adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def default_user_agent(name="python-requests"):$/;" f +default_user_agent adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def default_user_agent(name="python-requests"):$/;" f +default_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def default_value(self):$/;" m class:InitializableLookupTableBase +default_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def default_variable_creator(_, **kwds):$/;" f +default_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def default_variable_creator(next_creator=None, **kwargs):$/;" f +default_variable_creator_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def default_variable_creator_v2(_, **kwds):$/;" f +default_variable_creator_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def default_variable_creator_v2(next_creator=None, **kwargs):$/;" f +DEFAULT_VERBOSITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^DEFAULT_VERBOSITY = 0$/;" v +default_weight_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^default_weight_fake_quant = FakeQuantize.with_args(observer=MovingAverageMinMaxObserver, quant_m/;" v +default_weight_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^default_weight_observer = MinMaxObserver.with_args(dtype=torch.qint8, qscheme=torch.per_tensor_s/;" v +default_weight_only_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^default_weight_only_qconfig = QConfig(activation=torch.nn.Identity,$/;" v +DEFAULT_WHITE_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ DEFAULT_WHITE_CHARS = " \\n\\t\\r"$/;" v class:ParserElement +DEFAULT_WHITE_CHARS adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ DEFAULT_WHITE_CHARS = " \\n\\t\\r"$/;" v class:ParserElement +DEFAULT_WHITE_CHARS adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ DEFAULT_WHITE_CHARS = " \\n\\t\\r"$/;" v class:ParserElement +DEFAULT_WHITE_CHARS adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ DEFAULT_WHITE_CHARS = " \\n\\t\\r"$/;" v class:ParserElement +default_x11_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_x11_include_dirs = ['\/usr\/X11R6\/include', '\/usr\/X11\/include',$/;" v +default_x11_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_x11_include_dirs = []$/;" v +default_x11_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_x11_lib_dirs = libpaths(['\/usr\/X11R6\/lib', '\/usr\/X11\/lib',$/;" v +default_x11_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ default_x11_lib_dirs = []$/;" v +defbilinear adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^defbilinear: Callable = partial(defbilinear_broadcasting, lambda g, x: g)$/;" v +defbilinear_broadcasting adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defbilinear_broadcasting(bcast, prim, lhs_rule, rhs_rule):$/;" f +defbroadcasting adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def defbroadcasting(prim):$/;" f +DeferredCudaCallError adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class DeferredCudaCallError(Exception):$/;" c +deferred_error adpepsenv/lib/python3.8/site-packages/PIL/_util.py /^class deferred_error:$/;" c +deferred_exits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def deferred_exits(self):$/;" m class:_GradLoopState +deferred_external_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def deferred_external_captures(self):$/;" m class:FuncGraph +deferred_internal_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def deferred_internal_captures(self):$/;" m class:FuncGraph +deferring_binary_op adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def deferring_binary_op(self, other):$/;" f function:_defer_to_unrecognized_arg file: +deffile adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ deffile = sys.stdout$/;" v +deffile adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ libfile, deffile = parse_cmd()$/;" v +DEFINE adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE( # pylint: disable=invalid-name$/;" f +DEFINE adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE = _defines.DEFINE$/;" v +define adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def define($/;" f +define adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def define(self, node):$/;" m class:Name +define adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def define(self, src):$/;" m class:RecursiveScriptModule +define adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def define(self, src):$/;" m class:ScriptModule +define adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def define(self, lang, rcb=None, _frames_up=0):$/;" m class:CompilationUnit +DEFINEDNESS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ DEFINEDNESS = 2$/;" v class:AnalysisLevel +DEFINED_FNS_IN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ DEFINED_FNS_IN = ($/;" v class:Static +DEFINED_VARS_IN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ DEFINED_VARS_IN = ($/;" v class:Static +DEFINE_alias adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_alias( # pylint: disable=invalid-name$/;" f +DEFINE_alias adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_alias = _defines.DEFINE_alias$/;" v +define_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def define_blob(self, blob):$/;" m class:Analyzer +DEFINE_bool adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_bool = DEFINE_boolean # Match C++ API.$/;" v +DEFINE_bool adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def DEFINE_bool(self, name, default, *args, **kwargs):$/;" m class:Config +DEFINE_bool adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^DEFINE_bool = DEFINE_boolean$/;" v +DEFINE_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^DEFINE_bool = DEFINE_boolean$/;" v +DEFINE_boolean adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_boolean( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_boolean adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_boolean = _defines.DEFINE_boolean$/;" v +DEFINE_boolean adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^DEFINE_boolean = _wrap_define_function(DEFINE_boolean)$/;" v +DEFINE_boolean adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^DEFINE_boolean = _wrap_define_function(DEFINE_boolean)$/;" v +define_context adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^class define_context(object):$/;" c +DEFINE_enum adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_enum( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_enum adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_enum = _defines.DEFINE_enum$/;" v +DEFINE_enum adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def DEFINE_enum(self, name, default, *args, **kwargs):$/;" m class:Config +DEFINE_enum_class adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_enum_class( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_enum_class adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_enum_class = _defines.DEFINE_enum_class$/;" v +DEFINE_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_flag(flag, flag_values=_flagvalues.FLAGS, module_name=None): # pylint: disable=inval/;" f +DEFINE_flag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_flag = _defines.DEFINE_flag$/;" v +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def define_flags(self, parser):$/;" m class:TBLoader +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def define_flags(self, parser):$/;" m class:CorePluginLoader +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def define_flags(self, parser):$/;" m class:TensorBoardSubcommand +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^def define_flags(parser):$/;" f +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def define_flags(self, parser):$/;" m class:UploaderSubcommand +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin_loader.py /^ def define_flags(self, parser):$/;" m class:ProfilePluginLoader +define_flags adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin_loader.py /^ def define_flags(self, parser):$/;" m class:WhatIfToolPluginLoader +DEFINE_float adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_float( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_float adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_float = _defines.DEFINE_float$/;" v +DEFINE_float adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^DEFINE_float = _wrap_define_function(DEFINE_float)$/;" v +DEFINE_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^DEFINE_float = _wrap_define_function(DEFINE_float)$/;" v +define_help_flags adpepsenv/lib/python3.8/site-packages/absl/app.py /^def define_help_flags():$/;" f +DEFINE_integer adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_integer( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_integer adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_integer = _defines.DEFINE_integer$/;" v +DEFINE_integer adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def DEFINE_integer(self, name, default, *args, **kwargs):$/;" m class:Config +DEFINE_integer adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^DEFINE_integer = _wrap_define_function(DEFINE_integer)$/;" v +DEFINE_integer adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^DEFINE_integer = _wrap_define_function(DEFINE_integer)$/;" v +DEFINE_list adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_list( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_list adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_list = _defines.DEFINE_list$/;" v +define_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def define_loss(self, features, mode):$/;" m class:TimeSeriesModel +define_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def define_loss(self, model, features, mode):$/;" m class:PassthroughStateManager +define_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def define_loss(self, model, features, mode):$/;" m class:_OverridableStateManager +define_macro adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def define_macro(self, name, value=None):$/;" m class:CCompiler +DEFINE_MACROS adpepsenv/lib/python3.8/site-packages/scipy/cluster/setup.py /^DEFINE_MACROS = [("SCIPY_PY3K", None)]$/;" v +DEFINE_multi adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi = _defines.DEFINE_multi$/;" v +DEFINE_multi_enum adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi_enum( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi_enum adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi_enum = _defines.DEFINE_multi_enum$/;" v +DEFINE_multi_enum_class adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi_enum_class( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi_enum_class adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi_enum_class = _defines.DEFINE_multi_enum_class$/;" v +DEFINE_multi_float adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi_float( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi_float adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi_float = _defines.DEFINE_multi_float$/;" v +DEFINE_multi_integer adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi_integer( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi_integer adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi_integer = _defines.DEFINE_multi_integer$/;" v +DEFINE_multi_string adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_multi_string( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_multi_string adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_multi_string = _defines.DEFINE_multi_string$/;" v +define_name adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def define_name(self, name, node):$/;" m class:Scope +DEFINE_spaceseplist adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_spaceseplist( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_spaceseplist adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_spaceseplist = _defines.DEFINE_spaceseplist$/;" v +DEFINE_string adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def DEFINE_string( # pylint: disable=invalid-name,redefined-builtin$/;" f +DEFINE_string adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DEFINE_string = _defines.DEFINE_string$/;" v +DEFINE_string adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def DEFINE_string(self, name, default, *args, **kwargs):$/;" m class:Config +DEFINE_string adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^DEFINE_string = _wrap_define_function(DEFINE_string)$/;" v +DEFINE_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^DEFINE_string = _wrap_define_function(DEFINE_string)$/;" v +Definition adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^class Definition(object):$/;" c +Definition adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^class Definition(object):$/;" c +definition adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def definition(self):$/;" m class:_DefinedFunction +DEFINITIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ DEFINITIONS = ($/;" v class:Static +definverse adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def definverse(primitive, inverse_rule):$/;" f +defivjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def defivjp(self, ivjp):$/;" m class:custom_ivjp +defjvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def defjvp(fun, *jvprules):$/;" f +defjvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def defjvp(self, jvp):$/;" m class:custom_jvp +defjvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defjvp(primitive, *jvprules):$/;" f +defjvp2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defjvp2(primitive, *jvprules):$/;" f +defjvps adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def defjvps(self, *jvps):$/;" m class:custom_jvp +defjvp_all adpepsenv/lib/python3.8/site-packages/jax/api.py /^def defjvp_all(fun, custom_jvp):$/;" f +defjvp_zero adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defjvp_zero(primitive):$/;" f +Deflate adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^Deflate = cygrpc.CompressionAlgorithm.deflate$/;" v +Deflate adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ Deflate = _compression.Deflate$/;" v class:Compression +DeflateDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^class DeflateDecoder(object):$/;" c +DeflateDecoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^class DeflateDecoder(object):$/;" c +deflinear adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def deflinear(prim):$/;" f +deflinear adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def deflinear(primitive, transpose_rule):$/;" f +deflinear2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def deflinear2(primitive, transpose_rule):$/;" f +DefListExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^class DefListExtension(Extension):$/;" c +DefListIndentProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^class DefListIndentProcessor(ListIndentProcessor):$/;" c +DefListProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^class DefListProcessor(BlockProcessor):$/;" c +defmod_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^defmod_rules = [$/;" v +defnaryop adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def defnaryop(prim):$/;" f +deform adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def deform(image, deformer, resample=Image.BILINEAR):$/;" f +defreducer adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def defreducer(prim):$/;" f +defs adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^defs = [('NPY_NO_DEPRECATED_API', 0)]$/;" v +defs adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ defs = """$/;" v +defs adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{defs}$/;" l +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def defun(func=None,$/;" f +Defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^class Defun(object):$/;" c +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^from tensorflow.python.eager import function as defun$/;" x +defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^from tensorflow.python.eager import function as defun$/;" x +defun_with_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def defun_with_attributes(func=None,$/;" f +defvectorized adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def defvectorized(prim):$/;" f +defvectorized adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def defvectorized(prim):$/;" f +defvjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def defvjp(fun, *vjprules):$/;" f +defvjp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def defvjp(self, fwd, bwd):$/;" m class:custom_vjp +defvjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defvjp(prim, *vjps):$/;" f +defvjp2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defvjp2(prim, *vjps):$/;" f +defvjp_all adpepsenv/lib/python3.8/site-packages/jax/api.py /^def defvjp_all(fun, custom_vjp):$/;" f +defvjp_all adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def defvjp_all(prim, custom_vjp):$/;" f +defzero adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def defzero(prim):$/;" f +def_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def def_abstract_eval(self, abstract_eval):$/;" m class:Primitive +def_comp adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def def_comp(prim, comp):$/;" f +def_custom_bind adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def def_custom_bind(self, bind):$/;" m class:Primitive +def_deriv adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def def_deriv(prim, deriv):$/;" f +DEF_HEADER adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^DEF_HEADER = """LIBRARY python%s.dll$/;" v +def_impl adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def def_impl(self, impl):$/;" m class:Primitive +deg adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^deg = UnitDbl(1.0, "deg")$/;" v +deg2rad adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def deg2rad(x):$/;" f +deg2rad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def deg2rad(x):$/;" f +degree adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def degree(self):$/;" m class:Mon +degree adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def degree(self):$/;" m class:BezierSegment +degree adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def degree(self):$/;" m class:ABCPolyBase +degree adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^degree = pi \/ 180$/;" v +degrees adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^degrees = rad2deg$/;" v +degree_Fahrenheit adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^degree_Fahrenheit = 1\/1.8 # only for differences$/;" v +deg_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ deg_mark = r"^\\mathrm{h}"$/;" v class:FormatterHMS +deg_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ deg_mark = r"^{\\circ}"$/;" v class:FormatterDMS +deinit adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def deinit():$/;" f +DejaVuFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class DejaVuFonts(UnicodeFonts):$/;" c +DejaVuSansFontConstants adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class DejaVuSansFontConstants(FontConstantsBase):$/;" c +DejaVuSansFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class DejaVuSansFonts(DejaVuFonts):$/;" c +DejaVuSerifFontConstants adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class DejaVuSerifFontConstants(FontConstantsBase):$/;" c +DejaVuSerifFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class DejaVuSerifFonts(DejaVuFonts):$/;" c +deka adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^deka = 1e1$/;" v +delanno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def delanno(node, key, field_name='___pyct_anno'):$/;" f +delaunay_complex_minimisers adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def delaunay_complex_minimisers(self):$/;" m class:SHGO +delaunay_minimizers adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def delaunay_minimizers(self):$/;" m class:SHGO +delaunay_plot_2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^def delaunay_plot_2d(tri, ax=None):$/;" f +delaunay_triangulation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def delaunay_triangulation(self, grow=False, n_prc=0):$/;" m class:SHGO +delaxes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def delaxes(self, ax):$/;" m class:Figure +delaxes adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def delaxes(ax=None):$/;" f +delay adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def delay(self):$/;" m class:ImageMagickBase +delayed_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def delayed_add(a, b, seconds=0.05):$/;" f +delay_then_run_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def delay_then_run_server():$/;" f function:start_server_on_separate_thread file: +delegate adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def delegate(self, *args):$/;" f member:IFDRational._delegate file: +Delegate adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^class Delegate(object):$/;" c +DELEGATE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DELEGATE = 51$/;" v class:BuiltinOperator +delegate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def delegate(self, *args, **kwargs):$/;" f function:_delegate_method file: +DelegatedAdapterFactory adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class DelegatedAdapterFactory(AdapterFactory):$/;" c +delete adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def delete(self):$/;" m class:ShardedDeviceArray +delete adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def delete(self):$/;" m class:_DeviceArray +delete adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def delete(arr, obj, axis=None):$/;" f +delete adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^ def delete(self, key):$/;" m class:SafeFileCache +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def delete(self, key):$/;" m class:BaseCache +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def delete(self, key):$/;" m class:DictCache +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def delete(self, key):$/;" m class:FileCache +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def delete(self, key):$/;" m class:RedisCache +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def delete(url, **kwargs):$/;" f +delete adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def delete(self, url, **kwargs):$/;" m class:Session +delete adpepsenv/lib/python3.8/site-packages/requests/api.py /^def delete(url, **kwargs):$/;" f +delete adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def delete(self, url, **kwargs):$/;" m class:Session +delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def delete(self):$/;" m class:TensorHandle +delete adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def delete(self, *args, **kw):$/;" m class:Client +deleted adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ deleted = False$/;" v class:TestRegression.test_object_array_refcount_self_assign.VictimObject +DeletedBuffer adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^class DeletedBuffer(object): pass$/;" c +deleteDirectory adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^def deleteDirectory(top_dir):$/;" f +deleted_buffer adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^deleted_buffer = DeletedBuffer()$/;" v +deleteEdge adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def deleteEdge(self, a, b=None):$/;" m class:NNModule +DeleteExperiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def DeleteExperiment(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +DeleteExperimentRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^DeleteExperimentRequest = _reflection.GeneratedProtocolMessageType('DeleteExperimentRequest', (_/;" v +DeleteExperimentResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^DeleteExperimentResponse = _reflection.GeneratedProtocolMessageType('DeleteExperimentResponse', /;" v +deleteInput adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^ def deleteInput(self, p):$/;" m class:NetDefNode +DeleteIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeleteIterator = tf_export("raw_ops.DeleteIterator")(_ops.to_raw_op(delete_iterator))$/;" v +DeleteMemoryCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeleteMemoryCache = tf_export("raw_ops.DeleteMemoryCache")(_ops.to_raw_op(delete_memory_cache))$/;" v +DeleteMultiDeviceIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeleteMultiDeviceIterator = tf_export("raw_ops.DeleteMultiDeviceIterator")(_ops.to_raw_op(delete/;" v +deleteNode adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def deleteNode(self, node):$/;" m class:NNModule +DeleteOwnUser adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def DeleteOwnUser(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +DeleteOwnUserRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^DeleteOwnUserRequest = _reflection.GeneratedProtocolMessageType('DeleteOwnUserRequest', (_messag/;" v +DeleteOwnUserResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^DeleteOwnUserResponse = _reflection.GeneratedProtocolMessageType('DeleteOwnUserResponse', (_mess/;" v +DeleteRandomSeedGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeleteRandomSeedGenerator = tf_export("raw_ops.DeleteRandomSeedGenerator")(_ops.to_raw_op(delete/;" v +DeleteRecursively adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import delete_recursively as DeleteRecursively$/;" x +DeleteRecursively adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_recursively as DeleteRecursively$/;" x +DeleteSeedGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeleteSeedGenerator = tf_export("raw_ops.DeleteSeedGenerator")(_ops.to_raw_op(delete_seed_genera/;" v +DeleteSessionTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^DeleteSessionTensor = tf_export("raw_ops.DeleteSessionTensor")(_ops.to_raw_op(delete_session_ten/;" v +deleteSubgraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def deleteSubgraph(self, subgraph):$/;" m class:NNModule +delete_all adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def delete_all(self, key: MetadataKey) -> None:$/;" m class:Metadata +delete_backup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^ def delete_backup(self):$/;" m class:WorkerTrainingState +delete_blockers adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def delete_blockers(self, blockers):$/;" m class:easy_install +delete_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def delete_cookie(self, server_name, key, path="\/", domain=None):$/;" m class:Client +delete_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def delete_cookie(self, key, path="\/", domain=None):$/;" m class:BaseResponse +delete_experiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def delete_experiment(writer_client, experiment_id):$/;" f +delete_file adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def delete_file(self, filename):$/;" m class:egg_info +delete_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def delete_file(filename):$/;" f +delete_file_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def delete_file_v2(path):$/;" f +delete_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_iterator(handle, deleter, name=None):$/;" f +delete_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_iterator_eager_fallback(handle, deleter, name, ctx):$/;" f +delete_masked_points adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def delete_masked_points(*args):$/;" f +delete_memory_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_memory_cache(handle, deleter, name=None):$/;" f +delete_memory_cache_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_memory_cache_eager_fallback(handle, deleter, name, ctx):$/;" f +delete_multi_device_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_multi_device_iterator(multi_device_iterator, iterators, deleter, name=None):$/;" f +delete_multi_device_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_multi_device_iterator_eager_fallback(multi_device_iterator, iterators, deleter, name,/;" f +delete_pending_test_case_result adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def delete_pending_test_case_result(self, test):$/;" m class:_TextAndXMLTestResult +delete_random_seed_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_random_seed_generator(handle, deleter, name=None):$/;" f +delete_random_seed_generator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_random_seed_generator_eager_fallback(handle, deleter, name, ctx):$/;" f +delete_recursively adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def delete_recursively(dirname):$/;" f +delete_recursively_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def delete_recursively_v2(path):$/;" f +delete_seed_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_seed_generator(handle, deleter, name=None):$/;" f +delete_seed_generator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def delete_seed_generator_eager_fallback(handle, deleter, name, ctx):$/;" f +delete_session_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def delete_session_tensor(handle, name=None):$/;" f +delete_session_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def delete_session_tensor(handle, name=None):$/;" f +delete_session_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def delete_session_tensor_eager_fallback(handle, name, ctx):$/;" f +delete_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def delete_temp_dir(dirname=temp_dir):$/;" f function:GetTempDir file: +delete_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def delete_trace(tensor_id):$/;" f +delete_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^def delete_tracking(obj, name):$/;" f +delimitedList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def delimitedList(expr, delim=",", combine=False):$/;" f +delimitedList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def delimitedList( expr, delim=",", combine=False ):$/;" f +delimitedList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def delimitedList(expr, delim=",", combine=False):$/;" f +delimitedList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def delimitedList( expr, delim=",", combine=False ):$/;" f +delimiter adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ delimiter = br"[][()<>{}\/%]"$/;" v class:PdfParser +delimiter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ delimiter = self.sep$/;" v class:CSVLogger.on_epoch_end.CustomDialect +delimiter_or_ws adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ delimiter_or_ws = br"[][()<>{}\/%\\000\\011\\012\\014\\015\\040]"$/;" v class:PdfParser +DelRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class DelRequest(LDAPResult):$/;" c +DelResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class DelResponse(LDAPResult):$/;" c +delta adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def delta(dtype, shape):$/;" f +Delta adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^Delta = taggedtuple('Delta', ['dtype', 'shape']) # kronecker delta arrays$/;" v +delta adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def delta(self, other):$/;" m class:GraphicsContextPdf +delta adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta = 0.025$/;" v class:FontConstantsBase +delta adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta = 0.05$/;" v class:STIXFontConstants +delta adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta = 0.075$/;" v class:ComputerModernFontConstants +delta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def delta(fn, is_property=True):$/;" f function:_kl_beta_beta file: +delta adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def delta($/;" m class:CallgrindStats +delta_integral adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_integral = 0.1$/;" v class:FontConstantsBase +delta_integral adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_integral = 0.3$/;" v class:ComputerModernFontConstants +delta_integral adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_integral = 0.3$/;" v class:STIXFontConstants +delta_integral adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_integral = 0.3$/;" v class:STIXSansFontConstants +delta_orthogonal adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def delta_orthogonal(scale=1.0, column_axis=-1, dtype=jnp.float32):$/;" f +delta_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_slanted = 0.2$/;" v class:FontConstantsBase +delta_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_slanted = 0.3$/;" v class:ComputerModernFontConstants +delta_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_slanted = 0.3$/;" v class:STIXFontConstants +delta_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ delta_slanted = 0.6$/;" v class:STIXSansFontConstants +delta_wavelet adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ delta_wavelet = lambda s, t: np.array([1])$/;" f member:TestWavelets.test_cwt file: +del_root adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def del_root(self):$/;" m class:PdfParser +del_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def del_tracking(self):$/;" m class:KerasObjectLoader +den adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def den(self):$/;" m class:LocatorBase +den adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def den(self, v):$/;" m class:LocatorBase +den adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def den(self):$/;" m class:TransferFunction +den adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def den(self, den):$/;" m class:TransferFunction +dendrogram adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def dendrogram(Z, p=30, truncate_mode=None, color_threshold=None,$/;" f +denominator adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def denominator(a):$/;" m class:IFDRational +Dense adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def Dense(out_dim, W_init=glorot_normal(), b_init=normal()):$/;" f +DENSE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^DENSE = 1$/;" v +DENSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DENSE = 0$/;" v class:DimensionType +DENSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DENSE = 2$/;" v class:LSHProjectionType +Dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Dense(Layer):$/;" c +dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/ops/core.py /^def dense(inputs, kernel, bias=None, activation=None, dtype=None):$/;" f +Dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^class Dense(keras_layers.Dense, base.Layer):$/;" c +dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^def dense($/;" f +Dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/core.py /^Dense = core.Dense$/;" v +DenseBincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^DenseBincount = tf_export("raw_ops.DenseBincount")(_ops.to_raw_op(dense_bincount))$/;" v +DenseColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class DenseColumn(FeatureColumn):$/;" c +DenseCountSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^DenseCountSparseOutput = tf_export("raw_ops.DenseCountSparseOutput")(_ops.to_raw_op(dense_count_/;" v +DenseFeatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^class DenseFeatures(kfc._BaseFeaturesLayer): # pylint: disable=protected-access$/;" c +DenseFeatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features_v2.py /^class DenseFeatures(dense_features.DenseFeatures):$/;" c +DenseHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class DenseHashTable(LookupInterface):$/;" c +DenseNet adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def DenseNet($/;" f +DenseNet121 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def DenseNet121(include_top=True,$/;" f +DENSENET121_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET121_WEIGHT_PATH = ($/;" v +DENSENET121_WEIGHT_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET121_WEIGHT_PATH_NO_TOP = ($/;" v +DenseNet169 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def DenseNet169(include_top=True,$/;" f +DENSENET169_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET169_WEIGHT_PATH = ($/;" v +DENSENET169_WEIGHT_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET169_WEIGHT_PATH_NO_TOP = ($/;" v +DenseNet201 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def DenseNet201(include_top=True,$/;" f +DENSENET201_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET201_WEIGHT_PATH = ($/;" v +DENSENET201_WEIGHT_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DENSENET201_WEIGHT_PATH_NO_TOP = ($/;" v +DenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^class DenseOutput(object):$/;" c +DenseShapeAndType adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^class DenseShapeAndType($/;" c +DenseSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DenseSize(self):$/;" m class:DimensionMetadata +DenseSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^class DenseSpec(type_spec.TypeSpec):$/;" c +DenseSplit adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DenseSplit = _reflection.GeneratedProtocolMessageType('DenseSplit', (_message.Message,), {$/;" v +DenseToCSRSparseMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^DenseToCSRSparseMatrix = tf_export("raw_ops.DenseToCSRSparseMatrix")(_ops.to_raw_op(dense_to_csr/;" v +DenseToDenseSetOperation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^DenseToDenseSetOperation = tf_export("raw_ops.DenseToDenseSetOperation")(_ops.to_raw_op(dense_to/;" v +DenseToSparseBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DenseToSparseBatchDataset = tf_export("raw_ops.DenseToSparseBatchDataset")(_ops.to_raw_op(dense_/;" v +DenseToSparseSetOperation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^DenseToSparseSetOperation = tf_export("raw_ops.DenseToSparseSetOperation")(_ops.to_raw_op(dense_/;" v +DenseWithExtraWeight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class DenseWithExtraWeight(keras.layers.Dense):$/;" c member:TestDistributionStrategyWithNumpyArrays.test_gradients_are_none file: +dense_bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def dense_bincount(input, size, weights, binary_output=False, name=None):$/;" f +dense_bincount_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def dense_bincount_eager_fallback(input, size, weights, binary_output, name, ctx):$/;" f +dense_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def dense_block(x, blocks, name):$/;" f +dense_count_sparse_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def dense_count_sparse_output(values, weights, binary_output, minlength=-1, maxlength=-1, name=N/;" f +dense_count_sparse_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def dense_count_sparse_output_eager_fallback(values, weights, binary_output, minlength, maxlengt/;" f +dense_defaults_vec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def dense_defaults_vec(self):$/;" m class:_ParseOpParams +DENSE_KERNEL_INITIALIZER adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^DENSE_KERNEL_INITIALIZER = {$/;" v +dense_labels_to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def dense_labels_to_sparse(dense, length):$/;" f +dense_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^ def dense_layer(weights, input_tensor, act=tf.nn.relu):$/;" f function:main file: +dense_output adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def dense_output(self):$/;" m class:OdeSolver +dense_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def dense_rank(a):$/;" f member:TestRankData.test_rankdata_object_string file: +dense_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def dense_setdiag(a, v, k):$/;" f member:_TestCommon.test_setdiag_comprehensive file: +dense_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def dense_shape(self):$/;" m class:IndexedSlices +dense_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def dense_shape(self):$/;" m class:SparseTensor +dense_shapes_as_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def dense_shapes_as_proto(self):$/;" m class:_ParseOpParams +dense_shape_and_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def dense_shape_and_type(matrix):$/;" f +dense_to_csr_sparse_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def dense_to_csr_sparse_matrix(dense_input, indices, name=None):$/;" f +dense_to_csr_sparse_matrix_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def dense_to_csr_sparse_matrix_eager_fallback(dense_input, indices, name, ctx):$/;" f +dense_to_dense_set_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def dense_to_dense_set_operation(set1, set2, set_operation, validate_indices=True, name=None):$/;" f +dense_to_dense_set_operation_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def dense_to_dense_set_operation_eager_fallback(set1, set2, set_operation, validate_indices, nam/;" f +dense_to_ragged_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^def dense_to_ragged_batch(batch_size,$/;" f +dense_to_sparse_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^def dense_to_sparse_batch(batch_size, row_shape):$/;" f +dense_to_sparse_batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dense_to_sparse_batch_dataset(input_dataset, batch_size, row_shape, output_types, output_sha/;" f +dense_to_sparse_batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dense_to_sparse_batch_dataset_eager_fallback(input_dataset, batch_size, row_shape, output_ty/;" f +dense_to_sparse_set_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def dense_to_sparse_set_operation(set1, set2_indices, set2_values, set2_shape, set_operation, va/;" f +dense_to_sparse_set_operation_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def dense_to_sparse_set_operation_eager_fallback(set1, set2_indices, set2_values, set2_shape, se/;" f +dense_vector_to_id_list_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^def dense_vector_to_id_list_ref(*arg):$/;" f +DENSIFY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DENSIFY = 124$/;" v class:BuiltinOperator +DensifyOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DensifyOptions = 99$/;" v class:BuiltinOptions +DensifyOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DensifyOptions(object):$/;" c +DensifyOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DensifyOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DensifyOptions +DensifyOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DensifyOptionsEnd(builder): return builder.EndObject()$/;" f +DensifyOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DensifyOptionsStart(builder): builder.StartObject(0)$/;" f +DensifyOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DensifyOptionsT(object):$/;" c +densify_and_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def densify_and_all_reduce():$/;" f member:CollectiveReplicaLauncher.all_reduce_indexed_slices file: +density adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ density = 0.1$/;" v class:TestClarksonWoodruffTransform +depargs adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^depargs = []$/;" v +depart_latex_math_html adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ def depart_latex_math_html(self, node):$/;" f function:setup file: +depart_latex_math_latex adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ def depart_latex_math_latex(self, node):$/;" f function:setup file: +dependencies adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def dependencies(self):$/;" m class:Metadata +dependencies adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def dependencies(self, value):$/;" m class:Metadata +DependencyFinder adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class DependencyFinder(object):$/;" c +DependencyGraph adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class DependencyGraph(object):$/;" c +DependencyWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class DependencyWarning(HTTPWarning):$/;" c +DependencyWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class DependencyWarning(HTTPWarning):$/;" c +DEPENDENCY_KEYS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ DEPENDENCY_KEYS = ('extras run_requires test_requires build_requires '$/;" v class:Metadata +dependent adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^dependent = _Dependent()$/;" v +dependent_property adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^dependent_property = _DependentProperty$/;" v +deprecate adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def deprecate(obj, message=message, name=name, alternative=alternative,$/;" f function:deprecated file: +deprecate adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def deprecate(*args, **kwargs):$/;" f +deprecated adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def deprecated(message, stacklevel=2):$/;" f +deprecated adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def deprecated(since, *, message='', name='', alternative='', pending=False,$/;" f +deprecated adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def deprecated(conditional=True):$/;" f +deprecated adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^def deprecated(reason, replacement, gone_in, issue=None):$/;" f +deprecated adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated(date, instructions, warn_once=True):$/;" f +DeprecatedArgSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^DeprecatedArgSpec = collections.namedtuple($/;" v +DeprecatedBuiltinCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DeprecatedBuiltinCode(self):$/;" m class:OperatorCode +DeprecatedImport adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^class DeprecatedImport(object):$/;" c +DeprecatedNamesAlreadySet adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^class DeprecatedNamesAlreadySet(Exception):$/;" c +DeprecatedTzFormatWarning adpepsenv/lib/python3.8/site-packages/dateutil/tz/__init__.py /^class DeprecatedTzFormatWarning(Warning):$/;" c +deprecated_alias adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated_alias(deprecated_name, name, func_or_class, warn_once=True):$/;" f +deprecated_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated_args(date, instructions, *deprecated_arg_names_or_tuples,$/;" f +deprecated_argument_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated_argument_lookup(new_name, new_value, old_name, old_value):$/;" f +deprecated_arg_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated_arg_values(date, instructions, warn_once=True,$/;" f +deprecated_decorator adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def deprecated_decorator(func):$/;" f function:deprecated file: +deprecated_endpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def deprecated_endpoints(*args):$/;" f +deprecated_func adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^ def deprecated_func(*args, **kwargs):$/;" f function:__deprecated_private_func file: +deprecated_func adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def deprecated_func(*args, **kwargs):$/;" f function:deprecated.deprecated_decorator file: +deprecated_func adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def deprecated_func():$/;" f member:TestNoseDecorators.test_deprecated file: +deprecated_func2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def deprecated_func2():$/;" f member:TestNoseDecorators.test_deprecated file: +deprecated_func3 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def deprecated_func3():$/;" f member:TestNoseDecorators.test_deprecated file: +deprecated_graph_mode_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def deprecated_graph_mode_only(func=None):$/;" f +deprecated_init adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^ def deprecated_init(*args, **kwargs):$/;" f function:_make_deprecate file: +deprecated_internal_learning_phase_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def deprecated_internal_learning_phase_scope(value):$/;" f +deprecated_internal_set_learning_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def deprecated_internal_set_learning_phase(value):$/;" f +deprecated_multiplexer adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^ def deprecated_multiplexer(self):$/;" m class:LocalDataIngester +deprecated_py2_support adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/compat_util.py /^def deprecated_py2_support(module_name):$/;" f +deprecated_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ deprecated_types = [$/;" v class:TestDTypeCoercion +deprecated_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ deprecated_types = [np.csingle, np.cdouble, np.clongdouble]$/;" v class:BuiltInRoundComplexDType +deprecated_windows adpepsenv/lib/python3.8/site-packages/scipy/signal/__init__.py /^deprecated_windows = ('boxcar', 'triang', 'parzen', 'bohman', 'blackman',$/;" v +deprecated_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def deprecated_wrapper(func):$/;" f function:deprecated_args file: +deprecated_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def deprecated_wrapper(func):$/;" f function:deprecated_arg_values file: +deprecated_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def deprecated_wrapper(func):$/;" f function:deprecated_endpoints file: +deprecated_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def deprecated_wrapper(func_or_class):$/;" f function:deprecated file: +deprecate_cython_api adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^def deprecate_cython_api(module, routine_name, new_name=None, message=None):$/;" f +deprecate_decorator adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def deprecate_decorator(f):$/;" f function:deprecated file: +deprecate_with_doc adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^deprecate_with_doc = lambda msg: _Deprecate(message=msg)$/;" f +DeprecationWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation_wrapper.py /^DeprecationWrapper = module_wrapper.TFModuleWrapper$/;" v +DEPRECATION_MSG_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^DEPRECATION_MSG_PREFIX = "DEPRECATION: "$/;" v +depth adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ depth = 0$/;" v class:Kern +depth adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def depth(self):$/;" m class:BlendedGenericTransform +depth adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def depth(self):$/;" m class:CompositeAffine2D +depth adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def depth(self):$/;" m class:Transform +depth adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ depth = property(lambda self: self._a.depth + self._b.depth)$/;" v class:CompositeGenericTransform +depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^ def depth(d):$/;" f function:MobileNetV3Large.stack_fn file: +depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^ def depth(d):$/;" f function:MobileNetV3Small.stack_fn file: +DepthConcat adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def DepthConcat(self, *args, **kwargs):$/;" m class:CNNModelHelper +DepthMultiplier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DepthMultiplier(self):$/;" m class:DepthwiseConv2DOptions +DepthToSpace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^DepthToSpace = tf_export("raw_ops.DepthToSpace")(_ops.to_raw_op(depth_to_space))$/;" v +DepthToSpaceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DepthToSpaceOptions = 94$/;" v class:BuiltinOptions +DepthToSpaceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DepthToSpaceOptions(object):$/;" c +DepthToSpaceOptionsAddBlockSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthToSpaceOptionsAddBlockSize(builder, blockSize): builder.PrependInt32Slot(0, blockSize, /;" f +DepthToSpaceOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DepthToSpaceOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DepthToSpaceOptions +DepthToSpaceOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthToSpaceOptionsEnd(builder): return builder.EndObject()$/;" f +DepthToSpaceOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthToSpaceOptionsStart(builder): builder.StartObject(1)$/;" f +DepthToSpaceOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DepthToSpaceOptionsT(object):$/;" c +Depthwise3x3ConvOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/depthwise_3x3_conv_test.py /^class Depthwise3x3ConvOpsTest(hu.HypothesisTestCase):$/;" c +DepthwiseConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class DepthwiseConv2D(Conv2D):$/;" c +DepthwiseConv2dNative adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^DepthwiseConv2dNative = tf_export("raw_ops.DepthwiseConv2dNative")(_ops.to_raw_op(depthwise_conv/;" v +DepthwiseConv2dNativeBackpropFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^DepthwiseConv2dNativeBackpropFilter = tf_export("raw_ops.DepthwiseConv2dNativeBackpropFilter")(_/;" v +DepthwiseConv2dNativeBackpropInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^DepthwiseConv2dNativeBackpropInput = tf_export("raw_ops.DepthwiseConv2dNativeBackpropInput")(_op/;" v +DepthwiseConv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DepthwiseConv2DOptions = 2$/;" v class:BuiltinOptions +DepthwiseConv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DepthwiseConv2DOptions(object):$/;" c +DepthwiseConv2DOptionsAddDepthMultiplier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddDepthMultiplier(builder, depthMultiplier): builder.PrependInt32Slot/;" f +DepthwiseConv2DOptionsAddDilationHFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddDilationHFactor(builder, dilationHFactor): builder.PrependInt32Slot/;" f +DepthwiseConv2DOptionsAddDilationWFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddDilationWFactor(builder, dilationWFactor): builder.PrependInt32Slot/;" f +DepthwiseConv2DOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder./;" f +DepthwiseConv2DOptionsAddPadding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddPadding(builder, padding): builder.PrependInt8Slot(0, padding, 0)$/;" f +DepthwiseConv2DOptionsAddStrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddStrideH(builder, strideH): builder.PrependInt32Slot(2, strideH, 0)$/;" f +DepthwiseConv2DOptionsAddStrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsAddStrideW(builder, strideW): builder.PrependInt32Slot(1, strideW, 0)$/;" f +DepthwiseConv2DOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DepthwiseConv2DOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DepthwiseConv2DOptions +DepthwiseConv2DOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsEnd(builder): return builder.EndObject()$/;" f +DepthwiseConv2DOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DepthwiseConv2DOptionsStart(builder): builder.StartObject(7)$/;" f +DepthwiseConv2DOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DepthwiseConv2DOptionsT(object):$/;" c +depthwise_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def depthwise_conv2d(x,$/;" f +depthwise_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def depthwise_conv2d(input,$/;" f +depthwise_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import depthwise_conv2d_v2 as depthwise_conv2d$/;" x +depthwise_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import depthwise_conv2d_v2 as depthwise_conv2d$/;" x +depthwise_conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_filter as depthwise_co/;" x +depthwise_conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_filter as depthwise_co/;" x +depthwise_conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_filter as depthwise_co/;" x +depthwise_conv2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_input as depthwise_con/;" x +depthwise_conv2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_input as depthwise_con/;" x +depthwise_conv2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import depthwise_conv2d_native_backprop_input as depthwise_con/;" x +depthwise_conv2d_native adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native(input, filter, strides, padding, explicit_paddings=[], data_format="/;" f +depthwise_conv2d_native adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def depthwise_conv2d_native( # pylint: disable=redefined-builtin,dangerous-default-value$/;" f +depthwise_conv2d_native_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native_backprop_filter(input, filter_sizes, out_backprop, strides, padding,/;" f +depthwise_conv2d_native_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def depthwise_conv2d_native_backprop_filter( # pylint: disable=redefined-builtin,dangerous-defa/;" f +depthwise_conv2d_native_backprop_filter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native_backprop_filter_eager_fallback(input, filter_sizes, out_backprop, st/;" f +depthwise_conv2d_native_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native_backprop_input(input_sizes, filter, out_backprop, strides, padding, /;" f +depthwise_conv2d_native_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def depthwise_conv2d_native_backprop_input( # pylint: disable=redefined-builtin,dangerous-defau/;" f +depthwise_conv2d_native_backprop_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native_backprop_input_eager_fallback(input_sizes, filter, out_backprop, str/;" f +depthwise_conv2d_native_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def depthwise_conv2d_native_eager_fallback(input, filter, strides, padding, explicit_paddings, d/;" f +depthwise_conv2d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def depthwise_conv2d_v2(input,$/;" f +DEPTHWISE_CONV_2D adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DEPTHWISE_CONV_2D = 4$/;" v class:BuiltinOperator +depth_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/array_helpers.py /^def depth_concat(model, blobs_in, blob_out, **kwargs):$/;" f +depth_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def depth_concat(*inputs):$/;" f member:TestOperators.test_depth_concat file: +depth_concat_with_order adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def depth_concat_with_order(*inputs):$/;" f member:TestOperators.test_depth_concat_with_order file: +depth_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def depth_list(self):$/;" m class:DFSGraphTracer +DEPTH_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^DEPTH_TEMPLATE = "(%d) "$/;" v +DEPTH_TO_SPACE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DEPTH_TO_SPACE = 5$/;" v class:BuiltinOperator +depth_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def depth_to_space(input, block_size, name=None, data_format="NHWC"): # pylint: disable=redefin/;" f +depth_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def depth_to_space(input, block_size, data_format="NHWC", name=None):$/;" f +depth_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import depth_to_space_v2 as depth_to_space$/;" x +depth_to_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import depth_to_space_v2 as depth_to_space$/;" x +depth_to_space_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def depth_to_space_eager_fallback(input, block_size, data_format, name, ctx):$/;" f +depth_to_space_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def depth_to_space_v2(input, block_size, data_format="NHWC", name=None): # pylint: disable=rede/;" f +dep_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def dep_fn(self, *args, **kwargs):$/;" f function:largeTensorTest.inner file: +dep_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def dep_fn(slf, device, *args, **kwargs):$/;" f member:skipIf.__call__ file: +dep_hann adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^from scipy.signal import windows, get_window, resample, hann as dep_hann$/;" x +dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^dequantize = gen_xla_ops.xla_dequantize$/;" v +DEQUANTIZE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DEQUANTIZE = 6$/;" v class:BuiltinOperator +dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def dequantize( # pylint: disable=missing-docstring$/;" f +dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def dequantize(input, min_range, max_range, mode="MIN_COMBINED", narrow_range=False, axis=-1, dt/;" f +Dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Dequantize = tf_export("raw_ops.Dequantize")(_ops.to_raw_op(dequantize))$/;" v +DeQuantize adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^class DeQuantize(torch.nn.Module):$/;" c +dequantize adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def dequantize(g, input):$/;" f +DequantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DequantizeOptions = 38$/;" v class:BuiltinOptions +DequantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DequantizeOptions(object):$/;" c +DequantizeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DequantizeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DequantizeOptions +DequantizeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DequantizeOptionsEnd(builder): return builder.EndObject()$/;" f +DequantizeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DequantizeOptionsStart(builder): builder.StartObject(0)$/;" f +DequantizeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DequantizeOptionsT(object):$/;" c +dequantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def dequantize_eager_fallback(input, min_range, max_range, mode, narrow_range, axis, dtype, name/;" f +DeQuantStub adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^class DeQuantStub(nn.Module):$/;" c +Deque adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Deque(collections.deque, typing.MutableSequence[T],$/;" c +Deque adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Deque = typing.Deque$/;" v +dequeue adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^def dequeue(net, queue, num_blobs, status=None, field_names=None,$/;" f +dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dequeue(self, name=None):$/;" m class:QueueBase +dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def dequeue(self, name: Text = None):$/;" m class:TPUEmbedding +dequeue_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def dequeue_fn():$/;" f member:_InputPipeline.generate_infeed_enqueue_ops_and_dequeue_fn file: +dequeue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dequeue_many(self, n, name=None):$/;" m class:GPUCompatibleFIFOQueue +dequeue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dequeue_many(self, n, name=None):$/;" m class:QueueBase +dequeue_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dequeue_up_to(self, n, name=None):$/;" m class:QueueBase +dequeue_value adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^def dequeue_value(queue):$/;" f +deque_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ deque_repr = _sequence_repr_maker($/;" v class:DebugReprGenerator +dequote adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^def dequote(string):$/;" f +deref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def deref(weak_v):$/;" f member:FuncGraph.variables file: +deref adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def deref(self):$/;" m class:Reference +dereference adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ dereference = False # If true, add content of linked file to the$/;" v class:TarFile +deregister adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def deregister(self, name, strict=True):$/;" m class:Registry +deregister adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^ deregister_matplotlib_converters as deregister)$/;" x function:pd file: +deregister_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def deregister_context(self, context_words):$/;" m class:TabCompletionRegistry +deregister_hook adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def deregister_hook(self, event, hook):$/;" m class:RequestHooksMixin +deregister_hook adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def deregister_hook(self, event, hook):$/;" m class:RequestHooksMixin +deriv adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def deriv(self, m=1):$/;" m class:poly1d +deriv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def deriv(self, m=1):$/;" m class:ABCPolyBase +deriv adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^ def deriv(f, x, *arg):$/;" f function:check_cmplx_deriv file: +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def derivative(self, n=1):$/;" m class:UnivariateSpline +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def derivative(self, nu):$/;" m class:NdPPoly +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def derivative(self, nu=1):$/;" m class:BPoly +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def derivative(self, nu=1):$/;" m class:PPoly +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def derivative(self, x, der=1):$/;" m class:_Interpolator1DWithDerivatives +derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def derivative(self, nu=1):$/;" m class:BSpline +derivative adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^def derivative(func, x0, dx=1.0, n=1, args=(), order=3):$/;" f +derivative adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^ def derivative(input, axis, output, mode, cval, sigma, **kwargs):$/;" f function:gaussian_gradient_magnitude file: +derivative adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def derivative(input, axis, output, mode, cval, a, b):$/;" f member:TestNdimageFilters.test_generic_gradient_magnitude01 file: +derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def derivative(self, x, *args):$/;" m class:MemoizeJac +derivative2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^ def derivative2(input, axis, output, mode, cval):$/;" f function:laplace file: +derivative2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^ def derivative2(input, axis, output, mode, cval, sigma, **kwargs):$/;" f function:gaussian_laplace file: +derivative2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def derivative2(input, axis, output, mode, cval, a, b):$/;" f member:TestNdimageFilters.test_generic_laplace01 file: +derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def derivatives(self, x):$/;" m class:UnivariateSpline +derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def derivatives(self, x, der=None):$/;" m class:_Interpolator1DWithDerivatives +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def deriv_func(*args):$/;" f member:TestFSolve.test_Dfun_can_raise file: +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def deriv_func(*args):$/;" f member:TestFSolve.test_reentrant_Dfunc file: +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def deriv_func(*args):$/;" f member:TestLeastSq.test_Dfun_can_raise file: +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def deriv_func(*args):$/;" f member:TestLeastSq.test_reentrant_Dfun file: +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ deriv_func = lambda x: dummy_func(x, (3,3))$/;" f member:TestFSolve.test_wrong_shape_fprime_function file: +deriv_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ deriv_func = lambda x: dummy_func(x, (3,3))$/;" f member:TestLeastSq.test_wrong_shape_Dfun_function file: +deriv_prop adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def deriv_prop(prim, deriv, primals_in, series_in):$/;" f +deriv_y adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ deriv_y = lambda y: lax.mul(deriv_const, lax.exp(lax.square(y)))$/;" f function:_erf_inv_rule file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def derphi(alpha):$/;" f function:line_search_wolfe2 file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def derphi(s):$/;" f function:line_search_wolfe1 file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def derphi(s):$/;" f function:_nonlin_line_search file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def derphi(alpha):$/;" f member:TestLineSearch.test_scalar_search_wolfe2_regression file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def derphi(alpha):$/;" f member:TestLineSearch.test_scalar_search_wolfe2_with_low_amax file: +derphi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def derphi(s):$/;" f member:TestLineSearch.test_wolfe_terminate file: +der_encode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^from pyasn1.codec.der.encoder import encode as der_encode$/;" x +der_logit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def der_logit(x):$/;" f function:test_check_grad file: +desCBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^desCBC = _OID(oiw, 3, 2, 7)$/;" v +descendant_modules adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^ def descendant_modules(module):$/;" f function:_replicatable_module file: +descend_resolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def descend_resolver(self, current_node, current_index):$/;" m class:BaseResolver +descent_condition adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def descent_condition(alpha, xkp1, fp1, gfkp1):$/;" f function:_minimize_cg file: +descr adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline dtype descr(self):$/;" m class:numpy +describe adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def describe(a, axis=0, ddof=0, bias=True):$/;" f +describe adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def describe(a, axis=0, ddof=1, bias=True, nan_policy='propagate'):$/;" f +describe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def describe(obj, denylist, leaves_only=False):$/;" f function:_find_reference_cycle file: +DescribeResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^DescribeResult = namedtuple('DescribeResult', ('nobs', 'minmax', 'mean',$/;" v +DescribeResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^DescribeResult = namedtuple('DescribeResult',$/;" v +describe_trigger adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def describe_trigger(parent):$/;" f member:Factory.get_installation_error file: +descript adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^descript = """$/;" v +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Back to previous view'$/;" v class:ToolBack +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Configure subplots'$/;" v class:ConfigureSubplotsBase +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Copy the canvas figure to clipboard'$/;" v class:ToolCopyToClipboardBase +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Enable all axes toolmanager'$/;" v class:_ToolEnableAllNavigation +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Enable one axes toolmanager'$/;" v class:_ToolEnableNavigation +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Forward to next view'$/;" v class:ToolForward +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Pan axes with left mouse, zoom with right'$/;" v class:ToolPan +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Print tool list, shortcuts and description'$/;" v class:ToolHelpBase +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Quit all figures'$/;" v class:ToolQuitAll +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Quit the figure'$/;" v class:ToolQuit +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Reset original view'$/;" v class:ToolHome +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Save the figure'$/;" v class:SaveFigureBase +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Toggle fullscreen mode'$/;" v class:ToolFullScreen +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Toggle major and minor grids'$/;" v class:ToolMinorGrid +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Toggle major grids'$/;" v class:ToolGrid +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Toggle scale X axis'$/;" v class:ToolXScale +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Toggle scale Y axis'$/;" v class:ToolYScale +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = 'Zoom to rectangle'$/;" v class:ToolZoom +description adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ description = None$/;" v class:ToolBase +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ description = "build C\/C++\/F libraries used by Python extensions"$/;" v class:build_clib +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ description = "build C\/C++\/F extensions (compile\/link to build directory)"$/;" v class:build_ext +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ description = "build sources from SWIG, F2PY files or a function"$/;" v class:build_src +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ description = "specify C\/C++ compiler information"$/;" v class:config_cc +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ description = "specify Fortran 77\/Fortran 90 compiler information"$/;" v class:config_fc +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ description = "Command to install installable C libraries"$/;" v class:install_clib +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ description = 'Absoft Corp Fortran Compiler'$/;" v class:AbsoftFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ description = 'Compaq Fortran Compiler'$/;" v class:CompaqFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ description = 'DIGITAL or Compaq Visual Fortran Compiler'$/;" v class:CompaqVisualFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ description = 'G95 Fortran Compiler'$/;" v class:G95FCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ description = 'GNU Fortran 77 compiler'$/;" v class:GnuFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ description = 'GNU Fortran 95 compiler'$/;" v class:Gnu95FCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ description = 'HP Fortran 90 Compiler'$/;" v class:HPUXFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ description = 'IBM XL Fortran Compiler'$/;" v class:IBMFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Fortran Compiler for 32-bit apps'$/;" v class:IntelFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Fortran Compiler for 64-bit apps'$/;" v class:IntelEM64TFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Fortran Compiler for Itanium apps'$/;" v class:IntelItaniumFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Visual Fortran Compiler for 32-bit apps'$/;" v class:IntelVisualFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Visual Fortran Compiler for 64-bit apps'$/;" v class:IntelEM64VisualFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ description = 'Intel Visual Fortran Compiler for Itanium apps'$/;" v class:IntelItaniumVisualFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ description = 'Lahey\/Fujitsu Fortran 95 Compiler'$/;" v class:LaheyFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ description = 'MIPSpro Fortran Compiler'$/;" v class:MIPSFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ description = 'NAG Fortran Compiler'$/;" v class:NAGFORCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ description = 'NAGWare Fortran 95 Compiler'$/;" v class:NAGFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/none.py /^ description = 'Fake Fortran compiler'$/;" v class:NoneFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ description = 'NVIDIA HPC SDK'$/;" v class:NVHPCFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ description = 'PathScale Fortran Compiler'$/;" v class:PathScaleFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ description = 'Portland Group Fortran Compiler'$/;" v class:PGroupFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ description = 'Portland Group Fortran LLVM Compiler'$/;" v class:PGroupFlangCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ description = 'Sun or Forte Fortran 95 Compiler'$/;" v class:SunFCompiler +description adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ description = 'Pacific-Sierra Research Fortran 90 Compiler'$/;" v class:VastFCompiler +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ description = ''$/;" v class:OAuth1Error +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ description = 'Only HTTPS connections are permitted.'$/;" v class:InsecureTransportError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = ''$/;" v class:OAuth2Error +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Code challenge required.'$/;" v class:MissingCodeChallengeError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Code verifier required.'$/;" v class:MissingCodeVerifierError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'CSRF Warning! State not equal in request and response.'$/;" v class:MismatchingStateError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Invalid client_id parameter value.'$/;" v class:InvalidClientIdError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Invalid redirect URI.'$/;" v class:InvalidRedirectURIError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Mismatching redirect URI.'$/;" v class:MismatchingRedirectURIError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Missing client_id parameter.'$/;" v class:MissingClientIdError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Missing redirect URI.'$/;" v class:MissingRedirectURIError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Missing response_type parameter.'$/;" v class:MissingResponseTypeError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'OAuth 2 MUST utilize https.'$/;" v class:InsecureTransportError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = 'Transform algorithm not supported.'$/;" v class:UnsupportedCodeChallengeMethodError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = ("The access token provided is expired, revoked, malformed, "$/;" v class:InvalidTokenError +description adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ description = ("The request requires higher privileges than provided by "$/;" v class:InsufficientScopeError +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = 'The registration parameter is not supported.'$/;" v class:RegistrationNotSupported +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = 'The request parameter contains an invalid Request Object.'$/;" v class:InvalidRequestObject +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = 'The request parameter is not supported.'$/;" v class:RequestNotSupported +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = 'The request_uri in the Authorization Request returns an ' \\$/;" v class:InvalidRequestURI +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = 'The request_uri parameter is not supported.'$/;" v class:RequestURINotSupported +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = ("The access token provided is expired, revoked, malformed, "$/;" v class:InvalidTokenError +description adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ description = ("The request requires higher privileges than provided by "$/;" v class:InsufficientScopeError +DESCRIPTION adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^DESCRIPTION = """$/;" v +description adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ description = ''$/;" v class:CryptoOperation +description adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ description = ('Decrypts a file. The original file must be shorter than '$/;" v class:DecryptOperation +description adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ description = ('Encrypts a file. The file must be shorter than the key '$/;" v class:EncryptOperation +description adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ description = ('Signs a file, outputs the signature. Choose the hash '$/;" v class:SignOperation +description adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ description = ('Verifies a signature, exits with status 0 upon success, '$/;" v class:VerifyOperation +description adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^description = """$/;" v +description adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ description = "define a shortcut to invoke one or more commands"$/;" v class:alias +description adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ description = "create an \\"egg\\" distribution"$/;" v class:bdist_egg +description adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ description = "install package in 'development mode'"$/;" v class:develop +description adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^ description = 'create a .dist-info directory'$/;" v class:dist_info +description adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ description = "Find\/get\/install Python packages"$/;" v class:easy_install +description adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ description = "create a distribution's .egg-info directory"$/;" v class:egg_info +description adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ description = "Install an .egg-info directory for the package"$/;" v class:install_egg_info +description adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ description = "delete older distributions, keeping N newest files"$/;" v class:rotate +description adpepsenv/lib/python3.8/site-packages/setuptools/command/saveopts.py /^ description = "save supplied options to setup.cfg or other config file"$/;" v class:saveopts +description adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ description = "set an option in setup.cfg or another config file"$/;" v class:setopt +description adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ description = "run unit tests after in-place build (deprecated)"$/;" v class:test +description adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ description = 'Upload documentation to PyPI'$/;" v class:upload_docs +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ description = "create a built (binary) distribution"$/;" v class:bdist +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ description = "create a \\"dumb\\" built distribution"$/;" v class:bdist_dumb +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ description = "create a Microsoft Installer (.msi) binary distribution"$/;" v class:bdist_msi +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ description = "create an RPM distribution"$/;" v class:bdist_rpm +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ description = "create an executable installer for MS Windows"$/;" v class:bdist_wininst +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ description = "build everything needed to install"$/;" v class:build +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ description = "build C\/C++ libraries used by Python extensions"$/;" v class:build_clib +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ description = "build C\/C++ extensions (compile\/link to build directory)"$/;" v class:build_ext +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ description = "\\"build\\" pure Python modules (copy to build directory)"$/;" v class:build_py +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ description = "\\"build\\" scripts (copy and fixup #! line)"$/;" v class:build_scripts +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ description = ("perform some checks on the package")$/;" v class:check +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ description = "clean up temporary files from 'build' command"$/;" v class:clean +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ description = "prepare to build"$/;" v class:config +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ description = "install everything from build directory"$/;" v class:install +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ description = "install data files"$/;" v class:install_data +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ description = "Install package's PKG-INFO metadata as an .egg-info file"$/;" v class:install_egg_info +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ description = "install C\/C++ header files"$/;" v class:install_headers +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ description = "install all Python modules (extensions and pure Python)"$/;" v class:install_lib +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ description = "install scripts (Python or otherwise)"$/;" v class:install_scripts +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ description = ("register the distribution with the Python package index")$/;" v class:register +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ description = "create a source distribution (tarball, zip file, etc.)"$/;" v class:sdist +description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ description = "upload binary package to PyPI"$/;" v class:upload +description adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def description(self):$/;" m class:_TimeSeries +description adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def description(self):$/;" m class:HParam +description adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def description(self):$/;" m class:TensorBoardSubcommand +Description adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Description(self):$/;" m class:Model +description adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ description = 'install C\/C++ header files'$/;" v class:InstallHeaders +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def description(self):$/;" m class:HTTPException.wrap.newcls +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def description(self, value):$/;" m class:HTTPException.wrap.newcls +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "One or more header fields exceeds the maximum size."$/;" v class:RequestHeaderFieldsTooLarge +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The connection to an upstream server timed out."$/;" v class:GatewayTimeout +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The data value transmitted exceeds the capacity limit."$/;" v class:RequestEntityTooLarge +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The method is not allowed for the requested URL."$/;" v class:MethodNotAllowed +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The resource that is being accessed is locked."$/;" v class:Locked +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The server cannot provide the requested range."$/;" v class:RequestedRangeNotSatisfiable +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The server could not meet the requirements of the Expect header"$/;" v class:ExpectationFailed +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "The server does not support the action requested by the browser."$/;" v class:NotImplemented +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "This server is a teapot, not a coffee machine"$/;" v class:ImATeapot +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "This user has exceeded an allotted request count. Try again later."$/;" v class:TooManyRequests +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = "Unavailable for legal reasons."$/;" v class:UnavailableForLegalReasons +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:BadGateway +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:BadRequest +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:Conflict +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:FailedDependency +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:Forbidden +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:Gone +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:HTTPVersionNotSupported +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:InternalServerError +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:LengthRequired +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:NotAcceptable +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:NotFound +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:PreconditionFailed +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:PreconditionRequired +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:RequestTimeout +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:RequestURITooLarge +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:ServiceUnavailable +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:Unauthorized +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:UnprocessableEntity +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = ($/;" v class:UnsupportedMediaType +description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ description = None$/;" v class:HTTPException +description adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ description = 'create a wheel distribution'$/;" v class:bdist_wheel +description versioneer.py /^ description = "report generated version string"$/;" v class:get_cmdclass.cmd_version +description_of adpepsenv/lib/python3.8/site-packages/chardet/cli/chardetect.py /^def description_of(lines, name='stdin'):$/;" f +description_of adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cli/chardetect.py /^def description_of(lines, name='stdin'):$/;" f +descriptor adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ descriptor = '-'.join([o['name']] + attr_names + [num_inputs])$/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +Descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class Descriptor(_NestedDescriptorBase):$/;" c +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ DESCRIPTOR = None$/;" v class:EnumTypeWrapper +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ DESCRIPTOR = None$/;" v class:Message +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^DESCRIPTOR = _descriptor.FileDescriptor($/;" v +DescriptorBase adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class DescriptorBase(six.with_metaclass(DescriptorMetaclass)):$/;" c +DescriptorDatabase adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^class DescriptorDatabase(object):$/;" c +DescriptorDatabaseConflictingDefinitionError adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^class DescriptorDatabaseConflictingDefinitionError(Error):$/;" c +DescriptorMetaclass adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ class DescriptorMetaclass(type):$/;" c +DescriptorPool adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^class DescriptorPool(object):$/;" c +DescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^DescriptorProto = _reflection.GeneratedProtocolMessageType('DescriptorProto', (_message.Message,/;" v +descriptor_mod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^from google.protobuf import descriptor as descriptor_mod$/;" x +descr_to_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def descr_to_dtype(descr):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def deserialize(serialized_message, deserializer):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def deserialize(self, worker_device_pairs):$/;" m class:InputWorkers +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def deserialize(name, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def deserialize(self, encoded_accumulator):$/;" m class:Combiner +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def deserialize(self, encoded_accumulator):$/;" m class:_CategoryEncodingCombiner +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def deserialize(self, encoded_accumulator):$/;" m class:_IndexLookupCombiner +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def deserialize(self, encoded_accumulator):$/;" m class:_NormalizingCombiner +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def deserialize(name, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def deserialize(config, custom_objects=None):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def deserialize(self, stamp_token, serialized_proto):$/;" m class:TreeEnsemble +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^def deserialize(proto):$/;" f +deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/python_state.py /^ def deserialize(self, string_value):$/;" m class:PythonState +deserialize adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def deserialize(self, binary_data, tensor_table):$/;" m class:_InternalRPCPickler +deserialize adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def deserialize(binary_data, tensor_table):$/;" f +DeserializeBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^DeserializeBlob = C.deserialize_blob$/;" v +DeserializeIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DeserializeIterator = tf_export("raw_ops.DeserializeIterator")(_ops.to_raw_op(deserialize_iterat/;" v +DeserializeManySparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^DeserializeManySparse = tf_export("raw_ops.DeserializeManySparse")(_ops.to_raw_op(deserialize_ma/;" v +DeserializeSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^DeserializeSparse = tf_export("raw_ops.DeserializeSparse")(_ops.to_raw_op(deserialize_sparse))$/;" v +deserialize_feature_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def deserialize_feature_column(config,$/;" f +deserialize_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def deserialize_feature_columns(configs, custom_objects=None):$/;" f +deserialize_graphmodule adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def deserialize_graphmodule(body : dict) -> torch.nn.Module:$/;" f +deserialize_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def deserialize_iterator(resource_handle, serialized, name=None):$/;" f +deserialize_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def deserialize_iterator_eager_fallback(resource_handle, serialized, name, ctx):$/;" f +deserialize_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def deserialize_keras_object(identifier,$/;" f +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ from tensorflow.python.keras.layers import deserialize as deserialize_layer # pylint: dis/;" x function:reconstruct_from_config.process_layer file: +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ from tensorflow.python.keras.layers import deserialize as deserialize_layer # pylint: disab/;" x member:RNN.from_config file: +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ from tensorflow.python.keras.layers import deserialize as deserialize_layer # pylint: disab/;" x member:StackedRNNCells.from_config file: +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ from tensorflow.python.keras.layers.serialization import deserialize as deserialize_layer #/;" x member:_RNNCellWrapperV2.from_config file: +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ from tensorflow.python.keras.layers import deserialize as deserialize_layer # pylint: disab/;" x member:Bidirectional.from_config file: +deserialize_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ from tensorflow.python.keras.layers import deserialize as deserialize_layer # pylint: disab/;" x member:Wrapper.from_config file: +deserialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def deserialize_many_sparse(serialized_sparse, dtype, name=None):$/;" f +deserialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def deserialize_many_sparse(serialized_sparse, dtype, rank=None, name=None):$/;" f +deserialize_many_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def deserialize_many_sparse_eager_fallback(serialized_sparse, dtype, name, ctx):$/;" f +deserialize_protobuf_struct adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/serde.py /^def deserialize_protobuf_struct(serialized_protobuf, struct_type):$/;" f +deserialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def deserialize_sparse(serialized_sparse, dtype, name=None):$/;" f +deserialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def deserialize_sparse(serialized_sparse, dtype, rank=None, name=None):$/;" f +deserialize_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def deserialize_sparse_eager_fallback(serialized_sparse, dtype, name, ctx):$/;" f +deserialize_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def deserialize_sparse_tensors(tensors, types, shapes, classes):$/;" f +DeserializingFunction adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^DeserializingFunction = Callable[[bytes], Any]$/;" v +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def destroy(self):$/;" m class:FigureCanvasGTK3 +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def destroy(self, *args):$/;" m class:ConfigureSubplotsGTK3 +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def destroy(self, *args):$/;" m class:FigureManagerGTK3 +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def destroy(self):$/;" m class:FigureManagerNbAgg +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def destroy(self, *args):$/;" m class:FigureManagerQT +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def destroy(self, *args):$/;" m class:FigureManagerWx +Destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def Destroy(self, *args, **kwargs):$/;" m class:FigureFrameWx +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def destroy(*args):$/;" f member:FigureManagerTk.show file: +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def destroy(self, *args):$/;" m class:FigureManagerTk +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def destroy(self, *args):$/;" m class:NavigationToolbar2Tk +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def destroy(self, *args, **kwargs):$/;" m class:ConfigureSubplotsTk +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def destroy(self):$/;" m class:FigureManagerBase +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def destroy(self):$/;" m class:ToolBase +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def destroy(self):$/;" m class:Fonts +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def destroy(self):$/;" m class:TruetypeFonts +destroy adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def destroy(cls, num):$/;" m class:Gcf +DestroyResourceOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^DestroyResourceOp = tf_export("raw_ops.DestroyResourceOp")(_ops.to_raw_op(destroy_resource_op))$/;" v +DestroyTemporaryVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^DestroyTemporaryVariable = tf_export("raw_ops.DestroyTemporaryVariable")(_ops.to_raw_op(destroy_/;" v +destroy_all adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def destroy_all(cls):$/;" m class:Gcf +destroy_fig adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def destroy_fig(cls, fig):$/;" m class:Gcf +destroy_pg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def destroy_pg(self):$/;" m class:Trainer +destroy_process_group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def destroy_process_group(group=group.WORLD):$/;" f +destroy_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def destroy_resource(self):$/;" m class:_TRTEngineResourceDeleter +destroy_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def destroy_resource(self):$/;" m class:CapturableResourceDeleter +destroy_resource_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def destroy_resource_op(resource, ignore_lookup_error=True, name=None):$/;" f +destroy_resource_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def destroy_resource_op_eager_fallback(resource, ignore_lookup_error, name, ctx):$/;" f +destroy_temporary_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def destroy_temporary_variable(ref, var_name, name=None):$/;" f +destroy_temporary_variable_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def destroy_temporary_variable_eager_fallback(ref, var_name, name, ctx):$/;" f +dest_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ dest_path = None # type: text_type$/;" v class:File +des_EDE3_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^des_EDE3_CBC = _OID(encryptionAlgorithm, 7)$/;" v +DES_IV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class DES_IV(univ.OctetString):$/;" c +det adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def det(a):$/;" f +det adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def det(a, overwrite_a=False, check_finite=True):$/;" f +det adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^det = linpkg.det$/;" v +det adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def det(a):$/;" f +det adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def det(a, overwrite_a=False, check_finite=True):$/;" f +det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^det = linalg_ops.matrix_determinant$/;" v +det adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_determinant as det$/;" x +det adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_determinant as det$/;" x +det adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_determinant as det$/;" x +det adpepsenv/lib/python3.8/site-packages/torch/linalg/__init__.py /^det = _add_docstr(_linalg.linalg_det, r"""$/;" v +det adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def det(g, self):$/;" f +detab adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def detab(self, text):$/;" m class:BlockProcessor +detab adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def detab(self, block):$/;" m class:FootnoteBlockProcessor +detach adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def detach(g, input):$/;" f +detach adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ detach = _add_docstr(_C._TensorBase.detach, r"""$/;" v class:Tensor +detach_ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ detach_ = _add_docstr(_C._TensorBase.detach_, r"""$/;" v class:Tensor +detach_scale adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def detach_scale(self, dset):$/;" m class:DimensionProxy +detach_variable adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def detach_variable(inputs: Tuple[Any, ...]) -> Tuple[torch.Tensor, ...]:$/;" f +DETAIL adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class DETAIL(BuiltinFilter):$/;" c +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def details(self) -> str:$/;" m class:Call +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def details(self) -> str:$/;" m class:Call +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def details(self) -> Optional[str]:$/;" m class:AioRpcError +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def details(self) -> str:$/;" m class:InterceptedCall +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def details(self) -> str:$/;" m class:UnaryUnaryCallResponse +details adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def details(self) -> str:$/;" m class:_StreamCallResponseIterator +details adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def details(self):$/;" m class:_Rendezvous +details adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def details(self, details):$/;" m class:_FaceServicerContext +details adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def details(self):$/;" m class:Call +details adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def details(self, details):$/;" m class:ServicerContext +details adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def details(self):$/;" m class:_InactiveRpcError +details adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def details(self):$/;" m class:_MultiThreadedRendezvous +details adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def details(self):$/;" m class:_SingleThreadedRendezvous +details adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def details(self):$/;" m class:_FailureOutcome +details adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def details(self):$/;" m class:_UnaryOutcome +details adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def details(self):$/;" m class:Call +Details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Details(self):$/;" m class:QuantizationParameters +DetailsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DetailsType(self):$/;" m class:QuantizationParameters +DetCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class DetCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +detect adpepsenv/lib/python3.8/site-packages/chardet/__init__.py /^def detect(byte_str):$/;" f +detect adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/__init__.py /^def detect(byte_str):$/;" f +detectBOM adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def detectBOM(self):$/;" m class:HTMLBinaryInputStream +detectBOM adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def detectBOM(self):$/;" m class:HTMLBinaryInputStream +detectEncodingMeta adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def detectEncodingMeta(self):$/;" m class:HTMLBinaryInputStream +detectEncodingMeta adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def detectEncodingMeta(self):$/;" m class:HTMLBinaryInputStream +DETECTING adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ DETECTING = 0$/;" v class:ProbingState +DETECTING adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ DETECTING = 0$/;" v class:ProbingState +detectTabbed adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def detectTabbed(self, blocks):$/;" m class:FootnoteBlockProcessor +detect_all adpepsenv/lib/python3.8/site-packages/chardet/__init__.py /^def detect_all(byte_str):$/;" f +detect_anomaly adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^class detect_anomaly(object):$/;" c +detect_encoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def detect_encoding(readline):$/;" f +detect_language adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def detect_language(self, sources):$/;" m class:CCompiler +detect_runner adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def detect_runner():$/;" f +detect_svg adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^def detect_svg(data, f):$/;" f +detect_utf_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def detect_utf_encoding(data):$/;" f +determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def determinant(self, name="det"):$/;" m class:LinearOperator +DETERMINANT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ DETERMINANT = "determinant"$/;" v class:CheckTapeSafeSkipOptions +determineEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def determineEncoding(self, chardet=True):$/;" m class:HTMLBinaryInputStream +determineEncoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def determineEncoding(self, chardet=True):$/;" m class:HTMLBinaryInputStream +determineexprtype adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def determineexprtype(expr, vars, rules={}):$/;" f +determineexprtype_re_1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^determineexprtype_re_1 = re.compile(r'\\A\\(.+?[,].+?\\)\\Z', re.I)$/;" v +determineexprtype_re_2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^determineexprtype_re_2 = re.compile(r'\\A[+-]?\\d+(_(?P[\\w]+)|)\\Z', re.I)$/;" v +determineexprtype_re_3 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^determineexprtype_re_3 = re.compile($/;" v +determineexprtype_re_4 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^determineexprtype_re_4 = re.compile(r'\\A\\(.*\\)\\Z', re.I)$/;" v +determineexprtype_re_5 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^determineexprtype_re_5 = re.compile(r'\\A(?P\\w+)\\s*\\(.*?\\)\\s*\\Z', re.I)$/;" v +DeterminePeakMemoryUsage adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def DeterminePeakMemoryUsage(self, item):$/;" m class:Cluster +determine_block_hints adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def determine_block_hints(self, text):$/;" m class:Emitter +determine_encoding adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def determine_encoding(self):$/;" m class:Reader +determine_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def determine_facecolor(patch):$/;" f member:PatchCollection.__init__ file: +deterministic adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ deterministic = ContextProp(torch._C._get_cudnn_deterministic, torch._C._set_cudnn_determini/;" v class:CudnnModule +DeterministicModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class DeterministicModel(keras.Model):$/;" c +deterministic_io adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def deterministic_io(model):$/;" f +detrend adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def detrend(data, axis=-1, type='linear', bp=0, overwrite_data=None):$/;" f +detrend adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def detrend(x, key=None, axis=None):$/;" f +detrend adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def detrend(data, axis=-1, type='linear', bp=0, overwrite_data=False):$/;" f +detrend_func adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^ def detrend_func(d):$/;" f function:_spectral_helper file: +detrend_linear adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def detrend_linear(y):$/;" f +detrend_mean adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def detrend_mean(x, axis=None):$/;" f +detrend_none adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def detrend_none(x, axis=None):$/;" f +dev adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def dev(self):$/;" m class:LegacyVersion +dev adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def dev(self):$/;" m class:Version +dev adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def dev(self):$/;" m class:LegacyVersion +dev adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def dev(self):$/;" m class:Version +dev adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def dev(self):$/;" m class:LegacyVersion +dev adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def dev(self):$/;" m class:Version +Devanagari adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Devanagari(unicode_set):$/;" c class:pyparsing_unicode +Devanagari adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Devanagari(unicode_set):$/;" c class:pyparsing_unicode +develop adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/develop.py /^class develop(old_develop):$/;" c +develop adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^class develop(namespaces.DevelopInstaller, easy_install):$/;" c +DevelopInstaller adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^class DevelopInstaller(Installer):$/;" c +DEVELOPMENT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DEVELOPMENT = 0xFFFF$/;" v class:WAVE_FORMAT +DEVELOP_DIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^DEVELOP_DIST = -1$/;" v +DEVELOP_DIST adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^DEVELOP_DIST = -1$/;" v +device adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^ device = core.DeviceOption(caffe2_pb2.CPU)$/;" v +device adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^ device = core.DeviceOption($/;" v +device adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def device(self): return self._device$/;" m class:DeviceConstant +Device adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^Device = Any # xc.Device$/;" v +Device adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Device = _xla.Device$/;" v +Device adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^Device = _reflection.GeneratedProtocolMessageType('Device', (_message.Message,), {$/;" v +Device adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^Device = _reflection.GeneratedProtocolMessageType('Device', (_message.Message,), {$/;" v +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def device(self):$/;" m class:PackedVarAndDevice +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def device(self):$/;" m class:AggregatingVariable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def device(self):$/;" m class:TPUVariableMixin +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def device(self):$/;" m class:DistributedVariable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def device(self, name):$/;" m class:Context +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def device(name):$/;" f +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def device(self):$/;" m class:IndexedSlices +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def device(self):$/;" m class:Operation +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def device(self):$/;" m class:Tensor +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def device(self, device_name_or_function):$/;" m class:Graph +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def device(device_name_or_function):$/;" f +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def device(use_gpu):$/;" f +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def device(self):$/;" m class:AutoCastVariable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def device(should_use_gpu):$/;" f +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def device(self):$/;" m class:BaseResourceVariable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def device(self):$/;" m class:RefVariable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def device(self):$/;" m class:Variable +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def device(self):$/;" m class:TPUReplicateContext._EnterOutsideCompilationScope.FakeOp +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_hook.py /^ def device(self):$/;" m class:SaveableHook +device adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def device(self):$/;" m class:SaveableObject +device adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.ops import device_v2 as device$/;" x +device adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import device_v2 as device$/;" x +device adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import device_v2 as device$/;" x +device adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.ops import device_v2 as device$/;" x +device adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.ops import device_v2 as device$/;" x +device adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class device(object):$/;" c +DEVICE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^DEVICE = "cuda"$/;" v +Device adpepsenv/lib/python3.8/site-packages/torch/types.py /^Device = Union[_device, str, None]$/;" v +DeviceArray adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ class DeviceArray: # type: ignore$/;" c +DeviceArray adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ DeviceArray = xc.DeviceArrayBase$/;" v +DeviceArrayBase adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^DeviceArrayBase = _xla.DeviceArrayBase$/;" v +DeviceArrayProtocol adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^DeviceArrayProtocol = Any$/;" v +DeviceAssignment adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^DeviceAssignment = _xla.DeviceAssignment$/;" v +DeviceAssignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^class DeviceAssignment(object):$/;" c +DeviceAssignmentProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^DeviceAssignmentProto = _reflection.GeneratedProtocolMessageType('DeviceAssignmentProto', (_mess/;" v +DeviceAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^DeviceAttributes = _reflection.GeneratedProtocolMessageType('DeviceAttributes', (_message.Messag/;" v +DeviceChecker adpepsenv/lib/python3.8/site-packages/caffe2/python/device_checker.py /^class DeviceChecker(object):$/;" c +DeviceConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^DeviceConfig = collections.namedtuple('DeviceConfig',$/;" v +DeviceConstant adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^class DeviceConstant(object):$/;" c +deviceCountAtLeast adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class deviceCountAtLeast(object):$/;" c +DeviceDetails adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^DeviceDetails = collections.namedtuple($/;" v +DeviceHandle adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^DeviceHandle = _reflection.GeneratedProtocolMessageType('DeviceHandle', (_message.Message,), {$/;" v +DeviceIndex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^DeviceIndex = tf_export("raw_ops.DeviceIndex")(_ops.to_raw_op(device_index))$/;" v +DeviceKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ def DeviceKey(dev):$/;" f function:_MultiDeviceAddN file: +DeviceLocality adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^DeviceLocality = _reflection.GeneratedProtocolMessageType('DeviceLocality', (_message.Message,),/;" v +DeviceOption adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^DeviceOption = _reflection.GeneratedProtocolMessageType('DeviceOption', (_message.Message,), {$/;" v +DeviceOption adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def DeviceOption($/;" f +DeviceOrderMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^class DeviceOrderMode(enum.IntEnum):$/;" c +DevicePlacementOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^DevicePlacementOp = tf_export("raw_ops.DevicePlacementOp")(_ops.to_raw_op(device_placement_op))$/;" v +DeviceProperties adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^DeviceProperties = _reflection.GeneratedProtocolMessageType('DeviceProperties', (_message.Messag/;" v +DEVICES adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ DEVICES = [caffe2_pb2.CPU, workspace.GpuDeviceType]$/;" v +devices adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def devices(backend: Optional[str] = None) -> List[xla_client.Device]:$/;" f +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def devices(self):$/;" m class:DebugDumpDir +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def devices(self):$/;" m class:ReplicaContextBase +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def devices(self):$/;" m class:_MirroredReplicaContext +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def devices(self):$/;" m class:_OneDeviceReplicaContext +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def devices(self):$/;" m class:PackedDistributedVariable +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def devices(self):$/;" m class:_TPUReplicaContext +devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def devices(self):$/;" m class:Context +DeviceScope adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^DeviceScope = scope.DeviceScope$/;" v +DeviceScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def DeviceScope(scope, node_name=None):$/;" f +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ DeviceSpec = device_spec.DeviceSpecV2$/;" v +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV1 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV2 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV1 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV1 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV2 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV2 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.device_spec import DeviceSpecV2 as DeviceSpec$/;" x +DeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.device_spec import DeviceSpecV2 as DeviceSpec$/;" x +DeviceSpecV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^class DeviceSpecV1(DeviceSpecV2):$/;" c +DeviceSpecV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^class DeviceSpecV2(object):$/;" c +DeviceStepStats adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^DeviceStepStats = _reflection.GeneratedProtocolMessageType('DeviceStepStats', (_message.Message,/;" v +DeviceStepStats adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^DeviceStepStats = _reflection.GeneratedProtocolMessageType('DeviceStepStats', (_message.Message,/;" v +DeviceTypeProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^DeviceTypeProto = enum_type_wrapper.EnumTypeWrapper(_DEVICETYPEPROTO)$/;" v +DeviceTypeTestBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class DeviceTypeTestBase(TestCase):$/;" c +DeviceWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class DeviceWrapper(rnn_cell_wrapper_impl.DeviceWrapperBase,$/;" c +DeviceWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^class DeviceWrapper(rnn_cell_wrapper_impl.DeviceWrapperBase,$/;" c +DeviceWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^DeviceWrapper = rnn_cell_impl.DeviceWrapper$/;" v +DeviceWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^class DeviceWrapperBase(object):$/;" c +DeviceWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_wrapper_impl.py /^DeviceWrapperBase = rnn_cell_wrapper_impl.DeviceWrapperBase$/;" v +device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^def device_assignment(topology,$/;" f +device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def device_assignment(self):$/;" m class:TPUContext +device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def device_assignment(self):$/;" m class:_InternalTPUContext +device_assignment_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^from tensorflow.python.tpu import device_assignment as device_assignment_lib$/;" x +device_assignment_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^from tensorflow.python.tpu import device_assignment as device_assignment_lib # pylint: disable=/;" x +device_checker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ device_checker = device_checker.DeviceChecker($/;" v +device_checker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ device_checker = device_checker.DeviceChecker(0.01, [cpu_device_option])$/;" v +device_checker_device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def device_checker_device_options():$/;" f +device_checker_device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^def device_checker_device_options():$/;" f +device_checker_device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^def device_checker_device_options():$/;" f +device_coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def device_coordinates(self):$/;" m class:Topology +device_count adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def device_count(backend: Optional[str] = None) -> int:$/;" f +device_count adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def device_count() -> int:$/;" f +device_equal adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def device_equal(src, dst):$/;" f +device_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def device_fn(op):$/;" f function:_create_device_fn file: +device_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def device_fn(self):$/;" m class:RunConfig +device_for_replica adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def device_for_replica(self, replica_id):$/;" m class:TPUContext +device_for_replica adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def device_for_replica(self, replica_id):$/;" m class:_InternalTPUContext +device_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^ def device_function(self, op):$/;" m class:_ReplicaDeviceChooser +device_function_impl adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def device_function_impl(shard_id):$/;" f function:generate_per_host_v2_enqueue_ops_fn_for_host file: +device_function_impl adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def device_function_impl(shard_id):$/;" f function:generate_broadcast_enqueue_ops_fn file: +device_get adpepsenv/lib/python3.8/site-packages/jax/api.py /^def device_get(x):$/;" f +device_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_id(self):$/;" m class:DebuggedDevice +device_ids adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def device_ids(self):$/;" m class:Mesh +device_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def device_ids(self):$/;" m class:ParallelDevice +device_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def device_index(self):$/;" m class:DeviceSpecV2 +device_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def device_index(self, device_index):$/;" m class:DeviceSpecV1 +device_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def device_index(device_names, name=None):$/;" f +device_index_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def device_index_eager_fallback(device_names, name, ctx):$/;" f +device_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def device_key(dev):$/;" f function:group file: +device_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^from tensorflow.python.framework import device as device_lib$/;" x +device_memory_profile adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^def device_memory_profile(backend: Optional[str] = None) -> bytes:$/;" f +device_mismatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def device_mismatch(device_type, op):$/;" m class:TensorTracer +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def device_name(self):$/;" m class:DebugTensorDatum +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_name(self):$/;" m class:DebuggedDevice +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_name(self):$/;" m class:GraphExecutionTrace +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_name(self):$/;" m class:GraphOpCreationDigest +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def device_name(self):$/;" m class:DebugGraph +device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def device_name(self):$/;" m class:Context +device_name_by_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_name_by_id(self, device_id):$/;" m class:DebugDataReader +device_name_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def device_name_map(self):$/;" m class:DebugDataReader +device_name_to_device_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def device_name_to_device_path(device_name):$/;" f +device_of adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class device_of(device):$/;" c +device_only_candidate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ device_only_candidate = lambda: None$/;" f function:_op_to_colocate_with file: +device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^device_options = _device_options_no_hip + _hip_do_list$/;" v +device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^device_options = hu.device_options + ([ideep_do])$/;" v +device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^device_options = hu.device_options + ($/;" v +device_option_equal adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def device_option_equal(opt1, opt2, ignore_node_name=True, ignore_random_seed=True):$/;" f +device_path_to_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def device_path_to_device_name(device_dir):$/;" f +DEVICE_PLACEMENT_EXPLICIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^DEVICE_PLACEMENT_EXPLICIT = pywrap_tfe.TFE_DEVICE_PLACEMENT_EXPLICIT$/;" v +device_placement_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def device_placement_op(name=None):$/;" f +device_placement_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def device_placement_op_eager_fallback(name, ctx):$/;" f +DEVICE_PLACEMENT_SILENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^DEVICE_PLACEMENT_SILENT = pywrap_tfe.TFE_DEVICE_PLACEMENT_SILENT$/;" v +DEVICE_PLACEMENT_SILENT_FOR_INT32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^DEVICE_PLACEMENT_SILENT_FOR_INT32 = ($/;" v +DEVICE_PLACEMENT_WARN adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^DEVICE_PLACEMENT_WARN = pywrap_tfe.TFE_DEVICE_PLACEMENT_WARN$/;" v +device_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def device_policy(self):$/;" m class:Context +device_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def device_policy(self, policy):$/;" m class:Context +device_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def device_policy(policy):$/;" f +device_put adpepsenv/lib/python3.8/site-packages/jax/api.py /^def device_put(x, device: Optional[xc.Device] = None):$/;" f +device_put adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def device_put(x, devices: Sequence[xb.xla_client.Device], replicate: bool=False) -> List[xb.xla/;" f +device_put adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def device_put(x, device: Optional[Device] = None) -> Tuple[Any]:$/;" f +device_put_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^device_put_handlers: Dict[Any, Callable[[Any, Optional[Device]], Tuple[Any]]] = {$/;" v +device_put_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^device_put_p = core.Primitive('device_put')$/;" v +device_put_replicated adpepsenv/lib/python3.8/site-packages/jax/api.py /^def device_put_replicated(x: Any, devices: Sequence[xc.Device]):$/;" f +device_put_sharded adpepsenv/lib/python3.8/site-packages/jax/api.py /^def device_put_sharded(shards: Sequence[Any], devices: Sequence[xc.Device]):$/;" f +device_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def device_spec(self):$/;" m class:Context +device_stack_has_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def device_stack_has_callable(device_stack):$/;" f +DEVICE_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^DEVICE_TAG = "device_"$/;" v +device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def device_type(self):$/;" m class:_DeviceAttributes +device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def device_type(self):$/;" m class:DeviceSpecV2 +device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def device_type(self, device_type):$/;" m class:DeviceSpecV1 +device_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ device_type = 'cpu'$/;" v class:CPUTestBase +device_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ device_type = 'cuda'$/;" v class:CUDATestBase +device_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ device_type: str = 'generic_device_type'$/;" v class:DeviceTypeTestBase +device_type_test_bases adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^device_type_test_bases: List[Any] = list()$/;" v +device_under_test adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def device_under_test():$/;" f +device_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def device_v2(device_name):$/;" f +DEV_PKGS adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^DEV_PKGS = {'pip', 'setuptools', 'distribute', 'wheel'}$/;" v +dev_requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def dev_requires(self):$/;" m class:Distribution +DEV_STATUS adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^DEV_STATUS = {$/;" v +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: 2.0 * n * cephes.eval_hermite(n-1, x)$/;" f function:roots_hermite file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: n * cephes.eval_hermitenorm(n-1, x)$/;" f function:roots_hermitenorm file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: (-n*x*cephes.eval_gegenbauer(n, alpha, x)$/;" f function:roots_gegenbauer file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: (-n*x*cephes.eval_legendre(n, x)$/;" f function:roots_legendre file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: (n*cephes.eval_genlaguerre(n, alpha, x)$/;" f function:roots_genlaguerre file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda n, x: 0.5 * (n + a + b + 1) \\$/;" f function:roots_jacobi file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ df = lambda x: 1.0 - cos(x)$/;" f function:_compute_tauk file: +df adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def df(self, n, z):$/;" m class:TestSphericalInDerivatives +df adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def df(self, n, z):$/;" m class:TestSphericalJnDerivatives +df adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def df(self, n, z):$/;" m class:TestSphericalKnDerivatives +df adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def df(self, n, z):$/;" m class:TestSphericalYnDerivatives +df adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def df(self):$/;" m class:StudentT +df adpepsenv/lib/python3.8/site-packages/torch/distributions/chi2.py /^ def df(self):$/;" m class:Chi2 +dfftw_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class dfftw_info(fftw_info):$/;" c +dfftw_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class dfftw_threads_info(fftw_info):$/;" c +dfitpack_int adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^dfitpack_int = dfitpack.types.intvar.dtype$/;" v +dfitpack_int adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^dfitpack_int = dfitpack.types.intvar.dtype$/;" v +dfrac adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def dfrac(self, s, loc, toks):$/;" m class:Parser +dfreqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def dfreqresp(system, w=None, n=10000, whole=False):$/;" f +DFSGraphTracer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^class DFSGraphTracer(object):$/;" c +dft adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def dft(n, scale=None):$/;" f +dfunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def dfunc(z):$/;" f member:TestOptimizeSimple.test_minimize_tol_parameter file: +dfunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ dfunc = lambda x: 2*x$/;" f member:TestBasic.test_deriv_zero_warning file: +dfunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def dfunc(z):$/;" f member:TestRoot.test_tol_parameter file: +df_dbeta_odr adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def df_dbeta_odr(beta, x):$/;" f member:TestODR.test_ticket_11800 file: +df_dx_odr adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def df_dx_odr(beta, x):$/;" f member:TestODR.test_ticket_11800 file: +DF_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DF_G726 = 0x0085$/;" v class:WAVE_FORMAT +DF_GSM610 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DF_GSM610 = 0x0086$/;" v class:WAVE_FORMAT +dgamma adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^dgamma = dgamma_gen(name='dgamma')$/;" v +dgamma_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class dgamma_gen(rv_continuous):$/;" c +dgbbrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbbrd(char *vect, int *m, int *n, int *ncc, int *kl, int *ku, d *ab, int *ldab, d *d,/;" f +dgbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbcon(char *norm, int *n, int *kl, int *ku, d *ab, int *ldab, int *ipiv, d *anorm, d /;" f +dgbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbequ(int *m, int *n, int *kl, int *ku, d *ab, int *ldab, d *r, d *c, d *rowcnd, d *c/;" f +dgbequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbequb(int *m, int *n, int *kl, int *ku, d *ab, int *ldab, d *r, d *c, d *rowcnd, d */;" f +dgbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dgbmv(char *trans, int *m, int *n, int *kl, int *ku, d *alpha, d *a, int *lda, d *x, i/;" f +dgbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbrfs(char *trans, int *n, int *kl, int *ku, int *nrhs, d *ab, int *ldab, d *afb, int/;" f +dgbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbsv(int *n, int *kl, int *ku, int *nrhs, d *ab, int *ldab, int *ipiv, d *b, int *ldb/;" f +dgbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbsvx(char *fact, char *trans, int *n, int *kl, int *ku, int *nrhs, d *ab, int *ldab,/;" f +dgbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbtf2(int *m, int *n, int *kl, int *ku, d *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +dgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbtrf(int *m, int *n, int *kl, int *ku, d *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +dgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgbtrs(char *trans, int *n, int *kl, int *ku, int *nrhs, d *ab, int *ldab, int *ipiv, /;" f +dgebak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgebak(char *job, char *side, int *n, int *ilo, int *ihi, d *scale, int *m, d *v, int /;" f +dgebal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgebal(char *job, int *n, d *a, int *lda, int *ilo, int *ihi, d *scale, int *info) nog/;" f +dgebd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgebd2(int *m, int *n, d *a, int *lda, d *d, d *e, d *tauq, d *taup, d *work, int *inf/;" f +dgebrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgebrd(int *m, int *n, d *a, int *lda, d *d, d *e, d *tauq, d *taup, d *work, int *lwo/;" f +dgecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgecon(char *norm, int *n, d *a, int *lda, d *anorm, d *rcond, d *work, int *iwork, in/;" f +dgeequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeequ(int *m, int *n, d *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, int /;" f +dgeequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeequb(int *m, int *n, d *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, int/;" f +dgees adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgees(char *jobvs, char *sort, dselect2 *select, int *n, d *a, int *lda, int *sdim, d /;" f +dgeesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeesx(char *jobvs, char *sort, dselect2 *select, char *sense, int *n, d *a, int *lda,/;" f +dgeev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeev(char *jobvl, char *jobvr, int *n, d *a, int *lda, d *wr, d *wi, d *vl, int *ldvl/;" f +dgeevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, d *a, int *lda, d /;" f +dgegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^dgegv = _np.deprecate(dgegv, old_name='dgegv', message=_dep_message)$/;" v +dgehd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgehd2(int *n, int *ilo, int *ihi, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgehrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgehrd(int *n, int *ilo, int *ihi, d *a, int *lda, d *tau, d *work, int *lwork, int *i/;" f +dgejsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgejsv(char *joba, char *jobu, char *jobv, char *jobr, char *jobt, char *jobp, int *m,/;" f +dgelq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgelq2(int *m, int *n, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgelqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgelqf(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +dgels adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgels(char *trans, int *m, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, d *work,/;" f +dgelsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgelsd(int *m, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, d *s, d *rcond, int /;" f +dgelss adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgelss(int *m, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, d *s, d *rcond, int /;" f +dgelsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgelsy(int *m, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, int *jpvt, d *rcond,/;" f +dgemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dgemm(char *transa, char *transb, int *m, int *n, int *k, d *alpha, d *a, int *lda, d /;" f +dgemqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgemqrt(char *side, char *trans, int *m, int *n, int *k, int *nb, d *v, int *ldv, d *t/;" f +dgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dgemv(char *trans, int *m, int *n, d *alpha, d *a, int *lda, d *x, int *incx, d *beta,/;" f +dgeql2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeql2(int *m, int *n, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgeqlf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqlf(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +dgeqp3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqp3(int *m, int *n, d *a, int *lda, int *jpvt, d *tau, d *work, int *lwork, int *in/;" f +dgeqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqr2(int *m, int *n, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgeqr2p adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqr2p(int *m, int *n, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgeqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqrf(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +dgeqrfp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqrfp(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +dgeqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqrt(int *m, int *n, int *nb, d *a, int *lda, d *t, int *ldt, d *work, int *info) no/;" f +dgeqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqrt2(int *m, int *n, d *a, int *lda, d *t, int *ldt, int *info) nogil$/;" f +dgeqrt3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgeqrt3(int *m, int *n, d *a, int *lda, d *t, int *ldt, int *info) nogil$/;" f +dger adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dger(int *m, int *n, d *alpha, d *x, int *incx, d *y, int *incy, d *a, int *lda) nogil$/;" f +dgerfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgerfs(char *trans, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, int *ipiv, d /;" f +dgerq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgerq2(int *m, int *n, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dgerqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgerqf(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +dgesc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesc2(int *n, d *a, int *lda, d *rhs, int *ipiv, int *jpiv, d *scale) nogil$/;" f +dgesdd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesdd(char *jobz, int *m, int *n, d *a, int *lda, d *s, d *u, int *ldu, d *vt, int *l/;" f +dgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesv(int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, int *info) nogil$/;" f +dgesvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesvd(char *jobu, char *jobvt, int *m, int *n, d *a, int *lda, d *s, d *u, int *ldu, /;" f +dgesvj adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesvj(char *joba, char *jobu, char *jobv, int *m, int *n, d *a, int *lda, d *sva, int/;" f +dgesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgesvx(char *fact, char *trans, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, i/;" f +dgetc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgetc2(int *n, d *a, int *lda, int *ipiv, int *jpiv, int *info) nogil$/;" f +dgetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgetf2(int *m, int *n, d *a, int *lda, int *ipiv, int *info) nogil$/;" f +dgetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgetrf(int *m, int *n, d *a, int *lda, int *ipiv, int *info) nogil$/;" f +dgetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgetri(int *n, d *a, int *lda, int *ipiv, d *work, int *lwork, int *info) nogil$/;" f +dgetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgetrs(char *trans, int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, int /;" f +dggbak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggbak(char *job, char *side, int *n, int *ilo, int *ihi, d *lscale, d *rscale, int *m/;" f +dggbal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggbal(char *job, int *n, d *a, int *lda, d *b, int *ldb, int *ilo, int *ihi, d *lscal/;" f +dgges adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgges(char *jobvsl, char *jobvsr, char *sort, dselect3 *selctg, int *n, d *a, int *lda/;" f +dggesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggesx(char *jobvsl, char *jobvsr, char *sort, dselect3 *selctg, char *sense, int *n, /;" f +dggev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggev(char *jobvl, char *jobvr, int *n, d *a, int *lda, d *b, int *ldb, d *alphar, d */;" f +dggevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, d *a, int *lda, d /;" f +dggglm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggglm(int *n, int *m, int *p, d *a, int *lda, d *b, int *ldb, d *d, d *x, d *y, d *wo/;" f +dgghrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgghrd(char *compq, char *compz, int *n, int *ilo, int *ihi, d *a, int *lda, d *b, int/;" f +dgglse adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgglse(int *m, int *n, int *p, d *a, int *lda, d *b, int *ldb, d *c, d *d, d *x, d *wo/;" f +dggqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggqrf(int *n, int *m, int *p, d *a, int *lda, d *taua, d *b, int *ldb, d *taub, d *wo/;" f +dggrqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dggrqf(int *m, int *p, int *n, d *a, int *lda, d *taua, d *b, int *ldb, d *taub, d *wo/;" f +dgsvj0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgsvj0(char *jobv, int *m, int *n, d *a, int *lda, d *d, d *sva, int *mv, d *v, int *l/;" f +dgsvj1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgsvj1(char *jobv, int *m, int *n, int *n1, d *a, int *lda, d *d, d *sva, int *mv, d */;" f +dgtcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgtcon(char *norm, int *n, d *dl, d *d, d *du, d *du2, int *ipiv, d *anorm, d *rcond, /;" f +dgtrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgtrfs(char *trans, int *n, int *nrhs, d *dl, d *d, d *du, d *dlf, d *df, d *duf, d *d/;" f +dgtsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgtsv(int *n, int *nrhs, d *dl, d *d, d *du, d *b, int *ldb, int *info) nogil$/;" f +dgtsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgtsvx(char *fact, char *trans, int *n, int *nrhs, d *dl, d *d, d *du, d *dlf, d *df, /;" f +dgttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgttrf(int *n, d *dl, d *d, d *du, d *du2, int *ipiv, int *info) nogil$/;" f +dgttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgttrs(char *trans, int *n, int *nrhs, d *dl, d *d, d *du, d *du2, int *ipiv, d *b, in/;" f +dgtts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dgtts2(int *itrans, int *n, int *nrhs, d *dl, d *d, d *du, d *du2, int *ipiv, d *b, in/;" f +DHBMParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class DHBMParameter(univ.Sequence):$/;" c +dhgeqz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dhgeqz(char *job, char *compq, char *compz, int *n, int *ilo, int *ihi, d *h, int *ldh/;" f +DHPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class DHPublicKey(univ.Integer):$/;" c +DHPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^DHPublicKey = rfc3279.DHPublicKey$/;" v +dhpublicnumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^dhpublicnumber = univ.ObjectIdentifier('1.2.840.10046.2.1')$/;" v +dhpublicnumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^dhpublicnumber = _OID(1, 2, 840, 10046, 2, 1)$/;" v +dhpublicnumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^dhpublicnumber = univ.ObjectIdentifier('1.2.840.10046.2.1')$/;" v +dhpublicnumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^dhpublicnumber = rfc3279.dhpublicnumber$/;" v +dhsein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dhsein(char *side, char *eigsrc, char *initv, bint *select, int *n, d *h, int *ldh, d /;" f +dhseqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dhseqr(char *job, char *compz, int *n, int *ilo, int *ihi, d *h, int *ldh, d *wr, d *w/;" f +DhSigStatic adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^class DhSigStatic(univ.Sequence):$/;" c +dhSinglePass_stdDH_hkdf_sha256_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_hkdf_sha256_scheme = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.19')$/;" v +dhSinglePass_stdDH_hkdf_sha384_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_hkdf_sha384_scheme = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.20')$/;" v +dhSinglePass_stdDH_hkdf_sha512_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_hkdf_sha512_scheme = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.21')$/;" v +dhSinglePass_stdDH_sha256kdf_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_sha256kdf_scheme = univ.ObjectIdentifier('1.3.133.16.840.63.0.11.1')$/;" v +dhSinglePass_stdDH_sha384kdf_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_sha384kdf_scheme = univ.ObjectIdentifier('1.3.133.16.840.63.0.11.2')$/;" v +dhSinglePass_stdDH_sha512kdf_scheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^dhSinglePass_stdDH_sha512kdf_scheme = univ.ObjectIdentifier('1.3.133.16.840.63.0.11.3')$/;" v +diag adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diag(v, k=0):$/;" f +diag adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def diag(self):$/;" m class:_Sparse_Matrix_coo +diag adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def diag(v, k=0):$/;" f +diag adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def diag(v, k=0):$/;" f +diag adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^from tensorboard_plugin_profile.convert import diagnostics as diag$/;" x +diag adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^from tensorboard_plugin_profile.convert import diagnostics as diag$/;" x +diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def diag(diagonal, name=None):$/;" f +Diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Diag = tf_export("raw_ops.Diag")(_ops.to_raw_op(diag))$/;" v +diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^diag = array_ops.matrix_diag$/;" v +diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def diag(self):$/;" m class:LinearOperatorDiag +diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def diag(v, k=0): # pylint: disable=missing-docstring$/;" f +diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag as diag$/;" x +diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag as diag$/;" x +diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag as diag$/;" x +diag2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^diag2 = np.array([[2, 0], [0, 3]])$/;" v +DiagBroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class DiagBroyden(GenericBroyden):$/;" c +diagbroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^diagbroyden = _nonlin_wrapper('diagbroyden', DiagBroyden)$/;" v +diagflat adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diagflat(v, k=0):$/;" f +diagflat adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def diagflat(v, k=0):$/;" f +diagflat adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^diagflat = _fromnxfunction_single('diagflat')$/;" v +diagflat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def diagflat(v, k=0):$/;" f +Diagnostics adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^Diagnostics = _reflection.GeneratedProtocolMessageType('Diagnostics', (_message.Message,), {$/;" v +diagonal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diagonal(a, offset=0, axis1: int = 0, axis2: int = 1):$/;" f +diagonal adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def diagonal(a, offset=0, axis1=0, axis2=1):$/;" f +diagonal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ diagonal = _arraymethod('diagonal')$/;" v class:MaskedArray +diagonal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^diagonal = _frommethod('diagonal')$/;" v +diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def diagonal(self, k=0):$/;" m class:spmatrix +diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def diagonal(self, k=0):$/;" m class:bsr_matrix +diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def diagonal(self, k=0):$/;" m class:_cs_matrix +diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def diagonal(self, k=0):$/;" m class:coo_matrix +diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def diagonal(self, k=0):$/;" m class:dia_matrix +diagonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def diagonal(a, offset=0, axis1=0, axis2=1): # pylint: disable=missing-docstring$/;" f +diagonals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def diagonals(self):$/;" m class:LinearOperatorTridiag +diagonals_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def diagonals_format(self):$/;" m class:LinearOperatorTridiag +DiagPart adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^DiagPart = tf_export("raw_ops.DiagPart")(_ops.to_raw_op(diag_part))$/;" v +diags adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def diags(diagonals, offsets=0, shape=None, format=None, dtype=None):$/;" f +diagsvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^def diagsvd(s, M, N):$/;" f +diag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def diag_eager_fallback(diagonal, name, ctx):$/;" f +diag_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diag_indices(n, ndim=2):$/;" f +diag_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def diag_indices(n, ndim=2):$/;" f +diag_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def diag_indices(n, ndim=2): # pylint: disable=missing-docstring,redefined-outer-name$/;" f +diag_indices_from adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diag_indices_from(arr):$/;" f +diag_indices_from adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def diag_indices_from(arr):$/;" f +diag_inv adpeps/tensor/ops.py /^def diag_inv(m):$/;" f +diag_inv_zero_tol adpeps/tensor/config.py /^diag_inv_zero_tol = 0$/;" v +diag_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def diag_operator(self):$/;" m class:LinearOperatorLowRankUpdate +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def diag_part(input, name=None):$/;" f +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^diag_part = array_ops.matrix_diag_part$/;" v +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def diag_part(self, name="diag_part"):$/;" m class:LinearOperator +DIAG_PART adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ DIAG_PART = "diag_part"$/;" v class:CheckTapeSafeSkipOptions +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_diag_part as diag_part$/;" x +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag_part as diag_part$/;" x +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_diag_part as diag_part$/;" x +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_diag_part as diag_part$/;" x +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag_part as diag_part$/;" x +diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_diag_part as diag_part$/;" x +diag_part_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def diag_part_eager_fallback(input, name, ctx):$/;" f +diag_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def diag_update(self):$/;" m class:LinearOperatorLowRankUpdate +DIALOGIC_OKI_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIALOGIC_OKI_ADPCM = 0x0017$/;" v class:WAVE_FORMAT +dia_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^class dia_matrix(_data_matrix):$/;" c +Dib adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^class Dib:$/;" c +DibImageFile adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^class DibImageFile(BmpImageFile):$/;" c +dice adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def dice(u, v, w=None):$/;" f +Dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Dict(TokenConverter):$/;" c +Dict adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Dict(TokenConverter):$/;" c +Dict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Dict(TokenConverter):$/;" c +Dict adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Dict(TokenConverter):$/;" c +DICTAPHONE_CELP54 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DICTAPHONE_CELP54 = 0x0142$/;" v class:WAVE_FORMAT +DICTAPHONE_CELP68 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DICTAPHONE_CELP68 = 0x0141$/;" v class:WAVE_FORMAT +dictappend adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def dictappend(rd, ar):$/;" f +DictCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^class DictCache(BaseCache):$/;" c +DictFormatter adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class DictFormatter:$/;" c +dictionary adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def dictionary(self):$/;" m class:Metadata +DictionaryGenericHandler adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^class DictionaryGenericHandler(grpc.ServiceRpcHandler):$/;" c +DictMetadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^class DictMetadata(object):$/;" c +dictOf adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def dictOf(key, value):$/;" f +dictOf adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def dictOf( key, value ):$/;" f +dictOf adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def dictOf(key, value):$/;" f +dictOf adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def dictOf( key, value ):$/;" f +DictValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^DictValue = _reflection.GeneratedProtocolMessageType('DictValue', (_message.Message,), {$/;" v +DictValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^DictValue = _reflection.GeneratedProtocolMessageType('DictValue', (_message.Message,), {$/;" v +DictWithRepr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^class DictWithRepr(dict):$/;" c +dict_append adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def dict_append(self,**dict):$/;" m class:Configuration +dict_append adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def dict_append(d, **kws):$/;" f +dict_append adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def dict_append(d, **kws):$/;" f +dict_append adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def dict_append(d_out, d_in):$/;" f +dict_from_cookiejar adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def dict_from_cookiejar(cj):$/;" f +dict_from_cookiejar adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def dict_from_cookiejar(cj):$/;" f +dict_iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def dict_iteritems(d):$/;" f +dict_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def dict_repr(self, d, recursive, limit=5):$/;" m class:DebugReprGenerator +dict_storage_class adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ dict_storage_class = ImmutableMultiDict$/;" v class:BaseRequest +dict_to_sequence adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def dict_to_sequence(d):$/;" f +dict_to_sequence adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def dict_to_sequence(d):$/;" f +dicyclic adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def dicyclic(cls, n, axis=2):$/;" f +DidntSkipException adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ class DidntSkipException(Exception):$/;" c class:TestNoseDecorators +diff adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def diff(a, n=1, axis: int = -1, prepend=None, append=None):$/;" f +diff adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def diff(a, n=1, axis=-1, prepend=np._NoValue, append=np._NoValue):$/;" f +diff adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^diff = np.diff$/;" v +diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def diff(x,order=1,period=None, _cache=_cache):$/;" f +diff adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ diff = lambda: array_ops.strided_slice(x, [1], [1] + s_len)- array_ops.strided_slice(x, [0], s/;" f function:_get_diff_for_monotonic_comparison file: +diff adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def diff(a, n=1, axis=-1): # pylint: disable=missing-function-docstring$/;" f +difference adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def difference(image1, image2):$/;" f +difference adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def difference(self, *sets):$/;" m class:OrderedSet +difference adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def difference(self, items):$/;" m class:ObjectIdentitySet +difference adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_difference as difference$/;" x +difference adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_difference as difference$/;" x +difference adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_difference as difference$/;" x +difference_update adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def difference_update(self, *sets):$/;" m class:OrderedSet +DifferentialEvolutionSolver adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^class DifferentialEvolutionSolver(object):$/;" c +differential_evolution adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^def differential_evolution(func, bounds, args=(), strategy='best1bin',$/;" f +digamma adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def digamma(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +digamma adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def digamma(x): return scipy.special.digamma(x).astype(x.dtype)$/;" f +digamma adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def digamma(x: Array) -> Array:$/;" f +digamma adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def digamma(x):$/;" f +digamma adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^digamma = psi$/;" v +digamma adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^digamma = _unary_op(math_ops.digamma)$/;" v +digamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def digamma(x, name=None):$/;" f +Digamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Digamma = tf_export("raw_ops.Digamma")(_ops.to_raw_op(digamma))$/;" v +digamma_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def digamma_eager_fallback(x, name, ctx):$/;" f +digamma_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^digamma_p = standard_unop(_float, 'digamma')$/;" v +Digest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Digest(univ.OctetString):$/;" c +Digest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class Digest(univ.OctetString):$/;" c +Digest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class Digest(univ.OctetString):$/;" c +DigestAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class DigestAlgorithm(AlgorithmIdentifier):$/;" c +digestAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^digestAlgorithm = _OID(rsadsi, 2)$/;" v +DigestAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class DigestAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +DigestAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class DigestAlgorithmIdentifier(rfc3280.AlgorithmIdentifier):$/;" c +DigestAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class DigestAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +DigestAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^DigestAlgorithmIdentifier = rfc5652.DigestAlgorithmIdentifier$/;" v +DigestAlgorithmIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class DigestAlgorithmIdentifiers(univ.SetOf):$/;" c +DigestAlgorithmIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class DigestAlgorithmIdentifiers(univ.SetOf):$/;" c +DigestAlgorithmIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class DigestAlgorithmIdentifiers(univ.SetOf):$/;" c +DigestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class DigestedData(univ.Sequence):$/;" c +digestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^digestedData = univ.ObjectIdentifier('1.2.840.113549.1.7.5')$/;" v +DigestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class DigestedData(univ.Sequence):$/;" c +DigestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class DigestedData(univ.Sequence):$/;" c +DigestEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class DigestEncryptionAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +DigestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class DigestInfo(univ.Sequence):$/;" c +DigestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^DigestInfo = rfc2315.DigestInfo$/;" v +DigestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class DigestInfo(univ.Sequence):$/;" c +digest_count adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def digest_count(self):$/;" m class:Hashes +DIGIADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIGIADPCM = 0x0036$/;" v class:WAVE_FORMAT +DIGIFIX adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIGIFIX = 0x0016$/;" v class:WAVE_FORMAT +DIGIREAL adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIGIREAL = 0x0035$/;" v class:WAVE_FORMAT +DIGISTD adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIGISTD = 0x0015$/;" v class:WAVE_FORMAT +DIGIT adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ DIGIT = 252$/;" v class:CharacterCategory +DIGIT adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^DIGIT = r"[\\x30-\\x39]"$/;" v +DIGIT adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ DIGIT = 252$/;" v class:CharacterCategory +digit adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^digit = """$/;" v +digit adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^digit = """$/;" v +DIGITAL_G723 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIGITAL_G723 = 0x0123$/;" v class:WAVE_FORMAT +digitize adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def digitize(x, bins, right=False):$/;" f +digitize adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def digitize(x, bins, right=False):$/;" f +digits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^digits = "0123456789"$/;" v +digits adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^digits = frozenset(string.digits)$/;" v +digits adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^digits = frozenset(string.digits)$/;" v +DIGIT_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ DIGIT_PATTERN = re.compile(r'^\\d+$')$/;" v class:BaseConfigurator +dilation2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d(input, filter, strides, rates, padding, name=None):$/;" f +Dilation2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Dilation2D = tf_export("raw_ops.Dilation2D")(_ops.to_raw_op(dilation2d))$/;" v +dilation2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import dilation2d_v1 as dilation2d$/;" x +dilation2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import dilation2d_v2 as dilation2d$/;" x +dilation2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import dilation2d_v2 as dilation2d$/;" x +Dilation2DBackpropFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Dilation2DBackpropFilter = tf_export("raw_ops.Dilation2DBackpropFilter")(_ops.to_raw_op(dilation/;" v +Dilation2DBackpropInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Dilation2DBackpropInput = tf_export("raw_ops.Dilation2DBackpropInput")(_ops.to_raw_op(dilation2d/;" v +dilation2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d_backprop_filter(input, filter, out_backprop, strides, rates, padding, name=None):$/;" f +dilation2d_backprop_filter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d_backprop_filter_eager_fallback(input, filter, out_backprop, strides, rates, paddi/;" f +dilation2d_backprop_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d_backprop_input(input, filter, out_backprop, strides, rates, padding, name=None):$/;" f +dilation2d_backprop_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d_backprop_input_eager_fallback(input, filter, out_backprop, strides, rates, paddin/;" f +dilation2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def dilation2d_eager_fallback(input, filter, strides, rates, padding, name, ctx):$/;" f +dilation2d_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def dilation2d_v1( # pylint: disable=missing-docstring$/;" f +dilation2d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def dilation2d_v2($/;" f +DilationHFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DilationHFactor(self):$/;" m class:Conv2DOptions +DilationHFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DilationHFactor(self):$/;" m class:DepthwiseConv2DOptions +DilationWFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DilationWFactor(self):$/;" m class:Conv2DOptions +DilationWFactor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DilationWFactor(self):$/;" m class:DepthwiseConv2DOptions +dilation_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def dilation_rate(self):$/;" m class:ConvLSTM2D +dill adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ dill = None$/;" v +dilog adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def dilog(x):$/;" f member:TestSystematic.test_spence file: +dilog adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def dilog(z):$/;" f member:TestSystematic.test_spence_complex file: +DIM adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ DIM = 2$/;" v class:AnsiStyle +dim adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def dim(g, self):$/;" f +dimadd adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^ dimadd = lambda x: lax.expand_dims(x, dims)$/;" f function:logsumexp file: +dimension adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def dimension(self):$/;" m class:BezierSegment +Dimension adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^class Dimension(object):$/;" c +dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def dimension(self):$/;" m class:SharedEmbeddingColumnCreator +Dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^class Dimension(object):$/;" c +DimensionManager adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^class DimensionManager(base.MappingHDF5, base.CommonStateObject):$/;" c +DimensionMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DimensionMetadata(object):$/;" c +DimensionMetadataAddArrayIndices adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddArrayIndices(builder, arrayIndices): builder.PrependUOffsetTRelativeSlot/;" f +DimensionMetadataAddArrayIndicesType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddArrayIndicesType(builder, arrayIndicesType): builder.PrependUint8Slot(4,/;" f +DimensionMetadataAddArraySegments adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddArraySegments(builder, arraySegments): builder.PrependUOffsetTRelativeSl/;" f +DimensionMetadataAddArraySegmentsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddArraySegmentsType(builder, arraySegmentsType): builder.PrependUint8Slot(/;" f +DimensionMetadataAddDenseSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddDenseSize(builder, denseSize): builder.PrependInt32Slot(1, denseSize, 0)$/;" f +DimensionMetadataAddFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataAddFormat(builder, format): builder.PrependInt8Slot(0, format, 0)$/;" f +DimensionMetadataBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DimensionMetadataBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DimensionMetadata +DimensionMetadataEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataEnd(builder): return builder.EndObject()$/;" f +DimensionMetadataStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DimensionMetadataStart(builder): builder.StartObject(6)$/;" f +DimensionMetadataT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DimensionMetadataT(object):$/;" c +dimensionpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^dimensionpattern = re.compile(beforethisafter % ($/;" v +DimensionProxy adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^class DimensionProxy(base.CommonStateObject):$/;" c +dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def dimensions(self):$/;" m class:Shape +DimensionType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DimensionType(object):$/;" c +dimension_at_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def dimension_at_index(shape, index):$/;" f +dimension_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def dimension_size(x, axis):$/;" f +dimension_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def dimension_size(self, axis):$/;" m class:RaggedTensorDynamicShape +dimension_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def dimension_value(dimension):$/;" f +DimMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DimMetadata(self, j):$/;" m class:SparsityParameters +DimMetadataIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DimMetadataIsNone(self):$/;" m class:SparsityParameters +DimMetadataLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DimMetadataLength(self):$/;" m class:SparsityParameters +DimOrDims adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^ DimOrDims = Optional[Tuple[int]]$/;" v +DimOrDims adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^ DimOrDims = Optional[Union[int, Tuple[int], List[int]]]$/;" v +dimpulse adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def dimpulse(system, x0=None, t=None, n=None):$/;" f +dims adpeps/utils/nested.py /^ def dims(self):$/;" m class:Nested +dims adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def dims(min_value=1, max_value=5):$/;" f +dims adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def dims(self):$/;" m class:Dataset +dims adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^dims = {'work': '(*)', 'ab': '(ldab,*)', 'a': '(lda,*)', 'dl': '(*)',$/;" v +dims adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def dims(self):$/;" m class:TensorShape +dims adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def dims(self, dims):$/;" m class:TensorShape +dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def dims(self):$/;" m class:TensorShape +dim_size adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^ def dim_size(d):$/;" f function:_grad_input_padding file: +dim_size_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def dim_size_dtype(self):$/;" m class:RaggedTensorDynamicShape +dim_to_int adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def dim_to_int(dim: PolyDim) -> int:$/;" f function:_shape_as_value_impl file: +dim_to_tfval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def dim_to_tfval(dim: PolyDim, dim_idx: int) -> TfVal:$/;" f function:_shape_as_value_tf file: +dipole_potential adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def dipole_potential(x, y):$/;" f function:test_tri_smooth_gradient file: +dirac adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^dirac = _make_deprecate(dirac_)$/;" v +dirac_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def dirac_(tensor, groups=1):$/;" f +DirectedGraph adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^class DirectedGraph(object):$/;" c +DirectedInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DirectedInterleaveDataset = tf_export("raw_ops.DirectedInterleaveDataset")(_ops.to_raw_op(direct/;" v +directed_G adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^directed_G = np.array([[0, 3, 3, 0, 0],$/;" v +directed_hausdorff adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def directed_hausdorff(u, v, seed=0):$/;" f +directed_interleave_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def directed_interleave_dataset(selector_input_dataset, data_input_datasets, output_types, outpu/;" f +directed_interleave_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def directed_interleave_dataset_eager_fallback(selector_input_dataset, data_input_datasets, outp/;" f +directed_pred adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^directed_pred = np.array([[-9999, 0, 0, 1, 1],$/;" v +directed_SP adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^directed_SP = [[0, 3, 3, 5, 7],$/;" v +directed_sparse_zero_G adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^directed_sparse_zero_G = scipy.sparse.csr_matrix(([0, 1, 2, 3, 1], $/;" v +directed_sparse_zero_SP adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^directed_sparse_zero_SP = [[0, 0, 1, np.inf, np.inf],$/;" v +direction adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def direction(self):$/;" m class:LightSource +DIRECTION_INPUT adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^DIRECTION_INPUT = 0$/;" v +DIRECTION_OUTPUT adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^DIRECTION_OUTPUT = 1$/;" v +DIRECTION_PROOF adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^DIRECTION_PROOF = 2$/;" v +Directive adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^Directive = collections.namedtuple("Directive", ["name", "value"])$/;" v +DIRECTIVES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ DIRECTIVES = ('User directives associated with a statement or a variable.'$/;" v class:Basic +DirectivesTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^class DirectivesTransformer(converter.Base):$/;" c +DirectiveToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class DirectiveToken(Token):$/;" c +directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def directory(self):$/;" m class:CheckpointManager +DIRECTORY adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ DIRECTORY = 4$/;" v class:ExportTypes +DirectoryDeletedError adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^class DirectoryDeletedError(Exception):$/;" c +DirectoryIterator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^class DirectoryIterator(BatchFromFilesMixin, Iterator):$/;" c +DirectoryIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^class DirectoryIterator(image.DirectoryIterator, Iterator):$/;" c +DirectoryLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^class DirectoryLoader(object):$/;" c +DirectoryLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class DirectoryLocator(Locator):$/;" c +DirectorySandbox adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^class DirectorySandbox(AbstractSandbox):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^class DirectoryString(univ.Choice):$/;" c +DirectoryUrlHashUnsupported adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class DirectoryUrlHashUnsupported(HashError):$/;" c +DirectoryWatcher adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^class DirectoryWatcher(object):$/;" c +directory_size adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def directory_size(path):$/;" f +DirectUrl adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^class DirectUrl(object):$/;" c +DirectUrlValidationError adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^class DirectUrlValidationError(Exception):$/;" c +direct_dft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_dft(x):$/;" f +direct_dft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_dft(x):$/;" f +direct_dftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_dftn(x):$/;" f +direct_dftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_dftn(x):$/;" f +direct_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_diff(x,k=1,period=None):$/;" f +direct_hilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_hilbert(x):$/;" f +direct_idft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_idft(x):$/;" f +direct_idft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_idft(x):$/;" f +direct_idftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_idftn(x):$/;" f +direct_idftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_idftn(x):$/;" f +direct_ihilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_ihilbert(x):$/;" f +direct_irdft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_irdft(x, n):$/;" f +direct_irdft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_irdft(x):$/;" f +direct_itilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_itilbert(x,h=1,period=None):$/;" f +direct_lstsq adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^def direct_lstsq(a, b, cmplx=0):$/;" f +direct_rdft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_rdft(x):$/;" f +direct_rdft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def direct_rdft(x):$/;" f +direct_rdftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def direct_rdftn(x):$/;" f +direct_shift adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_shift(x,a,period=None):$/;" f +direct_tilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^def direct_tilbert(x,h=1,period=None):$/;" f +direct_url_as_pep440_direct_reference adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/direct_url_helpers.py /^def direct_url_as_pep440_direct_reference(direct_url, name):$/;" f +direct_url_from_link adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/direct_url_helpers.py /^def direct_url_from_link(link, source_dir=None, link_is_in_wheel_cache=False):$/;" f +DIRECT_URL_METADATA_NAME adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^DIRECT_URL_METADATA_NAME = "direct_url.json"$/;" v +diric adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def diric(x, n):$/;" f +dirichlet adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def dirichlet(key, alpha, shape=None, dtype=dtypes.float_):$/;" f +dirichlet adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^dirichlet = dirichlet_gen()$/;" v +Dirichlet adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^class Dirichlet(distribution.Distribution):$/;" c +Dirichlet adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^class Dirichlet(ExponentialFamily):$/;" c +DirichletMultinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^class DirichletMultinomial(distribution.Distribution):$/;" c +dirichlet_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^dirichlet_docdict_noparams = {$/;" v +dirichlet_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^dirichlet_docdict_params = {$/;" v +dirichlet_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class dirichlet_frozen(multi_rv_frozen):$/;" c +dirichlet_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class dirichlet_gen(multi_rv_generic):$/;" c +DirInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^class DirInfo(object):$/;" c +dirname adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ dirname = '.bzr'$/;" v class:Bazaar +dirname adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ dirname = '.git'$/;" v class:Git +dirname adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ dirname = '.hg'$/;" v class:Mercurial +dirname adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ dirname = '.svn'$/;" v class:Subversion +dirname adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ dirname = ''$/;" v class:VersionControl +dirnames adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def dirnames(self):$/;" m class:VcsSupport +dirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ dirs = AppDirs(appname)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor, version="1.0")$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor=False)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ dirs = AppDirs(appname)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor, version="1.0")$/;" v +dirs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ dirs = AppDirs(appname, appauthor=False)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ dirs = AppDirs(appname)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ dirs = AppDirs(appname, appauthor)$/;" v +dirs adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ dirs = AppDirs(appname, appauthor, version="1.0")$/;" v +dirs adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ dirs = AppDirs(appname, appauthor=False)$/;" v +dirty adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ dirty = False$/;" v class:PthDistributions +DIRTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^DIRTYPE = b"5" # directory$/;" v +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'AGG2'$/;" v class:agg2_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'AMD'$/;" v class:amd_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'ATLAS'$/;" v class:atlas_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'BLAS'$/;" v class:blas_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'BLAS_SRC'$/;" v class:blas_src_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'BLIS'$/;" v class:blis_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'BOOST'$/;" v class:boost_python_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'DJBFFT'$/;" v class:djbfft_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:dfftw_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:dfftw_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:fftw2_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:fftw_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:fftw_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:sfftw_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW'$/;" v class:sfftw_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'FFTW3'$/;" v class:fftw3_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'LAPACK'$/;" v class:lapack_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'LAPACK_SRC'$/;" v class:lapack_src_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'MKLROOT'$/;" v class:mkl_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'OPENBLAS'$/;" v class:openblas_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'OPENBLAS'$/;" v class:openblas_lapack_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'OPENBLAS64_'$/;" v class:openblas64__info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'OPENBLAS_ILP64'$/;" v class:openblas_ilp64_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = 'UMFPACK'$/;" v class:umfpack_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = None$/;" v class:system_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = ['PTATLAS', 'ATLAS']$/;" v class:atlas_3_10_blas_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = ['PTATLAS', 'ATLAS']$/;" v class:atlas_3_10_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = ['PTATLAS', 'ATLAS']$/;" v class:atlas_blas_threads_info +dir_env_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ dir_env_var = ['PTATLAS', 'ATLAS']$/;" v class:atlas_threads_info +dir_to_zipfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/dirtools.py /^def dir_to_zipfile(root):$/;" f +disable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def disable(self, event):$/;" m class:AxisScaleBase +disable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def disable(self, event):$/;" m class:ToolFullScreen +disable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def disable(self, event):$/;" m class:ZoomPanBase +disable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def disable(self, event=None):$/;" m class:ToolToggleBase +disable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def disable(self):$/;" m class:MenuItem +disable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tf2.py /^def disable():$/;" f +disable adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def disable():$/;" f +DISABLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ DISABLED = 2$/;" v class:Status +DISABLED_test_distribution_strategy_with_callable_add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def DISABLED_test_distribution_strategy_with_callable_add_loss($/;" m class:TestDistributionStrategyWithKerasModels +disabled_test_from_issues adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^disabled_test_from_issues: Optional[Dict[str, Any]] = None$/;" v +disabled_test_stateful_lstm_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^ def disabled_test_stateful_lstm_model_correctness($/;" m class:DistributionStrategyStatefulLstmModelCorrectnessTest +disable_autodiff_subgraph_inlining adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def disable_autodiff_subgraph_inlining(enabled=True):$/;" f +disable_check_numerics adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def disable_check_numerics():$/;" f +disable_control_flow_lowering adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def disable_control_flow_lowering(node):$/;" f function:disable_lower_using_switch_merge file: +disable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def disable_control_flow_v2(unused_msg):$/;" f +disable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_toggles.py /^def disable_control_flow_v2(): # pylint: disable=invalid-name$/;" f +disable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def disable_control_flow_v2():$/;" f function:_cond file: +disable_cudnn_autotune adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def disable_cudnn_autotune(func):$/;" f +disable_data_descriptor adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ disable_data_descriptor = False$/;" v class:BaseRequest +disable_data_descriptor adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/request.py /^ disable_data_descriptor = True$/;" v class:StreamOnlyMixin +disable_dump_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def disable_dump_debug_info():$/;" f +disable_eager_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def disable_eager_execution():$/;" f +disable_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def disable_fake_quant(self):$/;" m class:FakeQuantize +disable_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^def disable_fake_quant(mod):$/;" f +disable_global_flags adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^def disable_global_flags():$/;" f +disable_graph_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def disable_graph_collection(self):$/;" m class:Context +disable_graph_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def disable_graph_collection():$/;" f +disable_jit adpepsenv/lib/python3.8/site-packages/jax/api.py /^def disable_jit():$/;" f +disable_keras_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def disable_keras_tensors():$/;" f +disable_lower_using_switch_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def disable_lower_using_switch_merge(graph_def):$/;" f +disable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def disable_mixed_precision_graph_rewrite():$/;" f +disable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/__init__.py /^from tensorflow.python.training.experimental.mixed_precision import disable_mixed_precision_grap/;" x +disable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/experimental/__init__.py /^from tensorflow.python.training.experimental.mixed_precision import disable_mixed_precision_grap/;" x +disable_mixed_precision_graph_rewrite_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def disable_mixed_precision_graph_rewrite_v1():$/;" f +disable_mlir_bridge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def disable_mlir_bridge():$/;" f +disable_mlir_bridge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def disable_mlir_bridge(description): # pylint: disable=unused-argument$/;" f +disable_mlir_graph_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def disable_mlir_graph_optimization():$/;" f +disable_mouse_rotation adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def disable_mouse_rotation(self):$/;" m class:Axes3D +disable_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def disable_multi_worker(method):$/;" f +disable_next_message_compression adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def disable_next_message_compression(self) -> None:$/;" m class:ServicerContext +disable_next_message_compression adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def disable_next_message_compression(self):$/;" m class:_Context +disable_next_message_compression adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def disable_next_message_compression(self):$/;" m class:ServicerContext +disable_next_request_compression adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def disable_next_request_compression(self):$/;" m class:GRPCInvocationContext +disable_next_request_compression adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def disable_next_request_compression(self):$/;" m class:_InvocationProtocolContext +disable_next_response_compression adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def disable_next_response_compression(self):$/;" m class:GRPCServicerContext +disable_next_response_compression adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def disable_next_response_compression(self):$/;" m class:_ServerProtocolContext +disable_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def disable_observer(self):$/;" m class:FakeQuantize +disable_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^def disable_observer(mod):$/;" f +disable_omnistaging adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def disable_omnistaging(self):$/;" m class:Config +disable_pip_version_check adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^disable_pip_version_check = partial($/;" v +disable_reload adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def disable_reload(self):$/;" m class:FrontendMetadata +disable_resource_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def disable_resource_variables():$/;" f +disable_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def disable_run_metadata(self):$/;" m class:Context +disable_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def disable_run_metadata():$/;" f +disable_tensor_equality adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def disable_tensor_equality():$/;" f +disable_test_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def disable_test_impl(func):$/;" f function:_disable_test file: +disable_tfrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def disable_tfrt(unused_description):$/;" f +disable_tfrt_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def disable_tfrt_impl(cls_or_func):$/;" f function:disable_tfrt file: +disable_v2_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/v2_compat.py /^def disable_v2_behavior():$/;" f +disable_v2_dtype_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def disable_v2_dtype_behavior():$/;" f +disable_v2_dtype_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def disable_v2_dtype_behavior(fn):$/;" f +disable_v2_tensorshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def disable_v2_tensorshape():$/;" f +disable_warnings adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^def disable_warnings(category=exceptions.HTTPWarning):$/;" f +disable_warnings adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^def disable_warnings(category=exceptions.HTTPWarning):$/;" f +disable_x64 adpepsenv/lib/python3.8/site-packages/jax/experimental/x64_context.py /^def disable_x64():$/;" f +disable_xla adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def disable_xla(description): # pylint: disable=unused-argument$/;" f +disallowed_token adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def disallowed_token(self, token):$/;" m class:Filter +disallowed_token adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def disallowed_token(self, token):$/;" m class:Filter +disallow_binaries adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def disallow_binaries(self):$/;" m class:FormatControl +disallow_legacy_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^def disallow_legacy_graph(cls_name, method_name):$/;" f +disallow_variable_creation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def disallow_variable_creation(next_creator, **kwargs):$/;" f member:_PerWorkerDistributedDataset.__init__ file: +disambiguate_grad_if_op_output adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def disambiguate_grad_if_op_output(grad_op, idx, new_grad_output):$/;" f +disc adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object disc(void *func, void *state, object size, object lock,$/;" f +discard adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def discard(self, key):$/;" m class:_OrderedSet +discard adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def discard(self, key):$/;" m class:HTTPHeaderDict +discard adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def discard(self, key):$/;" m class:OrderedSet +discard adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def discard(self, key):$/;" m class:ObjectIdentitySet +discard adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def discard(self, key):$/;" m class:HTTPHeaderDict +discard adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def discard(self, header):$/;" m class:HeaderSet +DiscardUnknownFields adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def DiscardUnknownFields(self):$/;" m class:Message +disclaim_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def disclaim_key_flags():$/;" f +disclaim_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^disclaim_key_flags = _defines.disclaim_key_flags$/;" v +disclaim_module_ids adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^disclaim_module_ids = set([id(sys.modules[__name__])])$/;" v +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def disconnect(self, cid):$/;" m class:CallbackRegistry +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def disconnect(self):$/;" m class:DraggableBase +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def disconnect(cid):$/;" f +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self):$/;" m class:MultiCursor +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self, cid):$/;" m class:Button +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self, cid):$/;" m class:CheckButtons +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self, cid):$/;" m class:RadioButtons +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self, cid):$/;" m class:Slider +disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect(self, cid):$/;" m class:TextBox +disconnect adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def disconnect(self, v):$/;" m class:Vertex +disconnect_events adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def disconnect_events(self):$/;" m class:AxesWidget +DiscoveredDependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ DiscoveredDependencies = DefaultDict[str, List[InstallRequirement]]$/;" v +discover_post_import_hooks adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^def discover_post_import_hooks(group):$/;" f +discover_test_cases_recursively adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def discover_test_cases_recursively(suite_or_case):$/;" f +Discrete adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^Discrete = summary_v2.Discrete$/;" v +Discrete adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class Discrete(Domain):$/;" c +discrete_broadcast_iii adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object discrete_broadcast_iii(void *func, void *state, object size, object lock,$/;" f +Discretization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^class Discretization(base_preprocessing_layer.PreprocessingLayer):$/;" c +DisjointSet adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^class DisjointSet:$/;" c +dismantle_func_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def dismantle_func_graph(func_graph):$/;" f +dismantle_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def dismantle_graph(graph):$/;" f +dismantle_ordered_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/memory.py /^def dismantle_ordered_dict(ordered_dict):$/;" f +disnan adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef bint disnan(d *din) nogil$/;" f +disp adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def disp(mesg, device=None, linefeed=True):$/;" f +disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ disp = False$/;" v class:TestOptimizeNoWrapperNoDisp +disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ disp = False$/;" v class:TestOptimizeWrapperNoDisp +disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ disp = True$/;" v class:TestOptimizeNoWrapperDisp +disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ disp = True$/;" v class:TestOptimizeWrapperDisp +dispatch adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def dispatch(self, tree):$/;" m class:Unparser +dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^def dispatch(op, args, kwargs):$/;" f +dispatch adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def dispatch($/;" m class:MapAdapter +Dispatchable adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^class Dispatchable:$/;" c +dispatched_one_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^def dispatched_one_arg(array):$/;" f +dispatched_two_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^def dispatched_two_arg(array1, array2):$/;" f +Dispatcher adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^class Dispatcher(object):$/;" c +DispatcherConfig adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^DispatcherConfig = _reflection.GeneratedProtocolMessageType('DispatcherConfig', (_message.Messag/;" v +DispatcherConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^class DispatcherConfig($/;" c +DispatcherMiddleware adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/dispatcher.py /^class DispatcherMiddleware(object):$/;" c +dispatcher_address adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def dispatcher_address(self):$/;" m class:TestCluster +DispatchServer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^class DispatchServer(object):$/;" c +DISPATCH_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^DISPATCH_ATTR = "_tf_dispatchers"$/;" v +dispatch_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def dispatch_command(self, prefix, argv, screen_info=None):$/;" m class:CommandHandlerRegistry +dispatch_for_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^def dispatch_for_types(op, *types):$/;" f +dispatch_hook adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/hooks.py /^def dispatch_hook(key, hooks, hook_data, **kwargs):$/;" f +dispatch_hook adpepsenv/lib/python3.8/site-packages/requests/hooks.py /^def dispatch_hook(key, hooks, hook_data, **kwargs):$/;" f +dispatch_info adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def dispatch_info(*types):$/;" f function:dispatch_on.gen_func_dec file: +dispatch_on adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^def dispatch_on(*dispatch_args):$/;" f +dispatch_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def dispatch_repr(self, obj, recursive):$/;" m class:DebugReprGenerator +display adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def display(self):$/;" m class:Benchmark +display adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def display(self):$/;" m class:tzwinbase +display adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def display(self):$/;" m class:_MaskedPrintOption +display adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def display(port=None, height=None):$/;" f +displayhook adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def displayhook(obj):$/;" m class:ThreadedStream +DisplayText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DisplayText(univ.Choice):$/;" c +DisplayText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DisplayText(univ.Choice):$/;" c +DisplayText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DisplayText(univ.Choice):$/;" c +DisplayViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class DisplayViewer(UnixViewer):$/;" c +display_console adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def display_console(self, request):$/;" m class:DebuggedApplication +display_js adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def display_js(self):$/;" m class:FigureManagerNbAgg +display_name adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def display_name(self):$/;" m class:_TimeSeries +display_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def display_name(self):$/;" m class:HParam +display_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ display_options = [$/;" v class:Distribution +display_option_names adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ display_option_names = [translate_longopt(x[0]) for x in display_options]$/;" v class:Distribution +display_path adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def display_path(path):$/;" f +display_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^def display_shape(shape):$/;" f +DISPLAY_TEMPLATE adpepsenv/lib/python3.8/site-packages/matplotlib/_animation_data.py /^DISPLAY_TEMPLATE = """$/;" v +dispose adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def dispose(self):$/;" m class:Emitter +dispose adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def dispose(self):$/;" m class:Parser +disp_level adpeps/ipeps/config.py /^disp_level: int = 1$/;" v +dist adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def dist(self):$/;" m class:_InstallRequirementBackedCandidate +dist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def dist(self):$/;" m class:VersionConflict +dist adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def dist(self):$/;" m class:VersionConflict +dist adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^import torch.distributed as dist$/;" I +dist adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^import torch.distributed as dist$/;" I +distance adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^ def distance(x, y):$/;" f function:_damerau_levenshtein file: +distance adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^import scipy.spatial.distance as distance$/;" I +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:ball_consistency +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:ConsistencyTests +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:sparse_distance_matrix_consistency +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:two_trees_consistency +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:_Test_random_ball_periodic +distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def distance(self, a, b, p):$/;" m class:_Test_two_random_trees_periodic +DistanceTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^class DistanceTest(serial.SerializedTestCase):$/;" c +distance_box adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def distance_box(a, b, p, boxsize):$/;" f +distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^def distance_matrix(x, y, p=2, threshold=1000000):$/;" f +distance_transform_bf adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def distance_transform_bf(input, metric="euclidean", sampling=None,$/;" f +distance_transform_cdt adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def distance_transform_cdt(input, metric='chessboard', return_distances=True,$/;" f +distance_transform_edt adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def distance_transform_edt(input, sampling=None, return_distances=True,$/;" f +DistAutogradTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^class DistAutogradTest(RpcAgentTestFixture):$/;" c +distcont adpepsenv/lib/python3.8/site-packages/scipy/stats/_distr_params.py /^distcont = [$/;" v +distcont_extra adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^distcont_extra = [$/;" v +DistDeprecationWarning adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^class DistDeprecationWarning(SetuptoolsDeprecationWarning):$/;" c +distdiscrete adpepsenv/lib/python3.8/site-packages/scipy/stats/_distr_params.py /^distdiscrete = [$/;" v +Distgraphcomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Distgraphcomm(Topocomm) [$/;" c +DistInfoDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class DistInfoDistribution(Distribution):$/;" c +DistInfoDistribution adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class DistInfoDistribution(Distribution):$/;" c +distinfo_dirname adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def distinfo_dirname(cls, name, version):$/;" m class:DistributionPath +DISTINFO_EXT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^DISTINFO_EXT = '.dist-info'$/;" v +DistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DistinguishedName(RDNSequence):$/;" c +DistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DistinguishedName(RDNSequence):$/;" c +DistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^DistinguishedName = rfc5280.DistinguishedName$/;" v +DistlibException adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^class DistlibException(Exception):$/;" c +DistOptimizerTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^class DistOptimizerTest(RpcAgentTestFixture):$/;" c +DistPathLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class DistPathLocator(Locator):$/;" c +distribute adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def distribute(rois, _, outputs, **args):$/;" f +distribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def distribute(processing_mode,$/;" f +DistributeConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_config.py /^class DistributeConfig($/;" c +DistributedCallbackModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^class DistributedCallbackModel(Model):$/;" c +DistributedDataParallel adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^class DistributedDataParallel(Module):$/;" c +DistributedDataParallelCPU adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/__init__.py /^def DistributedDataParallelCPU(*args, **kwargs):$/;" f +DistributedDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedDataset(_IterableInput):$/;" c +DistributedDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from tensorflow.python.distribute.input_lib import DistributedDatasetInterface as DistributedDat/;" x +DistributedDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from tensorflow.python.distribute.input_lib import DistributedDatasetInterface as DistributedDat/;" x +DistributedDatasetInterface adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedDatasetInterface(collections_abc.Iterable,$/;" c +DistributedDatasetsFromFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedDatasetsFromFunction(_IterableInput):$/;" c +DistributedDatasetsFromFunctionV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedDatasetsFromFunctionV1(DistributedDatasetsFromFunction):$/;" c +DistributedDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedDatasetV1(DistributedDataset):$/;" c +DistributedDelegate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class DistributedDelegate(DistributedValues):$/;" c +DistributedIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedIterator(DistributedIteratorBase,$/;" c +DistributedIterator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from tensorflow.python.distribute.input_lib import DistributedIteratorInterface as DistributedIt/;" x +DistributedIterator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from tensorflow.python.distribute.input_lib import DistributedIteratorInterface as DistributedIt/;" x +DistributedIteratorBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedIteratorBase(DistributedIteratorInterface):$/;" c +DistributedIteratorInitializerHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^class DistributedIteratorInitializerHook(tf.compat.v1.train.SessionRunHook):$/;" c +DistributedIteratorInterface adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedIteratorInterface(collections_abc.Iterator,$/;" c +DistributedIteratorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedIteratorSpec(type_spec.TypeSpec):$/;" c +DistributedIteratorV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class DistributedIteratorV1(DistributedIteratorBase):$/;" c +DistributedOptimizer adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^class DistributedOptimizer:$/;" c +DistributedSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^class DistributedSampler(Sampler[T_co]):$/;" c +DistributedTest adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^class DistributedTest(unittest.TestCase):$/;" c +DistributedTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class DistributedTest:$/;" c +DistributedValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class DistributedValues(object):$/;" c +DistributedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class DistributedVariable(DistributedDelegate, variables_lib.Variable,$/;" c +DistributedVarOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class DistributedVarOp(object):$/;" c +DISTRIBUTED_EPOCH adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ DISTRIBUTED_EPOCH = "distributed_epoch"$/;" v class:ProcessingMode +distributed_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def distributed_function(input_fn):$/;" f function:_make_execution_function_without_cloning file: +distributed_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def distributed_getter(getter, *args, **kwargs):$/;" f member:StrategyExtendedV2._scope file: +distributed_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def distributed_mode(self):$/;" m class:_WorkerContext +distributed_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def distributed_scope(strategy, learning_phase):$/;" f +DistributeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^class DistributeOptions(options.OptionsBase):$/;" c +distribute_coordinator_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow.python.distribute import estimator_training as distribute_coordinator_training$/;" x +distribute_coordinator_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^from tensorflow.python.distribute import estimator_training as distribute_coordinator_training$/;" x +distribute_coordinator_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^from tensorflow.python.distribute import estimator_training as distribute_coordinator_training$/;" x +distribute_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^from tensorflow.python.distribute import distribution_strategy_context as distribute_ctx$/;" x +distribute_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^from tensorflow.python.distribute import distribution_strategy_context as distribute_ctx$/;" x +distribute_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^from tensorflow.python.distribute import distribution_strategy_context as distribute_ctx$/;" x +distribute_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^from tensorflow.python.distribute import distribution_strategy_context as distribute_ctx$/;" x +distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def distribute_datasets_from_function(self, dataset_fn, options=None):$/;" m class:StrategyBase +distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def distribute_datasets_from_function($/;" m class:OneDeviceStrategy +distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def distribute_datasets_from_function(self, dataset_fn, options=None):$/;" m class:ParameterServerStrategyV1 +distribute_fpn_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def distribute_fpn_ref(*inputs):$/;" f +distribute_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^distribute_lib = LazyLoader($/;" v +distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def distribute_strategy(self):$/;" m class:AggregatingVariable +distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def distribute_strategy(self):$/;" m class:DistributedVariable +distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def distribute_strategy(self):$/;" m class:Model +distribute_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^from tensorflow.python.types import distribute as distribute_types$/;" x +distribute_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^from tensorflow.python.distribute import values as distribute_values$/;" x +Distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class Distribution(object):$/;" c +Distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class Distribution:$/;" c +Distribution adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class Distribution:$/;" c +Distribution adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^class Distribution(setuptools.dist.Distribution):$/;" c +Distribution adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^class Distribution(_Distribution):$/;" c +Distribution adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^class Distribution:$/;" c +distribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def distribution(self):$/;" m class:Step +Distribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^class Distribution(_BaseDistribution):$/;" c +distribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def distribution(self):$/;" m class:TransformedDistribution +Distribution adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^class Distribution(object):$/;" c +DistributionCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class DistributionCombination(combinations_lib.TestCombination):$/;" c +DistributionMetadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^class DistributionMetadata:$/;" c +DistributionMultiWorkerTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^class DistributionMultiWorkerTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +DistributionNotFound adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class DistributionNotFound(InstallationError):$/;" c +DistributionNotFound adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class DistributionNotFound(ResolutionError):$/;" c +DistributionNotFound adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class DistributionNotFound(ResolutionError):$/;" c +DistributionParameter adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class DistributionParameter(combinations_lib.ParameterModifier):$/;" c +DistributionPath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class DistributionPath(object):$/;" c +DistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DistributionPoint(univ.Sequence):$/;" c +DistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DistributionPoint(univ.Sequence):$/;" c +DistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DistributionPoint(univ.Sequence):$/;" c +DistributionPointName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DistributionPointName(univ.Choice):$/;" c +DistributionPointName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DistributionPointName(univ.Choice):$/;" c +DistributionPointName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DistributionPointName(univ.Choice):$/;" c +distributions adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^distributions = Extension("extending_distributions",$/;" v +DistributionSingleWorkerTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^class DistributionSingleWorkerTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +DistributionsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^class DistributionsPlugin(base_plugin.TBPlugin):$/;" c +DistributionStrategyCnnCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^class DistributionStrategyCnnCorrectnessTest($/;" c +DistributionStrategyEmbeddingModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^class DistributionStrategyEmbeddingModelCorrectnessTest($/;" c +DistributionStrategyGruModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^class DistributionStrategyGruModelCorrectnessTest($/;" c +DistributionStrategyLstmModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^class DistributionStrategyLstmModelCorrectnessTest($/;" c +DistributionStrategySiameseEmbeddingModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^class DistributionStrategySiameseEmbeddingModelCorrectnessTest($/;" c +DistributionStrategyStatefulLstmModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^class DistributionStrategyStatefulLstmModelCorrectnessTest($/;" c +distributions_and_v1_and_v2_optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^def distributions_and_v1_and_v2_optimizers():$/;" f +distributions_and_v1_optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^def distributions_and_v1_optimizers():$/;" f +distributions_and_v2_optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^def distributions_and_v2_optimizers():$/;" f +distributions_from_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def distributions_from_metadata(path):$/;" f +distributions_from_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def distributions_from_metadata(path):$/;" f +distributions_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def distributions_impl(self, ctx, tag, run, experiment):$/;" m class:DistributionsPlugin +distributions_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def distributions_route(self, request):$/;" m class:DistributionsPlugin +DistributionTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^class DistributionTestBase(test.TestCase):$/;" c +DistributionWithoutHelpCommands adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ class DistributionWithoutHelpCommands(Distribution):$/;" c function:main file: +distribution_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^from tensorflow.python.ops.distributions import distribution as distribution_lib$/;" x +distribution_strategy_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^distribution_strategy_context = LazyLoader($/;" v +distribution_strategy_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^distribution_strategy_gauge = monitoring.StringGauge($/;" v +distribution_strategy_replica_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^distribution_strategy_replica_gauge = monitoring.IntGauge($/;" v +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distribution_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^from tensorflow.python.ops.distributions import util as distribution_util$/;" x +distros_for_filename adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def distros_for_filename(filename, metadata=None):$/;" f +distros_for_location adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def distros_for_location(location, basename, metadata=None):$/;" f +distros_for_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def distros_for_url(url, metadata=None):$/;" f +distro_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def distro_release_attr(self, attribute):$/;" m class:LinuxDistribution +distro_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def distro_release_attr(attribute):$/;" f +distro_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def distro_release_info(self):$/;" m class:LinuxDistribution +distro_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def distro_release_info():$/;" f +distslow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^distslow = ['kstwo', 'ksone', 'kappa4', 'gausshyper', 'recipinvgauss',$/;" v +DistutilsArgError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsArgError (DistutilsError):$/;" c +DistutilsByteCompileError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsByteCompileError(DistutilsError):$/;" c +DistutilsClassError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsClassError (DistutilsError):$/;" c +DistutilsCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ from distutils.cmd import Command as DistutilsCommand$/;" x +DistutilsError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsError (Exception):$/;" c +DistutilsExecError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsExecError (DistutilsError):$/;" c +DistutilsFileError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsFileError (DistutilsError):$/;" c +DistutilsGetoptError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsGetoptError (DistutilsError):$/;" c +DistutilsInternalError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsInternalError (DistutilsError):$/;" c +DistutilsModuleError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsModuleError (DistutilsError):$/;" c +DistutilsOptionError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsOptionError (DistutilsError):$/;" c +DistutilsPlatformError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsPlatformError (DistutilsError):$/;" c +DistutilsRefactoringTool adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^class DistutilsRefactoringTool(RefactoringTool):$/;" c +DistutilsRefactoringTool adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ class DistutilsRefactoringTool(RefactoringTool):$/;" c function:run_2to3 file: +DistutilsSetupError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsSetupError (DistutilsError):$/;" c +DistutilsTemplateError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class DistutilsTemplateError (DistutilsError):$/;" c +distutils_all adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/__init__.py /^distutils_all = [ #'build_py',$/;" v +distutils_install adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ from distutils.command.install import install as distutils_install$/;" x member:install.setuptools_run file: +distutils_install_command adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^from distutils.command.install import install as distutils_install_command$/;" x +distutils_scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^def distutils_scheme($/;" f +distutils_sysconfig adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^from distutils import sysconfig as distutils_sysconfig$/;" x +distutils_vars adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ distutils_vars = EnvironmentConfig($/;" v class:FCompiler +distutils_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^from distutils import version as distutils_version # pylint: disable=g-bad-import-order$/;" x +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^ import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^ import torch.distributed.autograd as dist_autograd$/;" I function:_get_builtin_table file: +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ import torch.distributed.autograd as dist_autograd$/;" I member:RpcTest.test_debug_info file: +dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^import torch.distributed.autograd as dist_autograd$/;" I +dist_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^dist_backend = Backend$/;" v +dist_backward_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def dist_backward_script(context_id: int, loss: torch.Tensor):$/;" f member:JitDistAutogradTest.test_dist_backward file: +dist_factory adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def dist_factory(path_item, entry, only):$/;" f +dist_factory adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def dist_factory(path_item, entry, only):$/;" f +DIST_FILES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^DIST_FILES = ('INSTALLER', METADATA_FILENAME, 'RECORD', 'REQUESTED',$/;" v +dist_from_wheel_url adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^def dist_from_wheel_url(name, url, session):$/;" f +dist_get_direct_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/direct_url_helpers.py /^def dist_get_direct_url(dist):$/;" f +dist_get_gradients adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def dist_get_gradients(context_id):$/;" f member:JitDistAutogradTest.test_get_gradients file: +dist_info adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^class dist_info(Command):$/;" c +DIST_INFO_RE adpepsenv/lib/python3.8/site-packages/wheel/cli/pack.py /^DIST_INFO_RE = re.compile(r"^(?P(?P.+?)-(?P\\d.*?))\\.dist-info$")$/;" v +dist_init adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def dist_init(old_test_method=None, setup_rpc=True, clean_shutdown=True,$/;" f +dist_in_site_packages adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def dist_in_site_packages(dist):$/;" f +dist_in_usersite adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def dist_in_usersite(dist):$/;" f +dist_is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def dist_is_editable(dist):$/;" f +dist_is_local adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def dist_is_local(dist):$/;" f +dist_location adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def dist_location(dist):$/;" f +dist_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^from tensorflow.python.keras.distribute import distributed_training_utils as dist_utils$/;" x +dist_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.keras.distribute import distributed_training_utils as dist_utils$/;" x +dist_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.keras.distribute import distributed_training_utils_v1 as dist_utils$/;" x +dist_utils adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^import torch.testing._internal.dist_utils as dist_utils$/;" I +dist_utils_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.keras.distribute import distributed_training_utils as dist_utils_v2$/;" x +div adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def div(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +div adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def div(lhs, rhs):$/;" f +div adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def div(x: Array, y: Array) -> Array:$/;" f +div adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^div = _broadcasting_binary_op(math_ops.div)$/;" v +DIV adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DIV = 42$/;" v class:BuiltinOperator +div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def div(x, y, name=None):$/;" f +Div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Div = tf_export("raw_ops.Div")(_ops.to_raw_op(div))$/;" v +div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def div(x, y, name=None):$/;" f +div adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def div(g, self, other):$/;" f +DivergingNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class DivergingNorm(TwoSlopeNorm):$/;" c +divide adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^divide = true_divide$/;" v +divide adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^divide = _DomainedBinaryOperation(umath.divide, _DomainSafeDivide(), 0, 1)$/;" v +divide adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def divide(x, y, name=None):$/;" f +divide adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def divide(x1, x2): # pylint: disable=missing-function-docstring$/;" f +DivideDelegateWithName adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^class DivideDelegateWithName(object):$/;" c +Divider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class Divider:$/;" c +divide_by_n_tensors_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def divide_by_n_tensors_or_indexed_slices(value, n):$/;" f +divide_by_square_root adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^def divide_by_square_root(data, scale):$/;" f +divide_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import div_no_nan as divide_no_nan$/;" x +divide_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import div_no_nan as divide_no_nan$/;" x +divide_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import div_no_nan as divide_no_nan$/;" x +DIVIO_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIVIO_G726 = 0x4243$/;" v class:WAVE_FORMAT +DIVIO_MPEG4_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DIVIO_MPEG4_AAC = 0x4143$/;" v class:WAVE_FORMAT +divisors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def divisors(n):$/;" f function:test_array_patch_perimeters file: +divmod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def divmod(x1, x2):$/;" f +divmod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def divmod(x1, x2): # pylint: disable=redefined-builtin$/;" f +DivNoNan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^DivNoNan = tf_export("raw_ops.DivNoNan")(_ops.to_raw_op(div_no_nan))$/;" v +DivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ DivOptions = 29$/;" v class:BuiltinOptions +DivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DivOptions(object):$/;" c +DivOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DivOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8S/;" f +DivOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def DivOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:DivOptions +DivOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DivOptionsEnd(builder): return builder.EndObject()$/;" f +DivOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def DivOptionsStart(builder): builder.StartObject(1)$/;" f +DivOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class DivOptionsT(object):$/;" c +div_dtypes adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def div_dtypes():$/;" f member:TestOperators.test_div file: +div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def div_eager_fallback(x, y, name, ctx):$/;" f +div_float_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/test_module/future_div.py /^def div_float_future():$/;" f +div_float_nofuture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/test_module/no_future_div.py /^def div_float_nofuture():$/;" f +div_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def div_grad_ref(B, C, dC):$/;" f member:TestElementwiseOps.test_div_legacy_grad file: +div_int_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/test_module/future_div.py /^def div_int_future():$/;" f +div_int_nofuture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/test_module/no_future_div.py /^def div_int_nofuture():$/;" f +div_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def div_no_nan(x, y, name=None):$/;" f +div_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def div_no_nan(x, y, name=None):$/;" f +div_no_nan_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def div_no_nan_eager_fallback(x, y, name, ctx):$/;" f +div_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^div_p = standard_naryop([_num, _num], 'div')$/;" v +DJBFFTNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class DJBFFTNotFoundError(NotFoundError):$/;" c +djbfft_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class djbfft_info(system_info):$/;" c +dlabad adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlabad(d *small, d *large) nogil$/;" f +dlabrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlabrd(int *m, int *n, int *nb, d *a, int *lda, d *d, d *e, d *tauq, d *taup, d *x, in/;" f +dlacn2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlacn2(int *n, d *v, d *x, int *isgn, d *est, int *kase, int *isave) nogil$/;" f +dlacon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlacon(int *n, d *v, d *x, int *isgn, d *est, int *kase) nogil$/;" f +dlacpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlacpy(char *uplo, int *m, int *n, d *a, int *lda, d *b, int *ldb) nogil$/;" f +dladiv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dladiv(d *a, d *b, d *c, d *d, d *p, d *q) nogil$/;" f +dlae2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlae2(d *a, d *b, d *c, d *rt1, d *rt2) nogil$/;" f +dlaebz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaebz(int *ijob, int *nitmax, int *n, int *mmax, int *minp, int *nbmin, d *abstol, d /;" f +dlaed0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed0(int *icompq, int *qsiz, int *n, d *d, d *e, d *q, int *ldq, d *qstore, int *ldq/;" f +dlaed1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed1(int *n, d *d, d *q, int *ldq, int *indxq, d *rho, int *cutpnt, d *work, int *iw/;" f +dlaed2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed2(int *k, int *n, int *n1, d *d, d *q, int *ldq, int *indxq, d *rho, d *z, d *dla/;" f +dlaed3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed3(int *k, int *n, int *n1, d *d, d *q, int *ldq, d *rho, d *dlamda, d *q2, int *i/;" f +dlaed4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed4(int *n, int *i, d *d, d *z, d *delta, d *rho, d *dlam, int *info) nogil$/;" f +dlaed5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed5(int *i, d *d, d *z, d *delta, d *rho, d *dlam) nogil$/;" f +dlaed6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed6(int *kniter, bint *orgati, d *rho, d *d, d *z, d *finit, d *tau, int *info) nog/;" f +dlaed7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed7(int *icompq, int *n, int *qsiz, int *tlvls, int *curlvl, int *curpbm, d *d, d */;" f +dlaed8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed8(int *icompq, int *k, int *n, int *qsiz, d *d, d *q, int *ldq, int *indxq, d *rh/;" f +dlaed9 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaed9(int *k, int *kstart, int *kstop, int *n, d *d, d *q, int *ldq, d *rho, d *dlamd/;" f +dlaeda adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaeda(int *n, int *tlvls, int *curlvl, int *curpbm, int *prmptr, int *perm, int *givp/;" f +dlaein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaein(bint *rightv, bint *noinit, int *n, d *h, int *ldh, d *wr, d *wi, d *vr, d *vi,/;" f +dlaev2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaev2(d *a, d *b, d *c, d *rt1, d *rt2, d *cs1, d *sn1) nogil$/;" f +dlaexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaexc(bint *wantq, int *n, d *t, int *ldt, d *q, int *ldq, int *j1, int *n1, int *n2,/;" f +dlag2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlag2(d *a, int *lda, d *b, int *ldb, d *safmin, d *scale1, d *scale2, d *wr1, d *wr2,/;" f +dlag2s adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlag2s(int *m, int *n, d *a, int *lda, s *sa, int *ldsa, int *info) nogil$/;" f +dlags2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlags2(bint *upper, d *a1, d *a2, d *a3, d *b1, d *b2, d *b3, d *csu, d *snu, d *csv, /;" f +dlagtf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlagtf(int *n, d *a, d *lambda_, d *b, d *c, d *tol, d *d, int *in_, int *info) nogil$/;" f +dlagtm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlagtm(char *trans, int *n, int *nrhs, d *alpha, d *dl, d *d, d *du, d *x, int *ldx, d/;" f +dlagts adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlagts(int *job, int *n, d *a, d *b, d *c, d *d, int *in_, d *y, d *tol, int *info) no/;" f +dlagv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlagv2(d *a, int *lda, d *b, int *ldb, d *alphar, d *alphai, d *beta, d *csl, d *snl, /;" f +dlahqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlahqr(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, d *h, int *ldh, d *wr, d /;" f +dlahr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlahr2(int *n, int *k, int *nb, d *a, int *lda, d *tau, d *t, int *ldt, d *y, int *ldy/;" f +dlaic1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaic1(int *job, int *j, d *x, d *sest, d *w, d *gamma, d *sestpr, d *s, d *c) nogil$/;" f +dlaln2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaln2(bint *ltrans, int *na, int *nw, d *smin, d *ca, d *a, int *lda, d *d1, d *d2, d/;" f +dlals0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlals0(int *icompq, int *nl, int *nr, int *sqre, int *nrhs, d *b, int *ldb, d *bx, int/;" f +dlalsa adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlalsa(int *icompq, int *smlsiz, int *n, int *nrhs, d *b, int *ldb, d *bx, int *ldbx, /;" f +dlalsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlalsd(char *uplo, int *smlsiz, int *n, int *nrhs, d *d, d *e, d *b, int *ldb, d *rcon/;" f +dlamch adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlamch(char *cmach) nogil$/;" f +dlamrg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlamrg(int *n1, int *n2, d *a, int *dtrd1, int *dtrd2, int *index_bn) nogil$/;" f +dlaneg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int dlaneg(int *n, d *d, d *lld, d *sigma, d *pivmin, int *r) nogil$/;" f +dlangb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlangb(char *norm, int *n, int *kl, int *ku, d *ab, int *ldab, d *work) nogil$/;" f +dlange adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlange(char *norm, int *m, int *n, d *a, int *lda, d *work) nogil$/;" f +dlangt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlangt(char *norm, int *n, d *dl, d *d, d *du) nogil$/;" f +dlanhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlanhs(char *norm, int *n, d *a, int *lda, d *work) nogil$/;" f +dlansb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlansb(char *norm, char *uplo, int *n, int *k, d *ab, int *ldab, d *work) nogil$/;" f +dlansf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlansf(char *norm, char *transr, char *uplo, int *n, d *a, d *work) nogil$/;" f +dlansp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlansp(char *norm, char *uplo, int *n, d *ap, d *work) nogil$/;" f +dlanst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlanst(char *norm, int *n, d *d, d *e) nogil$/;" f +dlansy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlansy(char *norm, char *uplo, int *n, d *a, int *lda, d *work) nogil$/;" f +dlantb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlantb(char *norm, char *uplo, char *diag, int *n, int *k, d *ab, int *ldab, d *work) nog/;" f +dlantp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlantp(char *norm, char *uplo, char *diag, int *n, d *ap, d *work) nogil$/;" f +dlantr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlantr(char *norm, char *uplo, char *diag, int *m, int *n, d *a, int *lda, d *work) nogil$/;" f +dlanv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlanv2(d *a, d *b, d *c, d *d, d *rt1r, d *rt1i, d *rt2r, d *rt2i, d *cs, d *sn) nogil$/;" f +dlapl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^dlapl = default_lapl()$/;" v +dlaplace adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^dlaplace = dlaplace_gen(a=-np.inf,$/;" v +dlaplace_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class dlaplace_gen(rv_discrete):$/;" c +dlapll adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlapll(int *n, d *x, int *incx, d *y, int *incy, d *ssmin) nogil$/;" f +dlapmr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlapmr(bint *forwrd, int *m, int *n, d *x, int *ldx, int *k) nogil$/;" f +dlapmt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlapmt(bint *forwrd, int *m, int *n, d *x, int *ldx, int *k) nogil$/;" f +dlapy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlapy2(d *x, d *y) nogil$/;" f +dlapy3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dlapy3(d *x, d *y, d *z) nogil$/;" f +dlaqgb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqgb(int *m, int *n, int *kl, int *ku, d *ab, int *ldab, d *r, d *c, d *rowcnd, d *c/;" f +dlaqge adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqge(int *m, int *n, d *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, char/;" f +dlaqp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqp2(int *m, int *n, int *offset, d *a, int *lda, int *jpvt, d *tau, d *vn1, d *vn2,/;" f +dlaqps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqps(int *m, int *n, int *offset, int *nb, int *kb, d *a, int *lda, int *jpvt, d *ta/;" f +dlaqr0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr0(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, d *h, int *ldh, d *wr, d /;" f +dlaqr1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr1(int *n, d *h, int *ldh, d *sr1, d *si1, d *sr2, d *si2, d *v) nogil$/;" f +dlaqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr2(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, d *h, int *ldh/;" f +dlaqr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr3(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, d *h, int *ldh/;" f +dlaqr4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr4(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, d *h, int *ldh, d *wr, d /;" f +dlaqr5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqr5(bint *wantt, bint *wantz, int *kacc22, int *n, int *ktop, int *kbot, int *nshft/;" f +dlaqsb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqsb(char *uplo, int *n, int *kd, d *ab, int *ldab, d *s, d *scond, d *amax, char *e/;" f +dlaqsp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqsp(char *uplo, int *n, d *ap, d *s, d *scond, d *amax, char *equed) nogil$/;" f +dlaqsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqsy(char *uplo, int *n, d *a, int *lda, d *s, d *scond, d *amax, char *equed) nogil$/;" f +dlaqtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaqtr(bint *ltran, bint *lreal, int *n, d *t, int *ldt, d *b, d *w, d *scale, d *x, d/;" f +dlar1v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlar1v(int *n, int *b1, int *bn, d *lambda_, d *d, d *l, d *ld, d *lld, d *pivmin, d */;" f +dlar2v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlar2v(int *n, d *x, d *y, d *z, int *incx, d *c, d *s, int *incc) nogil$/;" f +dlarf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarf(char *side, int *m, int *n, d *v, int *incv, d *tau, d *c, int *ldc, d *work) no/;" f +dlarfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, d /;" f +dlarfg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarfg(int *n, d *alpha, d *x, int *incx, d *tau) nogil$/;" f +dlarfgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarfgp(int *n, d *alpha, d *x, int *incx, d *tau) nogil$/;" f +dlarft adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarft(char *direct, char *storev, int *n, int *k, d *v, int *ldv, d *tau, d *t, int */;" f +dlarfx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarfx(char *side, int *m, int *n, d *v, d *tau, d *c, int *ldc, d *work) nogil$/;" f +dlargv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlargv(int *n, d *x, int *incx, d *y, int *incy, d *c, int *incc) nogil$/;" f +dlarnv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarnv(int *idist, int *iseed, int *n, d *x) nogil$/;" f +dlarra adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarra(int *n, d *d, d *e, d *e2, d *spltol, d *tnrm, int *nsplit, int *isplit, int *i/;" f +dlarrb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrb(int *n, d *d, d *lld, int *ifirst, int *ilast, d *rtol1, d *rtol2, int *offset,/;" f +dlarrc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrc(char *jobt, int *n, d *vl, d *vu, d *d, d *e, d *pivmin, int *eigcnt, int *lcnt/;" f +dlarrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrd(char *range, char *order, int *n, d *vl, d *vu, int *il, int *iu, d *gers, d *r/;" f +dlarre adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarre(char *range, int *n, d *vl, d *vu, int *il, int *iu, d *d, d *e, d *e2, d *rtol/;" f +dlarrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrf(int *n, d *d, d *l, d *ld, int *clstrt, int *clend, d *w, d *wgap, d *werr, d */;" f +dlarrj adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrj(int *n, d *d, d *e2, int *ifirst, int *ilast, d *rtol, int *offset, d *w, d *we/;" f +dlarrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrk(int *n, int *iw, d *gl, d *gu, d *d, d *e2, d *pivmin, d *reltol, d *w, d *werr/;" f +dlarrr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrr(int *n, d *d, d *e, int *info) nogil$/;" f +dlarrv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarrv(int *n, d *vl, d *vu, d *d, d *l, d *pivmin, int *isplit, int *m, int *dol, int/;" f +dlartg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlartg(d *f, d *g, d *cs, d *sn, d *r) nogil$/;" f +dlartgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlartgp(d *f, d *g, d *cs, d *sn, d *r) nogil$/;" f +dlartgs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlartgs(d *x, d *y, d *sigma, d *cs, d *sn) nogil$/;" f +dlartv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlartv(int *n, d *x, int *incx, d *y, int *incy, d *c, d *s, int *incc) nogil$/;" f +dlaruv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaruv(int *iseed, int *n, d *x) nogil$/;" f +dlarz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarz(char *side, int *m, int *n, int *l, d *v, int *incv, d *tau, d *c, int *ldc, d */;" f +dlarzb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarzb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +dlarzt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlarzt(char *direct, char *storev, int *n, int *k, d *v, int *ldv, d *tau, d *t, int */;" f +dlas2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlas2(d *f, d *g, d *h, d *ssmin, d *ssmax) nogil$/;" f +dlascl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlascl(char *type_bn, int *kl, int *ku, d *cfrom, d *cto, int *m, int *n, d *a, int *l/;" f +dlasd0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd0(int *n, int *sqre, d *d, d *e, d *u, int *ldu, d *vt, int *ldvt, int *smlsiz, i/;" f +dlasd1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd1(int *nl, int *nr, int *sqre, d *d, d *alpha, d *beta, d *u, int *ldu, d *vt, in/;" f +dlasd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd2(int *nl, int *nr, int *sqre, int *k, d *d, d *z, d *alpha, d *beta, d *u, int */;" f +dlasd3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd3(int *nl, int *nr, int *sqre, int *k, d *d, d *q, int *ldq, d *dsigma, d *u, int/;" f +dlasd4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd4(int *n, int *i, d *d, d *z, d *delta, d *rho, d *sigma, d *work, int *info) nog/;" f +dlasd5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd5(int *i, d *d, d *z, d *delta, d *rho, d *dsigma, d *work) nogil$/;" f +dlasd6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd6(int *icompq, int *nl, int *nr, int *sqre, d *d, d *vf, d *vl, d *alpha, d *beta/;" f +dlasd7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd7(int *icompq, int *nl, int *nr, int *sqre, int *k, d *d, d *z, d *zw, d *vf, d */;" f +dlasd8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasd8(int *icompq, int *k, d *d, d *z, d *vf, d *vl, d *difl, d *difr, int *lddifr, d/;" f +dlasda adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasda(int *icompq, int *smlsiz, int *n, int *sqre, d *d, d *e, d *u, int *ldu, d *vt,/;" f +dlasdq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasdq(char *uplo, int *sqre, int *n, int *ncvt, int *nru, int *ncc, d *d, d *e, d *vt/;" f +dlasdt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasdt(int *n, int *lvl, int *nd, int *inode, int *ndiml, int *ndimr, int *msub) nogil$/;" f +dlaset adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaset(char *uplo, int *m, int *n, d *alpha, d *beta, d *a, int *lda) nogil$/;" f +dlasq1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasq1(int *n, d *d, d *e, d *work, int *info) nogil$/;" f +dlasq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasq2(int *n, d *z, int *info) nogil$/;" f +dlasq3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasq3(int *i0, int *n0, d *z, int *pp, d *dmin, d *sigma, d *desig, d *qmax, int *nfa/;" f +dlasq4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasq4(int *i0, int *n0, d *z, int *pp, int *n0in, d *dmin, d *dmin1, d *dmin2, d *dn,/;" f +dlasq6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasq6(int *i0, int *n0, d *z, int *pp, d *dmin, d *dmin1, d *dmin2, d *dn, d *dnm1, d/;" f +dlasr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasr(char *side, char *pivot, char *direct, int *m, int *n, d *c, d *s, d *a, int *ld/;" f +dlasrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasrt(char *id, int *n, d *d, int *info) nogil$/;" f +dlassq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlassq(int *n, d *x, int *incx, d *scale, d *sumsq) nogil$/;" f +dlasv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasv2(d *f, d *g, d *h, d *ssmin, d *ssmax, d *snr, d *csr, d *snl, d *csl) nogil$/;" f +dlaswp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlaswp(int *n, d *a, int *lda, int *k1, int *k2, int *ipiv, int *incx) nogil$/;" f +dlasy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasy2(bint *ltranl, bint *ltranr, int *isgn, int *n1, int *n2, d *tl, int *ldtl, d *t/;" f +dlasyf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlasyf(char *uplo, int *n, int *nb, int *kb, d *a, int *lda, int *ipiv, d *w, int *ldw/;" f +dlat2s adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlat2s(char *uplo, int *n, d *a, int *lda, s *sa, int *ldsa, int *info) nogil$/;" f +dlatbs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatbs(char *uplo, char *trans, char *diag, char *normin, int *n, int *kd, d *ab, int /;" f +dlatdf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatdf(int *ijob, int *n, d *z, int *ldz, d *rhs, d *rdsum, d *rdscal, int *ipiv, int /;" f +dlatps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatps(char *uplo, char *trans, char *diag, char *normin, int *n, d *ap, d *x, d *scal/;" f +dlatrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatrd(char *uplo, int *n, int *nb, d *a, int *lda, d *e, d *tau, d *w, int *ldw) nogi/;" f +dlatrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatrs(char *uplo, char *trans, char *diag, char *normin, int *n, d *a, int *lda, d *x/;" f +dlatrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlatrz(int *m, int *n, int *l, d *a, int *lda, d *tau, d *work) nogil$/;" f +dlauu2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlauu2(char *uplo, int *n, d *a, int *lda, int *info) nogil$/;" f +dlauum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dlauum(char *uplo, int *n, d *a, int *lda, int *info) nogil$/;" f +dlcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^dlcpl = default_lcpl()$/;" v +dlist adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ dlist, flist = parse_nm(nm_output)$/;" v +dlls adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ dlls = glob.glob(os.path.join(th_dll_path, '*.dll'))$/;" v +dlls adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ dlls = glob.glob(os.path.join(th_dll_path, '*.dll'))$/;" v +dll_lock adpepsenv/lib/python3.8/site-packages/caffe2/python/dyndep.py /^dll_lock = Lock()$/;" v +dll_paths adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ dll_paths = list(filter(os.path.exists, [th_dll_path, py_dll_path, nvtoolsext_dll_path, cuda/;" v +dll_paths adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ dll_paths = list(filter(os.path.exists, [th_dll_path, py_dll_path, base_py_dll_path]))$/;" v +DlopenGuard adpepsenv/lib/python3.8/site-packages/caffe2/python/extension_loader.py /^def DlopenGuard(extra_flags=ctypes.RTLD_GLOBAL):$/;" f +dlsim adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def dlsim(system, u, t=None, x0=None):$/;" f +dlti adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class dlti(LinearTimeInvariant):$/;" c +dl_blocksize adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ dl_blocksize = 8192$/;" v class:PackageIndex +dl_open_guard adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^def dl_open_guard():$/;" f +dl_progress adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def dl_progress(count, block_size, total_size):$/;" f function:get_file file: +dms2float adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^def dms2float(degrees, minutes=0, seconds=0):$/;" f +DMS_RE adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^DMS_RE = re.compile(_MS_RE.format(degree=re.escape(FormatterDMS.deg_mark),$/;" v +dn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def dn(u, m):$/;" f function:test_dn_quarter_period file: +DNAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^DNAUPD_ERRORS = {$/;" v +DNEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^DNEUPD_ERRORS = {$/;" v +DNNClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNClassifierV2 as DNNClassifier$/;" x +DNNClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNClassifier(estimator.Estimator):$/;" c +DNNClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNClassifierV2 as DNNClassifier$/;" x +DNNClassifierV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNClassifierV2(estimator.EstimatorV2):$/;" c +DNNEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNEstimatorV2 as DNNEstimator$/;" x +DNNEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNEstimator(estimator.Estimator):$/;" c +DNNEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNEstimatorV2 as DNNEstimator$/;" x +DNNEstimatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNEstimatorV2(estimator.EstimatorV2):$/;" c +DNNLinearCombinedClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedCl/;" x +DNNLinearCombinedClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedClassifier(estimator.Estimator):$/;" c +DNNLinearCombinedClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedCl/;" x +DNNLinearCombinedClassifierV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedClassifierV2(estimator.EstimatorV2):$/;" c +DNNLinearCombinedEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedEs/;" x +DNNLinearCombinedEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedEstimator(estimator.Estimator):$/;" c +DNNLinearCombinedEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedEs/;" x +DNNLinearCombinedEstimatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedEstimatorV2(estimator.EstimatorV2):$/;" c +DNNLinearCombinedRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedRe/;" x +DNNLinearCombinedRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedRegressor(estimator.Estimator):$/;" c +DNNLinearCombinedRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn_linear_combined import DNNLinearCombinedRe/;" x +DNNLinearCombinedRegressorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^class DNNLinearCombinedRegressorV2(estimator.EstimatorV2):$/;" c +DNNLowPAddOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^class DNNLowPAddOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPBatchMatMulOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^class DNNLowPBatchMatMulOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPBatchPermutationOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_permutation_dnnlowp_op_test.py /^class DNNLowPBatchPermutationOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPChannelShuffleOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^class DNNLowPChannelShuffleOpsTest(hu.HypothesisTestCase):$/;" c +DNNLowPConcatOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/concat_dnnlowp_op_test.py /^class DNNLowPConcatOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPDequantizeOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dequantize_dnnlowp_op_test.py /^class DNNLowPDequantizeOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPElementwiseLinearOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_linear_dnnlowp_op_test.py /^class DNNLowPElementwiseLinearOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPFullyConnectedAcc16OpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^class DNNLowPFullyConnectedAcc16OpTest(hu.HypothesisTestCase):$/;" c +DNNLowPFullyConnectedOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_op_test.py /^class DNNLowPFullyConnectedOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPGatherOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/gather_dnnlowp_op_test.py /^class DNNLowPGatherOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPLSTMUnitOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/lstm_unit_dnnlowp_op_test.py /^class DNNLowPLSTMUnitOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPMulOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^class DNNLowPMulOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpConvAcc16OpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^class DNNLowPOpConvAcc16OpTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpConvDepthWiseTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^class DNNLowPOpConvDepthWiseTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpConvTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^class DNNLowPOpConvTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpGroupNormTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/group_norm_dnnlowp_op_test.py /^class DNNLowPOpGroupNormTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpPoolTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/pool_dnnlowp_op_test.py /^class DNNLowPOpPoolTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpSpatialBNTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/spatial_batch_norm_dnnlowp_op_test.py /^class DNNLowPOpSpatialBNTest(hu.HypothesisTestCase):$/;" c +DNNLowPOpSumOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^class DNNLowPOpSumOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPQuantizeOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/quantize_dnnlowp_op_test.py /^class DNNLowPQuantizeOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPReluOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/relu_dnnlowp_op_test.py /^class DNNLowPReluOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPResizeNearest3DOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_3d_dnnlowp_op_test.py /^class DNNLowPResizeNearest3DOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPResizeNearestOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_dnnlowp_op_test.py /^class DNNLowPResizeNearestOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPSigmoidOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/sigmoid_dnnlowp_op_test.py /^class DNNLowPSigmoidOpTest(hu.HypothesisTestCase):$/;" c +DNNLowPTanhOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/tanh_dnnlowp_op_test.py /^class DNNLowPTanhOpTest(hu.HypothesisTestCase):$/;" c +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_op_test.py /^from caffe2.quantization.server import dnnlowp_pybind11, utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_rowwise_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/group_norm_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +dnnlowp_utils adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/spatial_batch_norm_dnnlowp_op_test.py /^from caffe2.quantization.server import utils as dnnlowp_utils$/;" x +DNNRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNRegressorV2 as DNNRegressor$/;" x +DNNRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNRegressor(estimator.Estimator):$/;" c +DNNRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.dnn import DNNRegressorV2 as DNNRegressor$/;" x +DNNRegressorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class DNNRegressorV2(estimator.EstimatorV2):$/;" c +dnn_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def dnn_logit_fn(features, mode):$/;" f function:dnn_logit_fn_builder file: +dnn_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def dnn_logit_fn(features, mode):$/;" f function:dnn_logit_fn_builder_v2 file: +dnn_logit_fn_builder adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def dnn_logit_fn_builder(units, hidden_units, feature_columns, activation_fn,$/;" f +dnn_logit_fn_builder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def dnn_logit_fn_builder_v2(units, hidden_units, feature_columns, activation_fn,$/;" f +dnn_model_fn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def dnn_model_fn_v2(features,$/;" f +dnrm2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dnrm2(int *n, d *x, int *incx) nogil$/;" f +Do adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def Do(name, *nets_or_steps):$/;" f +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:CondCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:DetCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:EigCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:EigvalsCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:InvCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:LstsqCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:PinvCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:PinvHermitianCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:SolveCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:SVDCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:SVDHermitianCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:TestEighCases +do adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def do(self, a, b, tags):$/;" m class:TestEigvalshCases +do adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^ def do(self, x, c, r=None, check_finite=False, workers=None):$/;" m class:TestMatmulToeplitz +doc adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/status_codes.py /^ def doc(code):$/;" f function:_init file: +doc adpepsenv/lib/python3.8/site-packages/requests/status_codes.py /^ def doc(code):$/;" f function:_init file: +DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^DOC = """$/;" v +DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^DOC = """$/;" v +DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^DOC = """$/;" v +docdict adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^docdict = {$/;" v +docdict adpepsenv/lib/python3.8/site-packages/scipy/special/add_newdocs.py /^docdict: Dict[str, str] = {}$/;" v +docdict adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^docdict = {$/;" v +docdict_discrete adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^docdict_discrete = docdict.copy()$/;" v +docfiller adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^docfiller = doccer.filldoc(doc_dict)$/;" v +docfiller adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^docfiller = doccer.filldoc(docdict)$/;" v +docformat adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def docformat(docstring, docdict=None):$/;" f +docformat adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def docformat(docstring, docdict=None):$/;" f +DocGenerator adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^class DocGenerator(object):$/;" c +docheaders adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^docheaders = {'methods': """\\nMethods\\n-------\\n""",$/;" v +DOCLINES adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^DOCLINES = __doc__.split('\\n')$/;" v +DocSource adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/doc_srcs.py /^class DocSource(object):$/;" c +docstring adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.docstring as docstring$/;" I +docstring adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^import matplotlib.docstring as docstring$/;" I +docstring adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.docstring as docstring$/;" I +docstring adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^docstring = \\$/;" v +docstring adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ docstring = docstring.replace("torch.distributed.rpc.PyRRef", "torch.distributed.rpc.RRef")$/;" v +docstring adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ docstring = getattr(method, "__doc__", None)$/;" v +DOCSTRINGS_STRIPPED adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^DOCSTRINGS_STRIPPED = sys.flags.optimize > 1$/;" v +DOCSTRINGS_STRIPPED adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^DOCSTRINGS_STRIPPED = sys.flags.optimize > 1$/;" v +doctest_case_class adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ doctest_case_class = NumpyDocTestCase$/;" v class:NumpyDoctest +doctest_ignore adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ doctest_ignore = ['generate_numpy_api.py',$/;" v class:NumpyDoctest +doctest_optflags adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ doctest_optflags = doctest.NORMALIZE_WHITESPACE | doctest.ELLIPSIS$/;" v class:NumpyDoctest +doctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def doctype(self, name, publicId=None, systemId=None):$/;" m class:TreeWalker +DOCTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^DOCTYPE = Node.DOCUMENT_TYPE_NODE$/;" v +Doctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^class Doctype(object):$/;" c +doctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def doctype(self, name, publicId=None, systemId=None):$/;" m class:TreeWalker +DOCTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^DOCTYPE = Node.DOCUMENT_TYPE_NODE$/;" v +Doctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^class Doctype(object):$/;" c +doctypeClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ doctypeClass = None$/;" v class:TreeBuilder +doctypeClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ doctypeClass = DocumentType$/;" v class:getETreeBuilder.TreeBuilder +doctypeClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ doctypeClass = DocumentType$/;" v class:TreeBuilder +doctypeClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ doctypeClass = None$/;" v class:TreeBuilder +doctypeClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ doctypeClass = DocumentType$/;" v class:getETreeBuilder.TreeBuilder +doctypeClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ doctypeClass = DocumentType$/;" v class:TreeBuilder +doctypeNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypeNameState(self):$/;" m class:HTMLTokenizer +doctypeNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypeNameState(self):$/;" m class:HTMLTokenizer +doctypePublicIdentifierDoubleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypePublicIdentifierDoubleQuotedState(self):$/;" m class:HTMLTokenizer +doctypePublicIdentifierDoubleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypePublicIdentifierDoubleQuotedState(self):$/;" m class:HTMLTokenizer +doctypePublicIdentifierSingleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypePublicIdentifierSingleQuotedState(self):$/;" m class:HTMLTokenizer +doctypePublicIdentifierSingleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypePublicIdentifierSingleQuotedState(self):$/;" m class:HTMLTokenizer +doctypeState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypeState(self):$/;" m class:HTMLTokenizer +doctypeState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypeState(self):$/;" m class:HTMLTokenizer +doctypeSystemIdentifierDoubleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypeSystemIdentifierDoubleQuotedState(self):$/;" m class:HTMLTokenizer +doctypeSystemIdentifierDoubleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypeSystemIdentifierDoubleQuotedState(self):$/;" m class:HTMLTokenizer +doctypeSystemIdentifierSingleQuotedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def doctypeSystemIdentifierSingleQuotedState(self):$/;" m class:HTMLTokenizer +doctypeSystemIdentifierSingleQuotedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def doctypeSystemIdentifierSingleQuotedState(self):$/;" m class:HTMLTokenizer +Document adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class Document(Element):$/;" c function:getETreeBuilder file: +Document adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^class Document(object):$/;" c +DOCUMENT adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^DOCUMENT = Node.DOCUMENT_NODE$/;" v +Document adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class Document(Element):$/;" c function:getETreeBuilder file: +Document adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^class Document(object):$/;" c +DOCUMENT adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^DOCUMENT = Node.DOCUMENT_NODE$/;" v +document adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/doc_typealias.py /^def document(obj, doc):$/;" f +documentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ documentClass = None$/;" v class:TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def documentClass(self):$/;" m class:getDomBuilder.TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ documentClass = Document$/;" v class:getETreeBuilder.TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ documentClass = Document$/;" v class:TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ documentClass = None$/;" v class:TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def documentClass(self):$/;" m class:getDomBuilder.TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ documentClass = Document$/;" v class:getETreeBuilder.TreeBuilder +documentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ documentClass = Document$/;" v class:TreeBuilder +documentEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def documentEncoding(self):$/;" m class:HTMLParser +documentEncoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def documentEncoding(self):$/;" m class:HTMLParser +DocumentEndEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class DocumentEndEvent(Event):$/;" c +DocumentEndToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class DocumentEndToken(Token):$/;" c +DocumentFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class DocumentFragment(Element):$/;" c function:getETreeBuilder file: +DocumentFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class DocumentFragment(Element):$/;" c function:getETreeBuilder file: +DocumentStartEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class DocumentStartEvent(Event):$/;" c +DocumentStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class DocumentStartToken(Token):$/;" c +DocumentType adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class DocumentType(Element):$/;" c function:getETreeBuilder file: +DocumentType adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^class DocumentType(object):$/;" c +DocumentType adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class DocumentType(Element):$/;" c function:getETreeBuilder file: +DocumentType adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^class DocumentType(object):$/;" c +DocUploader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^class DocUploader(object):$/;" c +doc_dict adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^doc_dict = \\$/;" v +doc_dict adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^doc_dict = {'strtest1':param_doc1,$/;" v +DOC_ID_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ DOC_ID_IDX = 1$/;" v class:_CategoryEncodingCombiner +doc_note adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def doc_note(initialdoc, note):$/;" f +doc_private adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^def doc_private(obj):$/;" f +doc_replace adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def doc_replace(obj, oldval, newval):$/;" f +doc_tag adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ doc_tag = "div" # Element used to wrap document - later removed$/;" v class:Markdown +doc_to_help adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def doc_to_help(doc):$/;" f +doc_to_help adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^doc_to_help = _helpers.doc_to_help$/;" v +does_not_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def does_not_match(self, expected, actual):$/;" m class:DatasetSerializationTestBase +does_not_raise_exception adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def does_not_raise_exception(self):$/;" m class:TestRaises +dogbox adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^def dogbox(fun, jac, x0, f0, J0, lb, ub, ftol, xtol, gtol, max_nfev, x_scale,$/;" f +DoglegSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^class DoglegSubproblem(BaseQuadraticSubproblem):$/;" c +dogleg_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^def dogleg_step(x, newton_step, g, a, b, tr_bounds, lb, ub):$/;" f +DoGradientAccumulation adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def DoGradientAccumulation(self, fwd_op_idx):$/;" m class:IR +doit adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^ def doit():$/;" f function:test_mmaps_segfault file: +dok_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^class dok_matrix(spmatrix, IndexMixin, dict):$/;" c +DOLBY_AC2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DOLBY_AC2 = 0x0030$/;" v class:WAVE_FORMAT +DOLBY_AC3_SPDIF adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DOLBY_AC3_SPDIF = 0x0092$/;" v class:WAVE_FORMAT +dolowercase adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^dolowercase = 1$/;" v +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ domain = np.array(chebdomain)$/;" v class:Chebyshev +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ domain = np.array(hermdomain)$/;" v class:Hermite +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ domain = np.array(hermedomain)$/;" v class:HermiteE +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ domain = np.array(lagdomain)$/;" v class:Laguerre +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ domain = np.array(legdomain)$/;" v class:Legendre +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ domain = np.array(polydomain)$/;" v class:Polynomial +domain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def domain(self):$/;" m class:ABCPolyBase +Domain adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^Domain = summary_v2.Domain$/;" v +domain adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def domain(self):$/;" m class:HParam +Domain adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class Domain(object):$/;" c +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def domain(self):$/;" m class:CatTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def domain(self):$/;" m class:ComposeTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def domain(self):$/;" m class:StackTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def domain(self):$/;" m class:_InverseTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.positive$/;" v class:PowerTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:AbsTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:AffineTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:ExpTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:LowerCholeskyTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:SigmoidTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:SoftmaxTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:StickBreakingTransform +domain adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ domain = constraints.real$/;" v class:TanhTransform +domain adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ domain = _set_property($/;" v class:WWWAuthenticate +DomainComponent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class DomainComponent(char.IA5String):$/;" c +DomainComponent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class DomainComponent(char.IA5String):$/;" c +DomainMap adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class DomainMap:$/;" c +DomainParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DomainParameters(univ.Sequence):$/;" c +DomainParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class DomainParameters(univ.Sequence):$/;" c +DomainParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^DomainParameters = rfc3279.DomainParameters$/;" v +DomainParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^DomainParameters = rfc3279.DomainParameters$/;" v +domain_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def domain_dimension(self):$/;" m class:LinearOperator +domain_dimension_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def domain_dimension_tensor(self, name="domain_dimension_tensor"):$/;" m class:LinearOperator +donation_vector adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def donation_vector(donate_argnums, args, kwargs) -> Tuple[bool, ...]:$/;" f +done adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def done(self) -> bool:$/;" m class:RpcContext +done adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def done(self) -> bool:$/;" m class:Call +done adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def done(self) -> bool:$/;" m class:InterceptedCall +done adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def done(self) -> bool:$/;" m class:UnaryUnaryCallResponse +done adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def done(self) -> bool:$/;" m class:_StreamCallResponseIterator +done adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def done(self):$/;" m class:_ChannelReadyFuture +done adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def done(self):$/;" m class:_Rendezvous +done adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def done(self):$/;" m class:Future +done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def done(self):$/;" m class:_InactiveRpcError +done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def done(self):$/;" m class:_MultiThreadedRendezvous +done adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def done(self):$/;" m class:_FailureOutcome +done adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def done(self):$/;" m class:_UnaryOutcome +done adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def done(self):$/;" m class:_ChannelReadyFuture +done adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def done(self):$/;" m class:Future +Done adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ class Done(Exception):$/;" c function:_get_renderer file: +done adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def done(self):$/;" m class:Future +done adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def done(self):$/;" m class:Pool +done adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def done(self):$/;" m class:Cluster +done adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def done(self):$/;" m class:ClusterCoordinator +done adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def done(self):$/;" m class:_CoordinatedClosureQueue +done adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ def done(self) -> bool:$/;" m class:Future +DoneAndNotDoneFutures adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^DoneAndNotDoneFutures = collections.namedtuple($/;" v +DoneCallbackType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^DoneCallbackType = Callable[[Any], None]$/;" v +done_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def done_callback():$/;" f member:_Rendezvous.add_abortion_callback file: +DONE_FUTURE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^DONE_FUTURE = concurrent.futures.Future()$/;" v +done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def done_writing(self) -> None:$/;" m class:StreamStreamCall +done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def done_writing(self) -> None:$/;" m class:StreamUnaryCall +done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def done_writing(self) -> None:$/;" m class:_StreamRequestMixin +done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def done_writing(self) -> None:$/;" m class:StreamStreamCallResponseIterator +done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def done_writing(self) -> None:$/;" m class:_InterceptedStreamRequestMixin +DoNotConvert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config.py /^DoNotConvert = config_lib.DoNotConvert$/;" v +DoNotConvert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^class DoNotConvert(Rule):$/;" c +DontFlatten adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^class DontFlatten:$/;" c +dont_convert adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class dont_convert(tuple):$/;" c +DONT_KNOW adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ DONT_KNOW = -1$/;" v class:JapaneseContextAnalysis +DONT_KNOW adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ DONT_KNOW = -1$/;" v class:JapaneseContextAnalysis +dont_use_multiprocessing_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def dont_use_multiprocessing_pool(f):$/;" f +DoOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/test/do_op_test.py /^class DoOpTest(TestCase):$/;" c +DOP853 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class DOP853(RungeKutta):$/;" c +dop853 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class dop853(dopri5):$/;" c +Dop853DenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class Dop853DenseOutput(DenseOutput):$/;" c +DoParallel adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def DoParallel(name, *nets_or_steps):$/;" f +dopatch adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def dopatch(xs, ys, **kwargs):$/;" f member:Axes.bxp file: +dopgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dopgtr(char *uplo, int *n, d *ap, d *tau, d *q, int *ldq, d *work, int *info) nogil$/;" f +doplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def doplot(*args, **kwargs):$/;" f member:Axes.bxp file: +dopmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dopmtr(char *side, char *uplo, char *trans, int *m, int *n, d *ap, d *tau, d *c, int */;" f +dopri5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class dopri5(IntegratorBase):$/;" c +dorbdb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorbdb(char *trans, char *signs, int *m, int *p, int *q, d *x11, int *ldx11, d *x12, i/;" f +dorcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, char *signs,/;" f +dorg2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorg2l(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dorg2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorg2r(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dorgbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgbr(char *vect, int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *lwork/;" f +dorghr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorghr(int *n, int *ilo, int *ihi, d *a, int *lda, d *tau, d *work, int *lwork, int *i/;" f +dorgl2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgl2(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dorglq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorglq(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *lwork, int *info)/;" f +dorgql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgql(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *lwork, int *info)/;" f +dorgqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgqr(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *lwork, int *info)/;" f +dorgr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgr2(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *info) nogil$/;" f +dorgrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgrq(int *m, int *n, int *k, d *a, int *lda, d *tau, d *work, int *lwork, int *info)/;" f +dorgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorgtr(char *uplo, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nog/;" f +dorm2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorm2l(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dorm2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorm2r(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormbr(char *vect, char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d /;" f +dormhr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormhr(char *side, char *trans, int *m, int *n, int *ilo, int *ihi, d *a, int *lda, d /;" f +dorml2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dorml2(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormlq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormlq(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormql(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormqr(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormr2(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormr3(char *side, char *trans, int *m, int *n, int *k, int *l, d *a, int *lda, d *tau/;" f +dormrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormrq(char *side, char *trans, int *m, int *n, int *k, d *a, int *lda, d *tau, d *c, /;" f +dormrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormrz(char *side, char *trans, int *m, int *n, int *k, int *l, d *a, int *lda, d *tau/;" f +dormtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dormtr(char *side, char *uplo, char *trans, int *m, int *n, d *a, int *lda, d *tau, d /;" f +dos2unix adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def dos2unix(file):$/;" f +dos2unix_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def dos2unix_dir(dir_name):$/;" f +dos2unix_one_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def dos2unix_one_dir(modified_files, dir_name, file_names):$/;" f +Dot adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^ Regular, Recurrent, Dot, SoftCoverage = tuple(range(4))$/;" v class:AttentionType +dot adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^dot = np.dot$/;" v +dot adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dot(lhs: Array, rhs: Array, precision: PrecisionLike = None,$/;" f +dot adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def dot(a, b, *, precision=None): # pylint: disable=missing-docstring$/;" f +dot adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def dot(self, V):$/;" m class:_Sparse_Matrix_coo +dot adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def dot(a, b, out=None):$/;" f +dot adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def dot(self, b, out=None, strict=False):$/;" m class:MaskedArray +dot adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def dot(a, b, strict=False, out=None):$/;" f +dot adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def dot(self):$/;" m class:Sequencer +dot adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def dot(self, p):$/;" m class:FullHessianUpdateStrategy +dot adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def dot(self, p):$/;" m class:HessianUpdateStrategy +dot adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def dot(self, other):$/;" m class:spmatrix +dot adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def dot(self, x):$/;" m class:LinearOperator +dot adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def dot(lhs, rhs, name=None):$/;" f +dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def dot(x, y):$/;" f +Dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Dot(_Merge):$/;" c +dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def dot(inputs, axes, normalize=False, **kwargs):$/;" f +dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/conjugate_gradient.py /^ def dot(x, y):$/;" f function:conjugate_gradient file: +dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def dot(a, b): # pylint: disable=missing-docstring$/;" f +DotDimensionNumbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^DotDimensionNumbers = Tuple[Tuple[Sequence[int], Sequence[int]],$/;" v +DotDimensionNumbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class DotDimensionNumbers(object):$/;" c +DotDimensionNumbers adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^DotDimensionNumbers = _reflection.GeneratedProtocolMessageType('DotDimensionNumbers', (_message./;" v +dotproduct adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def dotproduct(X, Y):$/;" f member:TestOperators.test_dot_product file: +dotproduct adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def dotproduct(X, Y):$/;" f member:TestOperators.test_dot_product_with_padding file: +dotproduct adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def dotproduct(X, Y):$/;" f member:TestOperators.test_dot_product_with_rep_padding file: +dots adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def dots(self, num_dots):$/;" m class:AstAnnotator +dots adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def dots(self, num_dots):$/;" m class:BaseVisitor +dots adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def dots(self, num_dots):$/;" m class:TokenGenerator +dotted_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def dotted_netmask(mask):$/;" f +dotted_netmask adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def dotted_netmask(mask):$/;" f +DOT_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ DOT_CHAR = ord('.')$/;" v class:TimeEncoderMixIn +dot_general adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def dot_general(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +dot_general adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def dot_general(lhs, rhs, dimension_numbers):$/;" f +dot_general adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dot_general(lhs: Array, rhs: Array, dimension_numbers: DotDimensionNumbers,$/;" f +dot_general adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def dot_general(lhs, rhs, dimension_numbers, precision_config=None, name=None):$/;" f +dot_generalized adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def dot_generalized(a, b):$/;" f +dot_general_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^dot_general_p = standard_primitive(_dot_general_shape_rule,$/;" v +dot_join adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def dot_join(*args):$/;" f +DOT_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ DOT_PATTERN = re.compile(r'^\\.\\s*(\\w+)\\s*')$/;" v class:BaseConfigurator +dot_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^ def dot_ref(X, Y):$/;" f member:DistanceTest.test_dot_product file: +douban_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/douban.py /^def douban_compliance_fix(session):$/;" f +double adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def double(row):$/;" f member:TestApplyAlongAxis.test_preserve_subclass file: +double adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^ def double(row):$/;" f function:test_apply_along_axis_matrix file: +DOUBLE adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^DOUBLE = 12$/;" v +double adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^double = float64$/;" v +double adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^double = float64$/;" v +double adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def double(self: T) -> T:$/;" m class:_RemoteModule +double adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def double(self: T) -> T:$/;" m class:Module +double adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def double(self):$/;" m class:PackedSequence +double adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def double(self):$/;" m class:_StorageBase +DoubleByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def DoubleByteSize(field_number, double):$/;" f +DoubleDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^DoubleDecoder = _DoubleDecoder()$/;" v +doubledouble adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def doubledouble(f):$/;" f +DoubleEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^DoubleEncoder = _FloatingPointEncoder(wire_format.WIRETYPE_FIXED64, ' CTMTensors:$/;" f +do_check_indices adpeps/tensor/ncon.py /^def do_check_indices(L, v, order, forder):$/;" f +do_consistency_checks adpeps/tensor/config.py /^do_consistency_checks = False$/;" v +do_constrained_layout adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def do_constrained_layout(fig, renderer, h_pad, w_pad,$/;" f +do_copy adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^ def do_copy(target):$/;" f function:copy file: +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_BoolCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_BoundedTensorSpecCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_DictCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_Float64Codec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_Int64Codec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_ListCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_NamedTupleCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_NoneCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_StringCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_TensorShapeCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_TensorSpecCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_TensorTypeCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_TupleCodec +do_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_decode(self, value, decode_fn):$/;" m class:_TypeSpecCodec +do_egg_install adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def do_egg_install(self):$/;" m class:install +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, bool_value, encode_fn):$/;" m class:_BoolCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, bounded_tensor_spec_value, encode_fn):$/;" m class:_BoundedTensorSpecCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, dict_value, encode_fn):$/;" m class:_DictCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, float64_value, encode_fn):$/;" m class:_Float64Codec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, int_value, encode_fn):$/;" m class:_Int64Codec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, list_value, encode_fn):$/;" m class:_ListCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, named_tuple_value, encode_fn):$/;" m class:_NamedTupleCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, none_value, encode_fn):$/;" m class:_NoneCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, string_value, encode_fn):$/;" m class:_StringCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, tensor_dtype_value, encode_fn):$/;" m class:_TensorTypeCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, tensor_shape_value, encode_fn):$/;" m class:_TensorShapeCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, tensor_spec_value, encode_fn):$/;" m class:_TensorSpecCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, tuple_value, encode_fn):$/;" m class:_TupleCodec +do_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def do_encode(self, type_spec_value, encode_fn):$/;" m class:_TypeSpecCodec +do_event adpepsenv/lib/python3.8/site-packages/matplotlib/testing/widgets.py /^def do_event(tool, etype, button=1, xdata=0, ydata=0, key=None, step=1):$/;" f +do_generate_api adpepsenv/lib/python3.8/site-packages/numpy/core/generate_numpy_api.py /^def do_generate_api(targets, sources):$/;" f +do_input_map adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def do_input_map(fn, input):$/;" f +do_install_data adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def do_install_data(self):$/;" m class:bdist_egg +do_left adpeps/ipeps/ctm.py /^def do_left(ts: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]:$/;" f +do_map adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^ def do_map(inputs, output):$/;" f function:labeled_comprehension file: +do_not_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def do_not_apply_fn():$/;" f member:LossScaleOptimizer._apply_gradients_cross_replica file: +DO_NOT_CONVERT adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ DO_NOT_CONVERT = 2$/;" v class:Action +do_not_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def do_not_convert(func=None):$/;" f +do_not_descend_map adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def do_not_descend_map(self):$/;" m class:PublicAPIVisitor +do_not_doc_inheritable adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^def do_not_doc_inheritable(obj):$/;" f +do_not_doc_in_subclasses adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^do_not_doc_in_subclasses = for_subclass_implementers$/;" v +do_not_generate_docs adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^def do_not_generate_docs(obj):$/;" f +DO_NOT_PASS_TO_THE_TEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ DO_NOT_PASS_TO_THE_TEST = object()$/;" v class:ParameterModifier +DO_NOT_USE_RESERVED_FOR_FUTURE_EXPANSION_USE_DEFAULT_IN_SWITCH_INSTEAD_ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^DO_NOT_USE_RESERVED_FOR_FUTURE_EXPANSION_USE_DEFAULT_IN_SWITCH_INSTEAD_ = 20$/;" v +DO_NOT_USE_RESERVED_FOR_FUTURE_EXPANSION_USE_DEFAULT_IN_SWITCH_INSTEAD_ adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^DO_NOT_USE_RESERVED_FOR_FUTURE_EXPANSION_USE_DEFAULT_IN_SWITCH_INSTEAD_ = 20$/;" v +do_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def do_pad(images, remainder):$/;" f function:ssim_multiscale file: +do_plot adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/struve_convergence.py /^def do_plot(is_h=True):$/;" f +do_poll adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^ def do_poll(t):$/;" f function:poll_wait_for_socket file: +do_poll adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^ def do_poll(t):$/;" f function:poll_wait_for_socket file: +do_precise_svd_backward adpeps/tensor/config.py /^do_precise_svd_backward = True$/;" v +do_precision adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def do_precision(self, float_small, float_large):$/;" m class:TestHistogram +do_precision_lower_bound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def do_precision_lower_bound(self, float_small, float_large):$/;" m class:TestHistogram +do_precision_upper_bound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def do_precision_upper_bound(self, float_small, float_large):$/;" m class:TestHistogram +do_quantize_training_on_graphdef adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/quantize_training.py /^def do_quantize_training_on_graphdef(input_graph, num_bits):$/;" f +do_reduceat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def do_reduceat(a, out, axis):$/;" f member:TestUFunc.test_binary_ufunc_reduceat_fuzz file: +do_right adpeps/ipeps/ctm.py /^def do_right(ts: CTMTensors, chi: int) -> CTMTensors:$/;" f +do_set adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def do_set(operator):$/;" f member:Net.Const file: +do_setup versioneer.py /^def do_setup():$/;" f +do_signed_overflow_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def do_signed_overflow_bounds(self, dtype):$/;" m class:TestHistogram +do_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^def do_solve(**kw):$/;" f +do_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^def do_solve(**kw):$/;" f +do_test adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def do_test(f_call, f_expected):$/;" f member:TestSpecialMethods.test_wrap_and_prepare_out file: +do_test_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def do_test_dtypes(self, dtypes, layout, device):$/;" f +do_test_empty_full adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def do_test_empty_full(self, dtypes, layout, device):$/;" f +do_test_on_master adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def do_test_on_master($/;" m class:DdpUnderDistAutogradTest +do_top adpeps/ipeps/ctm.py /^def do_top(ts: CTMTensors, chi: int) -> CTMTensors:$/;" f +do_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def do_transpose(primals_in, cotangents_in):$/;" f function:remat_transpose file: +do_vcs_install versioneer.py /^def do_vcs_install(manifest_in, versionfile_source, ipy):$/;" f +dp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def dp():$/;" f member:TestRegression.test_large_fancy_indexing file: +dp2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def dp2():$/;" f member:TestRegression.test_large_fancy_indexing file: +dpbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbcon(char *uplo, int *n, int *kd, d *ab, int *ldab, d *anorm, d *rcond, d *work, int/;" f +dpbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbequ(char *uplo, int *n, int *kd, d *ab, int *ldab, d *s, d *scond, d *amax, int *in/;" f +dpbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbrfs(char *uplo, int *n, int *kd, int *nrhs, d *ab, int *ldab, d *afb, int *ldafb, d/;" f +dpbstf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbstf(char *uplo, int *n, int *kd, d *ab, int *ldab, int *info) nogil$/;" f +dpbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbsv(char *uplo, int *n, int *kd, int *nrhs, d *ab, int *ldab, d *b, int *ldb, int *i/;" f +dpbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbsvx(char *fact, char *uplo, int *n, int *kd, int *nrhs, d *ab, int *ldab, d *afb, i/;" f +dpbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbtf2(char *uplo, int *n, int *kd, d *ab, int *ldab, int *info) nogil$/;" f +dpbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbtrf(char *uplo, int *n, int *kd, d *ab, int *ldab, int *info) nogil$/;" f +dpbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpbtrs(char *uplo, int *n, int *kd, int *nrhs, d *ab, int *ldab, d *b, int *ldb, int */;" f +dpcfw adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def dpcfw(a, x):$/;" f member:TestSystematic.test_pcfw file: +dpftrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpftrf(char *transr, char *uplo, int *n, d *a, int *info) nogil$/;" f +dpftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpftri(char *transr, char *uplo, int *n, d *a, int *info) nogil$/;" f +dpftrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpftrs(char *transr, char *uplo, int *n, int *nrhs, d *a, d *b, int *ldb, int *info) n/;" f +dpi adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ dpi = property(_get_dpi, _set_dpi, doc="The resolution in dots per inch.")$/;" v class:Figure +DPI adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ DPI = 72$/;" v class:TextToPath +dpi_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def dpi_transform(self):$/;" m class:AxisArtist +dpocon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpocon(char *uplo, int *n, d *a, int *lda, d *anorm, d *rcond, d *work, int *iwork, in/;" f +dpoequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpoequ(int *n, d *a, int *lda, d *s, d *scond, d *amax, int *info) nogil$/;" f +dpoequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpoequb(int *n, d *a, int *lda, d *s, d *scond, d *amax, int *info) nogil$/;" f +dporfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dporfs(char *uplo, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, d *b, int *ldb/;" f +dposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dposv(char *uplo, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, int *info) nogil$/;" f +dposvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dposvx(char *fact, char *uplo, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, ch/;" f +dpotf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpotf2(char *uplo, int *n, d *a, int *lda, int *info) nogil$/;" f +dpotrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpotrf(char *uplo, int *n, d *a, int *lda, int *info) nogil$/;" f +dpotri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpotri(char *uplo, int *n, d *a, int *lda, int *info) nogil$/;" f +dpotrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpotrs(char *uplo, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, int *info) nogil$/;" f +dppcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dppcon(char *uplo, int *n, d *ap, d *anorm, d *rcond, d *work, int *iwork, int *info) /;" f +dppequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dppequ(char *uplo, int *n, d *ap, d *s, d *scond, d *amax, int *info) nogil$/;" f +dpprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpprfs(char *uplo, int *n, int *nrhs, d *ap, d *afp, d *b, int *ldb, d *x, int *ldx, d/;" f +dppsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dppsv(char *uplo, int *n, int *nrhs, d *ap, d *b, int *ldb, int *info) nogil$/;" f +dppsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dppsvx(char *fact, char *uplo, int *n, int *nrhs, d *ap, d *afp, char *equed, d *s, d /;" f +dpptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpptrf(char *uplo, int *n, d *ap, int *info) nogil$/;" f +dpptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpptri(char *uplo, int *n, d *ap, int *info) nogil$/;" f +dpptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpptrs(char *uplo, int *n, int *nrhs, d *ap, d *b, int *ldb, int *info) nogil$/;" f +dprec adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ dprec = np.finfo(np.float64).precision$/;" v class:TestTrimmedStats +dpss adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def dpss(M, NW, Kmax=None, sym=True, norm=None, return_ratios=False):$/;" f +dpss_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^dpss_data = {$/;" v +dpstf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpstf2(char *uplo, int *n, d *a, int *lda, int *piv, int *rank, d *tol, d *work, int */;" f +dpstrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpstrf(char *uplo, int *n, d *a, int *lda, int *piv, int *rank, d *tol, d *work, int */;" f +dptcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dptcon(int *n, d *d, d *e, d *anorm, d *rcond, d *work, int *info) nogil$/;" f +dpteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpteqr(char *compz, int *n, d *d, d *e, d *z, int *ldz, d *work, int *info) nogil$/;" f +dptrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dptrfs(int *n, int *nrhs, d *d, d *e, d *df, d *ef, d *b, int *ldb, d *x, int *ldx, d /;" f +dptsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dptsv(int *n, int *nrhs, d *d, d *e, d *b, int *ldb, int *info) nogil$/;" f +dptsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dptsvx(char *fact, int *n, int *nrhs, d *d, d *e, d *df, d *ef, d *b, int *ldb, d *x, /;" f +dpttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpttrf(int *n, d *d, d *e, int *info) nogil$/;" f +dpttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dpttrs(int *n, int *nrhs, d *d, d *e, d *b, int *ldb, int *info) nogil$/;" f +dptts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dptts2(int *n, int *nrhs, d *d, d *e, d *b, int *ldb) nogil$/;" f +DQT adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def DQT(self, marker):$/;" f +dr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dviread.py /^import matplotlib.dviread as dr$/;" I +draft adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def draft(self, mode, size):$/;" m class:Image +draft adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def draft(self, mode, size):$/;" m class:JpegImageFile +draggable adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def draggable(self, state=None, use_blit=False):$/;" m class:_AnnotationBase +DraggableAnnotation adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class DraggableAnnotation(DraggableBase):$/;" c +DraggableBase adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class DraggableBase:$/;" c +DraggableLegend adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^class DraggableLegend(DraggableOffsetBox):$/;" c +DraggableOffsetBox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class DraggableOffsetBox(DraggableBase):$/;" c +drag_pan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def drag_pan(self, button, key, x, y):$/;" m class:_AxesBase +drag_pan adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def drag_pan(self, event):$/;" m class:NavigationToolbar2 +drag_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def drag_pan(self, button, key, x, y):$/;" m class:GeoAxes +drag_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def drag_pan(self, button, key, x, y):$/;" m class:PolarAxes +drag_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def drag_zoom(self, event):$/;" m class:NavigationToolbar2 +drain_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def drain_conn(self):$/;" m class:HTTPResponse +drain_conn adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def drain_conn(self):$/;" m class:HTTPResponse +drange adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def drange(dstart, dend, delta):$/;" f +draw adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def draw(self, renderer, *args, **kwargs):$/;" m class:Artist +draw adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def draw(self, renderer=None, inframe=False):$/;" m class:_AxesBase +draw adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def draw(self, *args, **kwargs):$/;" m class:SecondaryAxis +draw adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def draw(self, renderer):$/;" m class:Tick +draw adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def draw(self, renderer, *args, **kwargs):$/;" m class:Axis +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw(self):$/;" m class:FigureCanvasAgg +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def draw(self):$/;" m class:FigureCanvasGTK3 +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ def draw(self):$/;" m class:FigureCanvasGTK3Agg +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def draw(self):$/;" m class:FigureCanvasMac +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def draw(self):$/;" m class:FigureCanvasQT +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^ def draw(self):$/;" m class:FigureCanvasQTCairo +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def draw(self):$/;" m class:FigureCanvasTemplate +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkagg.py /^ def draw(self):$/;" m class:FigureCanvasTkAgg +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkcairo.py /^ def draw(self):$/;" m class:FigureCanvasTkCairo +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def draw(self):$/;" m class:FigureCanvasWebAggCore +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw(self, drawDC=None):$/;" m class:FigureCanvasWx +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ def draw(self, drawDC=None):$/;" m class:FigureCanvasWxAgg +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ def draw(self, drawDC=None):$/;" m class:FigureCanvasWxCairo +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw(self):$/;" m class:NavigationToolbar2 +draw adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw(self, *args, **kwargs):$/;" m class:FigureCanvasBase +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:Collection +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:EllipseCollection +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:QuadMesh +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:RegularPolyCollection +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:TriMesh +draw adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def draw(self, renderer):$/;" m class:_CollectionWithSizes +draw adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def draw(self, renderer):$/;" m class:Figure +draw adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def draw(self, renderer, *args, **kwargs):$/;" m class:_ImageBase +draw adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def draw(self, renderer):$/;" m class:Legend +draw adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def draw(self, renderer):$/;" m class:Line2D +draw adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def draw(self, renderer):$/;" m class:_AxLine +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:AnchoredOffsetbox +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:AnnotationBbox +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:AuxTransformBox +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:DrawingArea +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:OffsetBox +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:OffsetImage +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:PaddedBox +draw adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw(self, renderer):$/;" m class:TextArea +draw adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def draw(self, renderer):$/;" m class:Arc +draw adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def draw(self, renderer):$/;" m class:ConnectionPatch +draw adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def draw(self, renderer):$/;" m class:FancyArrowPatch +draw adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def draw(self, renderer):$/;" m class:Patch +draw adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def draw(self, renderer):$/;" m class:Shadow +draw adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def draw(self, renderer, *args, **kwargs):$/;" m class:PolarAxes +draw adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def draw():$/;" f +draw adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def draw(self, renderer):$/;" m class:Quiver +draw adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def draw(self, renderer):$/;" m class:QuiverKey +draw adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def draw(self, renderer):$/;" m class:Spine +draw adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def draw(self, renderer):$/;" m class:Cell +draw adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def draw(self, renderer):$/;" m class:Table +draw adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def draw(self, renderer):$/;" m class:SkewXTick +draw adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def draw(self, renderer):$/;" m class:Annotation +draw adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def draw(self, renderer):$/;" m class:Text +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def draw(self, renderer):$/;" m class:AnchoredLocatorBase +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def draw(self, renderer):$/;" m class:HostAxesBase +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def draw(self, renderer):$/;" m class:_FancyAxislineStyle.SimpleArrow +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:AxisArtist +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:AxisLabel +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:BezierPath +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:GridlinesCollection +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:LabelBase +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:TickLabels +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def draw(self, renderer):$/;" m class:Ticks +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def draw(self, renderer):$/;" m class:Line3D +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def draw(self, renderer):$/;" m class:Text3D +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def draw(self, renderer, project=False):$/;" m class:Line3DCollection +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def draw(self, renderer):$/;" m class:Axes3D +draw adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def draw(self, renderer):$/;" m class:Axis +Draw adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^def Draw(im, mode=None):$/;" f +Draw adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^class Draw:$/;" c +draw adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def draw(self, handle, dst, src=None):$/;" m class:Dib +draw adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ def draw(self, n=1, out=None, dtype=torch.float32):$/;" m class:SobolEngine +DrawBoundingBoxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^DrawBoundingBoxes = tf_export("raw_ops.DrawBoundingBoxes")(_ops.to_raw_op(draw_bounding_boxes))$/;" v +DrawBoundingBoxesV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^DrawBoundingBoxesV2 = tf_export("raw_ops.DrawBoundingBoxesV2")(_ops.to_raw_op(draw_bounding_boxe/;" v +DrawEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class DrawEvent(Event):$/;" c +DrawingArea adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class DrawingArea(OffsetBox):$/;" c +drawon adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ drawon = True$/;" v class:Widget +drawRectangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def drawRectangle(self, rect):$/;" m class:FigureCanvasQT +drawStyleKeys adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ drawStyleKeys = [*_drawStyles_l, *_drawStyles_s]$/;" v class:Line2D +DRAWSTYLES adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^DRAWSTYLES = {$/;" v +drawStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ drawStyles = {**_drawStyles_l, **_drawStyles_s}$/;" v class:Line2D +drawStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^drawStyles = Line2D.drawStyles$/;" v +draw_all adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def draw_all(self):$/;" m class:ColorbarBase +draw_all adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^draw_all = _pylab_helpers.Gcf.draw_all$/;" v +draw_all adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def draw_all(cls, force=False):$/;" m class:Gcf +draw_all_values adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def draw_all_values(self):$/;" m class:_Callback +draw_arrow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def draw_arrow(ax, t, r):$/;" f +draw_artist adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def draw_artist(self, a):$/;" m class:_AxesBase +draw_artist adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def draw_artist(self, a):$/;" m class:Figure +draw_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^def draw_bbox(bbox, renderer, color='k', trans=None):$/;" f +draw_bounding_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def draw_bounding_boxes(images, boxes, name=None):$/;" f +draw_bounding_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def draw_bounding_boxes(images, boxes, name=None, colors=None):$/;" f +draw_bounding_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import draw_bounding_boxes_v2 as draw_bounding_boxes$/;" x +draw_bounding_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import draw_bounding_boxes_v2 as draw_bounding_boxes$/;" x +draw_bounding_boxes_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def draw_bounding_boxes_eager_fallback(images, boxes, name, ctx):$/;" f +draw_bounding_boxes_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def draw_bounding_boxes_v2(images, boxes, colors, name=None):$/;" f +draw_bounding_boxes_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def draw_bounding_boxes_v2(images, boxes, colors, name=None):$/;" f +draw_bounding_boxes_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def draw_bounding_boxes_v2_eager_fallback(images, boxes, colors, name, ctx):$/;" f +draw_box adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^ def draw_box(ax, tt):$/;" f function:test_multiline2 file: +draw_boxes adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def draw_boxes(disp_image, boxes, labels=None):$/;" f +draw_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_cursor(self, event):$/;" m class:FigureCanvasBase +draw_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_event(self, renderer):$/;" m class:FigureCanvasBase +draw_frame adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ draw_frame = set_frame_on # Backcompat alias.$/;" v class:Legend +draw_frame adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def draw_frame(self, renderer):$/;" m class:PaddedBox +draw_gouraud_triangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_gouraud_triangle(self, gc, points, colors, trans):$/;" m class:RendererPdf +draw_gouraud_triangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_gouraud_triangle(self, gc, points, colors, trans):$/;" m class:RendererPS +draw_gouraud_triangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_gouraud_triangle(self, gc, points, colors, trans):$/;" m class:RendererSVG +draw_gouraud_triangle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_gouraud_triangle(self, gc, points, colors, transform):$/;" m class:RendererBase +draw_gouraud_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_gouraud_triangles(self, gc, points, colors, trans):$/;" m class:RendererPdf +draw_gouraud_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_gouraud_triangles(self, gc, points, colors, trans):$/;" m class:RendererPS +draw_gouraud_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_gouraud_triangles(self, gc, triangles_array, colors_array,$/;" m class:RendererSVG +draw_gouraud_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_gouraud_triangles(self, gc, triangles_array, colors_array,$/;" m class:RendererBase +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def draw_idle(self):$/;" m class:FigureCanvasGTK3 +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def draw_idle(self):$/;" m class:FigureCanvasQT +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def draw_idle(self):$/;" m class:FigureCanvasWebAggCore +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_idle(self):$/;" m class:_FigureCanvasWxBase +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def draw_idle(self):$/;" m class:FigureCanvasTk +draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_idle(self, *args, **kwargs):$/;" m class:FigureCanvasBase +draw_if_interactive adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^def draw_if_interactive():$/;" f +draw_if_interactive adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_if_interactive(cls):$/;" m class:_Backend +draw_if_interactive adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def draw_if_interactive(*args, **kwargs):$/;" f +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def draw_image(self, gc, x, y, im):$/;" m class:RendererCairo +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_image(self, gc, x, y, im, transform=None):$/;" m class:RendererPdf +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def draw_image(self, gc, x, y, im, transform=None):$/;" m class:RendererPgf +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_image(self, gc, x, y, im, transform=None):$/;" m class:RendererPS +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_image(self, gc, x, y, im, transform=None):$/;" m class:RendererSVG +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def draw_image(self, gc, x, y, im):$/;" m class:RendererTemplate +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_image(self, gc, x, y, im):$/;" m class:RendererWx +draw_image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_image(self, gc, x, y, im, transform=None):$/;" m class:RendererBase +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def draw_markers(self, gc, marker_path, marker_trans, path, transform,$/;" m class:RendererCairo +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_markers(self, gc, marker_path, marker_trans, path, trans,$/;" m class:RendererPdf +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def draw_markers(self, gc, marker_path, marker_trans, path, trans,$/;" m class:RendererPgf +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_markers($/;" m class:RendererPS +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_markers($/;" m class:RendererSVG +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_markers(self, gc, marker_path, marker_trans, path,$/;" m class:RendererBase +draw_markers adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_markers($/;" m class:PathEffectRenderer +draw_mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw_mathtext(self, gc, x, y, s, prop, angle):$/;" m class:RendererAgg +draw_mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_mathtext(self, gc, x, y, s, prop, angle):$/;" m class:RendererPdf +draw_mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_mathtext(self, gc, x, y, s, prop, angle):$/;" m class:RendererPS +draw_one_value adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def draw_one_value(self):$/;" m class:_Callback +draw_pane adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def draw_pane(self, renderer):$/;" m class:Axis +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererAgg +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererCairo +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererPdf +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererPgf +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererPS +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererSVG +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererTemplate +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererWx +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererBase +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace):$/;" m class:_subclass_with_normal.withEffect +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, gc, tpath, affine, rgbFace=None):$/;" m class:PathEffectRenderer +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace):$/;" m class:PathPatchEffect +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace):$/;" m class:SimpleLineShadow +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace):$/;" m class:SimplePatchShadow +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace):$/;" m class:Stroke +draw_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path(self, renderer, gc, tpath, affine, rgbFace=None):$/;" m class:AbstractPathEffect +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw_path_collection(self, gc, master_transform, paths, all_transforms,$/;" m class:RendererAgg +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_path_collection(self, gc, master_transform, paths, all_transforms,$/;" m class:RendererPdf +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_path_collection(self, gc, master_transform, paths, all_transforms,$/;" m class:RendererPS +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_path_collection(self, gc, master_transform, paths, all_transforms,$/;" m class:RendererSVG +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_path_collection(self, gc, master_transform, paths, all_transforms,$/;" m class:RendererBase +draw_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def draw_path_collection(self, gc, master_transform, paths, *args,$/;" m class:PathEffectRenderer +draw_quad_mesh adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_quad_mesh(self, gc, master_transform, meshWidth, meshHeight,$/;" m class:RendererBase +draw_quiver adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def draw_quiver(ax, **kw):$/;" f +draw_ref_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^ def draw_ref_marker(y, style, size):$/;" f function:test_asterisk_marker file: +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2GTK3 +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def draw_rubberband(self, x0, y0, x1, y1):$/;" m class:RubberbandGTK3 +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2Mac +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2QT +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def draw_rubberband(self, x0, y0, x1, y1):$/;" m class:RubberbandQt +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2WebAgg +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_rubberband(self, x0, y0, x1, y1):$/;" m class:RubberbandWx +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2Wx +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2Tk +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def draw_rubberband(self, x0, y0, x1, y1):$/;" m class:RubberbandTk +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_rubberband(self, event, x0, y0, x1, y1):$/;" m class:NavigationToolbar2 +draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def draw_rubberband(self, *data):$/;" m class:RubberbandBase +draw_shape adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def draw_shape(self, extents):$/;" m class:EllipseSelector +draw_shape adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def draw_shape(self, extents):$/;" m class:RectangleSelector +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath='TeX!', mtext=None):$/;" m class:RendererAgg +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath='TeX!', mtext=None):$/;" m class:RendererPdf +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath="TeX!", mtext=None):$/;" m class:RendererPgf +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath='TeX!', mtext=None):$/;" m class:RendererPS +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath='TeX!', mtext=None):$/;" m class:RendererSVG +draw_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_tex(self, gc, x, y, s, prop, angle, ismath='TeX!', mtext=None):$/;" m class:RendererBase +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererAgg +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererCairo +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererPdf +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererPgf +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererPS +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererSVG +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererTemplate +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererWx +draw_text adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def draw_text(self, gc, x, y, s, prop, angle, ismath=False, mtext=None):$/;" m class:RendererBase +draw_text adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def draw_text(ink, stroke_width=0, stroke_offset=None):$/;" f member:ImageDraw.text file: +draw_wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def draw_wrapper(artist, renderer, *args, **kwargs):$/;" f function:allow_rasterization file: +driver adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def driver(self):$/;" m class:File +DRM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DRM = 0x0009$/;" v class:WAVE_FORMAT +Dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Dropout(self, *args, **kwargs):$/;" m class:CNNModelHelper +dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/dropout.py /^def dropout(model, blob_in, blob_out, use_cudnn=False, **kwargs):$/;" f +Dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^class Dropout(ModelLayer):$/;" c +Dropout adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def Dropout(rate, mode='train'):$/;" f +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def dropout(x, level, noise_shape=None, seed=None):$/;" f +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def dropout(self):$/;" m class:ConvLSTM2D +Dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Dropout(Layer):$/;" c +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def dropout(i, do_dropout, v):$/;" f member:DropoutWrapperBase._dropout file: +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def dropout(i, do_dropout, v, n):$/;" f member:DropoutWrapperBase._dropout file: +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def dropout(self):$/;" m class:GRU +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def dropout(self):$/;" m class:LSTM +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def dropout(self):$/;" m class:SimpleRNN +Dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^class Dropout(keras_layers.Dropout, base.Layer):$/;" c +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^def dropout(inputs,$/;" f +Dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/core.py /^Dropout = core.Dropout$/;" v +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def dropout(x, keep_prob=None, noise_shape=None, seed=None, name=None,$/;" f +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import dropout_v2 as dropout$/;" x +dropout adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import dropout_v2 as dropout$/;" x +dropout adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def dropout(input, p=0.5, training=True, inplace=False):$/;" f +Dropout adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class Dropout(_DropoutNd):$/;" c +dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def dropout(g, input, p, train):$/;" f +dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def dropout(g, input, p, train):$/;" f +DROPOUT adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^ DROPOUT = 3$/;" v class:LintCode +dropout2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def dropout2d(input, p=0.5, training=True, inplace=False):$/;" f +Dropout2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class Dropout2d(_DropoutNd):$/;" c +dropout3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def dropout3d(input, p=0.5, training=True, inplace=False):$/;" f +Dropout3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class Dropout3d(_DropoutNd):$/;" c +DropoutCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class DropoutCell(RNNCell):$/;" c +DropoutRNNCellMixin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class DropoutRNNCellMixin(object):$/;" c +DropoutTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^class DropoutTest(hu.HypothesisTestCase):$/;" c +DropoutWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class DropoutWrapper(rnn_cell_wrapper_impl.DropoutWrapperBase,$/;" c +DropoutWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^class DropoutWrapper(rnn_cell_wrapper_impl.DropoutWrapperBase,$/;" c +DropoutWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^DropoutWrapper = rnn_cell_impl.DropoutWrapper$/;" v +DropoutWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^class DropoutWrapperBase(object):$/;" c +DropoutWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_wrapper_impl.py /^DropoutWrapperBase = rnn_cell_wrapper_impl.DropoutWrapperBase$/;" v +dropout_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^dropout_ = dropout$/;" v +dropout_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def dropout_v2(x, rate, noise_shape=None, seed=None, name=None):$/;" f +dropped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def dropped_inputs():$/;" f member:Dropout.call file: +dropped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def dropped_inputs(inputs=inputs, rate=self.rate, seed=self.seed): # pylint: disable=miss/;" f member:AlphaDropout.call file: +dropped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def dropped_inputs():$/;" f function:_generate_dropout_mask file: +dropped_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def dropped_weights():$/;" f member:BaseDenseAttention._apply_scores file: +DropShadowFilter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ class DropShadowFilter(BaseFilter):$/;" c function:test_agg_filter file: +DropVar adpepsenv/lib/python3.8/site-packages/jax/core.py /^class DropVar(Var):$/;" c +dropvar adpepsenv/lib/python3.8/site-packages/jax/core.py /^dropvar = DropVar()$/;" v +drop_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def drop_fields(base, drop_names, usemask=True, asrecarray=False):$/;" f +drot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void drot(int *n, d *dx, int *incx, d *dy, int *incy, d *c, d *s) nogil$/;" f +drotg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void drotg(d *da, d *db, d *c, d *s) nogil$/;" f +drotm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void drotm(int *n, d *dx, int *incx, d *dy, int *incy, d *dparam) nogil$/;" f +drotmg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void drotmg(d *dd1, d *dd2, d *dx1, d *dy1, d *dparam) nogil$/;" f +drscl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void drscl(int *n, d *sa, d *sx, int *incx) nogil$/;" f +DryRunTensorBoardWriterStub adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^class DryRunTensorBoardWriterStub(object):$/;" c +dry_run adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def dry_run(self):$/;" m class:ScriptMaker +dry_run adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def dry_run(self, value):$/;" m class:ScriptMaker +ds adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^from tensorflow.python.distribute import distribution_strategy_context as ds$/;" x +ds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^from tensorflow.python.distribute import distribution_strategy_context as ds$/;" x +DSAKeyCapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class DSAKeyCapabilities(univ.Choice):$/;" c +DSAKeySize adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class DSAKeySize(univ.Integer):$/;" c +DSAPrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class DSAPrivateKey(univ.Sequence):$/;" c +DSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class DSAPublicKey(univ.Integer):$/;" c +DSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^DSAPublicKey = rfc3279.DSAPublicKey$/;" v +DSAT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DSAT = 0x0066$/;" v class:WAVE_FORMAT +DSAT_DISPLAY adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DSAT_DISPLAY = 0x0067$/;" v class:WAVE_FORMAT +DSAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^DSAUPD_ERRORS = {$/;" v +DSA_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^DSA_Sig_Value = rfc3279.Dss_Sig_Value$/;" v +dsbev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbev(char *jobz, char *uplo, int *n, int *kd, d *ab, int *ldab, d *w, d *z, int *ldz,/;" f +dsbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbevd(char *jobz, char *uplo, int *n, int *kd, d *ab, int *ldab, d *w, d *z, int *ldz/;" f +dsbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbevx(char *jobz, char *range, char *uplo, int *n, int *kd, d *ab, int *ldab, d *q, i/;" f +dsbgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbgst(char *vect, char *uplo, int *n, int *ka, int *kb, d *ab, int *ldab, d *bb, int /;" f +dsbgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbgv(char *jobz, char *uplo, int *n, int *ka, int *kb, d *ab, int *ldab, d *bb, int */;" f +dsbgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbgvd(char *jobz, char *uplo, int *n, int *ka, int *kb, d *ab, int *ldab, d *bb, int /;" f +dsbgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbgvx(char *jobz, char *range, char *uplo, int *n, int *ka, int *kb, d *ab, int *ldab/;" f +dsbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsbmv(char *uplo, int *n, int *k, d *alpha, d *a, int *lda, d *x, int *incx, d *beta, /;" f +dsbtrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsbtrd(char *vect, char *uplo, int *n, int *kd, d *ab, int *ldab, d *d, d *e, d *q, in/;" f +dscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dscal(int *n, d *da, d *dx, int *incx) nogil$/;" f +dsdot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dsdot(int *n, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +DSEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^DSEUPD_ERRORS = {$/;" v +dsfrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsfrk(char *transr, char *uplo, char *trans, int *n, int *k, d *alpha, d *a, int *lda,/;" f +dsgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsgesv(int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, d *x, int *ldx, d/;" f +dspcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspcon(char *uplo, int *n, d *ap, int *ipiv, d *anorm, d *rcond, d *work, int *iwork, /;" f +dspev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspev(char *jobz, char *uplo, int *n, d *ap, d *w, d *z, int *ldz, d *work, int *info)/;" f +dspevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspevd(char *jobz, char *uplo, int *n, d *ap, d *w, d *z, int *ldz, d *work, int *lwor/;" f +dspevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspevx(char *jobz, char *range, char *uplo, int *n, d *ap, d *vl, d *vu, int *il, int /;" f +DSPGROUP_TRUESPEECH adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DSPGROUP_TRUESPEECH = 0x0022$/;" v class:WAVE_FORMAT +dspgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspgst(int *itype, char *uplo, int *n, d *ap, d *bp, int *info) nogil$/;" f +dspgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspgv(int *itype, char *jobz, char *uplo, int *n, d *ap, d *bp, d *w, d *z, int *ldz, /;" f +dspgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspgvd(int *itype, char *jobz, char *uplo, int *n, d *ap, d *bp, d *w, d *z, int *ldz,/;" f +dspgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspgvx(int *itype, char *jobz, char *range, char *uplo, int *n, d *ap, d *bp, d *vl, d/;" f +dsplit adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^dsplit = _split_on_axis(np.dsplit, axis=2)$/;" v +dsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def dsplit(ary, indices_or_sections):$/;" f +dsplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^dsplit = _split_on_axis('dsplit', axis=2)$/;" v +dspmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dspmv(char *uplo, int *n, d *alpha, d *ap, d *x, int *incx, d *beta, d *y, int *incy) /;" f +dsposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsposv(char *uplo, int *n, int *nrhs, d *a, int *lda, d *b, int *ldb, d *x, int *ldx, /;" f +dspr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dspr(char *uplo, int *n, d *alpha, d *x, int *incx, d *ap) nogil$/;" f +dspr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dspr2(char *uplo, int *n, d *alpha, d *x, int *incx, d *y, int *incy, d *ap) nogil$/;" f +dsprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsprfs(char *uplo, int *n, int *nrhs, d *ap, d *afp, int *ipiv, d *b, int *ldb, d *x, /;" f +dspsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspsv(char *uplo, int *n, int *nrhs, d *ap, int *ipiv, d *b, int *ldb, int *info) nogi/;" f +dspsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dspsvx(char *fact, char *uplo, int *n, int *nrhs, d *ap, d *afp, int *ipiv, d *b, int /;" f +dsptrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsptrd(char *uplo, int *n, d *ap, d *d, d *e, d *tau, int *info) nogil$/;" f +dsptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsptrf(char *uplo, int *n, d *ap, int *ipiv, int *info) nogil$/;" f +dsptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsptri(char *uplo, int *n, d *ap, int *ipiv, d *work, int *info) nogil$/;" f +dsptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsptrs(char *uplo, int *n, int *nrhs, d *ap, int *ipiv, d *b, int *ldb, int *info) nog/;" f +Dss_Parms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Dss_Parms(univ.Sequence):$/;" c +Dss_Parms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Dss_Parms(univ.Sequence):$/;" c +DSS_Parms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^DSS_Parms = rfc3279.Dss_Parms$/;" v +Dss_Parms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^Dss_Parms = rfc3279.Dss_Parms$/;" v +Dss_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Dss_Sig_Value(univ.Sequence):$/;" c +Dss_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Dss_Sig_Value(univ.Sequence):$/;" c +Dss_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^Dss_Sig_Value = rfc3279.Dss_Sig_Value$/;" v +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def dst(self, dt):$/;" m class:tzfile +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def dst(self, dt):$/;" m class:tzlocal +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def dst(self, dt):$/;" m class:tzoffset +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def dst(self, dt):$/;" m class:tzutc +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def dst(self, dt):$/;" m class:_tzicalvtz +dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def dst(self, dt):$/;" m class:tzrangebase +dst adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/tz.py /^ def dst(self, dt):$/;" m class:TomlTz +dst adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def dst(self, dt):$/;" m class:TimeMixIn.FixedOffset +dst adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^dst = _MockFunction(np.random.random(10))$/;" v +dst adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^dst = functools.partial(_r2r, True, pfft.dst)$/;" v +dst adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def dst(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False, workers=None):$/;" f +dst adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def dst(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False):$/;" f +dst adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ dst = value[0]$/;" v +dstack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def dstack(tup):$/;" f +dstack adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def dstack(tup):$/;" f +dstack adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^dstack = _fromnxfunction_seq('dstack')$/;" v +dstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def dstack(tup):$/;" f +dstebz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstebz(char *range, char *order, int *n, d *vl, d *vu, int *il, int *iu, d *abstol, d /;" f +dstedc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstedc(char *compz, int *n, d *d, d *e, d *z, int *ldz, d *work, int *lwork, int *iwor/;" f +dstegr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstegr(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, d /;" f +dstein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstein(int *n, d *d, d *e, int *m, d *w, int *iblock, int *isplit, d *z, int *ldz, d */;" f +dstemr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstemr(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, in/;" f +dstep adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def dstep(system, x0=None, t=None, n=None):$/;" f +dsteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsteqr(char *compz, int *n, d *d, d *e, d *z, int *ldz, d *work, int *info) nogil$/;" f +dsterf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsterf(int *n, d *d, d *e, int *info) nogil$/;" f +dstev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstev(char *jobz, int *n, d *d, d *e, d *z, int *ldz, d *work, int *info) nogil$/;" f +dstevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstevd(char *jobz, int *n, d *d, d *e, d *z, int *ldz, d *work, int *lwork, int *iwork/;" f +dstevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstevr(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, d /;" f +dstevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dstevx(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, d /;" f +dstn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^dstn = _MockFunction(np.random.random(10))$/;" v +dstn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^dstn = functools.partial(_r2rn, True, pfft.dst)$/;" v +dstn adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def dstn(x, type=2, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +dstn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def dstn(x, type=2, shape=None, axes=None, norm=None, overwrite_x=False):$/;" f +dst_2d_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def dst_2d_ref(x, **kwargs):$/;" f +dswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dswap(int *n, d *dx, int *incx, d *dy, int *incy) nogil$/;" f +dsycon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsycon(char *uplo, int *n, d *a, int *lda, int *ipiv, d *anorm, d *rcond, d *work, int/;" f +dsyconv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyconv(char *uplo, char *way, int *n, d *a, int *lda, int *ipiv, d *work, int *info) /;" f +dsyequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyequb(char *uplo, int *n, d *a, int *lda, d *s, d *scond, d *amax, d *work, int *inf/;" f +dsyev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyev(char *jobz, char *uplo, int *n, d *a, int *lda, d *w, d *work, int *lwork, int */;" f +dsyevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyevd(char *jobz, char *uplo, int *n, d *a, int *lda, d *w, d *work, int *lwork, int /;" f +dsyevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyevr(char *jobz, char *range, char *uplo, int *n, d *a, int *lda, d *vl, d *vu, int /;" f +dsyevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyevx(char *jobz, char *range, char *uplo, int *n, d *a, int *lda, d *vl, d *vu, int /;" f +dsygs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsygs2(int *itype, char *uplo, int *n, d *a, int *lda, d *b, int *ldb, int *info) nogi/;" f +dsygst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsygst(int *itype, char *uplo, int *n, d *a, int *lda, d *b, int *ldb, int *info) nogi/;" f +dsygv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsygv(int *itype, char *jobz, char *uplo, int *n, d *a, int *lda, d *b, int *ldb, d *w/;" f +dsygvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsygvd(int *itype, char *jobz, char *uplo, int *n, d *a, int *lda, d *b, int *ldb, d */;" f +dsygvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsygvx(int *itype, char *jobz, char *range, char *uplo, int *n, d *a, int *lda, d *b, /;" f +dsymm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsymm(char *side, char *uplo, int *m, int *n, d *alpha, d *a, int *lda, d *b, int *ldb/;" f +dsymv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsymv(char *uplo, int *n, d *alpha, d *a, int *lda, d *x, int *incx, d *beta, d *y, in/;" f +dsyr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsyr(char *uplo, int *n, d *alpha, d *x, int *incx, d *a, int *lda) nogil$/;" f +dsyr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsyr2(char *uplo, int *n, d *alpha, d *x, int *incx, d *y, int *incy, d *a, int *lda) /;" f +dsyr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsyr2k(char *uplo, char *trans, int *n, int *k, d *alpha, d *a, int *lda, d *b, int *l/;" f +dsyrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyrfs(char *uplo, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, int *ipiv, d */;" f +dsyrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dsyrk(char *uplo, char *trans, int *n, int *k, d *alpha, d *a, int *lda, d *beta, d *c/;" f +dsysv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsysv(char *uplo, int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, d *wor/;" f +dsysvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsysvx(char *fact, char *uplo, int *n, int *nrhs, d *a, int *lda, d *af, int *ldaf, in/;" f +dsyswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsyswapr(char *uplo, int *n, d *a, int *lda, int *i1, int *i2) nogil$/;" f +dsytd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytd2(char *uplo, int *n, d *a, int *lda, d *d, d *e, d *tau, int *info) nogil$/;" f +dsytf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytf2(char *uplo, int *n, d *a, int *lda, int *ipiv, int *info) nogil$/;" f +dsytrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytrd(char *uplo, int *n, d *a, int *lda, d *d, d *e, d *tau, d *work, int *lwork, in/;" f +dsytrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytrf(char *uplo, int *n, d *a, int *lda, int *ipiv, d *work, int *lwork, int *info) /;" f +dsytri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytri(char *uplo, int *n, d *a, int *lda, int *ipiv, d *work, int *info) nogil$/;" f +dsytri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytri2(char *uplo, int *n, d *a, int *lda, int *ipiv, d *work, int *lwork, int *info)/;" f +dsytri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytri2x(char *uplo, int *n, d *a, int *lda, int *ipiv, d *work, int *nb, int *info) n/;" f +dsytrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytrs(char *uplo, int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, int */;" f +dsytrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dsytrs2(char *uplo, int *n, int *nrhs, d *a, int *lda, int *ipiv, d *b, int *ldb, d *w/;" f +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^from tensorflow.python.distribute import combinations as ds_combinations$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^from tensorflow.python.distribute import distribution_strategy_context as ds_context$/;" x +ds_fn_no_opt adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def ds_fn_no_opt():$/;" f member:DatasetSerializationTestBase.run_core_tests file: +ds_reduce_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.distribute import reduce_util as ds_reduce_util$/;" x +ds_reduce_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^from tensorflow.python.distribute import reduce_util as ds_reduce_util$/;" x +ds_reduce_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^from tensorflow.python.distribute import reduce_util as ds_reduce_util$/;" x +ds_reduce_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^from tensorflow.python.distribute import reduce_util as ds_reduce_util$/;" x +ds_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.distribute import values as ds_values$/;" x +ds_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^from tensorflow.python.distribute import values as ds_values$/;" x +dt adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ dt = np.dtype([('a', 'f'), ('b', 'i'), ('c', 'f4')])$/;" v class:TestFieldNames +DT adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^import datetime as DT$/;" I +dt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class dt(np.void):$/;" c member:TestFromDTypeAttribute.test_void_subtype file: +dt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class dt(np.void):$/;" c member:TestFromDTypeAttribute.test_void_subtype_recursion file: +dt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class dt:$/;" c member:TestFromDTypeAttribute.test_recursion file: +dt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class dt:$/;" c member:TestFromDTypeAttribute.test_simple file: +dt adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dt = None$/;" v class:_TestNormBase +dt adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dt = np.double$/;" v class:_TestNormDoubleBase +dt adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dt = np.float32$/;" v class:_TestNormSingleBase +dt adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dt = np.int64$/;" v class:_TestNormInt64Base +dt adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def dt(self):$/;" m class:dlti +dt adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def dt(self):$/;" m class:LinearTimeInvariant +dt adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def dt(self, dt):$/;" m class:dlti +dt adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^from datetime import datetime as dt$/;" x +dt1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt1 = np.dtype('i1, i4, i1', align=True)$/;" v +dt2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt2 = np.dtype({'names': ['a', 'b'], 'formats': ['i4', 'i4'],$/;" v +dt3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt3 = np.dtype({'names': ['c', 'd'], 'formats': ['i4', dt2]})$/;" v +dt4 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt4 = np.dtype({'names': ['a', '', 'b'], 'formats': ['i4']*3})$/;" v +dt5 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt5 = np.dtype({'names': ['a', 'b'], 'formats': ['i4', 'i4'],$/;" v +dt6 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^dt6 = np.dtype({'names': [], 'formats': [], 'itemsize': 8})$/;" v +dtbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtbcon(char *norm, char *uplo, char *diag, int *n, int *kd, d *ab, int *ldab, d *rcond/;" f +dtbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtbmv(char *uplo, char *trans, char *diag, int *n, int *k, d *a, int *lda, d *x, int */;" f +dtbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtbrfs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, d *ab, int *ld/;" f +dtbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtbsv(char *uplo, char *trans, char *diag, int *n, int *k, d *a, int *lda, d *x, int */;" f +dtbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtbtrs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, d *ab, int *ld/;" f +dtfsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtfsm(char *transr, char *side, char *uplo, char *trans, char *diag, int *m, int *n, d/;" f +dtftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtftri(char *transr, char *uplo, char *diag, int *n, d *a, int *info) nogil$/;" f +dtfttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtfttp(char *transr, char *uplo, int *n, d *arf, d *ap, int *info) nogil$/;" f +dtfttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtfttr(char *transr, char *uplo, int *n, d *arf, d *a, int *lda, int *info) nogil$/;" f +dtgevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgevc(char *side, char *howmny, bint *select, int *n, d *s, int *lds, d *p, int *ldp,/;" f +dtgex2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgex2(bint *wantq, bint *wantz, int *n, d *a, int *lda, d *b, int *ldb, d *q, int *ld/;" f +dtgexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgexc(bint *wantq, bint *wantz, int *n, d *a, int *lda, d *b, int *ldb, d *q, int *ld/;" f +dtgsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgsen(int *ijob, bint *wantq, bint *wantz, bint *select, int *n, d *a, int *lda, d *b/;" f +dtgsja adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgsja(char *jobu, char *jobv, char *jobq, int *m, int *p, int *n, int *k, int *l, d */;" f +dtgsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgsna(char *job, char *howmny, bint *select, int *n, d *a, int *lda, d *b, int *ldb, /;" f +dtgsy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgsy2(char *trans, int *ijob, int *m, int *n, d *a, int *lda, d *b, int *ldb, d *c, i/;" f +dtgsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtgsyl(char *trans, int *ijob, int *m, int *n, d *a, int *lda, d *b, int *ldb, d *c, i/;" f +dtnoinv adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ dtnoinv = [object, np.dtype('e'), np.dtype('g'), np.dtype('G')]$/;" v class:TestMatrixPower +dtpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpcon(char *norm, char *uplo, char *diag, int *n, d *ap, d *rcond, d *work, int *iwor/;" f +dtpmqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpmqrt(char *side, char *trans, int *m, int *n, int *k, int *l, int *nb, d *v, int *l/;" f +dtpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtpmv(char *uplo, char *trans, char *diag, int *n, d *ap, d *x, int *incx) nogil$/;" f +dtpqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpqrt(int *m, int *n, int *l, int *nb, d *a, int *lda, d *b, int *ldb, d *t, int *ldt/;" f +dtpqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpqrt2(int *m, int *n, int *l, d *a, int *lda, d *b, int *ldb, d *t, int *ldt, int *i/;" f +dtprfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtprfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +dtprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtprfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, d *ap, d *b, int *ldb, /;" f +dtpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtpsv(char *uplo, char *trans, char *diag, int *n, d *ap, d *x, int *incx) nogil$/;" f +dtptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtptri(char *uplo, char *diag, int *n, d *ap, int *info) nogil$/;" f +dtptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtptrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, d *ap, d *b, int *ldb, /;" f +dtpttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpttf(char *transr, char *uplo, int *n, d *ap, d *arf, int *info) nogil$/;" f +dtpttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtpttr(char *uplo, int *n, d *ap, d *a, int *lda, int *info) nogil$/;" f +dtrcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrcon(char *norm, char *uplo, char *diag, int *n, d *a, int *lda, d *rcond, d *work, /;" f +dtrevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrevc(char *side, char *howmny, bint *select, int *n, d *t, int *ldt, d *vl, int *ldv/;" f +dtrexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrexc(char *compq, int *n, d *t, int *ldt, d *q, int *ldq, int *ifst, int *ilst, d *w/;" f +dtrmm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtrmm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, d *alpha, d *a/;" f +dtrmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtrmv(char *uplo, char *trans, char *diag, int *n, d *a, int *lda, d *x, int *incx) no/;" f +dtrrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrrfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, d *a, int *lda, d *b, i/;" f +dtrsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrsen(char *job, char *compq, bint *select, int *n, d *t, int *ldt, d *q, int *ldq, d/;" f +dtrsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtrsm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, d *alpha, d *a/;" f +dtrsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrsna(char *job, char *howmny, bint *select, int *n, d *t, int *ldt, d *vl, int *ldvl/;" f +dtrsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void dtrsv(char *uplo, char *trans, char *diag, int *n, d *a, int *lda, d *x, int *incx) no/;" f +dtrsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrsyl(char *trana, char *tranb, int *isgn, int *m, int *n, d *a, int *lda, d *b, int /;" f +dtrti2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrti2(char *uplo, char *diag, int *n, d *a, int *lda, int *info) nogil$/;" f +dtrtri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrtri(char *uplo, char *diag, int *n, d *a, int *lda, int *info) nogil$/;" f +dtrtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrtrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, d *a, int *lda, d *b, i/;" f +dtrttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrttf(char *transr, char *uplo, int *n, d *a, int *lda, d *arf, int *info) nogil$/;" f +dtrttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtrttp(char *uplo, int *n, d *a, int *lda, d *ap, int *info) nogil$/;" f +DTS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DTS = 0x0008$/;" v class:WAVE_FORMAT +DTS2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DTS2 = 0x2001$/;" v class:WAVE_FORMAT +DTS_DS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DTS_DS = 0x0190$/;" v class:WAVE_FORMAT +dtype adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def dtype(self):$/;" m class:Dataset +dtype adpepsenv/lib/python3.8/site-packages/h5py/_hl/datatype.py /^ def dtype(self):$/;" m class:Datatype +dtype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def dtype(x):$/;" f +dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def dtype(self):$/;" m class:_DoubleDouble +DType adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^DType = Any$/;" v +DType adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^DType = Any$/;" v +DType adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^DType = Any$/;" v +dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ dtype = np.float32$/;" v +DType adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^DType = Any$/;" v +DType adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^DType = Any$/;" v +dtype adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def dtype(self):$/;" m class:MaskTracer +dtype adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def dtype(self):$/;" m class:_DeviceArray +DType adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^DType = Any$/;" v +dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^dtype: Callable = dtypes.result_type$/;" v +dtype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^dtype = np.dtype$/;" v +Dtype adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Dtype(self):$/;" m class:PocketFftDescriptor +dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ dtype = "f8"$/;" v class:TestFromDTypeAttribute.test_simple.dt +dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ dtype = np.dtype("f,f")$/;" v class:TestFromDTypeAttribute.test_void_subtype.dt +dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ dtype = np.dtype([('a', {'names':['aa', 'ab'], 'formats':['f', 'f'],$/;" v class:TestStructuredDtypeSparseFields +dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^ dtype = np.dtype(scalar).newbyteorder(endian)$/;" v +dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def dtype(self):$/;" m class:TestIscomplexobj.test_custom_dtype_duck.MyArray +dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def dtype(self):$/;" m class:TestIscomplexobj.test_duck.DummyComplexArray +dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def dtype(self):$/;" m class:TestIscomplexobj.test_pandas_duck.DummyPd +dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def dtype(self):$/;" m class:MaskedArray +dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def dtype(self, dtype):$/;" m class:MaskedArray +dtype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ dtype = np.uint64$/;" v class:Base +dtype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^def dtype(request):$/;" f +dtype adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^ dtype = np.dtype('O')$/;" v class:GenericObject +dtype adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ dtype = None$/;" v class:TestIfftn +dtype adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ dtype = None$/;" v class:TestRfftn +dtype adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ dtype = None$/;" v class:TestIfftn +dtype adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^dtype = [(n, object) for n in ['stringfield', 'doublefield', 'complexfield']]$/;" v +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('d')$/;" v class:TestQRdelete_d +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('d')$/;" v class:TestQRinsert_d +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('d')$/;" v class:TestQRupdate_d +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('f')$/;" v class:TestQRdelete_f +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('f')$/;" v class:TestQRinsert_f +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ dtype = np.dtype('f')$/;" v class:TestQRupdate_f +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex64$/;" v class:TestCaxpy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex64$/;" v class:TestCcopy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex64$/;" v class:TestCgemv +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex64$/;" v class:TestCscal +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex64$/;" v class:TestCswap +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float32$/;" v class:TestSaxpy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float32$/;" v class:TestScopy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float32$/;" v class:TestSgemv +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float32$/;" v class:TestSscal +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float32$/;" v class:TestSswap +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex128$/;" v class:TestZaxpy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex128$/;" v class:TestZcopy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex128$/;" v class:TestZgemv +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex128$/;" v class:TestZscal +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = complex128$/;" v class:TestZswap +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float64$/;" v class:TestDaxpy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float64$/;" v class:TestDcopy +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float64$/;" v class:TestDgemv +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float64$/;" v class:TestDscal +dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ dtype = float64$/;" v class:TestDswap +dtype adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def dtype(self):$/;" m class:InverseJacobian +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('D')$/;" v class:TestLinearFilterComplex128 +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('d')$/;" v class:TestLinearFilterFloat64 +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('F')$/;" v class:TestLinearFilterComplex64 +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('f')$/;" v class:TestLinearFilterFloat32 +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('G')$/;" v class:TestLinearFilterComplexExtended +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('g')$/;" v class:TestLinearFilterFloatExtended +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('O')$/;" v class:TestLinearFilterDecimal +dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ dtype = np.dtype('O')$/;" v class:TestLinearFilterObject +DTYPE adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_validation.py /^DTYPE = np.float64$/;" v +dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ dtype = property(fget=_get_dtype, fset=_set_dtype)$/;" v class:_data_matrix +dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def dtype(self):$/;" m class:IterOpInv +dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def dtype(self):$/;" m class:_AdjointMatrixOperator +DType adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^class DType(object):$/;" c +dtype adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def dtype(self):$/;" m class:Discrete +dtype adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def dtype(self):$/;" m class:Domain +dtype adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def dtype(self):$/;" m class:IntInterval +dtype adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def dtype(self):$/;" m class:RealInterval +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def dtype(self):$/;" m class:AggregatingVariable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def dtype(self):$/;" m class:ShardedVariableMixin +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def dtype(self):$/;" m class:DistributedVariable +DType adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^class DType(_dtypes.DType):$/;" c +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def dtype(self):$/;" m class:IndexedSlices +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def dtype(self):$/;" m class:Tensor +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def dtype(self):$/;" m class:_EagerTensorBase +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def dtype(self):$/;" m class:SparseTensor +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def dtype(self):$/;" m class:SparseTensorSpec +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def dtype(self):$/;" m class:DenseSpec +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def dtype(x):$/;" f +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def dtype(self):$/;" m class:Layer +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def dtype(self):$/;" m class:Layer +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def dtype(self):$/;" m class:KerasTensor +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def dtype(self):$/;" m class:LSTMStateTuple +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def dtype(self):$/;" m class:Metric +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def dtype(self):$/;" m class:AutoCastVariable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dtype(self):$/;" m class:ConditionalAccumulatorBase +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def dtype(self):$/;" m class:Bijector +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def dtype(self):$/;" m class:Distribution +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def dtype(self):$/;" m class:LinearOperator +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def dtype(self):$/;" m class:SparseMatrix +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def dtype(self):$/;" m class:ndarray +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def dtype(self):$/;" m class:RaggedTensor +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def dtype(self):$/;" m class:RaggedTensorSpec +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ dtype = property(lambda self: self._dtype)$/;" v class:RaggedTensorType +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ dtype = property($/;" v class:RaggedTensorValue +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def dtype(self):$/;" m class:RowPartition +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def dtype(self):$/;" m class:RowPartitionSpec +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def dtype(self):$/;" m class:BaseResourceVariable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def dtype(self):$/;" m class:TensorArray +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def dtype(self):$/;" m class:_EagerTensorArray +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def dtype(self):$/;" m class:_GraphTensorArray +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def dtype(self):$/;" m class:_GraphTensorArrayV2 +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def dtype(self):$/;" m class:PartitionedVariable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def dtype(self):$/;" m class:RefVariable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def dtype(self):$/;" m class:Variable +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def dtype(self):$/;" m class:VariableScope +dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^ def dtype(self):$/;" m class:Tensor +DType adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^ from torch.types import _dtype as DType$/;" x +DTYPE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^DTYPE = torch.float$/;" v +dtype2prec_DONTUSE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^dtype2prec_DONTUSE = {torch.float: 1e-5,$/;" v +dtypedescr adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^dtypedescr = dtype$/;" v +DTYPES adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^DTYPES = {$/;" v +dtypes adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^dtypes = _LazyDtypes()$/;" v +dtypes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ dtypes = real_dtypes + [np.complex64, np.complex128, np.longcomplex]$/;" v class:TestOverwrite +dtypes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ dtypes = real_dtypes + (np.complex64, np.complex128)$/;" v class:TestOverwrite +dtypes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ dtypes = real_dtypes + (np.complex64, np.complex128)$/;" v class:TestOverwrite +DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^DTYPES = REAL_DTYPES + COMPLEX_DTYPES$/;" v +DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^DTYPES = REAL_DTYPES + COMPLEX_DTYPES$/;" v +DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^DTYPES = REAL_DTYPES + COMPLEX_DTYPES$/;" v +DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^DTYPES = REAL_DTYPES + COMPLEX_DTYPES$/;" v +DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^DTYPES = REAL_DTYPES + COMPLEX_DTYPES$/;" v +dtypes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ dtypes = [np.float64] + [np.int_]*4$/;" v class:TestRankData +dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dtypes(self):$/;" m class:BaseStagingArea +dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def dtypes(self):$/;" m class:QueueBase +dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class dtypes(object):$/;" c +dtypesIfCPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class dtypesIfCPU(dtypes):$/;" c +dtypesIfCUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class dtypesIfCUDA(dtypes):$/;" c +DTYPES_BY_FIELD adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ DTYPES_BY_FIELD = {FIELD_INTEGER: 'intp',$/;" v class:MMFile +dtypes_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^from tensorflow.python.framework import dtypes as dtypes_module$/;" x +dtypes_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.framework import dtypes as dtypes_module$/;" x +dtypes_to_str adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def dtypes_to_str(dtype_list: Sequence[DType], empty_means_all=False) -> str:$/;" f +dtypes_to_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def dtypes_to_test():$/;" m class:LinearOperatorDerivedClassTest +DtypeWithDefaultOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^DtypeWithDefaultOp = tf_export("raw_ops.DtypeWithDefaultOp")(_ops.to_raw_op(dtype_with_default_o/;" v +dtype_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def dtype_attr(self):$/;" m class:_TensorData +DTYPE_DICT adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^DTYPE_DICT = {1: '>u1',$/;" v +dtype_for_core_type adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def dtype_for_core_type(core_type):$/;" f +dtype_from_ctypes_type adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype_ctypes.py /^def dtype_from_ctypes_type(t):$/;" f +dtype_is_implied adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def dtype_is_implied(dtype):$/;" f +dtype_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def dtype_name(dtype):$/;" f +dtype_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def dtype_policy(self):$/;" m class:Layer +dtype_precisions adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ dtype_precisions = {$/;" v class:TestCase +dtype_range adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^dtype_range = {$/;" v +dtype_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^dtype_range = {$/;" v +dtype_real adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def dtype_real(typ):$/;" f +dtype_short_repr adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def dtype_short_repr(dtype):$/;" f +dtype_size adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^def dtype_size(dtype):$/;" f +dtype_str adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def dtype_str(dtype):$/;" f +dtype_to_descr adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def dtype_to_descr(dtype):$/;" f +dtype_to_etype adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def dtype_to_etype(dtype):$/;" f +dtype_to_etype adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def dtype_to_etype(dtype):$/;" f +DTYPE_TO_XLA_ELEMENT_TYPE adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^DTYPE_TO_XLA_ELEMENT_TYPE = {$/;" v +dtype_with_default_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def dtype_with_default_op(in_, name=None):$/;" f +dtype_with_default_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def dtype_with_default_op_eager_fallback(in_, name, ctx):$/;" f +dtzrzf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void dtzrzf(int *m, int *n, d *a, int *lda, d *tau, d *work, int *lwork, int *info) nogil$/;" f +DT_BFLOAT16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_BFLOAT16 = 14$/;" v +DT_BFLOAT16 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_BFLOAT16 = 14$/;" v +DT_BFLOAT16_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_BFLOAT16_REF = 114$/;" v +DT_BFLOAT16_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_BFLOAT16_REF = 114$/;" v +DT_BOOL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_BOOL = 10$/;" v +DT_BOOL adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_BOOL = 10$/;" v +DT_BOOL_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_BOOL_REF = 110$/;" v +DT_BOOL_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_BOOL_REF = 110$/;" v +DT_COMPLEX128 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_COMPLEX128 = 18$/;" v +DT_COMPLEX128 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_COMPLEX128 = 18$/;" v +DT_COMPLEX128_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_COMPLEX128_REF = 118$/;" v +DT_COMPLEX128_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_COMPLEX128_REF = 118$/;" v +DT_COMPLEX64 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_COMPLEX64 = 8$/;" v +DT_COMPLEX64 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_COMPLEX64 = 8$/;" v +DT_COMPLEX64_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_COMPLEX64_REF = 108$/;" v +DT_COMPLEX64_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_COMPLEX64_REF = 108$/;" v +DT_DOUBLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_DOUBLE = 2$/;" v +DT_DOUBLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_DOUBLE = 2$/;" v +DT_DOUBLE_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_DOUBLE_REF = 102$/;" v +DT_DOUBLE_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_DOUBLE_REF = 102$/;" v +DT_FLOAT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_FLOAT = 1$/;" v +DT_FLOAT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_FLOAT = 1$/;" v +DT_FLOAT_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_FLOAT_REF = 101$/;" v +DT_FLOAT_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_FLOAT_REF = 101$/;" v +DT_HALF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_HALF = 19$/;" v +DT_HALF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_HALF = 19$/;" v +DT_HALF_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_HALF_REF = 119$/;" v +DT_HALF_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_HALF_REF = 119$/;" v +DT_INT16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT16 = 5$/;" v +DT_INT16 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT16 = 5$/;" v +DT_INT16_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT16_REF = 105$/;" v +DT_INT16_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT16_REF = 105$/;" v +DT_INT32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT32 = 3$/;" v +DT_INT32 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT32 = 3$/;" v +DT_INT32_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT32_REF = 103$/;" v +DT_INT32_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT32_REF = 103$/;" v +DT_INT64 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT64 = 9$/;" v +DT_INT64 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT64 = 9$/;" v +DT_INT64_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT64_REF = 109$/;" v +DT_INT64_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT64_REF = 109$/;" v +DT_INT8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT8 = 6$/;" v +DT_INT8 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT8 = 6$/;" v +DT_INT8_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INT8_REF = 106$/;" v +DT_INT8_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INT8_REF = 106$/;" v +DT_INVALID adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_INVALID = 0$/;" v +DT_INVALID adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_INVALID = 0$/;" v +DT_QINT16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT16 = 15$/;" v +DT_QINT16 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT16 = 15$/;" v +DT_QINT16_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT16_REF = 115$/;" v +DT_QINT16_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT16_REF = 115$/;" v +DT_QINT32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT32 = 13$/;" v +DT_QINT32 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT32 = 13$/;" v +DT_QINT32_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT32_REF = 113$/;" v +DT_QINT32_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT32_REF = 113$/;" v +DT_QINT8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT8 = 11$/;" v +DT_QINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT8 = 11$/;" v +DT_QINT8_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QINT8_REF = 111$/;" v +DT_QINT8_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QINT8_REF = 111$/;" v +DT_QUINT16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QUINT16 = 16$/;" v +DT_QUINT16 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QUINT16 = 16$/;" v +DT_QUINT16_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QUINT16_REF = 116$/;" v +DT_QUINT16_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QUINT16_REF = 116$/;" v +DT_QUINT8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QUINT8 = 12$/;" v +DT_QUINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QUINT8 = 12$/;" v +DT_QUINT8_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_QUINT8_REF = 112$/;" v +DT_QUINT8_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_QUINT8_REF = 112$/;" v +DT_RESOURCE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_RESOURCE = 20$/;" v +DT_RESOURCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_RESOURCE = 20$/;" v +DT_RESOURCE_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_RESOURCE_REF = 120$/;" v +DT_RESOURCE_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_RESOURCE_REF = 120$/;" v +DT_STRING adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_STRING = 7$/;" v +DT_STRING adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_STRING = 7$/;" v +DT_STRING_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_STRING_REF = 107$/;" v +DT_STRING_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_STRING_REF = 107$/;" v +DT_TAGS adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ DT_TAGS = (SPARSE_SHARDED, SPARSE_DONT_SHARD, COMPONENT, HOGWILD_DENSE)$/;" v class:Tags +dt_tzaware adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ class dt_tzaware(datetime.datetime):$/;" c function:test_date2num_dst file: +DT_UINT16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT16 = 17$/;" v +DT_UINT16 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT16 = 17$/;" v +DT_UINT16_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT16_REF = 117$/;" v +DT_UINT16_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT16_REF = 117$/;" v +DT_UINT32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT32 = 22$/;" v +DT_UINT32 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT32 = 22$/;" v +DT_UINT32_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT32_REF = 122$/;" v +DT_UINT32_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT32_REF = 122$/;" v +DT_UINT64 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT64 = 23$/;" v +DT_UINT64 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT64 = 23$/;" v +DT_UINT64_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT64_REF = 123$/;" v +DT_UINT64_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT64_REF = 123$/;" v +DT_UINT8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT8 = 4$/;" v +DT_UINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT8 = 4$/;" v +DT_UINT8_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_UINT8_REF = 104$/;" v +DT_UINT8_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_UINT8_REF = 104$/;" v +DT_VARIANT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_VARIANT = 21$/;" v +DT_VARIANT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_VARIANT = 21$/;" v +DT_VARIANT_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^DT_VARIANT_REF = 121$/;" v +DT_VARIANT_REF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^DT_VARIANT_REF = 121$/;" v +dual_annealing adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^def dual_annealing(func, bounds, args=(), maxiter=1000,$/;" f +DUAL_SIMPLEX_CLEANUP_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ DUAL_SIMPLEX_CLEANUP_STRATEGY_MAX = DUAL_SIMPLEX_CLEANUP_STRATEGY_HQPRIMAL$/;" v +DUAL_SIMPLEX_CLEANUP_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ DUAL_SIMPLEX_CLEANUP_STRATEGY_MIN = 0$/;" v +DUAL_SIMPLEX_CLEANUP_STRATEGY_NONE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ DUAL_SIMPLEX_CLEANUP_STRATEGY_NONE = DUAL_SIMPLEX_CLEANUP_STRATEGY_MIN$/;" v +DuckCounter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ class DuckCounter(np.ndarray):$/;" c member:TestArrayRepr.test_0d_object_subclass file: +Dummy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ class Dummy(matplotlib.axes.Axes):$/;" c function:test_cartopy_backcompat file: +dummy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class dummy:$/;" c function:test_grouper file: +dummy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class dummy:$/;" c function:test_grouper_private file: +dummy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class dummy:$/;" c function:test_reshape2d file: +dummy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def dummy(self):$/;" m class:Test_callback_registry +Dummy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ class Dummy:$/;" c member:TestHalf.test_half_array_interface file: +Dummy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Dummy: pass$/;" c member:TestArrayFinalize.test_lifetime_on_error file: +DummyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class DummyArray:$/;" c function:test_array_interface_offset file: +DummyArray adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^class DummyArray:$/;" c +DummyArray1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class DummyArray1:$/;" c function:test_array_interface_empty_shape file: +DummyArray2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class DummyArray2:$/;" c function:test_array_interface_empty_shape file: +DummyComplexArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ class DummyComplexArray:$/;" c member:TestIscomplexobj.test_duck file: +DummyConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^class DummyConnection(object):$/;" c +DummyConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^class DummyConnection(object):$/;" c +DummyContextManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^class DummyContextManager(object):$/;" c +DummyGensym adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^class DummyGensym(object):$/;" c +DummyIterationCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^DummyIterationCounter = tf_export("raw_ops.DummyIterationCounter")(_ops.to_raw_op(dummy_iteratio/;" v +DummyMemoryCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DummyMemoryCache = tf_export("raw_ops.DummyMemoryCache")(_ops.to_raw_op(dummy_memory_cache))$/;" v +DummyMovieWriter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ class DummyMovieWriter(animation.MovieWriter):$/;" c function:test_movie_writer_dpi_default file: +DummyObserver adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class DummyObserver(torch.nn.Module):$/;" c +DummyPd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ class DummyPd:$/;" c member:TestIscomplexobj.test_pandas_duck file: +DummySeedGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^DummySeedGenerator = tf_export("raw_ops.DummySeedGenerator")(_ops.to_raw_op(dummy_seed_generator/;" v +DummySession adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class DummySession(object):$/;" c +DummySubplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ class DummySubplot(matplotlib.axes.SubplotBase, Dummy):$/;" c function:test_cartopy_backcompat file: +dummy_access_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def dummy_access_token(self):$/;" m class:RequestValidator +dummy_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def dummy_client(self):$/;" m class:RequestValidator +dummy_ctype adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^class dummy_ctype:$/;" c +dummy_fetcher adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^def dummy_fetcher(fetcher_id, batch_size):$/;" f +dummy_fetcher_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^def dummy_fetcher_rnn(fetcher_id, batch_size):$/;" f +dummy_fetcher_rnn_ordered1 adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^ def dummy_fetcher_rnn_ordered1(fetcher_id, batch_size):$/;" f member:DataWorkersTest.testInputOrder file: +dummy_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def dummy_fn():$/;" f function:func_load.ensure_value_to_cell file: +dummy_fortran_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def dummy_fortran_file():$/;" f +dummy_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^def dummy_func(x, shape):$/;" f +dummy_get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def dummy_get_state():$/;" f function:_tf_dataset_for_stmt file: +dummy_iteration_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dummy_iteration_counter(name=None):$/;" f +dummy_iteration_counter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def dummy_iteration_counter_eager_fallback(name, ctx):$/;" f +dummy_memory_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dummy_memory_cache(name=None):$/;" f +dummy_memory_cache_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dummy_memory_cache_eager_fallback(name, ctx):$/;" f +dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def dummy_name(cls):$/;" m class:Caffe2Backend +dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def dummy_name(cls):$/;" m class:Caffe2Frontend +dummy_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ dummy_op = lambda inp: True$/;" f member:TestDistributionStrategyWithDatasetsFile.test_predict_on_dataset_shard_options_file_multi_worker_mirrored file: +dummy_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def dummy_request_token(self):$/;" m class:RequestValidator +dummy_seed_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dummy_seed_generator(name=None):$/;" f +dummy_seed_generator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def dummy_seed_generator_eager_fallback(name, ctx):$/;" f +DUMMY_SETTINGS adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^DUMMY_SETTINGS = {PARAM: VALUE}$/;" v +dummy_set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def dummy_set_state(unused_dummy):$/;" f function:_tf_dataset_for_stmt file: +dummy_worker adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def dummy_worker(worker_id):$/;" f function:create_worker file: +dump adpepsenv/lib/python3.8/site-packages/astunparse/__init__.py /^def dump(tree):$/;" f +dump adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def dump(self):$/;" m class:Formatter +dump adpepsenv/lib/python3.8/site-packages/pasta/__init__.py /^def dump(tree):$/;" f +dump adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^def dump(c):$/;" f +dump adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^dump = pack$/;" v +dump adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def dump(self, indent='', full=True, include_list=True, _depth=0):$/;" m class:ParseResults +dump adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def dump(self, dump_value_func):$/;" m class:CommentValue +dump adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^def dump(o, f, encoder=None):$/;" f +dump adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^dump = encoder.dump$/;" v +dump adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def dump(self, indent='', depth=0, full=True):$/;" m class:ParseResults +dump adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def dump(self, indent='', full=True, include_list=True, _depth=0):$/;" m class:ParseResults +dump adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def dump(self):$/;" m class:HBInfo +dump adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def dump(type, exc):$/;" m class:UnpickleableException +dump adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def dump(self, indent='', depth=0, full=True):$/;" m class:ParseResults +dump adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def dump(self):$/;" m class:Trie +dump adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def dump(cls, in_stream, out_stream):$/;" m class:DumpUnpickler +dump adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^def dump(obj=missing):$/;" f +dump adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def dump(data, stream=None, Dumper=Dumper, **kwds):$/;" f +dumped_tensor_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def dumped_tensor_data(self):$/;" m class:DebugDumpDir +Dumper adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^class Dumper(Emitter, Serializer, Representer, Resolver):$/;" c +DumpingCallbackTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback_test_lib.py /^class DumpingCallbackTestBase(test_util.TensorFlowTestCase):$/;" c +DumpingDebugHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^class DumpingDebugHook(session_run_hook.SessionRunHook):$/;" c +DumpingDebugWrapperSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/dumping_wrapper.py /^class DumpingDebugWrapperSession(framework.NonInteractiveDebugWrapperSession):$/;" c +dumps adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def dumps(self, request, response, body=None):$/;" m class:Serializer +dumps adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^dumps = packb$/;" v +dumps adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^def dumps(o, encoder=None):$/;" f +dumps adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^dumps = encoder.dumps$/;" v +dumps adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def dumps(cls, obj, **kw):$/;" m class:_JSONModule +DumpUnpickler adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^class DumpUnpickler(pickle._Unpickler): # type: ignore$/;" c +dump_age adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def dump_age(age=None):$/;" f +dump_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def dump_all(documents, stream=None, Dumper=Dumper,$/;" f +dump_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def dump_cookie($/;" f +dump_csp_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def dump_csp_header(header):$/;" f +dump_dirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def dump_dirs(self, msg):$/;" m class:install +dump_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^def dump_file(filename, head=None):$/;" f +dump_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def dump_header(iterable, allow_token=True):$/;" f +dump_inline_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_inline_table(self, section):$/;" m class:TomlEncoder +dump_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_list(self, v):$/;" m class:TomlArraySeparatorEncoder +dump_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_list(self, v):$/;" m class:TomlEncoder +dump_locals adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def dump_locals(self, d):$/;" m class:DebugReprGenerator +dump_object adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def dump_object(self, obj):$/;" m class:DebugReprGenerator +dump_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def dump_options(self, header=None, indent=""):$/;" m class:Command +dump_options_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def dump_options_header(header, options):$/;" f +dump_option_dicts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def dump_option_dicts(self, header=None, commands=None, indent=""):$/;" m class:Distribution +dump_patches adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ dump_patches: bool = False$/;" v class:Module +dump_properties adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def dump_properties(self):$/;" m class:FCompiler +dump_root adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def dump_root(self):$/;" m class:DebugEventsWriter +dump_root adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def dump_root(self):$/;" m class:_DumpingCallback +dump_root adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def dump_root(self, dump_root):$/;" m class:_DumpingCallback +dump_sections adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_sections(self, o, sup):$/;" m class:TomlEncoder +dump_size_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def dump_size_bytes(self):$/;" m class:DebugTensorDatum +dump_table adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def dump_table(dll):$/;" f +dump_tensorboard_summary adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^def dump_tensorboard_summary(graph_executor, logdir):$/;" f +dump_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_value(self, v):$/;" m class:TomlEncoder +dump_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def dump_value(self, v):$/;" m class:TomlPathlibEncoder +dump_variable adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def dump_variable(self, name):$/;" m class:EnvironmentConfig +dump_variables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def dump_variables(self):$/;" m class:EnvironmentConfig +dup adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def dup(node, copy_map, field_name='___pyct_anno'):$/;" f +duplicate adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def duplicate(image):$/;" f +DuplicateFlagError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class DuplicateFlagError(Error):$/;" c +DuplicateFlagError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^DuplicateFlagError = _exceptions.DuplicateFlagError$/;" v +DuplicateOptionInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^class DuplicateOptionInfo(_system_info):$/;" c +DuplicateTestNameError adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^class DuplicateTestNameError(Exception):$/;" c +duplicate_params adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def duplicate_params(self):$/;" m class:Request +Duration adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^Duration = _reflection.GeneratedProtocolMessageType('Duration', (_message.Message,), {$/;" v +Duration adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class Duration(object):$/;" c +Duration adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^class Duration:$/;" c +duration2float adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def duration2float(value):$/;" m class:EpochConverter +Dvi adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class Dvi:$/;" c +DviFont adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class DviFont:$/;" c +dviFontName adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def dviFontName(self, dvifont):$/;" m class:PdfFile +dviread adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^import matplotlib.dviread as dviread$/;" I +DVI_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DVI_ADPCM = 0x0011$/;" v class:WAVE_FORMAT +DVM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ DVM = 0x2000$/;" v class:WAVE_FORMAT +dweibull adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^dweibull = dweibull_gen(name='dweibull')$/;" v +dweibull_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class dweibull_gen(rv_continuous):$/;" c +dword adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^from ._binary import i32le as dword$/;" x +DXGI_FORMAT_BC7_TYPELESS adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_BC7_TYPELESS = 97$/;" v +DXGI_FORMAT_BC7_UNORM adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_BC7_UNORM = 98$/;" v +DXGI_FORMAT_BC7_UNORM_SRGB adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_BC7_UNORM_SRGB = 99$/;" v +DXGI_FORMAT_R8G8B8A8_TYPELESS adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_R8G8B8A8_TYPELESS = 27$/;" v +DXGI_FORMAT_R8G8B8A8_UNORM adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_R8G8B8A8_UNORM = 28$/;" v +DXGI_FORMAT_R8G8B8A8_UNORM_SRGB adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXGI_FORMAT_R8G8B8A8_UNORM_SRGB = 29$/;" v +DXT1_FOURCC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXT1_FOURCC = 0x31545844$/;" v +DXT3_FOURCC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXT3_FOURCC = 0x33545844$/;" v +DXT5_FOURCC adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^DXT5_FOURCC = 0x35545844$/;" v +dylib_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ dylib_lib_extension = ".dylib"$/;" v class:UnixCCompiler +dyn adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^dyn = dyne = 1e-5$/;" v +dynamic adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def dynamic(self):$/;" m class:Layer +dynamic adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def dynamic(self):$/;" m class:Layer +dynamic adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def dynamic(self):$/;" m class:LossScaleOptimizer +DYNAMIC adpepsenv/lib/python3.8/site-packages/torch/quantization/quant_type.py /^ DYNAMIC = 0$/;" v class:QuantType +DynamicAxisEnv adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class DynamicAxisEnv(list):$/;" c +DynamicAxisEnvFrame adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class DynamicAxisEnvFrame(object):$/;" c +DynamicInlineTableDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ class DynamicInlineTableDict(self._dict, InlineTableDict):$/;" c member:TomlDecoder.get_empty_inline_table file: +DynamicJaxprTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class DynamicJaxprTrace(core.Trace):$/;" c +DynamicJaxprTracer adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class DynamicJaxprTracer(core.Tracer):$/;" c +DynamicLearningRate adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^DynamicLearningRate = _reflection.GeneratedProtocolMessageType('DynamicLearningRate', (_message./;" v +DynamicLinear adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class DynamicLinear(QuantizeHandler):$/;" c +DynamicLossScale adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^class DynamicLossScale(LossScale):$/;" c +DynamicNames adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ class DynamicNames(object):$/;" c class:SequenceAndSetBase +DynamicParameterBindingProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^DynamicParameterBindingProto = _reflection.GeneratedProtocolMessageType('DynamicParameterBinding/;" v +DynamicPartition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^DynamicPartition = tf_export("raw_ops.DynamicPartition")(_ops.to_raw_op(dynamic_partition))$/;" v +DynamicProgramming adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^class DynamicProgramming(PathOptimizer):$/;" c +DynamicStitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^DynamicStitch = tf_export("raw_ops.DynamicStitch")(_ops.to_raw_op(dynamic_stitch))$/;" v +DynamicStub adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class DynamicStub(six.with_metaclass(abc.ABCMeta)):$/;" c +dynamic_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def dynamic_counter(self):$/;" m class:LossScaleOptimizer +dynamic_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def dynamic_fun(dummy, *args):$/;" f function:parallel_callable file: +dynamic_growth_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def dynamic_growth_steps(self):$/;" m class:LossScaleOptimizer +dynamic_index_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_index_in_dim(operand: Array, index: Array, axis: int = 0,$/;" f +dynamic_list_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^def dynamic_list_append(target, element):$/;" f +dynamic_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^from tensorflow.core.protobuf.tpu import dynamic_padding_pb2 as dynamic_padding$/;" x +dynamic_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def dynamic_partition(data, partitions, num_partitions, name=None):$/;" f +dynamic_partition_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def dynamic_partition_eager_fallback(data, partitions, num_partitions, name, ctx):$/;" f +DYNAMIC_PROGRAMMING adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ DYNAMIC_PROGRAMMING = 1$/;" v class:AssignmentAlgorithm +dynamic_programming adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def dynamic_programming(inputs, output, size_dict, memory_limit=None, **kwargs):$/;" f +DYNAMIC_QUANTIZATION_PATTERNS adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^DYNAMIC_QUANTIZATION_PATTERNS = OrderedDict()$/;" v +DYNAMIC_QUANT_MODULE_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^DYNAMIC_QUANT_MODULE_MAPPINGS = {$/;" v +dynamic_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^def dynamic_rnn(cell,$/;" f +dynamic_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def dynamic_rnn(cell,$/;" f +dynamic_shape_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def dynamic_shape_like(t):$/;" f member:GenericArrayLikeDataAdapter.slice_inputs file: +dynamic_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def dynamic_size(self):$/;" m class:TensorArray +dynamic_slice adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def dynamic_slice(operand, start_indices, slice_sizes):$/;" f +dynamic_slice adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_slice(operand: Array, start_indices: Sequence[Array],$/;" f +dynamic_slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^dynamic_slice = gen_xla_ops.xla_dynamic_slice$/;" v +dynamic_slice_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_slice_in_dim(operand: Array, start_index: Array,$/;" f +dynamic_slice_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^dynamic_slice_p = standard_primitive($/;" v +dynamic_ssl_server_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def dynamic_ssl_server_credentials(initial_certificate_configuration,$/;" f +dynamic_stitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def dynamic_stitch(indices, data, name=None):$/;" f +dynamic_stitch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def dynamic_stitch_eager_fallback(indices, data, name, ctx):$/;" f +dynamic_stub adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def dynamic_stub(channel, service, cardinalities, options=None):$/;" f +dynamic_stub adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def dynamic_stub(channel, service, cardinalities, host, metadata_transformer,$/;" f +dynamic_update_index_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_update_index_in_dim(operand: Array, update: Array, index: Array,$/;" f +dynamic_update_slice adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def dynamic_update_slice(operand, update, start_indices):$/;" f +dynamic_update_slice adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_update_slice(operand: Array, update: Array,$/;" f +dynamic_update_slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^dynamic_update_slice = gen_xla_ops.xla_dynamic_update_slice$/;" v +dynamic_update_slice_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def dynamic_update_slice_in_dim(operand: Array, update: Array,$/;" f +dynamic_update_slice_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^dynamic_update_slice_p = standard_primitive($/;" v +dynamic_wildcard_import adpepsenv/lib/python3.8/site-packages/tensorboard/summary/_tf/summary/__init__.py /^ def dynamic_wildcard_import(module):$/;" f function:reexport_tf_summary file: +dyn_args_maker adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def dyn_args_maker(self, rng: Rng) -> Sequence:$/;" m class:Harness +dyn_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def dyn_fun(self, *dyn_args):$/;" m class:Harness +dY_dx adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def dY_dx(x, c=None):$/;" f member:TestOptimizeSimple.test_minimize_coerce_args_param file: +dzasum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dzasum(int *n, z *zx, int *incx) nogil$/;" f +dznrm2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef d dznrm2(int *n, z *x, int *incx) nogil$/;" f +dzsum1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d dzsum1(int *n, z *cx, int *incx) nogil$/;" f +D_DENSE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^D_DENSE = 2$/;" v +D_HID adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^D_HID = 3$/;" v +d_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def d_interval(self):$/;" m class:Axis +d_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def d_interval(self, minmax):$/;" m class:Axis +D_OUT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^D_OUT = 1$/;" v +D_SPARSE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^D_SPARSE = 3$/;" v +e adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^e = np.e$/;" v +E adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ E = np.array([[1., 0., 0.], [0., 1., 0.], [0., 0., 2.]])$/;" v class:_ReducedHCT_Element +E adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^E = {$/;" v +e adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^e = elementary_charge = _cd('elementary charge')$/;" v +E adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^E = np.array([-13 - 7 * S6, -13 + 7 * S6, -1]) \/ 3$/;" v +E adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ E = NotImplemented$/;" v class:RungeKutta +E adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ E = np.array([-71\/57600, 0, 71\/16695, -71\/1920, 17253\/339200, -22\/525,$/;" v class:RK45 +E adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ E = np.array([5\/72, -1\/12, -1\/9, 1\/8])$/;" v class:RK23 +E adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^E = {$/;" v +e adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^e = np_export.np_export_constant(__name__, 'e', np.e)$/;" v +E01 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E01(h2, k2, s):$/;" f function:test_ellip_harm file: +E11 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E11(h2, k2, s):$/;" f function:test_ellip_harm file: +E12 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E12(h2, k2, s):$/;" f function:test_ellip_harm file: +E13 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E13(h2, k2, s):$/;" f function:test_ellip_harm file: +E163_4_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class E163_4_address(univ.Sequence):$/;" c +E21 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E21(h2, k2, s):$/;" f function:test_ellip_harm file: +E22 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E22(h2, k2, s):$/;" f function:test_ellip_harm file: +E23 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E23(h2, k2, s):$/;" f function:test_ellip_harm file: +E24 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E24(h2, k2, s):$/;" f function:test_ellip_harm file: +E25 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E25(h2, k2, s):$/;" f function:test_ellip_harm file: +E3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^E3 = np.zeros(N_STAGES + 1)$/;" v +E3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ E3 = dop853_coefficients.E3$/;" v class:DOP853 +E31 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E31(h2, k2, s):$/;" f function:test_ellip_harm file: +E32 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E32(h2, k2, s):$/;" f function:test_ellip_harm file: +E33 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E33(h2, k2, s):$/;" f function:test_ellip_harm file: +E34 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E34(h2, k2, s):$/;" f function:test_ellip_harm file: +E35 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E35(h2, k2, s):$/;" f function:test_ellip_harm file: +E36 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E36(h2, k2, s):$/;" f function:test_ellip_harm file: +E37 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def E37(h2, k2, s):$/;" f function:test_ellip_harm file: +E5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^E5 = np.zeros(N_STAGES + 1)$/;" v +E5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ E5 = dop853_coefficients.E5$/;" v class:DOP853 +Each adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Each(ParseExpression):$/;" c +Each adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Each(ParseExpression):$/;" c +Each adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Each(ParseExpression):$/;" c +Each adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Each(ParseExpression):$/;" c +EagerDatasetOrIteratorTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^class EagerDatasetOrIteratorTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +EagerFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^class EagerFunc(object):$/;" c +EagerGraphCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^class EagerGraphCombination(test_combinations.TestCombination):$/;" c +eagerly_executed_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def eagerly_executed_grad(*dy):$/;" f function:_EagerPyFuncGrad file: +EagerPyFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^EagerPyFunc = tf_export("raw_ops.EagerPyFunc")(_ops.to_raw_op(eager_py_func))$/;" v +EagerResourceDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class EagerResourceDeleter(object):$/;" c +eagers adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ eagers = None$/;" v class:ZipProvider +eagers adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ eagers = None$/;" v class:ZipProvider +EagerSessionWarner adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class EagerSessionWarner(object):$/;" c +EagerTemplate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^class EagerTemplate(Template):$/;" c +EagerTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^EagerTensor = pywrap_tfe.TFE_Py_InitEagerTensor(_EagerTensorBase)$/;" v +EagerVariableStore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class EagerVariableStore(object):$/;" c +eager_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^from tensorflow.python.eager import context as eager_context$/;" x +eager_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.eager import function as eager_function$/;" x +eager_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.eager import function as eager_function$/;" x +eager_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def eager_handle_data(self):$/;" m class:SparseMatrix +eager_lazy_remote_copy_on_and_off adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def eager_lazy_remote_copy_on_and_off(f):$/;" f +eager_learning_phase_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def eager_learning_phase_scope(value):$/;" f +eager_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def eager_mode():$/;" f +EAGER_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^EAGER_MODE = 1$/;" v +eager_mode_test_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def eager_mode_test_configuration():$/;" f +eager_only_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^def eager_only_combinations():$/;" f +eager_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def eager_py_func(input, token, Tout, is_async=False, name=None):$/;" f +eager_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def eager_py_func(func, inp, Tout, name=None):$/;" f +eager_py_func_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def eager_py_func_eager_fallback(input, token, Tout, is_async, name, ctx):$/;" f +eager_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def eager_restore(self, trackable):$/;" m class:_NameBasedRestoreCoordinator +eager_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def eager_run(main=None, argv=None):$/;" f +eager_safe_variable_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def eager_safe_variable_handle(initial_value, shape, shared_name, name,$/;" f +EarlyStopping adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class EarlyStopping(Callback):$/;" c +easter adpepsenv/lib/python3.8/site-packages/dateutil/easter.py /^def easter(year, method=EASTER_WESTERN):$/;" f +easter adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^easter = None$/;" v +easteregged adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def easteregged(environ, start_response):$/;" f function:_easteregg file: +EASTER_JULIAN adpepsenv/lib/python3.8/site-packages/dateutil/easter.py /^EASTER_JULIAN = 1$/;" v +EASTER_ORTHODOX adpepsenv/lib/python3.8/site-packages/dateutil/easter.py /^EASTER_ORTHODOX = 2$/;" v +EASTER_WESTERN adpepsenv/lib/python3.8/site-packages/dateutil/easter.py /^EASTER_WESTERN = 3$/;" v +EasyInstallDeprecationWarning adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class EasyInstallDeprecationWarning(SetuptoolsDeprecationWarning):$/;" c +easy_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def easy_dtype(ndtype, names=None, defaultfmt="f%i", **validationargs):$/;" f +easy_install adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def easy_install(self, spec, deps=False):$/;" m class:easy_install +easy_install adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class easy_install(Command):$/;" c +eat_tokens adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def eat_tokens(self, predicate):$/;" m class:TokenGenerator +ECDSA_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class ECDSA_Sig_Value(univ.Sequence):$/;" c +ECDSA_Sig_Value adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ECDSA_Sig_Value = rfc3279.ECDSA_Sig_Value$/;" v +ecdsa_with_SHA1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ecdsa_with_SHA1 = _OID(id_ecSigType, 1)$/;" v +ecdsa_with_SHA1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ecdsa_with_SHA1 = univ.ObjectIdentifier('1.2.840.10045.4.1')$/;" v +ecdsa_with_SHA224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ecdsa_with_SHA224 = univ.ObjectIdentifier('1.2.840.10045.4.3.1')$/;" v +ecdsa_with_SHA256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ecdsa_with_SHA256 = univ.ObjectIdentifier('1.2.840.10045.4.3.2')$/;" v +ecdsa_with_SHA384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ecdsa_with_SHA384 = univ.ObjectIdentifier('1.2.840.10045.4.3.3')$/;" v +ecdsa_with_SHA512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ecdsa_with_SHA512 = univ.ObjectIdentifier('1.2.840.10045.4.3.4')$/;" v +EchoBackend adpepsenv/lib/python3.8/site-packages/scipy/fft/_debug_backends.py /^class EchoBackend:$/;" c +ECHOSC1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ECHOSC1 = 0x0023$/;" v class:WAVE_FORMAT +ECHOSC3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ECHOSC3 = 0x003A$/;" v class:WAVE_FORMAT +echo_log_to_stdout adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^ echo_log_to_stdout = True$/;" v +echo_log_to_stdout adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^echo_log_to_stdout = False$/;" v +ECParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class ECParameters(univ.Sequence):$/;" c +ECParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^class ECParameters(univ.Choice):$/;" c +ECParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ECParameters = rfc5480.ECParameters$/;" v +EcpkParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class EcpkParameters(univ.Choice):$/;" c +ECPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class ECPoint(univ.OctetString):$/;" c +ECPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ECPoint = rfc3279.ECPoint$/;" v +ECPrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5915.py /^class ECPrivateKey(univ.Sequence):$/;" c +ECPVer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class ECPVer(univ.Integer):$/;" c +EC_SMimeCaps adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class EC_SMimeCaps(univ.SequenceOf):$/;" c +edgeitems adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ edgeitems = 3$/;" v class:__PrinterOptions +edges adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def edges(self):$/;" m class:Table +edges adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def edges(self, value):$/;" m class:Table +edges adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def edges(self):$/;" m class:Triangulation +edge_centers adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def edge_centers(self):$/;" m class:RectangleSelector +EDGE_ENHANCE adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class EDGE_ENHANCE(BuiltinFilter):$/;" c +EDGE_ENHANCE_MORE adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class EDGE_ENHANCE_MORE(BuiltinFilter):$/;" c +EDICT adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ EDICT = {'RED': 0, 'GREEN': 1, 'BLUE': 42}$/;" v class:TestEnum +ediff1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ediff1d(ary, to_end=None, to_begin=None):$/;" f +ediff1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def ediff1d(ary, to_end=None, to_begin=None):$/;" f +ediff1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def ediff1d(arr, to_end=None, to_begin=None):$/;" f +EDIPartyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class EDIPartyName(univ.Sequence):$/;" c +EDIPartyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class EDIPartyName(univ.Sequence):$/;" c +EDIPartyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class EDIPartyName(univ.Sequence):$/;" c +editable adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def editable():$/;" f +EditableCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class EditableCandidate(_InstallRequirementBackedCandidate):$/;" c +editables_only_test adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def editables_only_test(d):$/;" f function:get_installed_distributions file: +editable_test adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def editable_test(d):$/;" f function:get_installed_distributions file: +EditDistance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^EditDistance = tf_export("raw_ops.EditDistance")(_ops.to_raw_op(edit_distance))$/;" v +EditHistory adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^class EditHistory(object):$/;" c +EditionID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class EditionID(univ.Choice):$/;" c +edit_bottom_margin_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_bottom_margin_min(self, margin):$/;" m class:LayoutBox +edit_config adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^def edit_config(filename, settings, dry_run=False):$/;" f +edit_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def edit_distance(hypothesis, truth, normalize=True, name="edit_distance"):$/;" f +edit_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def edit_distance(hypothesis_indices, hypothesis_values, hypothesis_shape, truth_indices, truth_/;" f +edit_distance_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def edit_distance_eager_fallback(hypothesis_indices, hypothesis_values, hypothesis_shape, truth_/;" f +edit_height adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_height(self, height, strength='strong'):$/;" m class:LayoutBox +EDIT_HISTORY adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^EDIT_HISTORY = EditHistory()$/;" v +edit_left_margin_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_left_margin_min(self, margin):$/;" m class:LayoutBox +edit_parameters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def edit_parameters(self):$/;" m class:NavigationToolbar2QT +edit_right_margin_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_right_margin_min(self, margin):$/;" m class:LayoutBox +edit_top_margin_min adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_top_margin_min(self, margin):$/;" m class:LayoutBox +edit_width adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def edit_width(self, width, strength='strong'):$/;" m class:LayoutBox +EDROFF_PS adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^EDROFF_PS = """\\$/;" v +educateAngledQuotes adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def educateAngledQuotes(self, md):$/;" m class:SmartyExtension +educateDashes adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def educateDashes(self, md):$/;" m class:SmartyExtension +educateEllipses adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def educateEllipses(self, md):$/;" m class:SmartyExtension +educateQuotes adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def educateQuotes(self, md):$/;" m class:SmartyExtension +ef adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ ef = lambda a, b: lambda n, x: sc.eval_jacobi(n, a, b, x)$/;" f function:test_roots_jacobi file: +ef adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ ef = lambda a, b: lambda n, x: sc.eval_sh_jacobi(n, a, b, x)$/;" f function:test_roots_sh_jacobi file: +efail_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def efail_fn(slf, device, *args, **kwargs):$/;" f member:expectedAlertNondeterministic.__call__ file: +efail_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def efail_fn(slf, device, *args, **kwargs):$/;" f member:expectedFailure.__call__ file: +efail_fn_no_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def efail_fn_no_device(slf, *args, **kwargs):$/;" f member:expectedAlertNondeterministic.__call__ file: +effectiveTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def effectiveTagSet(self):$/;" m class:Asn1Type +effectiveTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def effectiveTagSet(self):$/;" m class:Choice +effect_mandelbrot adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def effect_mandelbrot(size, extent, quality):$/;" f +effect_noise adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def effect_noise(size, sigma):$/;" f +effect_spread adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def effect_spread(self, distance):$/;" m class:Image +EfficientNet adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNet($/;" f +EfficientNetB0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB0(include_top=True,$/;" f +EfficientNetB1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB1(include_top=True,$/;" f +EfficientNetB2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB2(include_top=True,$/;" f +EfficientNetB3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB3(include_top=True,$/;" f +EfficientNetB4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB4(include_top=True,$/;" f +EfficientNetB5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB5(include_top=True,$/;" f +EfficientNetB6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB6(include_top=True,$/;" f +EfficientNetB7 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def EfficientNetB7(include_top=True,$/;" f +efi adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^from tensorboard.backend.event_processing import event_file_inspector as efi$/;" x +EF_ARM_ABIMASK adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EF_ARM_ABIMASK = 0xFF000000$/;" v class:_ELFFileHeader +EF_ARM_ABIMASK adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EF_ARM_ABIMASK = 0xFF000000$/;" v class:_ELFFileHeader +EF_ARM_ABI_FLOAT_HARD adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EF_ARM_ABI_FLOAT_HARD = 0x00000400$/;" v class:_ELFFileHeader +EF_ARM_ABI_FLOAT_HARD adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EF_ARM_ABI_FLOAT_HARD = 0x00000400$/;" v class:_ELFFileHeader +EF_ARM_ABI_VER5 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EF_ARM_ABI_VER5 = 0x05000000$/;" v class:_ELFFileHeader +EF_ARM_ABI_VER5 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EF_ARM_ABI_VER5 = 0x05000000$/;" v class:_ELFFileHeader +egg2dist adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def egg2dist(self, egginfo_path, distinfo_path):$/;" m class:bdist_wheel +egg2wheel adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^def egg2wheel(egg_path, dest_dir):$/;" f +EggInfoDeprecationWarning adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^class EggInfoDeprecationWarning(SetuptoolsDeprecationWarning):$/;" c +EggInfoDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class EggInfoDistribution(BaseInstalledDistribution):$/;" c +EggInfoDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class EggInfoDistribution(Distribution):$/;" c +EggInfoDistribution adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class EggInfoDistribution(Distribution):$/;" c +EggMetadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class EggMetadata(ZipProvider):$/;" c +EggMetadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class EggMetadata(ZipProvider):$/;" c +EggProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class EggProvider(NullProvider):$/;" c +EggProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class EggProvider(NullProvider):$/;" c +EGG_DIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^EGG_DIST = 3$/;" v +EGG_DIST adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^EGG_DIST = 3$/;" v +egg_distribution adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def egg_distribution(self, egg_path):$/;" m class:easy_install +egg_fragment adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def egg_fragment(self):$/;" m class:Link +EGG_FRAGMENT adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^EGG_FRAGMENT = re.compile(r'^egg=([-A-Za-z0-9_.+!]+)$')$/;" v +egg_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/egg_info.py /^class egg_info(_egg_info):$/;" c +egg_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ egg_info = None$/;" v class:NullProvider +egg_info adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ egg_info = None$/;" v class:NullProvider +egg_info adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^class egg_info(InfoCommon, Command):$/;" c +egg_info_for_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def egg_info_for_url(url):$/;" f +egg_info_re adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^egg_info_re = re.compile(r'''$/;" v +egg_link_path adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def egg_link_path(dist):$/;" f +egg_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def egg_name(self):$/;" m class:Distribution +egg_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ egg_name = None$/;" v class:NullProvider +EGG_NAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^EGG_NAME = re.compile($/;" v +egg_name adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def egg_name(self):$/;" m class:Distribution +egg_name adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ egg_name = None$/;" v class:NullProvider +EGG_NAME adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^EGG_NAME = re.compile($/;" v +egg_name adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def egg_name(self):$/;" m class:Wheel +ei adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^ import setuptools.command.easy_install as ei$/;" I member:install_scripts.run file: +eig adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def eig(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +eig adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig(x, compute_left_eigenvectors=True, compute_right_eigenvectors=True):$/;" f +eig adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def eig(a):$/;" f +eig adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^eig = linpkg.eig$/;" v +eig adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def eig(a):$/;" f +eig adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eig(a, b=None, left=False, right=True, overwrite_a=False,$/;" f +eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def eig(input, Tout, compute_v=True, name=None):$/;" f +Eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Eig = tf_export("raw_ops.Eig")(_ops.to_raw_op(eig))$/;" v +eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def eig(tensor, name=None):$/;" f +EigCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class EigCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +eigh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def eigh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +eigh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh(x, lower: bool = True, symmetrize_input: bool = True):$/;" f +eigh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def eigh(a, UPLO=None, symmetrize_input=True):$/;" f +eigh adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def eigh(a, b=None, lower=True, eigvals_only=False, overwrite_a=False,$/;" f +eigh adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^eigh = linpkg.eigh$/;" v +eigh adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def eigh(a, UPLO='L'):$/;" f +eigh adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigh(a, b=None, lower=True, eigvals_only=False, overwrite_a=False,$/;" f +eigh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^eigh = linalg_ops.self_adjoint_eig$/;" v +eigh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eig as eigh$/;" x +eigh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eig as eigh$/;" x +eigh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eig as eigh$/;" x +eigh_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh_abstract_eval(operand, lower):$/;" f +eigh_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh_batching_rule(batched_args, batch_dims, lower):$/;" f +eigh_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh_impl(operand, lower):$/;" f +eigh_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh_jvp_rule(primals, tangents, lower):$/;" f +eigh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^eigh_p = Primitive('eigh')$/;" v +eigh_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eigh_translation_rule(c, operand, lower):$/;" f +eigh_tridiagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigh_tridiagonal(d, e, eigvals_only=False, select='a', select_range=None,$/;" f +eigs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def eigs(A, k=6, M=None, sigma=None, which='LM', v0=None,$/;" f +eigsh adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def eigsh(A, k=6, M=None, sigma=None, which='LM', v0=None,$/;" f +eigvals adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def eigvals(a):$/;" f +eigvals adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^eigvals = linpkg.eigvals$/;" v +eigvals adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def eigvals(a):$/;" f +eigvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigvals(a, b=None, overwrite_a=False, check_finite=True,$/;" f +eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def eigvals(self, name="eigvals"):$/;" m class:LinearOperator +eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def eigvals(tensor, name=None):$/;" f +EigvalsCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class EigvalsCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +eigvalsh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def eigvalsh(a, UPLO='L'):$/;" f +eigvalsh adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^eigvalsh = linpkg.eigvalsh$/;" v +eigvalsh adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def eigvalsh(a, UPLO='L'):$/;" f +eigvalsh adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigvalsh(a, b=None, lower=True, overwrite_a=False,$/;" f +eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^eigvalsh = linalg_ops.self_adjoint_eigvals$/;" v +eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eigvals as eigvalsh$/;" x +eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eigvals as eigvalsh$/;" x +eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import self_adjoint_eigvals as eigvalsh$/;" x +eigvalsh_tridiagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigvalsh_tridiagonal(d, e, select='a', select_range=None,$/;" f +eigvals_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eigvals_banded(a_band, lower=False, overwrite_a_band=False,$/;" f +eig_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_abstract_eval(operand, *, compute_left_eigenvectors,$/;" f +eig_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def eig_banded(a_band, lower=False, eigvals_only=False, overwrite_a_band=False,$/;" f +eig_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_batching_rule(batched_args, batch_dims, *, compute_left_eigenvectors,$/;" f +eig_cpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_cpu_translation_rule(c, operand, *, compute_left_eigenvectors,$/;" f +eig_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def eig_eager_fallback(input, Tout, compute_v, name, ctx):$/;" f +eig_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_impl(operand, *, compute_left_eigenvectors, compute_right_eigenvectors):$/;" f +eig_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_jvp_rule(primals, tangents, *, compute_left_eigenvectors,$/;" f +eig_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^eig_p = Primitive('eig')$/;" v +eig_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def eig_translation_rule(c, operand, *, compute_left_eigenvectors,$/;" f +einsum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def einsum(*operands, out=None, optimize='greedy', precision=None,$/;" f +einsum adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def einsum(*operands, out=None, optimize=False, **kwargs):$/;" f +einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def einsum(equation, *operands):$/;" f +einsum adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^einsum = gen_xla_ops.xla_einsum$/;" v +einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def einsum(inputs, equation, name=None):$/;" f +Einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Einsum = tf_export("raw_ops.Einsum")(_ops.to_raw_op(einsum))$/;" v +einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^einsum = special_math_ops.einsum$/;" v +einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def einsum(subscripts, *operands, **kwargs): # pylint: disable=missing-docstring$/;" f +einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def einsum(equation, *inputs, **kwargs):$/;" f +einsum adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def einsum(equation, *operands):$/;" f +einsum adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def einsum(g, equation, tensor_list):$/;" f +EinsumDense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^class EinsumDense(Layer):$/;" c +einsum_cache_wrap adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def einsum_cache_wrap(einsum):$/;" f +einsum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def einsum_eager_fallback(inputs, equation, name, ctx):$/;" f +einsum_path adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def einsum_path(subscripts, *operands, optimize='greedy'):$/;" f +einsum_path adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def einsum_path(*operands, optimize='greedy', einsum_call=False):$/;" f +einsum_symbols adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^einsum_symbols = 'abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ'$/;" v +einsum_symbols_set adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^einsum_symbols_set = set(einsum_symbols)$/;" v +elapsed adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def elapsed(self):$/;" m class:Infinite +elapsed_td adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def elapsed_td(self):$/;" m class:Infinite +elapsed_time adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def elapsed_time(self, end_event):$/;" m class:Event +elapsed_us adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def elapsed_us(self):$/;" m class:Interval +elapsed_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def elapsed_value(self):$/;" m class:ExecutionCounter +ElasticNet adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class ElasticNet(Regularizer):$/;" c +ElasticNetL1NormTrimmed adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class ElasticNetL1NormTrimmed(Regularizer):$/;" c +ElasticRod adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def ElasticRod(n):$/;" f +elc adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^from tensorflow.core.protobuf.tpu import tpu_embedding_configuration_pb2 as elc$/;" x +elec adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ elec = Elec(n_electrons=2)$/;" v class:TestNewToOldSLSQP +Elec adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class Elec:$/;" c +electrocardiogram adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^def electrocardiogram():$/;" f +element adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def element(self, tag, text=None, attrib={}, **extra):$/;" m class:XMLWriter +Element adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class Element(base.Node):$/;" c function:getETreeBuilder file: +Element adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Element(builder.Element):$/;" c member:TreeBuilder.__init__ file: +ELEMENT adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ELEMENT = Node.ELEMENT_NODE$/;" v +Element adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class Element(base.Node):$/;" c function:getETreeBuilder file: +Element adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ class Element(builder.Element):$/;" c member:TreeBuilder.__init__ file: +ELEMENT adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ELEMENT = Node.ELEMENT_NODE$/;" v +elementary_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def elementary_vector(n, i):$/;" f +elementClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ elementClass = None$/;" v class:TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def elementClass(self, name, namespace=None):$/;" m class:getDomBuilder.TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ elementClass = Element$/;" v class:getETreeBuilder.TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ elementClass = None$/;" v class:TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ elementClass = None$/;" v class:TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def elementClass(self, name, namespace=None):$/;" m class:getDomBuilder.TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ elementClass = Element$/;" v class:getETreeBuilder.TreeBuilder +elementClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ elementClass = None$/;" v class:TreeBuilder +elementInActiveFormattingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def elementInActiveFormattingElements(self, name):$/;" m class:TreeBuilder +elementInActiveFormattingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def elementInActiveFormattingElements(self, name):$/;" m class:TreeBuilder +elementInScope adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def elementInScope(self, target, variant=None):$/;" m class:TreeBuilder +elementInScope adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def elementInScope(self, target, variant=None):$/;" m class:TreeBuilder +elements_of_type adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def elements_of_type(dtype=np.float32, filter_=None):$/;" f +elementwise adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def elementwise(fun, **fun_kwargs):$/;" f +ElementwiseSumTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^class ElementwiseSumTest(hu.HypothesisTestCase):$/;" c +elementwise_linear adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/elementwise_linear.py /^def elementwise_linear(model, *args, **kwargs):$/;" f +elementwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def elementwise_max(X, Y):$/;" f member:TestOperators.test_max file: +element_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def element_name(self):$/;" m class:FrontendMetadata +element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def element_shape(self):$/;" m class:TensorArray +element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def element_shape(self):$/;" m class:_EagerTensorArray +element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def element_shape(self):$/;" m class:_GraphTensorArray +element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def element_shape(self):$/;" m class:_GraphTensorArrayV2 +element_size adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def element_size(self) -> int:$/;" m class:Storage +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def element_spec(self):$/;" m class:_DenseToRaggedDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def element_spec(self):$/;" m class:_DenseToSparseBatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def element_spec(self):$/;" m class:_MapAndBatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ def element_spec(self):$/;" m class:_DataServiceDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def element_spec(self):$/;" m class:_AutoShardDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def element_spec(self):$/;" m class:_LegacyRebatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def element_spec(self):$/;" m class:_RebatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def element_spec(self):$/;" m class:_RemoteDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def element_spec(self):$/;" m class:_GroupByReducerDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def element_spec(self):$/;" m class:_GroupByWindowDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def element_spec(self):$/;" m class:_DirectedInterleaveDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^ def element_spec(self):$/;" m class:_LoadDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/matching_files.py /^ def element_spec(self):$/;" m class:MatchingFilesDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def element_spec(self):$/;" m class:_ChooseFastestBranchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def element_spec(self):$/;" m class:_ChooseFastestDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/parsing_ops.py /^ def element_spec(self):$/;" m class:_ParseExampleDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def element_spec(self):$/;" m class:_MapOnGpuDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^ def element_spec(self):$/;" m class:RandomDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def element_spec(self):$/;" m class:CsvDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def element_spec(self):$/;" m class:SqlDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^ def element_spec(self):$/;" m class:_ScanDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:BatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:ConcatenateDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:DatasetV1 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:DatasetV1Adapter +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:DatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:FlatMapDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:InterleaveDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:MapDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:PaddedBatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:ParallelInterleaveDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:ParallelMapDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:RangeDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:SparseTensorSliceDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:TensorDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:TensorSliceDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:UnaryUnchangedStructureDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:WindowDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:ZipDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:_GeneratorDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:_RestructuredDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:_UnbatchDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def element_spec(self):$/;" m class:_VariantDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def element_spec(self):$/;" m class:Iterator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def element_spec(self):$/;" m class:IteratorBase +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def element_spec(self):$/;" m class:OwnedIterator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def element_spec(self):$/;" m class:MultiDeviceIterator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def element_spec(self):$/;" m class:OwnedMultiDeviceIterator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def element_spec(self):$/;" m class:_PerDeviceGenerator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def element_spec(self):$/;" m class:_ReincarnatedPerDeviceGenerator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def element_spec(self):$/;" m class:Optional +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def element_spec(self):$/;" m class:_OptionalImpl +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:FixedLengthRecordDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:ParallelInterleaveDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:TextLineDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:TFRecordDatasetV2 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:_FixedLengthRecordDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:_TextLineDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def element_spec(self):$/;" m class:_TFRecordDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def element_spec(self):$/;" m class:_PerWorkerDistributedDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedDataset +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedDatasetInterface +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedDatasetsFromFunction +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedIterator +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedIteratorInterface +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:DistributedIteratorV1 +element_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def element_spec(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +element_to_bucket_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def element_to_bucket_id(*args):$/;" f function:bucket_by_sequence_length file: +element_type adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def element_type(self):$/;" m class:Shape +elems_unflatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^ elems_unflatten = lambda x: nest.pack_sequence_as(elems, x)$/;" f function:map_fn file: +ELFCLASS32 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ ELFCLASS32 = 1$/;" v class:_ELFFileHeader +ELFCLASS32 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ ELFCLASS32 = 1$/;" v class:_ELFFileHeader +ELFCLASS64 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ ELFCLASS64 = 2$/;" v class:_ELFFileHeader +ELFCLASS64 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ ELFCLASS64 = 2$/;" v class:_ELFFileHeader +ELFDATA2LSB adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ ELFDATA2LSB = 1$/;" v class:_ELFFileHeader +ELFDATA2LSB adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ ELFDATA2LSB = 1$/;" v class:_ELFFileHeader +ELFDATA2MSB adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ ELFDATA2MSB = 2$/;" v class:_ELFFileHeader +ELFDATA2MSB adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ ELFDATA2MSB = 2$/;" v class:_ELFFileHeader +ELF_MAGIC_NUMBER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ ELF_MAGIC_NUMBER = 0x7F454C46$/;" v class:_ELFFileHeader +ELF_MAGIC_NUMBER adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ ELF_MAGIC_NUMBER = 0x7F454C46$/;" v class:_ELFFileHeader +Elif adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def Elif(self, cond, name=None):$/;" m class:_RunIf +eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def eliminate_zeros(self):$/;" m class:bsr_matrix +eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def eliminate_zeros(self):$/;" m class:_cs_matrix +eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def eliminate_zeros(self):$/;" m class:coo_matrix +ellip adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def ellip(N, rp, rs, Wn, btype='low', analog=False, output='ba', fs=None):$/;" f +ellipap adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def ellipap(N, rp, rs):$/;" f +ellipe adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ellipe(double x0) nogil$/;" f +ellipeinc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ellipeinc(double x0, double x1) nogil$/;" f +ellipeinc_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def ellipeinc_(f, k):$/;" f +ellipe_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def ellipe_(k):$/;" f +ellipj adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void ellipj(double x0, double x1, double *y0, double *y1, double *y2, double *y3) nogil$/;" f +ellipj_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def ellipj_(k):$/;" f +ellipk adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ellipk(double x0) nogil$/;" f +ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ellipkinc(double x0, double x1) nogil$/;" f +ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def ellipkinc(phi, m):$/;" f member:TestSystematic.test_ellipkinc file: +ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def ellipkinc(phi, m):$/;" f member:TestSystematic.test_ellipkinc_largephi file: +ellipkinc_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def ellipkinc_(f, k):$/;" f +ellipkm1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ellipkm1(double x0) nogil$/;" f +ellipk_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def ellipk_(k):$/;" f +ellipord adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def ellipord(wp, ws, gpass, gstop, analog=False, fs=None):$/;" f +Ellipse adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Ellipse(Patch):$/;" c +ellipse adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def ellipse(self, xy, fill=None, outline=None, width=1):$/;" m class:ImageDraw +ellipse adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def ellipse(self, xy, *options):$/;" m class:Draw +EllipseCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class EllipseCollection(Collection):$/;" c +EllipseSelector adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class EllipseSelector(RectangleSelector):$/;" c +ELLIPSIS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ELLIPSIS = "..."$/;" v +EllipsisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EllipsisMask(self):$/;" m class:StridedSliceOptions +ellipticCurve adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ellipticCurve = _OID(ansi_X9_62, 3)$/;" v +ellip_harm adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^def ellip_harm(h2, k2, n, p, s, signm=1, signn=1):$/;" f +ellip_harm_2 adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^def ellip_harm_2(h2, k2, n, p, s):$/;" f +ellip_harm_known adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def ellip_harm_known(h2, k2, n, p, s):$/;" f function:test_ellip_harm file: +ellip_normal adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^def ellip_normal(h2, k2, n, p):$/;" f +ellip_normal_known adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def ellip_normal_known(h2, k2, n, p):$/;" f function:test_ellip_norm file: +ell_table_61 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^ell_table_61 = ($/;" v +Else adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def Else(self, name=None):$/;" m class:Operations +Else adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def Else(self, name=None):$/;" m class:_RunIf +ElseSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ElseSubgraphIndex(self):$/;" m class:IfOptions +else_expression_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def else_expression_fn():$/;" f function:switch file: +Elu adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Elu = elementwise(elu)$/;" v +elu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def elu(x: Array, alpha: Array = 1.0) -> Array:$/;" f +ELU adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ELU = 111$/;" v class:BuiltinOperator +elu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def elu(x, alpha=1.0):$/;" f +elu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def elu(x, alpha=1.):$/;" f +ELU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class ELU(Layer):$/;" c +elu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def elu(features, name=None):$/;" f +Elu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Elu = tf_export("raw_ops.Elu")(_ops.to_raw_op(elu))$/;" v +elu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def elu(input, alpha=1., inplace=False):$/;" f +ELU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class ELU(Module):$/;" c +elu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def elu(input: Tensor, scale: float, zero_point: int, alpha: float = 1.) -> Tensor:$/;" f +ELU adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^class ELU(torch.nn.ELU):$/;" c +elu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def elu(g, input, alpha, scale, input_scale):$/;" f +ELU adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class ELU(QuantizeHandler):$/;" c +EluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^EluGrad = tf_export("raw_ops.EluGrad")(_ops.to_raw_op(elu_grad))$/;" v +elu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^elu_ = _add_docstr(torch._C._nn.elu_, r"""$/;" v +elu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def elu_eager_fallback(features, name, ctx):$/;" f +elu_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def elu_grad(gradients, outputs, name=None):$/;" f +elu_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def elu_grad_eager_fallback(gradients, outputs, name, ctx):$/;" f +elu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def elu_ref(X):$/;" f member:TestActivations.test_elu file: +emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^emailAddress = univ.ObjectIdentifier('1.2.840.113549.1.9.1')$/;" v +emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^emailAddress = Attribute()$/;" v +EmailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^EmailAddress = rfc5280.EmailAddress$/;" v +EmailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class EmailAddress(char.IA5String):$/;" c +EmailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class EmailAddress(char.IA5String):$/;" c +EMAIL_RE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^EMAIL_RE = re.compile($/;" v +emath adpepsenv/lib/python3.8/site-packages/numpy/lib/__init__.py /^from . import scimath as emath$/;" x +Embedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^class Embedding(Layer):$/;" c +embedding adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def embedding(input, weight, padding_idx=None, max_norm=None, norm_type=2.,$/;" f +Embedding adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^class Embedding(Module):$/;" c +Embedding adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^class Embedding(torch.nn.Module):$/;" c +embedding adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def embedding(g, weight, indices, padding_idx, scale_grad_by_freq, sparse):$/;" f +EmbeddingBag adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^class EmbeddingBag(Module):$/;" c +EmbeddingBag adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^class EmbeddingBag(Embedding):$/;" c +EmbeddingBagModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class EmbeddingBagModule(torch.nn.Module):$/;" c +EmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class EmbeddingColumn($/;" c +EmbeddingConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^class EmbeddingConfig(object):$/;" c +EmbeddingConfigSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^class EmbeddingConfigSpec($/;" c +EmbeddingDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^class EmbeddingDevice(enum.Enum):$/;" c +EmbeddingDimPerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EmbeddingDimPerChannel(self, j):$/;" m class:ConcatEmbeddingsOptions +EmbeddingDimPerChannelAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EmbeddingDimPerChannelAsNumpy(self):$/;" m class:ConcatEmbeddingsOptions +EmbeddingDimPerChannelIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EmbeddingDimPerChannelIsNone(self):$/;" m class:ConcatEmbeddingsOptions +EmbeddingDimPerChannelLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EmbeddingDimPerChannelLength(self):$/;" m class:ConcatEmbeddingsOptions +EmbeddingInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^EmbeddingInfo = _reflection.GeneratedProtocolMessageType('EmbeddingInfo', (_message.Message,), {$/;" v +EmbeddingInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^EmbeddingInfo = _reflection.GeneratedProtocolMessageType('EmbeddingInfo', (_message.Message,), {$/;" v +EmbeddingLookupSparseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EmbeddingLookupSparseOptions = 20$/;" v class:BuiltinOptions +EmbeddingLookupSparseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class EmbeddingLookupSparseOptions(object):$/;" c +EmbeddingLookupSparseOptionsAddCombiner adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def EmbeddingLookupSparseOptionsAddCombiner(builder, combiner): builder.PrependInt8Slot(0, combi/;" f +EmbeddingLookupSparseOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EmbeddingLookupSparseOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:EmbeddingLookupSparseOptions +EmbeddingLookupSparseOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def EmbeddingLookupSparseOptionsEnd(builder): return builder.EndObject()$/;" f +EmbeddingLookupSparseOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def EmbeddingLookupSparseOptionsStart(builder): builder.StartObject(1)$/;" f +EmbeddingLookupSparseOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class EmbeddingLookupSparseOptionsT(object):$/;" c +EmbeddingMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^class EmbeddingMetadata(object):$/;" c +EmbeddingModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class EmbeddingModule(torch.nn.Module):$/;" c +EmbeddingPackedParams adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^class EmbeddingPackedParams(torch.nn.Module):$/;" c +embeddings_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def embeddings_impl(self, ctx, experiment):$/;" m class:NpmiPlugin +EMBEDDINGS_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^EMBEDDINGS_TAG = "_npmi_\/embeddings"$/;" v +EmbeddingWithLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class EmbeddingWithLinear(torch.nn.Module):$/;" c +embedding_bag adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def embedding_bag(input, weight, offsets=None, max_norm=None, norm_type=2,$/;" f +embedding_bag adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def embedding_bag(g,$/;" f +embedding_bag adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def embedding_bag(g,$/;" f +embedding_bag adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def embedding_bag(g,$/;" f +embedding_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def embedding_column(categorical_column,$/;" f +embedding_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def embedding_column(categorical_column,$/;" f +embedding_column adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/__init__.py /^from tensorflow.python.tpu.feature_column_v2 import embedding_column_v2 as embedding_column$/;" x +embedding_column_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def embedding_column_v2(categorical_column,$/;" f +embedding_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def embedding_config(self):$/;" m class:_InternalTPUContext +EMBEDDING_LOOKUP adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EMBEDDING_LOOKUP = 7$/;" v class:BuiltinOperator +embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^def embedding_lookup(params,$/;" f +embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def embedding_lookup($/;" f +embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import embedding_lookup_v2 as embedding_lookup$/;" x +embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import embedding_lookup_v2 as embedding_lookup$/;" x +embedding_lookup_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def embedding_lookup_ragged(embedding_weights,$/;" f +EMBEDDING_LOOKUP_SPARSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EMBEDDING_LOOKUP_SPARSE = 33$/;" v class:BuiltinOperator +embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def embedding_lookup_sparse(params,$/;" f +embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import embedding_lookup_sparse_v2 as embedding_lookup_s/;" x +embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import embedding_lookup_sparse_v2 as embedding_lookup_s/;" x +embedding_lookup_sparse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def embedding_lookup_sparse_v2(params,$/;" f +embedding_lookup_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def embedding_lookup_v2(params, ids, max_norm=None, name=None):$/;" f +embedding_tables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def embedding_tables($/;" m class:TPUEmbedding +embedding_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def embedding_weights(self):$/;" m class:SharedEmbeddingColumnCreator +embedTTF adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def embedTTF(self, filename, characters):$/;" m class:PdfFile +embedTTFType3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def embedTTFType3(font, characters, descriptor):$/;" f member:PdfFile.embedTTF file: +embedTTFType42 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def embedTTFType42(font, characters, descriptor):$/;" f member:PdfFile.embedTTF file: +embed_check_categorical_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def embed_check_categorical_event_shape($/;" f +embed_check_integer_casting_closed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def embed_check_integer_casting_closed(x,$/;" f +embed_check_nonnegative_integer_form adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def embed_check_nonnegative_integer_form($/;" f +embed_comments adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def embed_comments(self, idx, currentlevel):$/;" m class:TomlDecoder +embed_comments adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def embed_comments(self, idx, currentlevel):$/;" m class:TomlPreserveCommentDecoder +EMBOSS adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class EMBOSS(BuiltinFilter):$/;" c +emden_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def emden_bc(ya, yb):$/;" f +emden_bc_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def emden_bc_jac(ya, yb):$/;" f +emden_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def emden_fun(x, y):$/;" f +emden_fun_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def emden_fun_jac(x, y):$/;" f +emden_sol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def emden_sol(x):$/;" f +emit adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def emit(self, record):$/;" m class:ABSLHandler +emit adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def emit(self, record):$/;" m class:PythonHandler +emit adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^ def emit(self, record): pass$/;" m class:NullHandler +emit adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def emit(self, record):$/;" m class:NullHandler +emit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def emit(self, code):$/;" m class:CodeGenerator +emit adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def emit(self, event):$/;" m class:Emitter +emit adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def emit(events, stream=None, Dumper=Dumper,$/;" f +emitCurrentToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def emitCurrentToken(self):$/;" m class:HTMLTokenizer +emitCurrentToken adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def emitCurrentToken(self):$/;" m class:HTMLTokenizer +emitFunctionHook adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def emitFunctionHook(self, func):$/;" m class:JitTestCase +emitModuleHook adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def emitModuleHook(self, module):$/;" m class:JitTestCase +Emitter adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^class Emitter:$/;" c +EmitterError adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^class EmitterError(YAMLError):$/;" c +emit_assignments adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def emit_assignments(o, env):$/;" f +emit_block adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^ def emit_block(decls):$/;" f function:_list_supported_ops file: +emit_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_counter(self, category, name, pid, timestamp, counter, value):$/;" m class:_ChromeTraceFormatter +emit_counters adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_counters(self, category, name, pid, timestamp, counters):$/;" m class:_ChromeTraceFormatter +emit_flow_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_flow_end(self, name, timestamp, pid, tid, flow_id):$/;" m class:_ChromeTraceFormatter +emit_flow_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_flow_start(self, name, timestamp, pid, tid, flow_id):$/;" m class:_ChromeTraceFormatter +emit_nvtx adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class emit_nvtx(object):$/;" c +emit_obj_create adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_obj_create(self, category, name, timestamp, pid, tid, object_id):$/;" m class:_ChromeTraceFormatter +emit_obj_delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_obj_delete(self, category, name, timestamp, pid, tid, object_id):$/;" m class:_ChromeTraceFormatter +emit_obj_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_obj_snapshot(self, category, name, timestamp, pid, tid, object_id,$/;" m class:_ChromeTraceFormatter +emit_pid adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_pid(self, name, pid):$/;" m class:_ChromeTraceFormatter +emit_region adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_region(self, timestamp, duration, pid, tid, category, name, args):$/;" m class:_ChromeTraceFormatter +emit_str adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def emit_str(s: str):$/;" f function:_print_tap_func file: +emit_tid adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def emit_tid(self, name, pid, tid):$/;" m class:_ChromeTraceFormatter +EMPHASIS_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^EMPHASIS_RE = r'(_)([^_]+)\\1'$/;" v +EMPHASIS_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^EMPHASIS_RE = r'(\\*)([^\\*]+)\\1'$/;" v +Empty adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^Empty = _reflection.GeneratedProtocolMessageType('Empty', (_message.Message,), {$/;" v +Empty adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class Empty(object):$/;" c +empty adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^empty = zeros$/;" v +empty adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def empty(): pass$/;" f function:_deprecate_method_override file: +empty adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def empty(self):$/;" m class:Stack +empty adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^empty = _convert2ma('empty', params=dict(fill_value=None, hardmask=False))$/;" v +empty adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def empty(shape, dtype=None, order='C'):$/;" f +Empty adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Empty(Token):$/;" c +empty adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^empty = Empty().setName("empty")$/;" v +Empty adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Empty(Token):$/;" c +empty adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^empty = Empty().setName("empty")$/;" v +Empty adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Empty(Token):$/;" c +empty adpepsenv/lib/python3.8/site-packages/pyparsing.py /^empty = Empty().setName("empty")$/;" v +empty adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def empty(cls, n):$/;" m class:CanonicalConstraint +Empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class Empty(interface.LinearOperator):$/;" c function:test_inheritance file: +empty adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def empty():$/;" f function:maybe_close file: +Empty adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Empty(Token):$/;" c +empty adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^empty = Empty().setName("empty")$/;" v +empty adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^ def empty(cls):$/;" m class:AuthContext +empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def empty(element_spec):$/;" m class:Optional +empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def empty(shape, dtype, init=False, name=None):$/;" f +Empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Empty = tf_export("raw_ops.Empty")(_ops.to_raw_op(empty))$/;" v +empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^empty = gen_array_ops.empty$/;" v +empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def empty(shape, dtype=float): # pylint: disable=redefined-outer-name$/;" f +empty adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def empty(g, sizes, dtype, layout, device, pin_memory=False, memory_format=None):$/;" f +empty adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def empty(g, sizes, dtype, layout, device, pin_memory=False, memory_format=None):$/;" f +empty adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def empty(self):$/;" m class:Rule +EmptyBlockProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class EmptyBlockProcessor(BlockProcessor):$/;" c +EmptyDeviceScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def EmptyDeviceScope():$/;" f +EmptyHeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class EmptyHeaderError(HeaderError):$/;" c +EmptyNameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def EmptyNameScope():$/;" f +EmptyPathRedirectMiddleware adpepsenv/lib/python3.8/site-packages/tensorboard/backend/empty_path_redirect.py /^class EmptyPathRedirectMiddleware(object):$/;" c +EmptyPoolError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class EmptyPoolError(PoolError):$/;" c +EmptyPoolError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class EmptyPoolError(PoolError):$/;" c +EmptyProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class EmptyProvider(NullProvider):$/;" c +EmptyProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class EmptyProvider(NullProvider):$/;" c +EmptyStoreValue adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^class EmptyStoreValue(object): pass$/;" c +emptyString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^emptyString = EncodingParameters(value='')$/;" v +EmptyStructMarker adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^class EmptyStructMarker(object):$/;" c +EmptyT adpeps/utils/empty_tensor.py /^class EmptyT:$/;" c +emptyTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def emptyTag(self, namespace, name, attrs, hasChildren=False):$/;" m class:TreeWalker +emptyTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def emptyTag(self, namespace, name, attrs, hasChildren=False):$/;" m class:TreeWalker +EmptyTensorList adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^EmptyTensorList = tf_export("raw_ops.EmptyTensorList")(_ops.to_raw_op(empty_tensor_list))$/;" v +EmptyTensorMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^EmptyTensorMap = tf_export("raw_ops.EmptyTensorMap")(_ops.to_raw_op(empty_tensor_map))$/;" v +empty_cache adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def empty_cache() -> None:$/;" f +empty_data_func adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def empty_data_func(self, B, x):$/;" m class:TestODR +empty_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def empty_eager_fallback(shape, dtype, init, name, ctx):$/;" f +empty_fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ empty_fill = ' '$/;" v class:Bar +empty_fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ empty_fill = '∙'$/;" v class:ChargingBar +empty_fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ empty_fill = '▢'$/;" v class:FillingSquaresBar +empty_fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ empty_fill = '◯'$/;" v class:FillingCirclesBar +empty_like adpeps/utils/tlist.py /^ def empty_like(T, empty_obj=None):$/;" m class:TList +empty_like adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^empty_like = zeros_like$/;" v +empty_like adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def empty_like(prototype, dtype=None, order=None, subok=None, shape=None):$/;" f +empty_like adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^empty_like = _convert2ma('empty_like')$/;" v +empty_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def empty_like(x, init=None):$/;" f +empty_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def empty_like(a, dtype=None):$/;" f +empty_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def empty_like(g, input, dtype, layout, device, pin_memory=False, memory_format=None):$/;" f +empty_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def empty_like(g, input, dtype=None, layout=None, device=None, pin_memory=False, memory_format=N/;" f +empty_list_of_elements_like_x adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^ def empty_list_of_elements_like_x():$/;" f function:_tf_tensor_list_append file: +empty_module adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^empty_module = None$/;" v +empty_module adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^empty_module = None$/;" v +empty_provider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^empty_provider = EmptyProvider()$/;" v +empty_provider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^empty_provider = EmptyProvider()$/;" v +EMPTY_TAG adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EMPTY_TAG = 'empty_tag'$/;" v class:Tags +empty_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def empty_tensor_list(element_shape, max_num_elements, element_dtype, name=None):$/;" f +empty_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def empty_tensor_list(element_shape,$/;" f +empty_tensor_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def empty_tensor_list_eager_fallback(element_shape, max_num_elements, element_dtype, name, ctx):$/;" f +empty_tensor_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def empty_tensor_map(name=None):$/;" f +empty_tensor_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def empty_tensor_map():$/;" f +empty_tensor_map_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def empty_tensor_map_eager_fallback(name, ctx):$/;" f +empty_to_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def empty_to_1(x):$/;" f member:TestApplyAlongAxis.test_empty file: +empty_with_docstring adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def empty_with_docstring(): """doc"""$/;" f function:_deprecate_method_override file: +emsg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ emsg = lambda: '%r\\n%s' % (inp, msg)$/;" f member:TestMinMax.test_minmax_blocked file: +EmStrongItem adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class EmStrongItem(namedtuple('EmStrongItem', ['pattern', 'builder', 'tags'])):$/;" c +emulate_nonposix adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^class emulate_nonposix:$/;" c +EM_386 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EM_386 = 3$/;" v class:_ELFFileHeader +EM_386 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EM_386 = 3$/;" v class:_ELFFileHeader +EM_ARM adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EM_ARM = 40$/;" v class:_ELFFileHeader +EM_ARM adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EM_ARM = 40$/;" v class:_ELFFileHeader +EM_S390 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EM_S390 = 22$/;" v class:_ELFFileHeader +EM_S390 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EM_S390 = 22$/;" v class:_ELFFileHeader +EM_STRONG2_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^EM_STRONG2_RE = r'(_)\\1{2}(.+?)\\1(.*?)\\1{2}'$/;" v +EM_STRONG_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^EM_STRONG_RE = r'(\\*)\\1{2}(.+?)\\1(.*?)\\1{2}'$/;" v +EM_X86_64 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EM_X86_64 = 62$/;" v class:_ELFFileHeader +EM_X86_64 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EM_X86_64 = 62$/;" v class:_ELFFileHeader +enable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def enable(self, event):$/;" m class:AxisScaleBase +enable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def enable(self, event):$/;" m class:ToolFullScreen +enable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def enable(self, event):$/;" m class:ZoomPanBase +enable adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def enable(self, event=None):$/;" m class:ToolToggleBase +enable adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def enable(self, shrink=1):$/;" m class:_MaskedPrintOption +enable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def enable(self):$/;" m class:MenuItem +enable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tf2.py /^def enable():$/;" f +enable adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def enable():$/;" f +EnableControlFlowV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def EnableControlFlowV2(graph):$/;" f +enabled adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def enabled(self):$/;" m class:_MaskedPrintOption +enabled adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ enabled = True # always enabled$/;" v class:Unplugger +enabled adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ enabled = True$/;" v class:KnownFailurePlugin +enabled adpepsenv/lib/python3.8/site-packages/setuptools/distutils_patch.py /^def enabled():$/;" f +ENABLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ ENABLED = 1$/;" v class:Status +enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ enabled = options.create_option($/;" v class:MapVectorizationOptions +enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tfrt_utils.py /^def enabled():$/;" f +enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^enabled = False$/;" v +enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/tf2.py /^def enabled():$/;" f +enabled adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ enabled = ContextProp(torch._C._get_cudnn_enabled, torch._C._set_cudnn_enabled)$/;" v class:CudnnModule +enabled adpepsenv/lib/python3.8/site-packages/torch/backends/mkldnn/__init__.py /^ enabled = ContextProp(torch._C._get_mkldnn_enabled, torch._C._set_mkldnn_enabled)$/;" v class:MkldnnModule +enabled adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^ enabled = _XNNPACKEnabled()$/;" v class:XNNPACKEngine +enabled adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^ enabled = property(get_enabled, set_enabled)$/;" v class:Warning +EnabledProxy adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^class EnabledProxy:$/;" c +enablePackrat adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def enablePackrat(cache_size_limit=128):$/;" m class:ParserElement +enablePackrat adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def enablePackrat(cache_size_limit=128):$/;" m class:ParserElement +enablePackrat adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def enablePackrat(cache_size_limit=128):$/;" m class:ParserElement +enablePackrat adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def enablePackrat(cache_size_limit=128):$/;" m class:ParserElement +enable_auto_cast_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^class enable_auto_cast_variables(object): # pylint:disable=invalid-name$/;" c +enable_check_numerics adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def enable_check_numerics(stack_height_limit=30,$/;" f +enable_collective_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_collective_ops(self, server_def):$/;" m class:Context +enable_colourful_output adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^def enable_colourful_output(level=logging.INFO):$/;" f +enable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def enable_control_flow_v2(fn):$/;" f +enable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def enable_control_flow_v2(): # pylint: disable=invalid-name$/;" f +ENABLE_CONTROL_FLOW_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^ENABLE_CONTROL_FLOW_V2 = ((tf2.enabled() and$/;" v +enable_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_toggles.py /^def enable_control_flow_v2(): # pylint: disable=invalid-name$/;" f +enable_cpu_fuser adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def enable_cpu_fuser(fn):$/;" f +enable_cpu_fuser_if adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def enable_cpu_fuser_if(cond):$/;" f +enable_c_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def enable_c_shapes(fn):$/;" f +enable_dump_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def enable_dump_debug_info(dump_root,$/;" f +enable_eager_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def enable_eager_execution(config=None, device_policy=None,$/;" f +enable_eager_execution_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def enable_eager_execution_internal(config=None,$/;" f +enable_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def enable_fake_quant(self, enabled=True):$/;" m class:FakeQuantize +enable_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^def enable_fake_quant(mod):$/;" f +enable_grad adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^class enable_grad(_DecoratorContextManager):$/;" c +enable_graph_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_graph_collection(self):$/;" m class:Context +enable_graph_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def enable_graph_collection():$/;" f +enable_ipython_completer adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^def enable_ipython_completer():$/;" f +enable_jpeg2k adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^enable_jpeg2k = features.check_codec("jpg_2000")$/;" v +enable_keras_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def enable_keras_tensors():$/;" f +enable_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/metric_utils.py /^enable_metrics = False$/;" v +enable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def enable_mixed_precision_graph_rewrite(opt, loss_scale='dynamic'):$/;" f +enable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/__init__.py /^from tensorflow.python.training.experimental.mixed_precision import enable_mixed_precision_graph/;" x +enable_mixed_precision_graph_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/experimental/__init__.py /^from tensorflow.python.training.experimental.mixed_precision import enable_mixed_precision_graph/;" x +enable_mixed_precision_graph_rewrite_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def enable_mixed_precision_graph_rewrite_v1(opt, loss_scale='dynamic'):$/;" f +enable_mlir_bridge adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_mlir_bridge(self):$/;" m class:Context +enable_mlir_bridge adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_mlir_bridge(self, enabled):$/;" m class:Context +enable_mlir_bridge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def enable_mlir_bridge():$/;" f +enable_mlir_graph_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_mlir_graph_optimization(self):$/;" m class:Context +enable_mlir_graph_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_mlir_graph_optimization(self, enabled):$/;" m class:Context +enable_mlir_graph_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def enable_mlir_graph_optimization():$/;" f +enable_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def enable_observer(self, enabled=True):$/;" m class:FakeQuantize +enable_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^def enable_observer(mod):$/;" f +enable_omnistaging adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def enable_omnistaging(self):$/;" m class:Config +enable_output_all_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def enable_output_all_intermediates(fn):$/;" f +enable_param_learning adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def enable_param_learning(self):$/;" m class:_LearnableFakeQuantize +enable_profiling_mode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def enable_profiling_mode():$/;" f +enable_profiling_mode_for_profiling_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def enable_profiling_mode_for_profiling_tests():$/;" f +enable_resource_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def enable_resource_variables():$/;" f +enable_rnn_executor adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^ def enable_rnn_executor(self, net, value, forward_only):$/;" m class:TestObservers +enable_rnn_executor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def enable_rnn_executor(self, net, value, forward_only):$/;" m class:TestRNNExecutor +enable_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_run_metadata(self):$/;" m class:Context +enable_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def enable_run_metadata():$/;" f +enable_static_estimate adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def enable_static_estimate(self):$/;" m class:_LearnableFakeQuantize +enable_static_observation adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def enable_static_observation(self):$/;" m class:_LearnableFakeQuantize +enable_tensor_equality adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def enable_tensor_equality():$/;" f +enable_tensor_float_32_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def enable_tensor_float_32_execution(enabled):$/;" f +enable_tf_xla_constant_folding adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def enable_tf_xla_constant_folding(description):$/;" f +enable_tf_xla_constant_folding_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def enable_tf_xla_constant_folding_impl(func):$/;" f function:enable_tf_xla_constant_folding file: +enable_v2_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/v2_compat.py /^def enable_v2_behavior():$/;" f +enable_v2_dtype_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def enable_v2_dtype_behavior():$/;" f +enable_v2_dtype_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def enable_v2_dtype_behavior(fn):$/;" f +enable_v2_tensorshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def enable_v2_tensorshape():$/;" f +enable_x64 adpepsenv/lib/python3.8/site-packages/jax/experimental/x64_context.py /^def enable_x64():$/;" f +enable_xla_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def enable_xla_devices(self):$/;" m class:Context +EncapsulatedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EncapsulatedContentInfo(univ.Sequence):$/;" c +EncapsulatedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EncapsulatedContentInfo(univ.Sequence):$/;" c +EncKeyWithID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class EncKeyWithID(univ.Sequence):$/;" c +enclosing_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def enclosing_scope(self):$/;" m class:Scope +enclosing_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def enclosing_tpu_context():$/;" f +enclosing_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/device_context.py /^def enclosing_tpu_context():$/;" f +enclosing_xla_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^def enclosing_xla_context():$/;" f +encode adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^def encode(signer, payload, header=None, key_id=None):$/;" f +encode adpepsenv/lib/python3.8/site-packages/google/auth/_jwt_async.py /^def encode(signer, payload, header=None, key_id=None):$/;" f +encode adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def encode(s):$/;" f +encode adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^decode, encode = _gen_filter_tuples()$/;" v +encode adpepsenv/lib/python3.8/site-packages/idna/codec.py /^ def encode(self, data, errors='strict'):$/;" m class:Codec +encode adpepsenv/lib/python3.8/site-packages/idna/core.py /^def encode(s, strict=False, uts46=False, std3_rules=False, transitional=False):$/;" f +encode adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def encode(self, encoding=None, errors=None):$/;" m class:chararray +encode adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def encode(a, encoding=None, errors=None):$/;" f +encode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ encode = lambda x: to_unicode(x, encoding) if encoding else x$/;" f member:Request.__init__ file: +encode adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ encode = lambda x: to_unicode(x, encoding) if encoding else x$/;" f member:Client.__init__ file: +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def encode(x):$/;" m class:FileCache +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def encode(self, string):$/;" m class:HTMLSerializer +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^ def encode(self, data, errors='strict'):$/;" m class:Codec +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def encode(s, strict=False, uts46=False, std3_rules=False, transitional=False):$/;" f +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^ def encode(self, input, errors='strict'):$/;" m class:Codec +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^ def encode(self, input, final=False):$/;" m class:IncrementalEncoder +encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def encode(input, encoding=UTF8, errors='strict'):$/;" f +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encode(self, value, asn1Spec=None, encodeFun=None, **options):$/;" m class:AbstractItemEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^encode = Encoder(tagMap, typeMap)$/;" v +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^encode = Encoder(tagMap, typeMap)$/;" v +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^encode = Encoder(tagMap, typeMap)$/;" v +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:AbstractItemEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:AnyEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:BitStringEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:BooleanEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:IntegerEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:NullEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:ObjectIdentifierEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:OctetStringEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:RealEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:SequenceOfEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:SetEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def encode(self, value, encodeFun, **options):$/;" m class:TextStringEncoder +encode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^encode = Encoder(tagMap, typeMap)$/;" v +encode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def encode(self, string):$/;" m class:HTMLSerializer +encode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^ def encode(self, input, errors='strict'):$/;" m class:Codec +encode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^ def encode(self, input, final=False):$/;" m class:IncrementalEncoder +encode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def encode(input, encoding=UTF8, errors='strict'):$/;" f +encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^ def encode(self, obj):$/;" m class:Encoder +encode adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def encode(self, charset="utf-8", errors="replace"):$/;" m class:URL +EncodeBase64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^EncodeBase64 = tf_export("raw_ops.EncodeBase64")(_ops.to_raw_op(encode_base64))$/;" v +EncodeError adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^class EncodeError(Error):$/;" c +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:_ModifiedEncoder.SpecificEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:_SimpleEncoder.SpecificEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, unused_deterministic=None):$/;" f function:_FloatingPointEncoder.SpecificEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, unused_deterministic=None):$/;" f function:_StructPackEncoder.SpecificEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:BytesEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:GroupEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:MessageEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:StringEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, unused_deterministic=None):$/;" f function:BoolEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:MapEncoder file: +EncodeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeField(write, value, deterministic):$/;" f function:MessageSetItemEncoder file: +EncodeJpeg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^EncodeJpeg = tf_export("raw_ops.EncodeJpeg")(_ops.to_raw_op(encode_jpeg))$/;" v +EncodeJpegVariableQuality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^EncodeJpegVariableQuality = tf_export("raw_ops.EncodeJpegVariableQuality")(_ops.to_raw_op(encode/;" v +encodeLength adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeLength(self, length, defMode):$/;" m class:AbstractItemEncoder +EncodeNonFiniteOrRaise adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeNonFiniteOrRaise(write, value):$/;" f function:_FloatingPointEncoder file: +EncodePackedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodePackedField(write, value, deterministic):$/;" f function:_FloatingPointEncoder.SpecificEncoder file: +EncodePackedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodePackedField(write, value, deterministic):$/;" f function:_ModifiedEncoder.SpecificEncoder file: +EncodePackedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodePackedField(write, value, deterministic):$/;" f function:_SimpleEncoder.SpecificEncoder file: +EncodePackedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodePackedField(write, value, deterministic):$/;" f function:_StructPackEncoder.SpecificEncoder file: +EncodePackedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodePackedField(write, value, deterministic):$/;" f function:BoolEncoder file: +EncodePng adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^EncodePng = tf_export("raw_ops.EncodePng")(_ops.to_raw_op(encode_png))$/;" v +EncodeProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^EncodeProto = tf_export("raw_ops.EncodeProto")(_ops.to_raw_op(encode_proto))$/;" v +Encoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class Encoder(object):$/;" c +Encoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class Encoder(encoder.Encoder):$/;" c +Encoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^class Encoder(encoder.Encoder):$/;" c +Encoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class Encoder(object):$/;" c +Encoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^class Encoder(json.JSONEncoder):$/;" c +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:_ModifiedEncoder.SpecificEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:_SimpleEncoder.SpecificEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, unused_deterministic=None):$/;" f function:_FloatingPointEncoder.SpecificEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, unused_deterministic=None):$/;" f function:_StructPackEncoder.SpecificEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:BytesEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:GroupEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:MessageEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, deterministic):$/;" f function:StringEncoder file: +EncodeRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeRepeatedField(write, value, unused_deterministic=None):$/;" f function:BoolEncoder file: +ENCODERS adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ENCODERS = {}$/;" v +encoder_util adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^from tensorboard.util import encoder as encoder_util$/;" x +EncodeSignedVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeSignedVarint(write, value, unused_deterministic=None):$/;" f function:_SignedVarintEncoder file: +encodeStrict adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def encodeStrict(self, string):$/;" m class:HTMLSerializer +encodeStrict adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def encodeStrict(self, string):$/;" m class:HTMLSerializer +encodeTag adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeTag(self, singleTag, isConstructed):$/;" m class:AbstractItemEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:AbstractItemEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:AnyEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:BitStringEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:BooleanEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:ChoiceEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:EndOfOctetsEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:IntegerEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:NullEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:ObjectIdentifierEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:OctetStringEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:RealEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:SequenceEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:SequenceOfEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:BooleanEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:SequenceOfEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:SetEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:SetOfEncoder +encodeValue adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def encodeValue(self, value, asn1Spec, encodeFun, **options):$/;" m class:TimeEncoderMixIn +EncodeVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def EncodeVarint(write, value, unused_deterministic=None):$/;" f function:_VarintEncoder file: +EncodeWav adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^EncodeWav = tf_export("raw_ops.EncodeWav")(_ops.to_raw_op(encode_wav))$/;" v +encode_and_escape adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def encode_and_escape(name):$/;" f member:_GSConverter.__call__ file: +encode_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def encode_arg(arg, path):$/;" f function:convert_structure_to_signature file: +encode_base64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def encode_base64(input, pad=False, name=None):$/;" f +encode_base64_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def encode_base64_eager_fallback(input, pad, name, ctx):$/;" f +encode_jpeg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_jpeg(image, format="", quality=95, progressive=False, optimize_size=False, chroma_dow/;" f +encode_jpeg_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_jpeg_eager_fallback(image, format, quality, progressive, optimize_size, chroma_downsa/;" f +encode_jpeg_variable_quality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_jpeg_variable_quality(images, quality, name=None):$/;" f +encode_jpeg_variable_quality_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_jpeg_variable_quality_eager_fallback(images, quality, name, ctx):$/;" f +encode_multipart adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^def encode_multipart(values, boundary=None, charset="utf-8"):$/;" f +encode_multipart_formdata adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/filepost.py /^def encode_multipart_formdata(fields, boundary=None):$/;" f +encode_multipart_formdata adpepsenv/lib/python3.8/site-packages/urllib3/filepost.py /^def encode_multipart_formdata(fields, boundary=None):$/;" f +encode_netloc adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def encode_netloc(self):$/;" m class:BytesURL +encode_netloc adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def encode_netloc(self):$/;" m class:URL +encode_params_utf8 adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def encode_params_utf8(params):$/;" f +encode_png adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^encode_png = _TensorFlowPngEncoder()$/;" v +encode_png adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_png(image, compression=-1, name=None):$/;" f +encode_png adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def encode_png(image, compression=-1, name=None):$/;" f +encode_png_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def encode_png_eager_fallback(image, compression, name, ctx):$/;" f +encode_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^def encode_proto(sizes, values, field_names, message_type, descriptor_source="local:\/\/", name=/;" f +encode_proto_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^def encode_proto_eager_fallback(sizes, values, field_names, message_type, descriptor_source, nam/;" f +encode_query_args adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def encode_query_args(self, query_args):$/;" m class:MapAdapter +encode_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def encode_request(self, fields, files):$/;" m class:PackageIndex +encode_resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def encode_resource_handle(resource_handle):$/;" f +encode_string adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def encode_string(self, s, fonttype):$/;" m class:RendererPdf +encode_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def encode_structure(self, nested_structure):$/;" m class:StructureCoder +encode_text adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^def encode_text(s):$/;" f +encode_unicode_cols adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def encode_unicode_cols(row_tup):$/;" f function:genfromtxt file: +encode_wav adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^encode_wav = _TensorFlowWavEncoder()$/;" v +encode_wav adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def encode_wav(audio, sample_rate, name=None):$/;" f +encode_wav_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def encode_wav_eager_fallback(audio, sample_rate, name, ctx):$/;" f +Encoding adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class Encoding:$/;" c +encoding adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def encoding(self):$/;" m class:StreamWrapper +ENCODING adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ ENCODING = "utf-8"$/;" v +encoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ encoding = ENCODING # Encoding for 8-bit character strings.$/;" v class:TarFile +Encoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^class Encoding(object):$/;" c +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = "utf-16-be"$/;" v class:BMPString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = "utf-32-be"$/;" v class:UniversalString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = "utf-8"$/;" v class:UTF8String +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'iso-8859-1'$/;" v class:GeneralString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'iso-8859-1'$/;" v class:GraphicString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'iso-8859-1'$/;" v class:TeletexString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'iso-8859-1'$/;" v class:VideotexString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'us-ascii'$/;" v class:IA5String +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'us-ascii'$/;" v class:NumericString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'us-ascii'$/;" v class:PrintableString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ encoding = 'us-ascii'$/;" v class:VisibleString +encoding adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ encoding = 'iso-8859-1'$/;" v class:OctetString +Encoding adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class Encoding(char.UTF8String):$/;" c +Encoding adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^Encoding = plugin_data_pb2.AudioPluginData.Encoding$/;" v +Encoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^class Encoding(object):$/;" c +encoding adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def encoding(self):$/;" m class:ResponseStream +EncodingBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class EncodingBytes(bytes):$/;" c +EncodingBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class EncodingBytes(bytes):$/;" c +EncodingParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class EncodingParameters(univ.OctetString):$/;" c +EncodingParser adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class EncodingParser(object):$/;" c +EncodingParser adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class EncodingParser(object):$/;" c +encoding_errors adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ encoding_errors = "replace"$/;" v class:BaseRequest +ENCODING_RE adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/encoding.py /^ENCODING_RE = re.compile(br'coding[:=]\\s*([-\\w.]+)')$/;" v +encoding_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^encoding_table = codecs.charmap_build(decoding_table)$/;" v +encoding_table adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^encoding_table = codecs.charmap_build(decoding_table)$/;" v +ENCORE_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ENCORE_G726 = 0xA107$/;" v class:WAVE_FORMAT +encrypt adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^encrypt = EncryptOperation()$/;" v +encrypt adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def encrypt(message: bytes, pub_key: key.PublicKey) -> bytes:$/;" f +EncryptedContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EncryptedContent(univ.OctetString):$/;" c +EncryptedContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EncryptedContent(univ.OctetString):$/;" c +EncryptedContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EncryptedContent(univ.OctetString):$/;" c +EncryptedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EncryptedContentInfo(univ.Sequence):$/;" c +EncryptedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EncryptedContentInfo(univ.Sequence):$/;" c +EncryptedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EncryptedContentInfo(univ.Sequence):$/;" c +EncryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EncryptedData(univ.Sequence):$/;" c +encryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^encryptedData = univ.ObjectIdentifier('1.2.840.113549.1.7.6')$/;" v +EncryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EncryptedData(univ.Sequence):$/;" c +EncryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class EncryptedData(univ.OctetString):$/;" c +EncryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EncryptedData(univ.Sequence):$/;" c +EncryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class EncryptedData(univ.OctetString):$/;" c +EncryptedDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EncryptedDigest(univ.OctetString):$/;" c +EncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EncryptedKey(univ.OctetString):$/;" c +EncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class EncryptedKey(univ.Choice):$/;" c +EncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EncryptedKey(univ.OctetString):$/;" c +EncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class EncryptedKey(univ.Choice):$/;" c +EncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EncryptedKey(univ.OctetString):$/;" c +EncryptedKeyPackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^class EncryptedKeyPackage(univ.Choice):$/;" c +EncryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class EncryptedPOP(univ.Sequence):$/;" c +EncryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class EncryptedPOP(univ.Sequence):$/;" c +encryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^encryptedPrivateKeyInfo = Attribute()$/;" v +EncryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^EncryptedPrivateKeyInfo = rfc5958.EncryptedPrivateKeyInfo$/;" v +EncryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class EncryptedPrivateKeyInfo(univ.Sequence):$/;" c +EncryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class EncryptedPrivateKeyInfo(univ.Sequence):$/;" c +EncryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^EncryptedPrivateKeyInfo = rfc5958.EncryptedPrivateKeyInfo$/;" v +EncryptedValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class EncryptedValue(univ.Sequence):$/;" c +EncryptedValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class EncryptedValue(univ.Sequence):$/;" c +encryptionAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^encryptionAlgorithm = _OID(rsadsi, 3)$/;" v +EncryptOperation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^class EncryptOperation(CryptoOperation):$/;" c +encrypt_int adpepsenv/lib/python3.8/site-packages/rsa/core.py /^def encrypt_int(message: int, ekey: int, n: int) -> int:$/;" f +End adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class End(six.with_metaclass(abc.ABCMeta)):$/;" c +end adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def end(self):$/;" m class:Stream +end adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def end(self, tag=None, indent=True):$/;" m class:XMLWriter +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def end(self, session):$/;" m class:CheckpointInputPipelineHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def end(self, session):$/;" m class:AsyncCheckpointSaverHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def end(self, session):$/;" m class:CloudTPUPreemptedHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def end(self, session):$/;" m class:CheckpointSaverHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def end(self, session):$/;" m class:FinalOpsHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def end(self, session):$/;" m class:LoggingTensorHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def end(self, session, global_step_value):$/;" m class:CheckpointSaverListener +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def end(self, session=None):$/;" m class:SummarySaverHook +end adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def end(self, session): # pylint: disable=unused-argument$/;" m class:SessionRunHook +end adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def end(self, session): # pylint: disable=unused-argument$/;" m class:InMemoryEvaluatorHook +end adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def end(self, session):$/;" m class:InstallSignalHandlerHook +end adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def end(self, session):$/;" m class:TPUInfeedOutfeedSessionHook +end adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def end(self, session):$/;" m class:_OutfeedHostCallHook +end adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def end(self, session, global_step_value):$/;" m class:_NewCheckpointListenerForEvaluate +ENDC adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ ENDC = '\\033[0m'$/;" v class:bcolors +endian adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ endian = "<"$/;" v class:Exif +endifpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^endifpattern = re.compile($/;" v +endifs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^endifs = r'(end\\s*(if|do|where|select|while|forall))|(module\\s*procedure)'$/;" v +ending adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def ending(self, state):$/;" m class:BaseReporter +ending_round adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def ending_round(self, index, state):$/;" m class:BaseReporter +EndMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EndMask(self):$/;" m class:StridedSliceOptions +EndObject adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def EndObject(self):$/;" m class:Builder +EndOfOctets adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^class EndOfOctets(base.SimpleAsn1Type):$/;" c +endOfOctets adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^endOfOctets = EndOfOctets()$/;" v +EndOfOctetsEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class EndOfOctetsEncoder(AbstractItemEncoder):$/;" c +endOfWordClass adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^endOfWordClass = r"[\\s.,;:!?)]"$/;" v +endpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^endpattern = re.compile($/;" v +endpoint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^def endpoint(request):$/;" f +EndpointFilter adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^class EndpointFilter(object):$/;" c +EndpointPrefix adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class EndpointPrefix(RuleFactory):$/;" c +endStream adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def endStream(self):$/;" m class:PdfFile +endswith adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def endswith(self, suffix, start=0, end=None):$/;" m class:chararray +endswith adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def endswith(a, suffix, start=0, end=None):$/;" f +endTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def endTag(self, namespace, name):$/;" m class:TreeWalker +endTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def endTag(self, namespace, name):$/;" m class:TreeWalker +endTagAppletMarqueeObject adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagAppletMarqueeObject(self, token):$/;" m class:getPhases.InBodyPhase +endTagAppletMarqueeObject adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagAppletMarqueeObject(self, token):$/;" m class:getPhases.InBodyPhase +endTagBlock adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagBlock(self, token):$/;" m class:getPhases.InBodyPhase +endTagBlock adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagBlock(self, token):$/;" m class:getPhases.InBodyPhase +endTagBody adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagBody(self, token):$/;" m class:getPhases.InBodyPhase +endTagBody adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagBody(self, token):$/;" m class:getPhases.InBodyPhase +endTagBr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagBr(self, token):$/;" m class:getPhases.InBodyPhase +endTagBr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagBr(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagBr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagBr(self, token):$/;" m class:getPhases.InBodyPhase +endTagBr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagBr(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagCaption adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagCaption(self, token):$/;" m class:getPhases.InCaptionPhase +endTagCaption adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagCaption(self, token):$/;" m class:getPhases.InCaptionPhase +endTagCol adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagCol(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagCol adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagCol(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagColgroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagColgroup(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagColgroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagColgroup(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagForm adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagForm(self, token):$/;" m class:getPhases.InBodyPhase +endTagForm adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagForm(self, token):$/;" m class:getPhases.InBodyPhase +endTagFormatting adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagFormatting(self, token):$/;" m class:getPhases.InBodyPhase +endTagFormatting adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagFormatting(self, token):$/;" m class:getPhases.InBodyPhase +endTagFrameset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagFrameset(self, token):$/;" m class:getPhases.InFramesetPhase +endTagFrameset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagFrameset(self, token):$/;" m class:getPhases.InFramesetPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterFramesetPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.BeforeHeadPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InBodyPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InCaptionPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InCellPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InColumnGroupPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InFramesetPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InHeadNoscriptPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InHeadPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InRowPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InSelectInTablePhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InSelectPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InTableBodyPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InTablePhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.TextPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([("html", endTagHtml)])$/;" v class:getPhases.AfterBodyPhase +endTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ endTagHandler = _utils.MethodDispatcher([(("body", "html", "br"),$/;" v class:getPhases.AfterHeadPhase +endTagHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHead(self, token):$/;" m class:getPhases.InHeadPhase +endTagHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHead(self, token):$/;" m class:getPhases.InHeadPhase +endTagHeading adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHeading(self, token):$/;" m class:getPhases.InBodyPhase +endTagHeading adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHeading(self, token):$/;" m class:getPhases.InBodyPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, name):$/;" m class:getPhases.AfterBodyPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, token):$/;" m class:getPhases.AfterFramesetPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, token):$/;" m class:getPhases.InBodyPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, name):$/;" m class:getPhases.AfterBodyPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, token):$/;" m class:getPhases.AfterFramesetPhase +endTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHtml(self, token):$/;" m class:getPhases.InBodyPhase +endTagHtmlBodyBr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHtmlBodyBr(self, token):$/;" m class:getPhases.AfterHeadPhase +endTagHtmlBodyBr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagHtmlBodyBr(self, token):$/;" m class:getPhases.InHeadPhase +endTagHtmlBodyBr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHtmlBodyBr(self, token):$/;" m class:getPhases.AfterHeadPhase +endTagHtmlBodyBr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagHtmlBodyBr(self, token):$/;" m class:getPhases.InHeadPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InCaptionPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InCellPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InRowPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InTablePhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InCaptionPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InCellPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InRowPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagIgnore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagIgnore(self, token):$/;" m class:getPhases.InTablePhase +endTagImply adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagImply(self, token):$/;" m class:getPhases.InCellPhase +endTagImply adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagImply(self, token):$/;" m class:getPhases.InCellPhase +endTagImplyHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagImplyHead(self, token):$/;" m class:getPhases.BeforeHeadPhase +endTagImplyHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagImplyHead(self, token):$/;" m class:getPhases.BeforeHeadPhase +endTagListItem adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagListItem(self, token):$/;" m class:getPhases.InBodyPhase +endTagListItem adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagListItem(self, token):$/;" m class:getPhases.InBodyPhase +endTagNoscript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagNoscript(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagNoscript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagNoscript(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagOptgroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOptgroup(self, token):$/;" m class:getPhases.InSelectPhase +endTagOptgroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOptgroup(self, token):$/;" m class:getPhases.InSelectPhase +endTagOption adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOption(self, token):$/;" m class:getPhases.InSelectPhase +endTagOption adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOption(self, token):$/;" m class:getPhases.InSelectPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterFramesetPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.BeforeHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InCaptionPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InCellPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InFramesetPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InRowPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InSelectInTablePhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InSelectPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InTablePhase +endTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.TextPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterFramesetPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.AfterHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.BeforeHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InCaptionPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InCellPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InColumnGroupPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InFramesetPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InHeadPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InRowPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InSelectInTablePhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InSelectPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.InTablePhase +endTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagOther(self, token):$/;" m class:getPhases.TextPhase +endTagP adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagP(self, token):$/;" m class:getPhases.InBodyPhase +endTagP adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagP(self, token):$/;" m class:getPhases.InBodyPhase +endTagScript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagScript(self, token):$/;" m class:getPhases.TextPhase +endTagScript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagScript(self, token):$/;" m class:getPhases.TextPhase +endTagSelect adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagSelect(self, token):$/;" m class:getPhases.InSelectPhase +endTagSelect adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagSelect(self, token):$/;" m class:getPhases.InSelectPhase +endTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InCaptionPhase +endTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InRowPhase +endTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InSelectInTablePhase +endTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InTablePhase +endTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InCaptionPhase +endTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InRowPhase +endTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InSelectInTablePhase +endTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTable(self, token):$/;" m class:getPhases.InTablePhase +endTagTableCell adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTableCell(self, token):$/;" m class:getPhases.InCellPhase +endTagTableCell adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTableCell(self, token):$/;" m class:getPhases.InCellPhase +endTagTableRowGroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTableRowGroup(self, token):$/;" m class:getPhases.InRowPhase +endTagTableRowGroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTableRowGroup(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagTableRowGroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTableRowGroup(self, token):$/;" m class:getPhases.InRowPhase +endTagTableRowGroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTableRowGroup(self, token):$/;" m class:getPhases.InTableBodyPhase +endTagTr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def endTagTr(self, token):$/;" m class:getPhases.InRowPhase +endTagTr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def endTagTr(self, token):$/;" m class:getPhases.InRowPhase +EndVector adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def EndVector(self, vectorNumElems):$/;" m class:Builder +end_document adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def end_document(self):$/;" m class:PSDraw +end_group adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def end_group(self, s, loc, toks):$/;" m class:Parser +end_of_stream adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def end_of_stream(self):$/;" m class:MatFileReader +end_pan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def end_pan(self):$/;" m class:_AxesBase +end_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def end_pan(self):$/;" m class:GeoAxes +end_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def end_pan(self):$/;" m class:PolarAxes +END_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^END_RE = re.compile(r'^(-{3}|\\.{3})(\\s.*)?')$/;" v +end_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def end_statement(self, stmt):$/;" m class:GraphBuilder +end_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def end_step(self):$/;" m class:Context +end_subtrace adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def end_subtrace(self):$/;" m class:Scope +end_tracing_body adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def end_tracing_body(self):$/;" m class:_BodyTracer +EnergyState adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^class EnergyState(object):$/;" c +energy_distance adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def energy_distance(u_values, v_values, u_weights=None, v_weights=None):$/;" f +enfold adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def enfold(dt, fold=1):$/;" f +enforceNonDefaultStream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def enforceNonDefaultStream(self):$/;" m class:TestCase +EnforceUnique adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class EnforceUnique(object):$/;" c +enforce_nesting adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def enforce_nesting(self):$/;" m class:_DefaultStack +enforce_nesting adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def enforce_nesting(self, value):$/;" m class:_DefaultStack +enforce_number adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^def enforce_number(n, flags):$/;" f +enforce_ssl adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def enforce_ssl(self):$/;" m class:RequestValidator +EngFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class EngFormatter(Formatter):$/;" c +engine adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ engine = _QEngineProp()$/;" v class:QuantizedEngine +english_capitalize adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^def english_capitalize(s):$/;" f +english_lower adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^def english_lower(s):$/;" f +english_upper adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^def english_upper(s):$/;" f +ENG_PREFIXES adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ ENG_PREFIXES = {$/;" v class:EngFormatter +enhance adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^ def enhance(self, factor):$/;" m class:_Enhance +ENOUGH_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ ENOUGH_DATA_THRESHOLD = 1024$/;" v class:CharDistributionAnalysis +ENOUGH_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ ENOUGH_DATA_THRESHOLD = 1024$/;" v class:CharDistributionAnalysis +ENOUGH_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ ENOUGH_REL_THRESHOLD = 100$/;" v class:JapaneseContextAnalysis +ENOUGH_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ ENOUGH_REL_THRESHOLD = 100$/;" v class:JapaneseContextAnalysis +enqueue adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def enqueue(t):$/;" f member:TestOperators.test_blobs_queue_threading file: +enqueue adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^def enqueue(net, queue, data_blobs, status=None):$/;" f +enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def enqueue(self, vals, name=None):$/;" m class:QueueBase +enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def enqueue($/;" m class:TPUEmbedding +EnqueueData adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class EnqueueData($/;" c +enqueuer adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^def enqueuer(coordinator, batch_feeder):$/;" f +EnqueueTPUEmbeddingIntegerBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^EnqueueTPUEmbeddingIntegerBatch = tf_export("raw_ops.EnqueueTPUEmbeddingIntegerBatch")(_ops.to_r/;" v +EnqueueTPUEmbeddingRaggedTensorBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^EnqueueTPUEmbeddingRaggedTensorBatch = tf_export("raw_ops.EnqueueTPUEmbeddingRaggedTensorBatch")/;" v +EnqueueTPUEmbeddingSparseBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^EnqueueTPUEmbeddingSparseBatch = tf_export("raw_ops.EnqueueTPUEmbeddingSparseBatch")(_ops.to_raw/;" v +EnqueueTPUEmbeddingSparseTensorBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^EnqueueTPUEmbeddingSparseTensorBatch = tf_export("raw_ops.EnqueueTPUEmbeddingSparseTensorBatch")/;" v +enqueue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def enqueue_many(self, vals, name=None):$/;" m class:GPUCompatibleFIFOQueue +enqueue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def enqueue_many(self, vals, name=None):$/;" m class:QueueBase +enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def enqueue_ops(self):$/;" m class:QueueRunner +enqueue_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def enqueue_ops_fn():$/;" f function:generate_broadcast_enqueue_ops_fn file: +enqueue_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def enqueue_ops_fn():$/;" f function:generate_per_core_enqueue_ops_fn_for_host file: +enqueue_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def enqueue_ops_fn():$/;" f function:generate_per_host_enqueue_ops_fn_for_host file: +enqueue_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def enqueue_ops_fn():$/;" f function:generate_per_host_v2_enqueue_ops_fn_for_host file: +enqueue_tpu_embedding_integer_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_integer_batch(batch, mode_override, device_ordinal=-1, name=None):$/;" f +enqueue_tpu_embedding_integer_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def enqueue_tpu_embedding_integer_batch(batch,$/;" f +enqueue_tpu_embedding_integer_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_integer_batch_eager_fallback(batch, mode_override, device_ordinal, nam/;" f +enqueue_tpu_embedding_ragged_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_ragged_tensor_batch(sample_splits, embedding_indices, aggregation_weig/;" f +enqueue_tpu_embedding_ragged_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def enqueue_tpu_embedding_ragged_tensor_batch(sample_splits,$/;" f +enqueue_tpu_embedding_ragged_tensor_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_ragged_tensor_batch_eager_fallback(sample_splits, embedding_indices, a/;" f +enqueue_tpu_embedding_sparse_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_sparse_batch(sample_indices, embedding_indices, aggregation_weights, m/;" f +enqueue_tpu_embedding_sparse_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def enqueue_tpu_embedding_sparse_batch(sample_indices,$/;" f +enqueue_tpu_embedding_sparse_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_sparse_batch_eager_fallback(sample_indices, embedding_indices, aggrega/;" f +enqueue_tpu_embedding_sparse_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_sparse_tensor_batch(sample_indices, embedding_indices, aggregation_wei/;" f +enqueue_tpu_embedding_sparse_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def enqueue_tpu_embedding_sparse_tensor_batch(sample_indices,$/;" f +enqueue_tpu_embedding_sparse_tensor_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def enqueue_tpu_embedding_sparse_tensor_batch_eager_fallback(sample_indices, embedding_indices, /;" f +enquote_executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^def enquote_executable(executable):$/;" f +EnsureShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^EnsureShape = tf_export("raw_ops.EnsureShape")(_ops.to_raw_op(ensure_shape))$/;" v +ensureString adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ ensureString = bytes$/;" v +ensureString adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ ensureString = str$/;" v +ensure_binary adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def ensure_binary(s, encoding='utf-8', errors='strict'):$/;" f +ensure_binary adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def ensure_binary(s, encoding="utf-8", errors="strict"):$/;" f +ensure_binary adpepsenv/lib/python3.8/site-packages/six.py /^def ensure_binary(s, encoding='utf-8', errors='strict'):$/;" f +ensure_binary adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def ensure_binary(s, encoding="utf-8", errors="strict"):$/;" f +ensure_build_location adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def ensure_build_location(self, build_dir, autodelete, parallel_builds):$/;" m class:InstallRequirement +ensure_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def ensure_dir(path):$/;" f +ensure_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def ensure_dir(self, path):$/;" m class:FileOperator +ensure_directory adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def ensure_directory(path):$/;" f +ensure_directory adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def ensure_directory(path):$/;" f +ensure_dirname adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def ensure_dirname(self, option):$/;" m class:Command +ensure_echo_on adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def ensure_echo_on():$/;" f +ensure_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def ensure_filename(self, option):$/;" m class:Command +ensure_finalized adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def ensure_finalized(self):$/;" m class:Command +ensure_graph_is_valid adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def ensure_graph_is_valid(graph_def):$/;" f +ensure_has_source_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def ensure_has_source_dir($/;" m class:InstallRequirement +ensure_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def ensure_initialized(self):$/;" m class:Context +ensure_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def ensure_initialized():$/;" f +ensure_local_distutils adpepsenv/lib/python3.8/site-packages/setuptools/distutils_patch.py /^def ensure_local_distutils():$/;" f +ensure_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def ensure_metadata(mid):$/;" f member:RendererSVG._write_metadata file: +ensure_not_binary adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def ensure_not_binary(value):$/;" f +ensure_not_dirty adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def ensure_not_dirty(self):$/;" m class:MovieWriterRegistry +ensure_quadratic_bezier adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def ensure_quadratic_bezier(path):$/;" m class:ArrowStyle._Base +ensure_relative adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def ensure_relative(path):$/;" f +ensure_removed adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def ensure_removed(self, path):$/;" m class:FileOperator +ensure_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def ensure_shape(x, shape, name=None):$/;" f +ensure_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ensure_shape(input, shape, name=None):$/;" f +ensure_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ensure_shape_eager_fallback(input, shape, name, ctx):$/;" f +ensure_single_output_function adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^ def ensure_single_output_function(*inp):$/;" f function:hessian file: +ensure_slash adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def ensure_slash(s):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ensure_str(s):$/;" f member:DataTable.ToCsv file: +ensure_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^def ensure_str(s):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def ensure_str(s, encoding='utf-8', errors='strict'):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def ensure_str(s, encoding="utf-8", errors="strict"):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/six.py /^def ensure_str(s, encoding='utf-8', errors='strict'):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^def ensure_str(s):$/;" f +ensure_str adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def ensure_str(s, encoding="utf-8", errors="strict"):$/;" f +ensure_string adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def ensure_string(self, option, default=None):$/;" m class:Command +ensure_string_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def ensure_string_list(self, option):$/;" m class:Command +ensure_string_list adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def ensure_string_list(self, option):$/;" m class:Command +ensure_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def ensure_text(s, encoding='utf-8', errors='strict'):$/;" f +ensure_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def ensure_text(s, encoding="utf-8", errors="strict"):$/;" f +ensure_text adpepsenv/lib/python3.8/site-packages/six.py /^def ensure_text(s, encoding='utf-8', errors='strict'):$/;" f +ensure_text adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def ensure_text(s, encoding="utf-8", errors="strict"):$/;" f +ensure_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def ensure_type(x):$/;" f function:parse_url file: +ensure_type adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def ensure_type(x):$/;" f function:parse_url file: +ensure_value_to_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def ensure_value_to_cell(value):$/;" f function:func_load file: +enter adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def enter(self):$/;" m class:Unparser +enter adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def enter(self, value):$/;" m class:_ContextInfo +enter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def enter(event):$/;" f member:ToolTip.createToolTip file: +enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def enter(self):$/;" m class:_StateStack +enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def enter(self, layer, inputs, build_graph, training, saving=None):$/;" m class:CallContext +Enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def Enter(self):$/;" m class:ControlFlowContext +enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def enter(data, frame_name, is_constant=False, parallel_iterations=10, name=None):$/;" f +Enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^Enter = tf_export("raw_ops.Enter")(_ops.to_raw_op(enter))$/;" v +Enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def Enter(self):$/;" m class:TPUReplicateContext +enterEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def enterEvent(self, event):$/;" m class:FigureCanvasQT +EnterGradientColocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def EnterGradientColocation(self, op, gradient_uid):$/;" m class:ControlFlowContext +EnterGradientColocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def EnterGradientColocation(self, op, gradient_uid):$/;" m class:TPUReplicateContext +EnterGradWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def EnterGradWhileContext(self, op, before):$/;" m class:_ControlFlowState +enter_component adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def enter_component(t):$/;" f function:_Enter file: +enter_cond_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def enter_cond_section(self, section_id):$/;" m class:GraphBuilder +enter_context adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def enter_context(self, manager):$/;" m class:TestCase +enter_context adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/command_context.py /^ def enter_context(self, context_provider):$/;" m class:CommandContextMixIn +enter_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def enter_context(self, cm):$/;" m class:ExitStack +enter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def enter_eager_fallback(data, frame_name, is_constant, parallel_iterations, name, ctx):$/;" f +enter_except_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def enter_except_section(self, section_id):$/;" m class:GraphBuilder +enter_finally_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def enter_finally_section(self, section_id):$/;" m class:GraphBuilder +enter_loop_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def enter_loop_section(self, section_id, entry_node):$/;" m class:GraphBuilder +enter_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def enter_notify_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +enter_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def enter_notify_event(self, event):$/;" m class:FigureCanvasTk +enter_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def enter_notify_event(self, guiEvent=None, xy=None):$/;" m class:FigureCanvasBase +enter_or_assert_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def enter_or_assert_strategy(strategy):$/;" f +enter_save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^ def enter_save_context(self, options):$/;" m class:SaveContext +enter_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def enter_section(self, section_id):$/;" m class:GraphBuilder +entities adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ import htmlentitydefs as entities$/;" I +entities adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^entities = {$/;" v +entities adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^entities = {$/;" v +entitiesTrie adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^entitiesTrie = Trie(entities)$/;" v +entitiesTrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^entitiesTrie = Trie(entities)$/;" v +entitiesWindows1252 adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^entitiesWindows1252 = ($/;" v +entitiesWindows1252 adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^entitiesWindows1252 = ($/;" v +entity adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def entity(self, name):$/;" m class:TreeWalker +ENTITY adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ENTITY = Node.ENTITY_NODE$/;" v +entity adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def entity(self, name):$/;" m class:TreeWalker +ENTITY adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ENTITY = Node.ENTITY_NODE$/;" v +entityDataState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def entityDataState(self):$/;" m class:HTMLTokenizer +entityDataState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def entityDataState(self):$/;" m class:HTMLTokenizer +EntityIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class EntityIdentifier(univ.Choice):$/;" c +EntityIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^EntityIdentifier = rfc2634.EntityIdentifier$/;" v +EntityInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class EntityInfo($/;" c +ENTITY_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ENTITY_RE = r'(&(?:\\#[0-9]+|\\#x[0-9a-fA-F]+|[a-zA-Z0-9]+);)'$/;" v +entity_sub adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^entity_sub = re.compile(r'&(#(\\d+|x[\\da-fA-F]+)|[\\w.:-]+);?').sub$/;" v +entr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def entr(x):$/;" f +entr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double entr(double x0) nogil$/;" f +entries adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def entries(self):$/;" m class:MMFile +entropy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^def entropy(p):$/;" f +entropy adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def entropy(self, mask=None, extrema=None):$/;" m class:Image +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def entropy(self):$/;" m class:rv_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def entropy(self, *args, **kwds):$/;" m class:rv_generic +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def entropy(pk, qk=None, base=None, axis=0):$/;" f +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:dirichlet_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:invwishart_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:invwishart_gen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:multinomial_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:multivariate_normal_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self):$/;" m class:wishart_frozen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self, alpha):$/;" m class:dirichlet_gen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self, df, scale):$/;" m class:wishart_gen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self, mean=None, cov=1):$/;" m class:multivariate_normal_gen +entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def entropy(self, n, p):$/;" m class:multinomial_gen +entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def entropy(self, name="entropy"):$/;" m class:Distribution +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def entropy(self):$/;" m class:Bernoulli +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def entropy(self):$/;" m class:Beta +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def entropy(self):$/;" m class:Categorical +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def entropy(self):$/;" m class:Cauchy +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def entropy(self):$/;" m class:ContinuousBernoulli +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def entropy(self):$/;" m class:Dirichlet +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def entropy(self):$/;" m class:Distribution +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def entropy(self):$/;" m class:Exponential +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/exp_family.py /^ def entropy(self):$/;" m class:ExponentialFamily +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def entropy(self):$/;" m class:Gamma +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def entropy(self):$/;" m class:Geometric +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def entropy(self):$/;" m class:Gumbel +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def entropy(self):$/;" m class:HalfCauchy +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def entropy(self):$/;" m class:HalfNormal +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def entropy(self):$/;" m class:Independent +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def entropy(self):$/;" m class:Laplace +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def entropy(self):$/;" m class:LogNormal +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def entropy(self):$/;" m class:LowRankMultivariateNormal +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def entropy(self):$/;" m class:MultivariateNormal +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def entropy(self):$/;" m class:Normal +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def entropy(self):$/;" m class:OneHotCategorical +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def entropy(self):$/;" m class:Pareto +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def entropy(self):$/;" m class:StudentT +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def entropy(self):$/;" m class:Uniform +entropy adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ def entropy(self):$/;" m class:Weibull +Entry adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^Entry = collections.namedtuple('Entry', 'version, hash')$/;" v +entrypattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^entrypattern = re.compile($/;" v +EntryPoint adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class EntryPoint:$/;" c +EntryPoint adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class EntryPoint:$/;" c +EntryValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^EntryValue = _reflection.GeneratedProtocolMessageType('EntryValue', (_message.Message,), {$/;" v +ENTRY_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ENTRY_RE = re.compile(r'''(?P(\\w|[-.+])+)$/;" v +Enum adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^Enum = _reflection.GeneratedProtocolMessageType('Enum', (_message.Message,), {$/;" v +enum adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def enum(*sequential, **named):$/;" f +EnumByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def EnumByteSize(field_number, enum):$/;" f +EnumClassFlag adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^class EnumClassFlag(Flag):$/;" c +EnumClassFlag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^EnumClassFlag = _flag.EnumClassFlag$/;" v +EnumClassKeyVals adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def EnumClassKeyVals(cls):$/;" f +EnumClassListSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class EnumClassListSerializer(ListSerializer):$/;" c +EnumClassParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class EnumClassParser(ArgumentParser):$/;" c +EnumClassParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^EnumClassParser = _argument_parser.EnumClassParser$/;" v +EnumClassSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class EnumClassSerializer(ArgumentSerializer):$/;" c +EnumDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def EnumDecoder(field_number, is_repeated, is_packed, key, new_default,$/;" f +EnumDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class EnumDescriptor(_NestedDescriptorBase):$/;" c +EnumDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^EnumDescriptorProto = _reflection.GeneratedProtocolMessageType('EnumDescriptorProto', (_message./;" v +enumerate adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def enumerate(self, start=0):$/;" m class:DatasetV2 +Enumerated adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Enumerated(Integer):$/;" c +EnumeratedErrorCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class EnumeratedErrorCode(univ.Enumerated):$/;" c +EnumeratedTag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class EnumeratedTag(univ.Sequence):$/;" c +enumerated_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def enumerated_fn(*inner_args, **inner_kwargs):$/;" f function:_enumerated_map_structure_up_to file: +enumerate_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def enumerate_(s, start=0):$/;" f +enumerate_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/enumerate_ops.py /^def enumerate_dataset(start=0):$/;" f +enumerate_epochs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def enumerate_epochs(self):$/;" m class:DataHandler +enumerate_equal adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def enumerate_equal(xs):$/;" f function:_merge_branch_residuals file: +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def enumerate_support(self, expand=True):$/;" m class:Bernoulli +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def enumerate_support(self, expand=True):$/;" m class:Binomial +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def enumerate_support(self, expand=True):$/;" m class:Categorical +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def enumerate_support(self, expand=True):$/;" m class:Distribution +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def enumerate_support(self, expand=True):$/;" m class:Independent +enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def enumerate_support(self, expand=True):$/;" m class:OneHotCategorical +EnumFlag adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^class EnumFlag(Flag):$/;" c +EnumFlag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^EnumFlag = _flag.EnumFlag$/;" v +EnumOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^EnumOptions = _reflection.GeneratedProtocolMessageType('EnumOptions', (_message.Message,), {$/;" v +EnumParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class EnumParser(ArgumentParser):$/;" c +EnumParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^EnumParser = _argument_parser.EnumParser$/;" v +EnumProfileSessionsAndToolsRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^EnumProfileSessionsAndToolsRequest = _reflection.GeneratedProtocolMessageType('EnumProfileSessio/;" v +EnumProfileSessionsAndToolsResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^EnumProfileSessionsAndToolsResponse = _reflection.GeneratedProtocolMessageType('EnumProfileSessi/;" v +EnumSessions adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^ def EnumSessions(self, request, context):$/;" m class:ProfileAnalysisServicer +EnumSessions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^ def EnumSessions(self, request, context):$/;" m class:ProfileAnalysisServicer +EnumType adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^EnumType = enum_type_wrapper.EnumTypeWrapper(_ENUMTYPE)$/;" v +EnumTypeWrapper adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^class EnumTypeWrapper(object):$/;" c +EnumValue adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^EnumValue = _reflection.GeneratedProtocolMessageType('EnumValue', (_message.Message,), {$/;" v +EnumValueChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class EnumValueChecker(object):$/;" c +EnumValueDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class EnumValueDescriptor(DescriptorBase):$/;" c +EnumValueDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^EnumValueDescriptorProto = _reflection.GeneratedProtocolMessageType('EnumValueDescriptorProto', /;" v +EnumValueName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def EnumValueName(self, enum, value):$/;" m class:Descriptor +EnumValueOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^EnumValueOptions = _reflection.GeneratedProtocolMessageType('EnumValueOptions', (_message.Messag/;" v +env adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ env = {$/;" v +env adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ env = {$/;" v class:EvalEnv +env adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def env(self) -> str:$/;" m class:Measurement +env adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ class env(object):$/;" c function:createResolutionCallbackFromFrame file: +EnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class EnvelopedData(univ.Sequence):$/;" c +envelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^envelopedData = univ.ObjectIdentifier('1.2.840.113549.1.7.3')$/;" v +EnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class EnvelopedData(univ.Sequence):$/;" c +EnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class EnvelopedData(univ.Sequence):$/;" c +environ adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ environ = dict()$/;" v +EnvironBuilder adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class EnvironBuilder(object):$/;" c +EnvironHeaders adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class EnvironHeaders(ImmutableHeadersMixin, Headers):$/;" c +Environment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class Environment:$/;" c +Environment adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class Environment:$/;" c +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def environment(self):$/;" m class:ClusterResolver +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def environment(self):$/;" m class:SimpleClusterResolver +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def environment(self):$/;" m class:UnionClusterResolver +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def environment(self):$/;" m class:SageMakerClusterResolver +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def environment(self):$/;" m class:TFConfigClusterResolver +environment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def environment(self):$/;" m class:TPUClusterResolver +EnvironmentConfig adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^class EnvironmentConfig:$/;" c +EnvironmentInfo adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^class EnvironmentInfo:$/;" c +environ_property adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class environ_property(_DictAccessorProperty):$/;" c +env_added adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^env_added = []$/;" v +env_info_fmt adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^env_info_fmt = """$/;" v +env_summary adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^env_summary = """$/;" v +ENV_TORCH_HOME adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ENV_TORCH_HOME = 'TORCH_HOME'$/;" v +ENV_VAR_RE adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ENV_VAR_RE = re.compile(r"^\\$\\{[A-Za-z0-9-_]+\\}(:\\$\\{[A-Za-z0-9-_]+\\})?$")$/;" v +ENV_VAR_RE adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ENV_VAR_RE = re.compile(r'(?P\\$\\{(?P[A-Z0-9_]+)\\})')$/;" v +ENV_XDG_CACHE_HOME adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ENV_XDG_CACHE_HOME = 'XDG_CACHE_HOME'$/;" v +eo adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^eo = {}$/;" v +eof adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def eof(self):$/;" m class:XeinsumSpecParser +EOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^EOF = None$/;" v +EOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^EOF = None$/;" v +EOFHeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class EOFHeaderError(HeaderError):$/;" c +EOFType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^EOFType = type(EOF)$/;" v +EogViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class EogViewer(UnixViewer):$/;" c +eooIntegerSubstrate adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ eooIntegerSubstrate = (0, 0)$/;" v class:AbstractItemEncoder +eooOctetsSubstrate adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ eooOctetsSubstrate = ints2octs(eooIntegerSubstrate)$/;" v class:AbstractItemEncoder +EOS adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^EOS = ''$/;" v +EOS_ID adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^EOS_ID = 2$/;" v +EphemWheelCache adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^class EphemWheelCache(SimpleWheelCache):$/;" c +EPOCH adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^EPOCH = datetime.datetime.utcfromtimestamp(0)$/;" v +Epoch adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^class Epoch:$/;" c +epoch adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:LegacyVersion +epoch adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:Version +epoch adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:LegacyVersion +epoch adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:Version +epoch adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:LegacyVersion +epoch adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def epoch(self):$/;" m class:Version +epoch2float adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def epoch2float(value, unit):$/;" m class:EpochConverter +epoch2num adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def epoch2num(e):$/;" f +EpochConverter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^class EpochConverter(units.ConversionInterface):$/;" c +EPOCHORDINAL adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^EPOCHORDINAL = EPOCH.toordinal()$/;" v +EPOCH_DEPRECATION_WARNING adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^EPOCH_DEPRECATION_WARNING = ($/;" v +epoch_limiter adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^def epoch_limiter(job, num_epochs):$/;" f +EPOCH_OFFSET adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^EPOCH_OFFSET = float(datetime.datetime(1970, 1, 1).toordinal())$/;" v +epps_singleton_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def epps_singleton_2samp(x, y, t=(0.4, 0.8)):$/;" f +Epps_Singleton_2sampResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^Epps_Singleton_2sampResult = namedtuple('Epps_Singleton_2sampResult',$/;" v +EPS adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^EPS = 1e-4$/;" v +EPS adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^EPS = np.finfo(float).eps$/;" v +EPS adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^EPS = np.finfo(float).eps$/;" v +eps adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^eps = numpy.finfo(float).eps$/;" v +eps adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^eps = np.finfo(float).eps$/;" v +eps adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^eps = 1e-12$/;" v +EPS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^EPS = np.finfo(float).eps$/;" v +EPS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^ EPS = np.finfo(float).eps$/;" v class:IterativeSubproblem +eps adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsqr.py /^eps = np.finfo(np.float64).eps$/;" v +EPSILON adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^EPSILON = 1e-10$/;" v +EPSILON adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^EPSILON = 2e-16$/;" v +epsilon adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^epsilon = backend_config.epsilon$/;" v +epsilon adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^def epsilon():$/;" f +epsilon0 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^epsilon0 = value('vacuum electric permittivity')$/;" v +epsilon_0 adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^epsilon_0 = _cd('vacuum electric permittivity')$/;" v +EPSILON_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^EPSILON_ATTR = {$/;" v +EpsImageFile adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^class EpsImageFile(ImageFile.ImageFile):$/;" c +eq adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def eq(X, Y):$/;" f member:TestElementwiseOps.test_eq file: +eq adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def eq(X, Y):$/;" f member:TestElementwiseOps.test_eq_bcast file: +eq adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^eq = np.equal$/;" v +eq adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def eq(x: Array, y: Array) -> Array:$/;" f +eq adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^def eq(v, w, msg=''):$/;" f +eq adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^eq = _broadcasting_binary_op(math_ops.equal)$/;" v +eq adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def eq(a, b):$/;" f +eq adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import tensor_equals as eq$/;" x +eq adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import tensor_equals as eq$/;" x +eq adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def eq(g, self, other):$/;" f +EQEQ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ EQEQ = re.compile(r"([\\(,])\\s*(\\d.*?)\\s*([,\\)])")$/;" v class:DistInfoDistribution +EQEQ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ EQEQ = re.compile(r"([\\(,])\\s*(\\d.*?)\\s*([,\\)])")$/;" v class:DistInfoDistribution +EqIneqRosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class EqIneqRosenbrock(Rosenbrock):$/;" c +eqmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^def eqmask(m1, m2):$/;" f +eqn_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ eqn_callable = lambda args: core.eval_jaxpr(eqn_jaxpr, (), *args)$/;" f function:synthesize_ivjp file: +eqn_replicas adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def eqn_replicas(eqn):$/;" f +eqp_kktfact adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def eqp_kktfact(H, c, A, b):$/;" f +equal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^equal = _one_to_one_binop(np.equal, lax.eq)$/;" v +equal adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def equal(x1, x2):$/;" f +equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^equal = _MaskedBinaryOperation(umath.equal)$/;" v +EQUAL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EQUAL = 71$/;" v class:BuiltinOperator +equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def equal(x, y):$/;" f +equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def equal(x, y, incompatible_shape_error=True, name=None):$/;" f +Equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Equal = tf_export("raw_ops.Equal")(_ops.to_raw_op(equal))$/;" v +equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def equal(x, y, name=None):$/;" f +equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def equal(x1, x2):$/;" f +equality_constrained_sqp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/equality_constrained_sqp.py /^def equality_constrained_sqp(fun_and_constr, grad_and_jac, lagr_hess,$/;" f +EQUALITY_DEFAULT_RIGHT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^EQUALITY_DEFAULT_RIGHT = 3$/;" v +EQUALITY_OPERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^EQUALITY_OPERATORS = {$/;" v +EQUALITY_OPERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ EQUALITY_OPERATORS = 'EQUALITY_OPERATORS'$/;" v class:Feature +equalize adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def equalize(image, mask=None):$/;" f +equalize adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def equalize(model, paired_modules_list, threshold=1e-4, inplace=True):$/;" f +EqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EqualOptions = 53$/;" v class:BuiltinOptions +EqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class EqualOptions(object):$/;" c +EqualOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def EqualOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:EqualOptions +EqualOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def EqualOptionsEnd(builder): return builder.EndObject()$/;" f +EqualOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def EqualOptionsStart(builder): builder.StartObject(0)$/;" f +EqualOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class EqualOptionsT(object):$/;" c +equal_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def equal_(x, y):$/;" f function:resize_image_with_crop_or_pad file: +equal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def equal_eager_fallback(x, y, incompatible_shape_error, name, ctx):$/;" f +equal_schemas adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def equal_schemas(schema,$/;" f +equal_tolerance adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def equal_tolerance(self, res_dt):$/;" m class:TestCorrelateReal +equal_tolerance_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def equal_tolerance_fft(self, res_dt):$/;" m class:TestCorrelateReal +equal_types adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def equal_types(self):$/;" m class:Type +equations adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^equations = [$/;" v +EQUIPMENT adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^EQUIPMENT = "Digitalization equipment"$/;" v +EquivalentLabels adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class EquivalentLabels(univ.SequenceOf):$/;" c +EquivalentLabels adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^EquivalentLabels = rfc2634.EquivalentLabels$/;" v +eq_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^eq_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'eq')$/;" v +erase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def erase(self, keys, name=None):$/;" m class:DenseHashTable +EraseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def EraseGrad(op, dmap):$/;" f +erase_line adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def erase_line(self, mode=0, on_stderr=False):$/;" m class:WinTerm +erase_screen adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def erase_screen(self, mode=0, on_stderr=False):$/;" m class:WinTerm +erf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def erf(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +erf adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def erf(x): return scipy.special.erf(x).astype(x.dtype)$/;" f +erf adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def erf(x: Array) -> Array:$/;" f +erf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def erf(x):$/;" f +erf adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t erf(Dd_number_t x0) nogil$/;" f +erf adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^erf = _unary_op(math_ops.erf)$/;" v +erf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erf(x, name=None):$/;" f +Erf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Erf = tf_export("raw_ops.Erf")(_ops.to_raw_op(erf))$/;" v +erf adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def erf(g, input):$/;" f +erfc adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def erfc(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +erfc adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def erfc(x): return scipy.special.erfc(x).astype(x.dtype)$/;" f +erfc adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def erfc(x: Array) -> Array:$/;" f +erfc adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def erfc(x):$/;" f +erfc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t erfc(Dd_number_t x0) nogil$/;" f +erfc adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^erfc = _unary_op(math_ops.erfc)$/;" v +erfc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erfc(x, name=None):$/;" f +Erfc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Erfc = tf_export("raw_ops.Erfc")(_ops.to_raw_op(erfc))$/;" v +erfcinv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double erfcinv(double x0) nogil$/;" f +erfcinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def erfcinv(x, name=None):$/;" f +erfcx adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t erfcx(Dd_number_t x0) nogil$/;" f +erfc_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erfc_eager_fallback(x, name, ctx):$/;" f +erfc_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^erfc_p = standard_unop(_float, 'erfc')$/;" v +erfi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t erfi(Dd_number_t x0) nogil$/;" f +erfinv adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def erfinv(x):$/;" f +erfinv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double erfinv(double x0) nogil$/;" f +erfinv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^erfinv = _unary_op(math_ops.erfinv)$/;" v +erfinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def erfinv(x, name="erfinv"):$/;" f +erfinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erfinv(x, name=None):$/;" f +Erfinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Erfinv = tf_export("raw_ops.Erfinv")(_ops.to_raw_op(erfinv))$/;" v +erfinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def erfinv(x, name=None):$/;" f +erfinv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erfinv_eager_fallback(x, name, ctx):$/;" f +erf_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def erf_eager_fallback(x, name, ctx):$/;" f +erf_inv adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def erf_inv(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +erf_inv adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def erf_inv(x): return scipy.special.erfinv(x).astype(x.dtype)$/;" f +erf_inv adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def erf_inv(x: Array) -> Array:$/;" f +erf_inv_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^erf_inv_p = standard_unop(_float, 'erf_inv')$/;" v +erf_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^erf_p = standard_unop(_float, 'erf')$/;" v +erf_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def erf_zeros(nt):$/;" f +erg adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^erg = 1e-7$/;" v +erlang adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^erlang = erlang_gen(a=0.0, name='erlang')$/;" v +erlang_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class erlang_gen(gamma_gen):$/;" c +erosion2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def erosion2d(value, kernel, strides, rates, padding, name=None):$/;" f +erosion2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import erosion2d_v2 as erosion2d$/;" x +erosion2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import erosion2d_v2 as erosion2d$/;" x +erosion2d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def erosion2d_v2(value,$/;" f +err adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ err = ctypes.WinError(ctypes.get_last_error())$/;" v +err adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ err = ctypes.WinError(last_error)$/;" v +err adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def err(f, *args, **kwargs):$/;" f member:TestUfunc.test_reduce_zero_axis file: +err adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def err(p, x, y):$/;" f function:test_fp32_gh12991 file: +err adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def err(p, x, y):$/;" f member:TestApproxDerivativesDense.test_fp file: +err adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ err = ctypes.WinError(ctypes.get_last_error())$/;" v +err adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ err = ctypes.WinError(last_error)$/;" v +err0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^err0 = np.linalg.norm(Am*x0 - b) \/ np.linalg.norm(b)$/;" v +err1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^err1 = np.linalg.norm(Am*x1 - b) \/ np.linalg.norm(b)$/;" v +err2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^err2 = np.linalg.norm(Am*x2 - b) \/ np.linalg.norm(b)$/;" v +Errhandler adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Errhandler [$/;" c +errmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^errmess = sys.stderr.write$/;" v +errmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^errmess = sys.stderr.write$/;" v +errmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^errmess = sys.stderr.write$/;" v +Error adpepsenv/lib/python3.8/site-packages/absl/app.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^Error = _exceptions.Error$/;" v +error adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def error(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +error adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def error(msg, *args, **kwargs):$/;" f +ERROR adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ERROR = converter.ABSL_ERROR$/;" v +ERROR adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ ERROR = 1$/;" v class:MachineState +Error adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^class Error(Exception):$/;" c +Error adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class Error(Exception):$/;" c +error adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def error(self, arg):$/;" f function:concretization_function_error file: +Error adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^def Error(msg):$/;" f +Error adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class Error(Exception):$/;" c +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ error = 'insecure_transport_protocol'$/;" v class:InsecureTransportError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ error = 'invalid_client'$/;" v class:InvalidClientError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ error = 'invalid_request'$/;" v class:InvalidRequestError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ error = 'invalid_signature_method'$/;" v class:InvalidSignatureMethodError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ error = None$/;" v class:OAuth1Error +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'access_denied'$/;" v class:AccessDeniedError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'consent_required'$/;" v class:ConsentRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'insecure_transport'$/;" v class:InsecureTransportError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'insufficient_scope'$/;" v class:InsufficientScopeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_client'$/;" v class:InvalidClientError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_grant'$/;" v class:InvalidGrantError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_request'$/;" v class:InvalidRequestError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_request'$/;" v class:InvalidRequestFatalError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_scope'$/;" v class:InvalidScopeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'invalid_token'$/;" v class:InvalidTokenError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'login_required'$/;" v class:LoginRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'mismatching_state'$/;" v class:MismatchingStateError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'missing_code'$/;" v class:MissingCodeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'missing_token'$/;" v class:MissingTokenError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'missing_token_type'$/;" v class:MissingTokenTypeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'server_error'$/;" v class:ServerError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'temporarily_unavailable'$/;" v class:TemporarilyUnavailableError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'token_expired'$/;" v class:TokenExpiredError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'unauthorized_client'$/;" v class:UnauthorizedClientError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'unsupported_grant_type'$/;" v class:UnsupportedGrantTypeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'unsupported_response_type'$/;" v class:UnsupportedResponseTypeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = 'unsupported_token_type'$/;" v class:UnsupportedTokenTypeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ error = None$/;" v class:OAuth2Error +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'account_selection_required'$/;" v class:AccountSelectionRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'consent_required'$/;" v class:ConsentRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'insufficient_scope'$/;" v class:InsufficientScopeError +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'interaction_required'$/;" v class:InteractionRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'invalid_request_object'$/;" v class:InvalidRequestObject +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'invalid_request_uri'$/;" v class:InvalidRequestURI +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'invalid_token'$/;" v class:InvalidTokenError +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'login_required'$/;" v class:LoginRequired +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'registration_not_supported'$/;" v class:RegistrationNotSupported +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'request_not_supported'$/;" v class:RequestNotSupported +error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ error = 'request_uri_not_supported'$/;" v class:RequestURINotSupported +error adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def error(self, msg):$/;" m class:ConfigOptionParser +ERROR adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/status_codes.py /^ERROR = 1$/;" v +ERROR adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ ERROR = 1$/;" v class:MachineState +Error adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^class Error(EnvironmentError):$/;" c +error adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def error(self, msg):$/;" m class:TreeWalker +error adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +error adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +error adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^error = _quadpack.error$/;" v +error adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^error = _minpack.error$/;" v +error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def error(self, msg, *args):$/;" m class:Log +ERROR adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ERROR = 4$/;" v +error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^error = _global_log.error$/;" v +error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def error(self, msg, line=None):$/;" m class:TextFile +error adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +error adpepsenv/lib/python3.8/site-packages/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +error adpepsenv/lib/python3.8/site-packages/tensorboard/util/argparse_util.py /^ def error(*args, **kwargs):$/;" f function:allow_missing_subcommand file: +error adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def error(self, msg):$/;" m class:TreeWalker +error adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def error(level, msg, *args, **kwargs):$/;" f +error adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def error(msg):$/;" f +error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def error(branch_idx, error_detail):$/;" f function:_check_same_outputs file: +error adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def error(msg, *args, **kwargs):$/;" f +error adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def error(message, *args):$/;" f +ERROR adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^ERROR = 'ERROR'$/;" v +ERROR adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ERROR = "ERROR"$/;" v +Error adpepsenv/lib/python3.8/site-packages/torch/jit/__init__.py /^Error = torch._C.JITException$/;" v +error adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ error = _importer._get_module("moves.urllib_error")$/;" v class:Module_six_moves_urllib +errorbar adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def errorbar(self, x, y, yerr=None, xerr=None,$/;" m class:Axes +errorbar adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def errorbar($/;" f +ErrorbarContainer adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^class ErrorbarContainer(Container):$/;" c +ErrorCodeChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class ErrorCodeChoice(univ.Choice):$/;" c +ErrorIndex adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class ErrorIndex(univ.Integer):$/;" c +errorlevel adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ errorlevel = 1 # If 0, fatal errors only appear in debug$/;" v class:TarFile +ErrorLoggingSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class ErrorLoggingSession(session.Session):$/;" c +ErrorMessageCapture adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ class ErrorMessageCapture(object):$/;" c member:Delegate.__init__ file: +ErrorMetadataBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^class ErrorMetadataBase(object):$/;" c +ErrorMsgContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class ErrorMsgContent(univ.Sequence):$/;" c +ErrorRendezvous adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^class ErrorRendezvous(object):$/;" c +ERRORS adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ERRORS = {$/;" v +errors adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ errors = None # Error handler for unicode conversion.$/;" v class:TarFile +errors adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^def errors():$/;" f +errors adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def errors(self):$/;" m class:_PastaEditVisitor +errors versioneer.py /^ errors = do_setup()$/;" v +ErrorStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class ErrorStatus(univ.Integer):$/;" c +ErrorStream adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class ErrorStream(object):$/;" c +ErrorText adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def ErrorText(self):$/;" m class:RpcController +ErrorThresholdRow adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^def ErrorThresholdRow(X, bit_rate):$/;" f +error_checking_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def error_checking_body():$/;" f function:tf_if_stmt file: +error_checking_orelse adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def error_checking_orelse():$/;" f function:tf_if_stmt file: +error_code adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def error_code(self):$/;" m class:OpError +error_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def error_code(self):$/;" m class:OpError +error_code_from_exception_type adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^def error_code_from_exception_type(cls):$/;" f +error_code_from_exception_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^def error_code_from_exception_type(cls):$/;" f +error_estimator_order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ error_estimator_order = 2$/;" v class:RK23 +error_estimator_order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ error_estimator_order = 4$/;" v class:RK45 +error_estimator_order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ error_estimator_order = 7$/;" v class:DOP853 +error_estimator_order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ error_estimator_order = NotImplemented$/;" v class:RungeKutta +error_message adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ error_message = "{}: {}".format(w[-1].category.__name__, str(w[-1].message))$/;" v +error_message adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ error_message = ""$/;" v +ERROR_MESSAGE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ ERROR_MESSAGE_PREFIX = "ERROR: "$/;" v class:BaseUI +error_msg_gtk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^def error_msg_gtk(msg, parent=None):$/;" f +error_msg_wx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^def error_msg_wx(msg, parent=None):$/;" f +ERROR_NOT_READY adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ ERROR_NOT_READY: int = 34$/;" v class:cudaStatus +ERROR_PS adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ERROR_PS = """\\$/;" v +error_ratio adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def error_ratio(error_estimate, rtol, atol, y0, y1):$/;" f +error_translator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/py_checkpoint_reader.py /^def error_translator(e):$/;" f +error_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ error_uri = None$/;" v class:GrantTypeBase +ERROR_VALUE adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ERROR_VALUE = 2.0$/;" v +errSecInvalidTrustSettings adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSecInvalidTrustSettings = -25262$/;" v class:SecurityConst +errSecInvalidTrustSettings adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSecInvalidTrustSettings = -25262$/;" v class:SecurityConst +errSecItemNotFound adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSecItemNotFound = -25300$/;" v class:SecurityConst +errSecItemNotFound adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSecItemNotFound = -25300$/;" v class:SecurityConst +errSecNoTrustSettings adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSecNoTrustSettings = -25263$/;" v class:SecurityConst +errSecNoTrustSettings adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSecNoTrustSettings = -25263$/;" v class:SecurityConst +errSecVerifyFailed adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSecVerifyFailed = -67808$/;" v class:SecurityConst +errSecVerifyFailed adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSecVerifyFailed = -67808$/;" v class:SecurityConst +errSSLCertExpired adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLCertExpired = -9814$/;" v class:SecurityConst +errSSLCertExpired adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLCertExpired = -9814$/;" v class:SecurityConst +errSSLCertNotYetValid adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLCertNotYetValid = -9815$/;" v class:SecurityConst +errSSLCertNotYetValid adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLCertNotYetValid = -9815$/;" v class:SecurityConst +errSSLClosedAbort adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedAbort = -9806$/;" v class:SecurityConst +errSSLClosedAbort adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedAbort = -9806$/;" v class:SecurityConst +errSSLClosedGraceful adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedGraceful = -9805$/;" v class:SecurityConst +errSSLClosedGraceful adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedGraceful = -9805$/;" v class:SecurityConst +errSSLClosedNoNotify adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedNoNotify = -9816$/;" v class:SecurityConst +errSSLClosedNoNotify adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLClosedNoNotify = -9816$/;" v class:SecurityConst +errSSLCrypto adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLCrypto = -9809$/;" v class:SecurityConst +errSSLCrypto adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLCrypto = -9809$/;" v class:SecurityConst +errSSLHostNameMismatch adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLHostNameMismatch = -9843$/;" v class:SecurityConst +errSSLHostNameMismatch adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLHostNameMismatch = -9843$/;" v class:SecurityConst +errSSLInternal adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLInternal = -9810$/;" v class:SecurityConst +errSSLInternal adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLInternal = -9810$/;" v class:SecurityConst +errSSLNoRootCert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLNoRootCert = -9813$/;" v class:SecurityConst +errSSLNoRootCert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLNoRootCert = -9813$/;" v class:SecurityConst +errSSLPeerHandshakeFail adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLPeerHandshakeFail = -9824$/;" v class:SecurityConst +errSSLPeerHandshakeFail adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLPeerHandshakeFail = -9824$/;" v class:SecurityConst +errSSLPeerUserCancelled adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLPeerUserCancelled = -9839$/;" v class:SecurityConst +errSSLPeerUserCancelled adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLPeerUserCancelled = -9839$/;" v class:SecurityConst +errSSLProtocol adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLProtocol = -9800$/;" v class:SecurityConst +errSSLProtocol adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLProtocol = -9800$/;" v class:SecurityConst +errSSLRecordOverflow adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLRecordOverflow = -9847$/;" v class:SecurityConst +errSSLRecordOverflow adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLRecordOverflow = -9847$/;" v class:SecurityConst +errSSLServerAuthCompleted adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLServerAuthCompleted = -9841$/;" v class:SecurityConst +errSSLServerAuthCompleted adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLServerAuthCompleted = -9841$/;" v class:SecurityConst +errSSLUnknownRootCert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLUnknownRootCert = -9812$/;" v class:SecurityConst +errSSLUnknownRootCert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLUnknownRootCert = -9812$/;" v class:SecurityConst +errSSLWeakPeerEphemeralDHKey adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLWeakPeerEphemeralDHKey = -9850$/;" v class:SecurityConst +errSSLWeakPeerEphemeralDHKey adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLWeakPeerEphemeralDHKey = -9850$/;" v class:SecurityConst +errSSLWouldBlock adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLWouldBlock = -9803$/;" v class:SecurityConst +errSSLWouldBlock adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLWouldBlock = -9803$/;" v class:SecurityConst +errSSLXCertChainInvalid adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ errSSLXCertChainInvalid = -9807$/;" v class:SecurityConst +errSSLXCertChainInvalid adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ errSSLXCertChainInvalid = -9807$/;" v class:SecurityConst +errstate adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^class errstate(contextlib.ContextDecorator):$/;" c +err_est adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def err_est(k, d):$/;" f member:TestSmokeTests.check_1 file: +err_est adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def err_est(k, d):$/;" f member:TestSmokeTests.check_2 file: +err_fp32 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ err_fp32 = lambda p: err(p, x, y).astype(np.float32)$/;" f member:TestApproxDerivativesDense.test_fp file: +err_metric adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/struve_convergence.py /^def err_metric(a, b, atol=1e-290):$/;" f +es256 adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^ es256 = None$/;" v +es256 adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ es256 = None$/;" v +ES256Signer adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^class ES256Signer(base.Signer, base.FromServiceAccountMixin):$/;" c +ES256Signer adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^ ES256Signer = es256.ES256Signer$/;" v +ES256Verifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^class ES256Verifier(base.Verifier):$/;" c +ES256Verifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^ ES256Verifier = es256.ES256Verifier$/;" v +escape adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def escape(u):$/;" f +escape adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def escape(u):$/;" f +escape adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def escape(s):$/;" f function:PrefixSuffixGoldenTestMeta.__new__.golden_test_generator.test file: +escape adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def escape(pathname):$/;" f +escape adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def escape(s):$/;" f member:bdist_wininst.get_inidata file: +escape adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def escape(s):$/;" f +escapeChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def escapeChar(self, char):$/;" m class:InfosetFilter +escapeChar adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def escapeChar(self, char):$/;" m class:InfosetFilter +escapedchars adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/common.py /^escapedchars = ['\\0', '\\b', '\\f', '\\n', '\\r', '\\t', '\\"']$/;" v +escaped_chars adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ escaped_chars = {$/;" v class:PdfParser +escaped_tracer_error adpepsenv/lib/python3.8/site-packages/jax/core.py /^def escaped_tracer_error(tracer, detail=None):$/;" f +EscapeForJSCode adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def EscapeForJSCode(encoder, value):$/;" m class:DataTable +EscapeInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class EscapeInlineProcessor(InlineProcessor):$/;" c +escapeRegexp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^def escapeRegexp(string):$/;" f +escapeRegexp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^def escapeRegexp(string):$/;" f +escapes adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/common.py /^escapes = ['0', 'b', 'f', 'n', 'r', 't', '"']$/;" v +escape_attrib adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def escape_attrib(s):$/;" f +escape_cdata adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def escape_cdata(s):$/;" f +ESCAPE_CODES adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ ESCAPE_CODES = {$/;" v class:Scanner +escape_comment adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def escape_comment(s):$/;" f +escape_lt_in_attrs adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ escape_lt_in_attrs = False$/;" v class:HTMLSerializer +escape_lt_in_attrs adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ escape_lt_in_attrs = False$/;" v class:HTMLSerializer +escape_rcdata adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ escape_rcdata = False$/;" v class:HTMLSerializer +escape_rcdata adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ escape_rcdata = False$/;" v class:HTMLSerializer +ESCAPE_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ESCAPE_RE = r'\\\\(.)'$/;" v +ESCAPE_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ ESCAPE_REPLACEMENTS = {$/;" v class:Emitter +ESCAPE_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ ESCAPE_REPLACEMENTS = {$/;" v class:Scanner +escape_to_escapedchars adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/common.py /^escape_to_escapedchars = dict(zip(_escapes, _escapedchars))$/;" v +escape_trailing_quote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^def escape_trailing_quote(s, quote):$/;" f +EscCharSetProber adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^class EscCharSetProber(CharSetProber):$/;" c +EscCharSetProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^class EscCharSetProber(CharSetProber):$/;" c +ESC_ASCII adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ ESC_ASCII = 1$/;" v class:InputState +ESC_ASCII adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ ESC_ASCII = 1$/;" v class:InputState +ESC_DETECTOR adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ ESC_DETECTOR = re.compile(b'(\\033|~{)')$/;" v class:UniversalDetector +ESC_DETECTOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ ESC_DETECTOR = re.compile(b'(\\033|~{)')$/;" v class:UniversalDetector +ESPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ESPCM = 0x0061$/;" v class:WAVE_FORMAT +ESSCertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ESSCertID(univ.Sequence):$/;" c +ESSCertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ESSCertID = rfc2634.ESSCertID$/;" v +ESSCertIDv2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^class ESSCertIDv2(univ.Sequence):$/;" c +ESSPrivacyMark adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ESSPrivacyMark(univ.Choice):$/;" c +ESSPrivacyMark adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ESSPrivacyMark = rfc2634.ESSPrivacyMark$/;" v +ESSPrivacyMark adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ESSPrivacyMark = rfc2634.ESSPrivacyMark$/;" v +ESSSecurityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ESSSecurityLabel(univ.Set):$/;" c +ESSSecurityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ESSSecurityLabel = rfc2634.ESSSecurityLabel$/;" v +ESSSecurityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ESSSecurityLabel = rfc2634.ESSSecurityLabel$/;" v +ESST_AC3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ESST_AC3 = 0x0241$/;" v class:WAVE_FORMAT +ESSVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ESSVersion(univ.Integer):$/;" c +ESSVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ESSVersion = rfc2634.ESSVersion$/;" v +estIdentityLinking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^estIdentityLinking = rfc5652.Attribute()$/;" v +estIdentityLinking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^estIdentityLinking = Attribute()$/;" v +EstimatePerformance adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def EstimatePerformance(self, device):$/;" m class:Cluster +estimate_bc_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def estimate_bc_jac(bc, ya, yb, p, bc0=None):$/;" f +estimate_blocksize adpepsenv/lib/python3.8/site-packages/scipy/sparse/spfuncs.py /^def estimate_blocksize(A,efficiency=0.7):$/;" f +estimate_fun_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def estimate_fun_jac(fun, x, y, p, f0=None):$/;" f +estimate_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def estimate_memory_usage(protos, shapes, types, devicescope):$/;" f +estimate_rank adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def estimate_rank(A, eps):$/;" f +estimate_rms_residuals adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def estimate_rms_residuals(fun, sol, x, h, p, r_middle, f_middle):$/;" f +estimate_smallest_singular_value adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^def estimate_smallest_singular_value(U):$/;" f +estimate_spectral_norm adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def estimate_spectral_norm(A, its=20):$/;" f +estimate_spectral_norm_diff adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def estimate_spectral_norm_diff(A, B, its=20):$/;" f +estimate_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def estimate_type(self, request):$/;" m class:BearerToken +estimate_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def estimate_type(self, request):$/;" m class:TokenBase +estimate_type adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^ def estimate_type(self, request):$/;" m class:JWTToken +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +estimator adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^estimator = _LazyLoader("estimator", globals(), _estimator_module)$/;" v +Estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.estimator import EstimatorV2 as Estimator$/;" x +Estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^class Estimator(object):$/;" c +estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def estimator(self):$/;" m class:_TrainingExecutor +Estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.estimator import EstimatorV2 as Estimator$/;" x +EstimatorModeKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^class EstimatorModeKeys(object):$/;" c +EstimatorSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^class EstimatorSpec($/;" c +EstimatorSpecFunction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^class EstimatorSpecFunction(def_function.Function):$/;" c +EstimatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^class EstimatorV2(Estimator):$/;" c +ESTIMATOR_API_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ESTIMATOR_API_NAME = 'estimator'$/;" v +estimator_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def estimator_evaluate(estimator, evaluate_distributed_fn, hooks):$/;" f +estimator_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^estimator_export = functools.partial(api_export, api_name=ESTIMATOR_API_NAME)$/;" v +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^from tensorflow_estimator.python.estimator import estimator as estimator_lib$/;" x +estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def estimator_spec(self):$/;" m class:_EstimatorWrappedGraph +estimator_train adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def estimator_train(estimator, train_distributed_fn, hooks):$/;" f +estimator_util adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow_estimator.python.estimator import util as estimator_util$/;" x +es_module_path adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def es_module_path(self):$/;" m class:FrontendMetadata +ETA adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def ETA(self):$/;" m class:Progress +eta adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def eta(self):$/;" m class:Progress +eta adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def eta(g):$/;" f function:_ip_hsd file: +eta adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def eta(g=gamma):$/;" f function:_ip_hsd file: +eta adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def eta(lam):$/;" f +ETagRequestMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^class ETagRequestMixin(object):$/;" c +ETagResponseMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^class ETagResponseMixin(object):$/;" c +ETags adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ETags(collections_abc.Container, collections_abc.Iterable):$/;" c +eta_strategy adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^ def eta_strategy(k, x, F):$/;" f function:_root_df_sane file: +eta_td adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def eta_td(self):$/;" m class:Progress +etree adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^import xml.etree.ElementTree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^import lxml.etree as etree$/;" I +etree adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^import lxml.etree as etree$/;" I +etree_builders adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^from . import etree as etree_builders$/;" x +etree_builders adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^from . import etree as etree_builders$/;" x +ETS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ETS = dict(pyqt=(QT_API_PYQTv2, 4), pyside=(QT_API_PYSIDE, 4),$/;" v +ETX adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ETX = '\\u0003' # Use ETX ("End of text") for end-of-placeholder$/;" v +EUCJPContextAnalysis adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^class EUCJPContextAnalysis(JapaneseContextAnalysis):$/;" c +EUCJPContextAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^class EUCJPContextAnalysis(JapaneseContextAnalysis):$/;" c +EUCJPDistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class EUCJPDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCJPDistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class EUCJPDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCJPProber adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^class EUCJPProber(MultiByteCharSetProber):$/;" c +EUCJPProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^class EUCJPProber(MultiByteCharSetProber):$/;" c +EUCJP_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCJP_CHAR_LEN_TABLE = (2, 2, 2, 3, 1, 0)$/;" v +EUCJP_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCJP_CHAR_LEN_TABLE = (2, 2, 2, 3, 1, 0)$/;" v +EUCJP_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCJP_CLS = ($/;" v +EUCJP_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCJP_CLS = ($/;" v +EUCJP_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCJP_SM_MODEL = {'class_table': EUCJP_CLS,$/;" v +EUCJP_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCJP_SM_MODEL = {'class_table': EUCJP_CLS,$/;" v +EUCJP_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCJP_ST = ($/;" v +EUCJP_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCJP_ST = ($/;" v +EUCKRDistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class EUCKRDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCKRDistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class EUCKRDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCKRProber adpepsenv/lib/python3.8/site-packages/chardet/euckrprober.py /^class EUCKRProber(MultiByteCharSetProber):$/;" c +EUCKRProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrprober.py /^class EUCKRProber(MultiByteCharSetProber):$/;" c +EUCKR_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCKR_CHAR_LEN_TABLE = (0, 1, 2, 0)$/;" v +EUCKR_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCKR_CHAR_LEN_TABLE = (0, 1, 2, 0)$/;" v +EUCKR_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/chardet/euckrfreq.py /^EUCKR_CHAR_TO_FREQ_ORDER = ($/;" v +EUCKR_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrfreq.py /^EUCKR_CHAR_TO_FREQ_ORDER = ($/;" v +EUCKR_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCKR_CLS = ($/;" v +EUCKR_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCKR_CLS = ($/;" v +EUCKR_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCKR_SM_MODEL = {'class_table': EUCKR_CLS,$/;" v +EUCKR_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCKR_SM_MODEL = {'class_table': EUCKR_CLS,$/;" v +EUCKR_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCKR_ST = ($/;" v +EUCKR_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCKR_ST = ($/;" v +EUCKR_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/euckrfreq.py /^EUCKR_TABLE_SIZE = 2352$/;" v +EUCKR_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrfreq.py /^EUCKR_TABLE_SIZE = 2352$/;" v +EUCKR_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/chardet/euckrfreq.py /^EUCKR_TYPICAL_DISTRIBUTION_RATIO = 6.0$/;" v +EUCKR_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrfreq.py /^EUCKR_TYPICAL_DISTRIBUTION_RATIO = 6.0$/;" v +Euclidean adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{Euclidean}$/;" l +euclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def euclidean(u, v, w=None):$/;" f +EuclideanNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^EuclideanNorm = tf_export("raw_ops.EuclideanNorm")(_ops.to_raw_op(euclidean_norm))$/;" v +euclidean_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def euclidean_norm(input, axis, keep_dims=False, name=None):$/;" f +euclidean_norm_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def euclidean_norm_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +EUCTWDistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class EUCTWDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCTWDistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class EUCTWDistributionAnalysis(CharDistributionAnalysis):$/;" c +EUCTWProber adpepsenv/lib/python3.8/site-packages/chardet/euctwprober.py /^class EUCTWProber(MultiByteCharSetProber):$/;" c +EUCTWProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwprober.py /^class EUCTWProber(MultiByteCharSetProber):$/;" c +EUCTW_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCTW_CHAR_LEN_TABLE = (0, 0, 1, 2, 2, 2, 3)$/;" v +EUCTW_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCTW_CHAR_LEN_TABLE = (0, 0, 1, 2, 2, 2, 3)$/;" v +EUCTW_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/chardet/euctwfreq.py /^EUCTW_CHAR_TO_FREQ_ORDER = ($/;" v +EUCTW_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwfreq.py /^EUCTW_CHAR_TO_FREQ_ORDER = ($/;" v +EUCTW_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCTW_CLS = ($/;" v +EUCTW_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCTW_CLS = ($/;" v +EUCTW_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCTW_SM_MODEL = {'class_table': EUCTW_CLS,$/;" v +EUCTW_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCTW_SM_MODEL = {'class_table': EUCTW_CLS,$/;" v +EUCTW_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^EUCTW_ST = ($/;" v +EUCTW_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^EUCTW_ST = ($/;" v +EUCTW_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/euctwfreq.py /^EUCTW_TABLE_SIZE = 5376$/;" v +EUCTW_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwfreq.py /^EUCTW_TABLE_SIZE = 5376$/;" v +EUCTW_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/chardet/euctwfreq.py /^EUCTW_TYPICAL_DISTRIBUTION_RATIO = 0.75$/;" v +EUCTW_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwfreq.py /^EUCTW_TYPICAL_DISTRIBUTION_RATIO = 0.75$/;" v +euler adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_digamma.py /^euler = 0.57721566490153286$/;" v +euler adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def euler(n):$/;" f +euler_constant adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^euler_constant = 0.57721566490153286060 # Euler Mascheroni Constant$/;" v +euler_gamma adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^euler_gamma = np.euler_gamma$/;" v +EuthanizeIfNecessary adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^def EuthanizeIfNecessary(timeout_secs=120):$/;" f +eV adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^eV = electron_volt = elementary_charge # * 1 Volt$/;" v +ev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def ev(self, theta, phi, dtheta=0, dphi=0):$/;" m class:SphereBivariateSpline +ev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def ev(self, xi, yi, dx=0, dy=0):$/;" m class:BivariateSpline +EVAL adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ EVAL = "eval"$/;" v class:InstantiationContext +eval adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def eval(image, *args):$/;" f +eval adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def eval(expression, _dict={}, **kw):$/;" f +eval adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def eval(x):$/;" f function:_clip_x_for_func file: +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def eval(self, session=None):$/;" m class:AggregatingVariable +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def eval(self, session=None):$/;" m class:DistributedVariable +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def eval(self, feed_dict=None, session=None):$/;" m class:Tensor +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def eval(self, feed_dict=None, session=None):$/;" m class:_EagerTensorBase +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def eval(self, feed_dict=None, session=None):$/;" m class:SparseTensor +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def eval(x):$/;" f +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def eval(self, session=None):$/;" m class:AutoCastVariable +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def eval(self, session=None):$/;" m class:BaseResourceVariable +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def eval(self):$/;" m class:TensorHandle +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def eval(self, session=None):$/;" m class:RefVariable +eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def eval(self, session=None):$/;" m class:Variable +EVAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ EVAL = 'eval'$/;" v class:EstimatorModeKeys +EVAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/tag_constants.py /^EVAL = "eval"$/;" v +eval adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def eval(self: T) -> T:$/;" m class:_RemoteModule +eval adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def eval(self: T) -> T:$/;" m class:Module +eval adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def eval(self, code):$/;" m class:Console +eval adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def eval(self, code, mode="single"):$/;" m class:Frame +EvalEnv adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^class EvalEnv(object):$/;" c +evalf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ evalf = lambda a: lambda n, x: sc.eval_gegenbauer(n, a, x)$/;" f function:test_roots_gegenbauer file: +evalf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ evalf = lambda a: lambda n, x: sc.eval_genlaguerre(n, a, x)$/;" f function:test_roots_genlaguerre file: +evalId adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def evalId(self, data, index, text):$/;" m class:ReferenceInlineProcessor +evalId adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def evalId(self, data, index, text):$/;" m class:ShortImageReferenceInlineProcessor +evalId adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def evalId(self, data, index, text):$/;" m class:ShortReferenceInlineProcessor +EvalOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class EvalOutput(_SupervisedOutput):$/;" c +EvalSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class EvalSpec($/;" c +EvalTrace adpepsenv/lib/python3.8/site-packages/jax/core.py /^class EvalTrace(Trace):$/;" c +evaluate adpeps/ipeps/ipeps.py /^ def evaluate(self):$/;" m class:iPEPS +evaluate adpeps/ipeps/ipeps.py /^ def evaluate(self):$/;" m class:iPEPS_exci +evaluate adpeps/simulation/run_ipeps_exci.py /^def evaluate(config_file, momentum_ix):$/;" f +evaluate adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def evaluate(self, env):$/;" m class:Poly +evaluate adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ def evaluate(self, points):$/;" m class:GaussianKDE +evaluate adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^ def evaluate(self, expr, context):$/;" m class:Evaluator +evaluate adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def evaluate(self, environment=None):$/;" m class:Marker +evaluate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def evaluate(self, environment=None):$/;" m class:Marker +evaluate adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def evaluate(self, points):$/;" m class:gaussian_kde +evaluate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def evaluate(self, environment=None):$/;" m class:Marker +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^ def evaluate(self, expression):$/;" m class:ExpressionEvaluator +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ evaluate = lambda x: sess.run(x) if sess else self.evaluate(x)$/;" f member:DistributionTestBase._test_input_fn_iterator file: +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def evaluate(self, tensors):$/;" m class:TensorFlowTestCase +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def evaluate(self,$/;" m class:Model +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^ def evaluate(self,$/;" m class:ArrayLikeTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def evaluate(self, *args, **kwargs):$/;" m class:DistributionMultiWorkerTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def evaluate(self,$/;" m class:DistributionSingleWorkerTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def evaluate(self,$/;" m class:EagerDatasetOrIteratorTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def evaluate(self,$/;" m class:GeneratorLikeTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def evaluate(self,$/;" m class:GeneratorOrSequenceTrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def evaluate(self,$/;" m class:TrainingLoop +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def evaluate(self,$/;" m class:Model +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def evaluate(self, tensors):$/;" m class:TensorFlowBenchmark +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def evaluate(self,$/;" m class:Estimator +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def evaluate(self, features, labels):$/;" m class:ModelFunction +evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def evaluate(self,$/;" m class:TPUEstimator +EvaluateAsTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^ def EvaluateAsTuple(t):$/;" f function:_SumGrad file: +EVALUATED adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ EVALUATED = 'evaluated'$/;" v class:_EvalStatus +evaluate_and_export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def evaluate_and_export(self):$/;" m class:_TrainingExecutor._Evaluator +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/cupy.py /^def evaluate_constants(const_arrays, expr): # pragma: no cover$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def evaluate_constants(backend, arrays, expr):$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^def evaluate_constants(const_arrays, expr): # pragma: no cover$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def evaluate_constants(const_arrays, expr):$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/theano.py /^def evaluate_constants(const_arrays, expr):$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def evaluate_constants(const_arrays, expr):$/;" f +evaluate_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def evaluate_constants(self, backend='auto'):$/;" m class:ContractExpression +evaluate_constants_eager adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def evaluate_constants_eager(const_arrays, expr):$/;" f +evaluate_constants_graph adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def evaluate_constants_graph(const_arrays, expr):$/;" f +evaluate_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def evaluate_expression(self, args, screen_info=None):$/;" m class:DebugAnalyzer +evaluate_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def evaluate_generator(self,$/;" m class:Model +evaluate_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^evaluate_generator = functools.partial($/;" v +evaluate_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def evaluate_generator(self,$/;" m class:Model +evaluate_link adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def evaluate_link(self, link):$/;" m class:LinkEvaluator +evaluate_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def evaluate_links(self, link_evaluator, links):$/;" m class:PackageFinder +evaluate_marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def evaluate_marker(text, extra=None):$/;" f +evaluate_marker adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def evaluate_marker(text, extra=None):$/;" f +evaluate_quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def evaluate_quadratic(J, g, s, diag=None):$/;" f +evaluate_single adpeps/simulation/run_ipeps_exci.py /^def evaluate_single(config_file, momentum_ix):$/;" f +evaluate_tensor_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def evaluate_tensor_slice(tensor, tensor_slicing):$/;" f +evaluate_tflite_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/signal/test_util.py /^def evaluate_tflite_model(tflite_model, input_ndarrays):$/;" f +EvaluationPlan adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class EvaluationPlan(NamedTuple):$/;" c +evaluation_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def evaluation_master(self):$/;" m class:RunConfig +evaluation_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def evaluation_master(self):$/;" m class:RunConfig +Evaluator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^class Evaluator(object):$/;" c +evaluator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^evaluator = Evaluator()$/;" v +EVALUATOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ EVALUATOR = "evaluator"$/;" v class:_TaskType +EVALUATOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^EVALUATOR = dc._TaskType.EVALUATOR$/;" v +EVALUATOR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ EVALUATOR = 'evaluator'$/;" v class:TaskType +eval_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_chebyc(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_chebys(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_chebyt(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_chebyu(dl_number_t x0, Dd_number_t x1) nogil$/;" f +EVAL_CONSTS_BACKENDS adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^EVAL_CONSTS_BACKENDS = {$/;" v +eval_context adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def eval_context():$/;" f function:omnistaging_disabler file: +eval_context adpepsenv/lib/python3.8/site-packages/jax/core.py /^def eval_context():$/;" f +eval_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def eval_dir(model_dir, name=None):$/;" f +eval_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def eval_dir(self, name=None):$/;" m class:Estimator +eval_distribute adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def eval_distribute(self):$/;" m class:RunConfig +eval_evec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def eval_evec(symmetric, d, typ, k, which, v0=None, sigma=None,$/;" f +eval_func adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ eval_func = lambda x: evf(x) \/ knn$/;" f member:orthopoly1d.__init__ file: +eval_func_at_params adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def eval_func_at_params(func, skip_mask=None):$/;" f member:FuncData.check file: +eval_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_gegenbauer(dl_number_t x0, double x1, Dd_number_t x2) nogil$/;" f +eval_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_genlaguerre(dl_number_t x0, double x1, Dd_number_t x2) nogil$/;" f +eval_genlaguerre_ddd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_genlaguerre_ddd(n, a, x):$/;" f +eval_genlaguerre_ldd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_genlaguerre_ldd(n, a, x):$/;" f +eval_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double eval_hermite(long x0, double x1) nogil$/;" f +eval_hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double eval_hermitenorm(long x0, double x1) nogil$/;" f +eval_hermite_ld adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_hermite_ld(n, x):$/;" f +eval_in_eager_or_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def eval_in_eager_or_function(outputs):$/;" f +eval_in_original_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def eval_in_original_context(f, args, caller_fn_scope):$/;" f +eval_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_jacobi(dl_number_t x0, double x1, double x2, Dd_number_t x3) nogil$/;" f +eval_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^def eval_jaxpr(jaxpr: Jaxpr, consts, *args):$/;" f +eval_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_laguerre(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_laguerre_dd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_laguerre_dd(n, x):$/;" f +eval_laguerre_ld adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_laguerre_ld(n, x):$/;" f +eval_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_legendre(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_legendre_dd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_legendre_dd(n, x):$/;" f +eval_legendre_ld adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def eval_legendre_ld(n, x):$/;" f +eval_lexpr adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def eval_lexpr(lexpr, x):$/;" f +eval_poly adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def eval_poly(poly, values_dict):$/;" f +eval_poly_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def eval_poly_shape(shape, values_dict):$/;" f +eval_shape adpepsenv/lib/python3.8/site-packages/jax/api.py /^def eval_shape(fun: Callable, *args, **kwargs):$/;" f +eval_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_sh_chebyt(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_sh_chebyu(dl_number_t x0, Dd_number_t x1) nogil$/;" f +eval_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_sh_jacobi(dl_number_t x0, double x1, double x2, Dd_number_t x3) nogil$/;" f +eval_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t eval_sh_legendre(dl_number_t x0, Dd_number_t x1) nogil$/;" f +EVAL_STEP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ EVAL_STEP = "eval_step"$/;" v class:GraphKeys +eval_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def eval_step(total_loss):$/;" f member:_ModelFnWrapper.convert_to_single_tpu_eval_step file: +event adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def event(step, values):$/;" f function:tensorboard_events.named_summaries_to_events file: +event adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event(self,$/;" m class:_StreamStreamMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event(self,$/;" m class:_StreamUnaryMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event(self,$/;" m class:_UnaryStreamMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event(self,$/;" m class:_UnaryUnaryMultiCallable +EVENT adpepsenv/lib/python3.8/site-packages/grpc/framework/common/style.py /^ EVENT = 'event'$/;" v class:Service +event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event(self,$/;" m class:StreamStreamMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event(self,$/;" m class:StreamUnaryMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event(self,$/;" m class:UnaryStreamMultiCallable +event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event(self,$/;" m class:UnaryUnaryMultiCallable +Event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class Event:$/;" c +Event adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^Event = _reflection.GeneratedProtocolMessageType('Event', (_message.Message,), {$/;" v +Event adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^Event = _reflection.GeneratedProtocolMessageType('Event', (_message.Message,), {$/;" v +Event adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^class Event(torch._C._CudaEventBase):$/;" c +Event adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class Event(object):$/;" c +EventAccumulator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^class EventAccumulator(object):$/;" c +EventAccumulator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^class EventAccumulator(object):$/;" c +EventCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class EventCollection(LineCollection):$/;" c +EventFileLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^class EventFileLoader(LegacyEventFileLoader):$/;" c +EventFileWriter adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^class EventFileWriter(object):$/;" c +EventFileWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^class EventFileWriter(object):$/;" c +EventFileWriterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^class EventFileWriterV2(object):$/;" c +EventList adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class EventList(list):$/;" c +EventListenerBaseServicer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^class EventListenerBaseServicer(debug_service_pb2_grpc.EventListenerServicer):$/;" c +EventListenerBaseStreamHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^class EventListenerBaseStreamHandler(object):$/;" c +EventListenerServicer adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^class EventListenerServicer(object):$/;" c +EventListenerServicer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^class EventListenerServicer(object):$/;" c +EventListenerStub adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^class EventListenerStub(object):$/;" c +EventListenerStub adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^class EventListenerStub(object):$/;" c +EventListenerTestServicer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^class EventListenerTestServicer(grpc_debug_server.EventListenerBaseServicer):$/;" c +EventListenerTestStreamHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^class EventListenerTestStreamHandler($/;" c +EventMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class EventMixin(object):$/;" c +EventMultiplexer adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^class EventMultiplexer(object):$/;" c +EventMultiplexer adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^class EventMultiplexer(object):$/;" c +eventplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def eventplot(self, positions, orientation='horizontal', lineoffsets=1,$/;" m class:Axes +eventplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def eventplot($/;" f +EventReply adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^EventReply = _reflection.GeneratedProtocolMessageType('EventReply', (_message.Message,), {$/;" v +events adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ events = [$/;" v class:FigureCanvasBase +eventson adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ eventson = True$/;" v class:Widget +event_accumulator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ plugin_event_accumulator as event_accumulator,$/;" x +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def event_dim(self):$/;" m class:ComposeTransform +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def event_dim(self):$/;" m class:_InverseTransform +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ event_dim = 0$/;" v class:Transform +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ event_dim = 1$/;" v class:SoftmaxTransform +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ event_dim = 1$/;" v class:StickBreakingTransform +event_dim adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ event_dim = 2$/;" v class:LowerCholeskyTransform +event_mask adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ event_mask = (Gdk.EventMask.BUTTON_PRESS_MASK$/;" v class:FigureCanvasGTK3 +event_rational_1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def event_rational_1(t, y):$/;" f function:test_events file: +event_rational_2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def event_rational_2(t, y):$/;" f function:test_events file: +event_rational_3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def event_rational_3(t, y):$/;" f function:test_events file: +event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def event_shape(self):$/;" m class:Distribution +event_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def event_shape(self):$/;" m class:Distribution +event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def event_shape_tensor(self, name="event_shape_tensor"):$/;" m class:Distribution +event_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def event_size(self):$/;" m class:Categorical +event_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event_stream_stream(self,$/;" m class:_GenericStub +event_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event_stream_stream(self,$/;" m class:GenericStub +event_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event_stream_unary(self,$/;" m class:_GenericStub +event_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event_stream_unary(self,$/;" m class:GenericStub +event_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event_unary_stream(self,$/;" m class:_GenericStub +event_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event_unary_stream(self,$/;" m class:GenericStub +event_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def event_unary_unary(self,$/;" m class:_GenericStub +event_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def event_unary_unary(self,$/;" m class:GenericStub +even_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def even_ext(x, n, axis=-1):$/;" f +every_col_of_X_is_parallel_to_a_col_of_Y adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def every_col_of_X_is_parallel_to_a_col_of_Y(X, Y):$/;" f +evf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def evf(mu, nu, x):$/;" f function:test_lpmv file: +evil_blit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^ def evil_blit(photoimage, aggimage, offsets, bboxptr):$/;" f function:test_blit file: +exa adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^exa = 1e18$/;" v +exact_gaussian_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/kernelized_utils.py /^def exact_gaussian_kernel(x, y, stddev):$/;" f +exact_laplacian_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/kernelized_utils.py /^def exact_laplacian_kernel(x, y, stddev):$/;" f +exact_match adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ exact_match = False$/;" v class:StringConverterTestCase +exact_match adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ exact_match = True$/;" v class:TestCastingConverter +exact_match adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ exact_match = True$/;" v class:TestSelectkindConverter +exact_values adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^exact_values = {$/;" v +exact_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def exact_version(self):$/;" m class:Matcher +example adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^ def example():$/;" f +Example adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^Example = _reflection.GeneratedProtocolMessageType('Example', (_message.Message,), {$/;" v +ExampleList adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^ExampleList = _reflection.GeneratedProtocolMessageType('ExampleList', (_message.Message,), dict($/;" v +ExampleListWithContext adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^ExampleListWithContext = _reflection.GeneratedProtocolMessageType('ExampleListWithContext', (_me/;" v +ExampleParserConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^ExampleParserConfiguration = _reflection.GeneratedProtocolMessageType('ExampleParserConfiguratio/;" v +examples adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ examples = []$/;" v class:WhatIfToolPlugin +ExamplesPerSecondHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class ExamplesPerSecondHook(tf.compat.v1.train.StepCounterHook):$/;" c +example_class adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ example_class = tf.train.Example$/;" v class:WhatIfToolPlugin +example_debug_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_example_debug_outputs as e/;" x +example_indices adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def example_indices(self):$/;" m class:_SparseFeatureColumn +example_job adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^def example_job():$/;" f +example_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^def example_loop():$/;" f +example_pcolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def example_pcolor(ax, fontsize=12):$/;" f +example_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def example_plot(ax, fontsize=12, nodec=False):$/;" f +example_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def example_plot(ax, fontsize=12):$/;" f +example_protos_from_path adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def example_protos_from_path(path,$/;" f +example_task adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^def example_task():$/;" f +exbi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^exbi = 2**60$/;" v +exc adpepsenv/lib/python3.8/site-packages/_yaml/__init__.py /^ exc = ModuleNotFoundError if version_info >= (3, 6) else ImportError$/;" v +ExcaliburData adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class ExcaliburData(object):$/;" c +ExcaliburData adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^class ExcaliburData(object):$/;" c +exception adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def exception(msg, *args):$/;" f +exception adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def exception(self, timeout=None):$/;" m class:_ChannelReadyFuture +exception adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def exception(self, timeout=None):$/;" m class:_Rendezvous +exception adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def exception(self, timeout=None):$/;" m class:Future +exception adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def exception(self, timeout=None): # pylint: disable=unused-argument$/;" m class:_InactiveRpcError +exception adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def exception(self, timeout=None):$/;" m class:_MultiThreadedRendezvous +exception adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def exception(self, ignored_timeout=None):$/;" m class:_FailureOutcome +exception adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def exception(self, ignored_timeout=None):$/;" m class:_UnaryOutcome +exception adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def exception(self, timeout=None):$/;" m class:_ChannelReadyFuture +exception adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def exception(self, timeout=None):$/;" m class:Future +exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def exception(self, timeout=None):$/;" m class:Future +exception adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def exception(self):$/;" m class:Group +exception adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def exception(self):$/;" m class:Traceback +exception adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ exception = None$/;" v class:ImportStringError +ExceptionHandler adpepsenv/lib/python3.8/site-packages/absl/app.py /^class ExceptionHandler(object):$/;" c +ExceptionModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class ExceptionModule(nn.Module):$/;" c member:DistributedTest._DistTestBase.test_ddp_uneven_input_exception file: +ExceptionSaver adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^class ExceptionSaver:$/;" c +exceptions_raised adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def exceptions_raised(self):$/;" m class:QueueRunner +ExceptionWrapper adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^class ExceptionWrapper(object):$/;" c +EXCEPTION_HANDLERS adpepsenv/lib/python3.8/site-packages/absl/app.py /^EXCEPTION_HANDLERS = []$/;" v +exception_template adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^exception_template = """$/;" v +exception_to_nan adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def exception_to_nan(func):$/;" f +exception_type_from_error_code adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^def exception_type_from_error_code(error_code):$/;" f +exception_type_from_error_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^def exception_type_from_error_code(error_code):$/;" f +exchange_token adpepsenv/lib/python3.8/site-packages/google/oauth2/sts.py /^ def exchange_token($/;" m class:Client +ExcitingMixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class ExcitingMixing(GenericBroyden):$/;" c +excitingmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^excitingmixing = _nonlin_wrapper('excitingmixing', ExcitingMixing)$/;" v +exclude adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def exclude(self, pattern):$/;" m class:FileList +exclude adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def exclude(self, **attrs):$/;" m class:Distribution +excluded_extensions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ excluded_extensions = ('.pdf',)$/;" v class:Locator +excluded_from_module_rename adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def excluded_from_module_rename(module, import_rename_spec):$/;" f +excluded_of adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def excluded_of(self, candidate):$/;" m class:Criterion +ExcludeLoggerFilter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class ExcludeLoggerFilter(Filter):$/;" c +exclude_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def exclude_data_files(self, package, src_dir, files):$/;" m class:build_py +EXCLUDE_FROM_ACCUMULATE_PRED adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EXCLUDE_FROM_ACCUMULATE_PRED = 'exclude_from_accumulate_pred'$/;" v class:Tags +EXCLUDE_FROM_EVAL adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EXCLUDE_FROM_EVAL = 'exclude_from_eval'$/;" v class:Tags +EXCLUDE_FROM_PREDICTION adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EXCLUDE_FROM_PREDICTION = 'exclude_from_prediction'$/;" v class:Tags +EXCLUDE_FROM_TRAIN adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EXCLUDE_FROM_TRAIN = 'exclude_from_train'$/;" v class:Tags +EXCLUDE_FUNCTIONAL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^EXCLUDE_FUNCTIONAL = {$/;" v +EXCLUDE_GRADCHECK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^EXCLUDE_GRADCHECK: Dict[str, Any] = {$/;" v +EXCLUDE_GRADGRADCHECK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^EXCLUDE_GRADGRADCHECK: Dict[str, Any] = {$/;" v +EXCLUDE_GRADGRADCHECK_BY_TEST_NAME adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^EXCLUDE_GRADGRADCHECK_BY_TEST_NAME = {$/;" v +exclude_package adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def exclude_package(self, package):$/;" m class:Distribution +exclude_pattern adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def exclude_pattern (self, pattern,$/;" m class:FileList +exclude_patterns docs/source/conf.py /^exclude_patterns = ['_build', 'Thumbs.db', '.DS_Store']$/;" v +exclude_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^exclude_re = re.compile(":exclude:")$/;" v +EXCLUDE_SCRIPT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^EXCLUDE_SCRIPT = {$/;" v +EXCLUDE_SCRIPT_MODULES adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^EXCLUDE_SCRIPT_MODULES = {$/;" v +exclude_tensor_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def exclude_tensor_method(name, test_name):$/;" f +exclude_vars_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^exclude_vars_re = re.compile(r"(\\w*)=(\\w*)")$/;" v +Exclusive adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Exclusive(self):$/;" m class:CumsumOptions +exc_iter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def exc_iter(*args):$/;" f +exdate adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def exdate(self, exdate):$/;" m class:rruleset +ExecError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^class ExecError(EnvironmentError):$/;" c +ExecMemory adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^ExecMemory = _reflection.GeneratedProtocolMessageType('ExecMemory', (_message.Message,), {$/;" v +ExecMemory adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^ExecMemory = _reflection.GeneratedProtocolMessageType('ExecMemory', (_message.Message,), {$/;" v +ExecMode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^class ExecMode(Enum):$/;" c +ExecProfile adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^ExecProfile = _reflection.GeneratedProtocolMessageType('ExecProfile', (_message.Message,), {$/;" v +ExecProfile adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^ExecProfile = _reflection.GeneratedProtocolMessageType('ExecProfile', (_message.Message,), {$/;" v +ExecTime adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^ExecTime = _reflection.GeneratedProtocolMessageType('ExecTime', (_message.Message,), {$/;" v +ExecTime adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^ExecTime = _reflection.GeneratedProtocolMessageType('ExecTime', (_message.Message,), {$/;" v +Executable adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Executable = _xla.Executable$/;" v +executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ executable = None # for shebangs$/;" v class:ScriptMaker +EXECUTABLE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ EXECUTABLE = "executable"$/;" v class:CCompiler +ExecutableNotFoundError adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^class ExecutableNotFoundError(FileNotFoundError):$/;" c +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ executables = {$/;" v class:AbsoftFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ executables = {$/;" v class:CompaqFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ executables = {$/;" v class:CompaqVisualFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ executables = {$/;" v class:G95FCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ executables = {$/;" v class:Gnu95FCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ executables = {$/;" v class:GnuFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ executables = {$/;" v class:HPUXFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ executables = {$/;" v class:IBMFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ executables = {$/;" v class:IntelEM64TFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ executables = {$/;" v class:IntelFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ executables = {$/;" v class:IntelItaniumFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ executables = {$/;" v class:IntelItaniumVisualFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ executables = {$/;" v class:IntelVisualFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ executables = {$/;" v class:LaheyFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ executables = {$/;" v class:MIPSFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ executables = {$/;" v class:NAGFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ executables = {$/;" v class:NAGFORCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/none.py /^ executables = {'compiler_f77': None,$/;" v class:NoneFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ executables = {$/;" v class:NVHPCFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ executables = {$/;" v class:PathScaleFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ executables = {$/;" v class:PGroupFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ executables = {$/;" v class:PGroupFlangCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ executables = {$/;" v class:SunFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ executables = {$/;" v class:VastFCompiler +executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ executables = {$/;" v class:FCompiler +executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ executables = {}$/;" v class:BCPPCompiler +executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ executables = {}$/;" v class:MSVCCompiler +executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ executables = {}$/;" v class:MSVCCompiler +executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ executables = {'preprocessor' : None,$/;" v class:UnixCCompiler +executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ executables = {}$/;" v class:MSVCCompiler +executable_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def executable_filename(self, basename, strip_dir=0, output_dir=''):$/;" m class:CCompiler +execute adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def execute(self, thunk, context):$/;" m class:_ServicePipeline +execute adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def execute(self, func, args, msg=None, level=1):$/;" m class:CCompiler +execute adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def execute(self, func, args, msg=None, level=1):$/;" m class:Command +execute adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def execute (func, args, msg=None, verbose=0, dry_run=0):$/;" f +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:UploadIntent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_AuthRevokeIntent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_DeleteExperimentIntent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_ExportIntent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_Intent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_ListIntent +execute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def execute(self, server_info, channel):$/;" m class:_UpdateMetadataIntent +execute adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/python/toco_from_protos.py /^def execute(unused_args):$/;" f +execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^execute = quick_execute$/;" v +execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def execute(self, fn, exclusive_resource_access=True, name=None):$/;" m class:CriticalSection +execute adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def execute(app):$/;" f member:WSGIRequestHandler.run_wsgi file: +execute_command adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def execute_command(self, request, command, frame):$/;" m class:DebuggedApplication +execute_constrained_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def execute_constrained_layout(self, renderer=None):$/;" m class:Figure +execute_fn_for_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def execute_fn_for_device(device_branch_fns, default_fn, name="execute_fn"):$/;" f +execute_on adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def execute_on(self, worker):$/;" m class:Closure +execute_replicated adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def execute_replicated(compiled, backend, in_handler, out_handler, *args):$/;" f +execute_test_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def execute_test_method():$/;" f function:_augment_with_special_arguments.decorated file: +execute_with_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def execute_with_callbacks(op_name, num_outputs, inputs, attrs, ctx, name=None):$/;" f +execute_with_cancellation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def execute_with_cancellation(op_name,$/;" f +execute_with_python_values adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def execute_with_python_values(executable, arguments, backend):$/;" f +execute_with_python_values_replicated adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def execute_with_python_values_replicated(executable, arguments, backend):$/;" f +executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def executing_eagerly(self):$/;" m class:Context +executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def executing_eagerly():$/;" f +executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.eager.context import executing_eagerly_v1 as executing_eagerly$/;" x +executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.eager.context import executing_eagerly_v1 as executing_eagerly$/;" x +executing_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.eager.context import executing_eagerly_v1 as executing_eagerly$/;" x +executing_eagerly_outside_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def executing_eagerly_outside_functions():$/;" f +executing_eagerly_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def executing_eagerly_v1():$/;" f +Execution adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^Execution = _reflection.GeneratedProtocolMessageType('Execution', (_message.Message,), {$/;" v +Execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class Execution(ExecutionDigest):$/;" c +ExecutionCounter adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class ExecutionCounter(object):$/;" c +ExecutionData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def ExecutionData(self, run, begin, end):$/;" m class:DebuggerV2EventMultiplexer +ExecutionDigest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class ExecutionDigest(BaseDigest):$/;" c +ExecutionDigests adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def ExecutionDigests(self, run, begin, end):$/;" m class:DebuggerV2EventMultiplexer +ExecutionHandle adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ExecutionHandle = _reflection.GeneratedProtocolMessageType('ExecutionHandle', (_message.Message,/;" v +ExecutionProfile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ExecutionProfile = _reflection.GeneratedProtocolMessageType('ExecutionProfile', (_message.Messag/;" v +executions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def executions(self, digest=False, begin=None, end=None):$/;" m class:DebugDataReader +ExecutionStats adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^class ExecutionStats(object):$/;" c +ExecutionStep adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^ExecutionStep = _reflection.GeneratedProtocolMessageType('ExecutionStep', (_message.Message,), {$/;" v +ExecutionStep adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class ExecutionStep(object):$/;" c +EXECUTION_DATA_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^EXECUTION_DATA_BLOB_TAG_PREFIX = "execution_data"$/;" v +execution_data_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def execution_data_run_tag_filter(run, begin, end):$/;" f +EXECUTION_DIGESTS_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^EXECUTION_DIGESTS_BLOB_TAG_PREFIX = "execution_digests"$/;" v +execution_digest_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def execution_digest_run_tag_filter(run, begin, end):$/;" f +execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def execution_function(input_fn):$/;" f function:_make_execution_function_without_cloning file: +execution_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def execution_index(self):$/;" m class:InfNanAlert +execution_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def execution_iterator(self):$/;" m class:DebugEventsReader +execution_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def execution_mode(self):$/;" m class:Context +execution_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def execution_mode(self, mode):$/;" m class:Context +execution_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def execution_mode(mode):$/;" f +execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def execution_step(default_name,$/;" f +execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def execution_step(self, reader_net_name=None, external_should_stop=None):$/;" m class:Reader +execution_step_with_progress adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^def execution_step_with_progress(name, init_net, substeps, rows_read):$/;" f +execution_to_tensor_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def execution_to_tensor_values(self, execution):$/;" m class:DebugDataReader +Executor adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class Executor(object):$/;" c +Executor adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^ class Executor(object):$/;" c function:test_malicious_load file: +executor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def executor(self):$/;" m class:Context +executor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def executor(self, e):$/;" m class:Context +Executor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^class Executor(object):$/;" c +ExecutorCPUConvNetTest adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^class ExecutorCPUConvNetTest(ExecutorTestBase):$/;" c +ExecutorFailingOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^class ExecutorFailingOpTest(TestCase):$/;" c +ExecutorGPUResNetTest adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^class ExecutorGPUResNetTest(ExecutorTestBase):$/;" c +EXECUTORS adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^EXECUTORS = ["parallel", "async_scheduling"]$/;" v +ExecutorTestBase adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^class ExecutorTestBase(TestCase):$/;" c +executor_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^from tensorflow.python.eager import executor as executor_lib$/;" x +executor_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def executor_scope(e):$/;" f +executor_test_model_names adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def executor_test_model_names():$/;" f +executor_test_settings adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def executor_test_settings(func):$/;" f +executor_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def executor_type(self):$/;" m class:FunctionCallOptions +executor_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def executor_type(self, executor_type):$/;" m class:FunctionCallOptions +execWrapper adpepsenv/lib/python3.8/site-packages/torch/jit/unsupported_tensor_ops.py /^def execWrapper(code, glob, loc):$/;" f +execWrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def execWrapper(code, glob, loc):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ exec_ = getattr(moves.builtins, "exec")$/;" v +exec_ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def exec_(_code_, _globs_=None, _locs_=None):$/;" f +exec_ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ exec_ = getattr(builtins, "exec")$/;" v +exec_command adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def exec_command(command, execute_in='', use_shell=None, use_tee=None,$/;" f +exec_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ exec_key = cbook._deprecate_privatize_attribute("3.3")$/;" v class:MovieWriter +EXEC_PREFIX adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^EXEC_PREFIX = os.path.normpath(sys.exec_prefix)$/;" v +exec_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def exec_time(self):$/;" m class:ProfileDatum +exec_with_source adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def exec_with_source(src: str, globals: Dict[str, Any]):$/;" f +exe_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ exe_extension = ""$/;" v class:FCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ exe_extension = '.exe'$/;" v class:BCPPCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ exe_extension = None # string$/;" v class:CCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ exe_extension = ".exe"$/;" v class:CygwinCCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ exe_extension = '.exe'$/;" v class:MSVCCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ exe_extension = '.exe'$/;" v class:MSVCCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ exe_extension = ".exe"$/;" v class:UnixCCompiler +exe_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ exe_extension = '.exe'$/;" v class:MSVCCompiler +exe_to_egg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def exe_to_egg(self, dist_filename, egg_tmp):$/;" m class:easy_install +ExFileObject adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class ExFileObject(object):$/;" c +exhaust adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def exhaust(self, chunk_size=1024 * 64):$/;" m class:LimitedStream +exhaust_stream adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def exhaust_stream(f):$/;" f +Exif adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^class Exif(MutableMapping):$/;" c +EXIFIFD adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^EXIFIFD = 34665$/;" v +exif_transpose adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def exif_transpose(image):$/;" f +exists adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def exists(self, path):$/;" m class:DataSource +exists adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def exists(self, path):$/;" m class:Repository +exists adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def exists(self):$/;" m class:Wheel +exists adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def exists(self, filename):$/;" m class:LocalFileSystem +exists adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def exists(self, filename):$/;" m class:S3FileSystem +exists adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def exists(filename):$/;" f +exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ def exists(self, node):$/;" m class:NoValue +Exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import file_exists as Exists$/;" x +Exists adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import file_exists as Exists$/;" x +exists adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import file_exists_v2 as exists$/;" x +exists adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import file_exists_v2 as exists$/;" x +exists adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import file_exists_v2 as exists$/;" x +exists_action adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def exists_action():$/;" f +exit adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def exit(self, value):$/;" m class:_ContextInfo +exit adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def exit(self, net):$/;" m class:_SetupBuilder +EXIT adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ EXIT = 'exit'$/;" v class:_SetupBuilder +exit adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def exit(self, exit_net):$/;" m class:SetupNets +exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def exit(self):$/;" m class:_StateStack +Exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def Exit(self):$/;" m class:ControlFlowContext +exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def exit(data, name=None): # pylint: disable=redefined-builtin$/;" f +Exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^Exit = tf_export("raw_ops.Exit")(_ops.to_raw_op(_exit))$/;" v +ExitGradientColocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def ExitGradientColocation(self, op, gradient_uid):$/;" m class:ControlFlowContext +ExitGradientColocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def ExitGradientColocation(self, op, gradient_uid):$/;" m class:TPUReplicateContext +ExitGradWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def ExitGradWhileContext(self, op, before):$/;" m class:_ControlFlowState +ExitResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def ExitResult(self, result):$/;" m class:ControlFlowContext +ExitStack adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class ExitStack(object):$/;" c +exit_cond_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def exit_cond_section(self, section_id):$/;" m class:GraphBuilder +exit_finally_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def exit_finally_section(self, section_id):$/;" m class:GraphBuilder +exit_handler adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def exit_handler():$/;" f function:_initialize_outfeed_receiver file: +exit_loop_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def exit_loop_section(self, section_id):$/;" m class:GraphBuilder +exit_save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^ def exit_save_context(self):$/;" m class:SaveContext +exit_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def exit_section(self, section_id):$/;" m class:GraphBuilder +exit_token adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def exit_token(self):$/;" m class:CommandLineExit +exogenous_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def exogenous_feature_columns(self):$/;" m class:TimeSeriesModel +exp adpeps/utils/nested.py /^def exp(phi):$/;" f +Exp adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Exp = elementwise(jnp.exp)$/;" v +exp adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^exp = np.exp$/;" v +exp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def exp(x: Array) -> Array:$/;" f +exp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^exp = _one_to_one_unop(np.exp, lax.exp, True)$/;" v +exp adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^exp = _MaskedUnaryOperation(umath.exp)$/;" v +exp adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^exp = _unary_op(math_ops.exp)$/;" v +EXP adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EXP = 47$/;" v class:BuiltinOperator +exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def exp(x):$/;" f +exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def exp(x, name=None):$/;" f +Exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Exp = tf_export("raw_ops.Exp")(_ops.to_raw_op(exp))$/;" v +exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def exp(x, name=None):$/;" f +exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def exp(x):$/;" f +exp adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def exp(g, self):$/;" f +exp1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t exp1(Dd_number_t x0) nogil$/;" f +exp10 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double exp10(double x0) nogil$/;" f +exp2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def exp2(x):$/;" f +exp2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double exp2(double x0) nogil$/;" f +exp2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def exp2(x):$/;" f +expand adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def expand(o):$/;" f +expand adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def expand(cls, input, name_func=None, doc_func=None, **legacy):$/;" m class:parameterized +expand adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def expand(image, border=0, fill=0):$/;" f +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Bernoulli +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Beta +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Binomial +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Categorical +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Cauchy +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/chi2.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Chi2 +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:ContinuousBernoulli +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Dirichlet +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Distribution +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Exponential +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:FisherSnedecor +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Gamma +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Geometric +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Gumbel +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:HalfCauchy +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:HalfNormal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Independent +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Laplace +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:LogisticNormal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:LogNormal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:LowRankMultivariateNormal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:MixtureSameFamily +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Multinomial +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:MultivariateNormal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:NegativeBinomial +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Normal +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:OneHotCategorical +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Pareto +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Poisson +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:LogitRelaxedBernoulli +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:RelaxedBernoulli +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:ExpRelaxedCategorical +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:RelaxedOneHotCategorical +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:StudentT +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:TransformedDistribution +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Uniform +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def expand(self, batch_shape):$/;" m class:VonMises +expand adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ def expand(self, batch_shape, _instance=None):$/;" m class:Weibull +expand adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def expand(g, self, size, implicit):$/;" f +ExpandDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ExpandDims = tf_export("raw_ops.ExpandDims")(_ops.to_raw_op(expand_dims))$/;" v +ExpandDimsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ExpandDimsOptions = 52$/;" v class:BuiltinOptions +ExpandDimsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ExpandDimsOptions(object):$/;" c +ExpandDimsOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ExpandDimsOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ExpandDimsOptions +ExpandDimsOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ExpandDimsOptionsEnd(builder): return builder.EndObject()$/;" f +ExpandDimsOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ExpandDimsOptionsStart(builder): builder.StartObject(0)$/;" f +ExpandDimsOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ExpandDimsOptionsT(object):$/;" c +ExpandDimsSqueezeTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^class ExpandDimsSqueezeTest(hu.HypothesisTestCase):$/;" c +expanddim_inputs_for_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def expanddim_inputs_for_broadcast(self):$/;" m class:_PforInput +expanded adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def expanded(self, sw, sh):$/;" m class:BboxBase +expanded_device_options adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^expanded_device_options = [cpu_do] + [$/;" v +expandtabs adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def expandtabs(self, tabsize=8):$/;" m class:chararray +expandtabs adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def expandtabs(a, tabsize=8):$/;" f +expanduser adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def expanduser(path):$/;" f +expand_1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def expand_1d(data):$/;" f +expand_as adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def expand_as(g, self, other):$/;" f +expand_basedirs adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def expand_basedirs(self):$/;" m class:easy_install +expand_basedirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def expand_basedirs(self):$/;" m class:install +expand_default adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def expand_default(self, option):$/;" m class:UpdatingDefaultsHelpFormatter +expand_dims adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def expand_dims(array: Array, dimensions: Tuple[int, ...]) -> Array:$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def expand_dims(a, axis: Union[int, Tuple[int, ...]]):$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def expand_dims(a, axis):$/;" f +EXPAND_DIMS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ EXPAND_DIMS = 70$/;" v class:BuiltinOperator +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def expand_dims(input_tensor):$/;" f member:_LazyBuilder._get_raw_feature_as_tensor file: +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def expand_dims(input_tensor):$/;" f member:FeatureTransformationCache._get_raw_feature_as_tensor file: +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def expand_dims(x, axis=-1):$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def expand_dims(input, axis=None, name=None, dim=None):$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def expand_dims(input, axis, name=None):$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def expand_dims(a, axis):$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def expand_dims(input, axis, name=None): # pylint: disable=redefined-builtin$/;" f +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import expand_dims_v2 as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_expand_dims as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import expand_dims_v2 as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_expand_dims as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import expand_dims_v2 as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_expand_dims as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import expand_dims_v2 as expand_dims$/;" x +expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import expand_dims_v2 as expand_dims$/;" x +expand_dims_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def expand_dims_eager_fallback(input, axis, name, ctx):$/;" f +expand_dims_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def expand_dims_ref(data, *args, **kw):$/;" f member:TestOperators.test_squeeze_expand_dims file: +expand_dims_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def expand_dims_v2(input, axis, name=None):$/;" f +expand_dirs adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def expand_dirs(self):$/;" m class:easy_install +expand_dirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def expand_dirs(self):$/;" m class:install +EXPAND_DISTRIBUTED_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ EXPAND_DISTRIBUTED_VARIABLES = "expand_distributed_variables"$/;" v class:VariablePolicy +expand_env_variables adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def expand_env_variables(lines_enum):$/;" f +expand_hostlist adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def expand_hostlist(hostlist):$/;" f +expand_makefile_vars adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def expand_makefile_vars(s, vars):$/;" f +expand_paths adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def expand_paths(inputs):$/;" f +expand_range_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def expand_range_expression(range_exp):$/;" f function:expand_hostlist file: +expand_single_ellipsis adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def expand_single_ellipsis(numel_pre_glob, numel_post_glob, names):$/;" f +expand_sub adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def expand_sub(substr, names):$/;" f +expand_tasks_per_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def expand_tasks_per_node(tasks_per_node):$/;" f +expand_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ expand_weights = lambda: array_ops.expand_dims(sample_weight, [-1])$/;" f function:squeeze_or_expand_dimensions._maybe_expand_weights file: +expand_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ expand_weights = lambda: array_ops.expand_dims(sample_weight, [-1])$/;" f function:squeeze_or_expand_dimensions._maybe_expand_weights file: +expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def expect(self, func=None, args=(), loc=0, lb=None, ub=None,$/;" m class:rv_discrete +expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def expect(self, func=None, args=(), loc=0, scale=1, lb=None, ub=None,$/;" m class:rv_continuous +expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def expect(self, func=None, lb=None, ub=None, conditional=False, **kwds):$/;" m class:rv_frozen +expect4_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^expect4_data = [(0.1, 0.2, 0.3, 0.4, 'class1'),$/;" v +ExpectationFailed adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class ExpectationFailed(HTTPException):$/;" c +expectbegin adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^expectbegin = 1$/;" v +EXPECTED adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^EXPECTED = """$/;" v +EXPECTED adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^EXPECTED = [(-a0) ** (1.0\/3.0) for a0 in A0]$/;" v +ExpectedAbsoluteTolerance adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def ExpectedAbsoluteTolerance(self, run_params):$/;" m class:TfTrtIntegrationTestBase +expectedAlertNondeterministic adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class expectedAlertNondeterministic:$/;" c +ExpectedEnginesToBuild adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def ExpectedEnginesToBuild(self, run_params):$/;" m class:TfTrtIntegrationTestBase +expectedFailure adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^expectedFailure = unittest.expectedFailure$/;" v +expectedFailure adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class expectedFailure(object):$/;" c +expectedFailureCUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def expectedFailureCUDA(fn):$/;" f +expectedFailureIf adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def expectedFailureIf(condition, reason): # pylint: disable=invalid-name$/;" f +ExpectedMaxBatchSizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def ExpectedMaxBatchSizes(self, run_params):$/;" m class:TfTrtIntegrationTestBase +ExpectedRelativeTolerance adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def ExpectedRelativeTolerance(self, run_params):$/;" m class:TfTrtIntegrationTestBase +expected_cli_args adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ expected_cli_args = 1$/;" v class:CryptoOperation +expected_cli_args adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ expected_cli_args = 2$/;" v class:SignOperation +expected_cli_args adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ expected_cli_args = 2$/;" v class:VerifyOperation +expected_freq adpepsenv/lib/python3.8/site-packages/scipy/stats/contingency.py /^def expected_freq(observed):$/;" f +expected_pyf adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_from_template.py /^expected_pyf = """$/;" v +EXPECTED_REMOTE_EVENTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^EXPECTED_REMOTE_EVENTS = [$/;" v +EXPECTED_TOTALS adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^EXPECTED_TOTALS = [103, 115, 136, 145]$/;" v +expected_types adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^expected_types = ['numeric', 'numeric', 'numeric', 'numeric', 'nominal']$/;" v +expect_alias adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_alias(self):$/;" m class:Emitter +expect_block_mapping adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_mapping(self):$/;" m class:Emitter +expect_block_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_mapping_key(self, first=False):$/;" m class:Emitter +expect_block_mapping_simple_value adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_mapping_simple_value(self):$/;" m class:Emitter +expect_block_mapping_value adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_mapping_value(self):$/;" m class:Emitter +expect_block_sequence adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_sequence(self):$/;" m class:Emitter +expect_block_sequence_item adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_block_sequence_item(self, first=False):$/;" m class:Emitter +expect_document_end adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_document_end(self):$/;" m class:Emitter +expect_document_root adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_document_root(self):$/;" m class:Emitter +expect_document_start adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_document_start(self, first=False):$/;" m class:Emitter +expect_first_block_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_first_block_mapping_key(self):$/;" m class:Emitter +expect_first_block_sequence_item adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_first_block_sequence_item(self):$/;" m class:Emitter +expect_first_document_start adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_first_document_start(self):$/;" m class:Emitter +expect_first_flow_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_first_flow_mapping_key(self):$/;" m class:Emitter +expect_first_flow_sequence_item adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_first_flow_sequence_item(self):$/;" m class:Emitter +expect_flow_mapping adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_mapping(self):$/;" m class:Emitter +expect_flow_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_mapping_key(self):$/;" m class:Emitter +expect_flow_mapping_simple_value adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_mapping_simple_value(self):$/;" m class:Emitter +expect_flow_mapping_value adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_mapping_value(self):$/;" m class:Emitter +expect_flow_sequence adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_sequence(self):$/;" m class:Emitter +expect_flow_sequence_item adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_flow_sequence_item(self):$/;" m class:Emitter +expect_missing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^expect_missing = np.empty(3, [('yop', float), ('yap', float)])$/;" v +expect_missing_raw adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^expect_missing_raw = np.array([[1, 5], [2, 4], [np.nan, np.nan]])$/;" v +expect_node adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_node(self, root=False, sequence=False, mapping=False,$/;" m class:Emitter +expect_nothing adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_nothing(self):$/;" m class:Emitter +expect_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def expect_partial(self):$/;" m class:CheckpointLoadStatus +expect_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def expect_partial(self):$/;" m class:_CheckpointRestoreCoordinator +expect_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def expect_partial(self):$/;" m class:_LoadStatus +expect_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def expect_partial(self, expect_partial):$/;" m class:_CheckpointRestoreCoordinator +expect_scalar adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_scalar(self):$/;" m class:Emitter +expect_stream_start adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def expect_stream_start(self):$/;" m class:Emitter +Experiment adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^Experiment = _reflection.GeneratedProtocolMessageType('Experiment', (_message.Message,), {$/;" v +Experiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^Experiment = _reflection.GeneratedProtocolMessageType('Experiment', (_message.Message,), {$/;" v +ExperimentalApiWarning adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^class ExperimentalApiWarning(Warning):$/;" c +ExperimentalAssertNextDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalAssertNextDataset = tf_export("raw_ops.ExperimentalAssertNextDataset")(_ops.to_raw_o/;" v +ExperimentalAutoShardDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalAutoShardDataset = tf_export("raw_ops.ExperimentalAutoShardDataset")(_ops.to_raw_op(/;" v +ExperimentalBytesProducedStatsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalBytesProducedStatsDataset = tf_export("raw_ops.ExperimentalBytesProducedStatsDataset/;" v +ExperimentalChooseFastestDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalChooseFastestDataset = tf_export("raw_ops.ExperimentalChooseFastestDataset")(_ops.to/;" v +ExperimentalCSVDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalCSVDataset = tf_export("raw_ops.ExperimentalCSVDataset")(_ops.to_raw_op(experimental/;" v +ExperimentalDatasetCardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalDatasetCardinality = tf_export("raw_ops.ExperimentalDatasetCardinality")(_ops.to_raw/;" v +ExperimentalDatasetToTFRecord adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalDatasetToTFRecord = tf_export("raw_ops.ExperimentalDatasetToTFRecord")(_ops.to_raw_o/;" v +ExperimentalDenseToSparseBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalDenseToSparseBatchDataset = tf_export("raw_ops.ExperimentalDenseToSparseBatchDataset/;" v +ExperimentalDirectedInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalDirectedInterleaveDataset = tf_export("raw_ops.ExperimentalDirectedInterleaveDataset/;" v +ExperimentalGroupByReducerDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalGroupByReducerDataset = tf_export("raw_ops.ExperimentalGroupByReducerDataset")(_ops./;" v +ExperimentalGroupByWindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalGroupByWindowDataset = tf_export("raw_ops.ExperimentalGroupByWindowDataset")(_ops.to/;" v +ExperimentalIgnoreErrorsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalIgnoreErrorsDataset = tf_export("raw_ops.ExperimentalIgnoreErrorsDataset")(_ops.to_r/;" v +ExperimentalIteratorGetDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalIteratorGetDevice = tf_export("raw_ops.ExperimentalIteratorGetDevice")(_ops.to_raw_o/;" v +ExperimentalLatencyStatsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalLatencyStatsDataset = tf_export("raw_ops.ExperimentalLatencyStatsDataset")(_ops.to_r/;" v +ExperimentalLMDBDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalLMDBDataset = tf_export("raw_ops.ExperimentalLMDBDataset")(_ops.to_raw_op(experiment/;" v +ExperimentalMapAndBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalMapAndBatchDataset = tf_export("raw_ops.ExperimentalMapAndBatchDataset")(_ops.to_raw/;" v +ExperimentalMapDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalMapDataset = tf_export("raw_ops.ExperimentalMapDataset")(_ops.to_raw_op(experimental/;" v +ExperimentalMatchingFilesDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalMatchingFilesDataset = tf_export("raw_ops.ExperimentalMatchingFilesDataset")(_ops.to/;" v +ExperimentalMaxIntraOpParallelismDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalMaxIntraOpParallelismDataset = tf_export("raw_ops.ExperimentalMaxIntraOpParallelismD/;" v +ExperimentalNonSerializableDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalNonSerializableDataset = tf_export("raw_ops.ExperimentalNonSerializableDataset")(_op/;" v +ExperimentalNpmiPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^class ExperimentalNpmiPlugin($/;" c +ExperimentalParallelInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalParallelInterleaveDataset = tf_export("raw_ops.ExperimentalParallelInterleaveDataset/;" v +ExperimentalParseExampleDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalParseExampleDataset = tf_export("raw_ops.ExperimentalParseExampleDataset")(_ops.to_r/;" v +ExperimentalPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experimental_plugin.py /^class ExperimentalPlugin(object):$/;" c +ExperimentalPrivateThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalPrivateThreadPoolDataset = tf_export("raw_ops.ExperimentalPrivateThreadPoolDataset")/;" v +ExperimentalRandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalRandomDataset = tf_export("raw_ops.ExperimentalRandomDataset")(_ops.to_raw_op(experi/;" v +ExperimentalRebatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalRebatchDataset = tf_export("raw_ops.ExperimentalRebatchDataset")(_ops.to_raw_op(expe/;" v +ExperimentalScanDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalScanDataset = tf_export("raw_ops.ExperimentalScanDataset")(_ops.to_raw_op(experiment/;" v +ExperimentalSetStatsAggregatorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalSetStatsAggregatorDataset = tf_export("raw_ops.ExperimentalSetStatsAggregatorDataset/;" v +ExperimentalSleepDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalSleepDataset = tf_export("raw_ops.ExperimentalSleepDataset")(_ops.to_raw_op(experime/;" v +ExperimentalSlidingWindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalSlidingWindowDataset = tf_export("raw_ops.ExperimentalSlidingWindowDataset")(_ops.to/;" v +ExperimentalSqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalSqlDataset = tf_export("raw_ops.ExperimentalSqlDataset")(_ops.to_raw_op(experimental/;" v +ExperimentalStatsAggregatorHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalStatsAggregatorHandle = tf_export("raw_ops.ExperimentalStatsAggregatorHandle")(_ops./;" v +ExperimentalStatsAggregatorSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalStatsAggregatorSummary = tf_export("raw_ops.ExperimentalStatsAggregatorSummary")(_op/;" v +ExperimentalTakeWhileDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalTakeWhileDataset = tf_export("raw_ops.ExperimentalTakeWhileDataset")(_ops.to_raw_op(/;" v +ExperimentalTextV2Plugin adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^class ExperimentalTextV2Plugin($/;" c +ExperimentalThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalThreadPoolDataset = tf_export("raw_ops.ExperimentalThreadPoolDataset")(_ops.to_raw_o/;" v +ExperimentalThreadPoolHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalThreadPoolHandle = tf_export("raw_ops.ExperimentalThreadPoolHandle")(_ops.to_raw_op(/;" v +ExperimentalUnbatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalUnbatchDataset = tf_export("raw_ops.ExperimentalUnbatchDataset")(_ops.to_raw_op(expe/;" v +ExperimentalUniqueDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ExperimentalUniqueDataset = tf_export("raw_ops.ExperimentalUniqueDataset")(_ops.to_raw_op(experi/;" v +EXPERIMENTAL_ACCUMULATE_N adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ EXPERIMENTAL_ACCUMULATE_N = 2 # An alias for EXPERIMENTAL_ADD_N = 1$/;" v class:AggregationMethod +experimental_api adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^def experimental_api(f):$/;" f +experimental_assert_next_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_assert_next_dataset(input_dataset, transformations, output_types, output_shapes/;" f +experimental_assert_next_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_assert_next_dataset_eager_fallback(input_dataset, transformations, output_types/;" f +experimental_assign_to_logical_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_assign_to_logical_device(self, tensor, logical_device_id):$/;" m class:TPUStrategyV2 +experimental_auto_shard_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_auto_shard_dataset(input_dataset, num_workers, index, output_types, output_shap/;" f +experimental_auto_shard_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_auto_shard_dataset_eager_fallback(input_dataset, num_workers, index, output_typ/;" f +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def experimental_between_graph(self):$/;" m class:CollectiveAllReduceExtended +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_between_graph(self):$/;" m class:StrategyExtendedV1 +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def experimental_between_graph(self):$/;" m class:MirroredExtended +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def experimental_between_graph(self):$/;" m class:OneDeviceExtended +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def experimental_between_graph(self):$/;" m class:ParameterServerStrategyExtended +experimental_between_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_between_graph(self):$/;" m class:TPUExtended +experimental_bytes_produced_stats_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_bytes_produced_stats_dataset(input_dataset, tag, output_types, output_shapes, n/;" f +experimental_bytes_produced_stats_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_bytes_produced_stats_dataset_eager_fallback(input_dataset, tag, output_types, o/;" f +experimental_choose_fastest_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_choose_fastest_dataset(input_datasets, num_experiments, output_types, output_sh/;" f +experimental_choose_fastest_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_choose_fastest_dataset_eager_fallback(input_datasets, num_experiments, output_t/;" f +experimental_compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def experimental_compile(self):$/;" m class:FunctionSpec +experimental_connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_cluster as experimental_connect_to_cluster$/;" x +experimental_connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_cluster as experimental_connect_to_cluster$/;" x +experimental_connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_cluster as experimental_connect_to_cluster$/;" x +experimental_connect_to_host adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_remote_host as experimental_connect_to_hos/;" x +experimental_connect_to_host adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_remote_host as experimental_connect_to_hos/;" x +experimental_connect_to_host adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/__init__.py /^from tensorflow.python.eager.remote import connect_to_remote_host as experimental_connect_to_hos/;" x +experimental_convert_saved_model_to_mlir adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_mlir.py /^def experimental_convert_saved_model_to_mlir(saved_model_path, exported_names,$/;" f +experimental_convert_saved_model_v1_to_mlir adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_mlir.py /^def experimental_convert_saved_model_v1_to_mlir(saved_model_path, tags,$/;" f +experimental_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_csv_dataset(filenames, compression_type, buffer_size, header, field_delim, use_/;" f +experimental_csv_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_csv_dataset_eager_fallback(filenames, compression_type, buffer_size, header, fi/;" f +experimental_dataset_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dataset_cardinality(input_dataset, name=None):$/;" f +experimental_dataset_cardinality_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dataset_cardinality_eager_fallback(input_dataset, name, ctx):$/;" f +experimental_dataset_to_tf_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dataset_to_tf_record(input_dataset, filename, compression_type, name=None):$/;" f +experimental_dataset_to_tf_record_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dataset_to_tf_record_eager_fallback(input_dataset, filename, compression_type, /;" f +experimental_dense_to_sparse_batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dense_to_sparse_batch_dataset(input_dataset, batch_size, row_shape, output_type/;" f +experimental_dense_to_sparse_batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_dense_to_sparse_batch_dataset_eager_fallback(input_dataset, batch_size, row_sha/;" f +experimental_deterministic adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_deterministic = options_lib.create_option($/;" v class:Options +experimental_directed_interleave_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_directed_interleave_dataset(selector_input_dataset, data_input_datasets, output/;" f +experimental_directed_interleave_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_directed_interleave_dataset_eager_fallback(selector_input_dataset, data_input_d/;" f +experimental_distribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_distribute = options_lib.create_option($/;" v class:Options +experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def experimental_distribute_dataset(self, dataset, options=None): # pylint: disable=useless-s/;" m class:CentralStorageStrategy +experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_distribute_dataset(self, dataset, options=None):$/;" m class:StrategyBase +experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def experimental_distribute_dataset(self, dataset, options=None): # pylint: disable=useless-s/;" m class:OneDeviceStrategy +experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def experimental_distribute_dataset(self, dataset, options=None):$/;" m class:ParameterServerStrategyV1 +experimental_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_distribute_datasets_from_function(self,$/;" m class:StrategyBase +experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_distribute_values_from_function(self, value_fn):$/;" m class:Strategy +experimental_export_all_saved_models adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def experimental_export_all_saved_models(self,$/;" m class:Estimator +experimental_external_state_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_external_state_policy = options_lib.create_option($/;" v class:Options +experimental_feature_importances adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def experimental_feature_importances(self, normalize=False):$/;" m class:_BoostedTreesBase +experimental_functions_run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def experimental_functions_run_eagerly():$/;" f +experimental_get_compiler_ir adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def experimental_get_compiler_ir(self, *args, **kwargs):$/;" m class:Function +experimental_get_tracing_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def experimental_get_tracing_count(self):$/;" m class:Function +experimental_group_by_reducer_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_group_by_reducer_dataset(input_dataset, key_func_other_arguments, init_func_oth/;" f +experimental_group_by_reducer_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_group_by_reducer_dataset_eager_fallback(input_dataset, key_func_other_arguments/;" f +experimental_group_by_window_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_group_by_window_dataset(input_dataset, key_func_other_arguments, reduce_func_ot/;" f +experimental_group_by_window_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_group_by_window_dataset_eager_fallback(input_dataset, key_func_other_arguments,/;" f +experimental_ignore_errors_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_ignore_errors_dataset(input_dataset, output_types, output_shapes, log_warning=F/;" f +experimental_ignore_errors_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_ignore_errors_dataset_eager_fallback(input_dataset, output_types, output_shapes/;" f +experimental_iterator_get_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_iterator_get_device(resource, name=None):$/;" f +experimental_iterator_get_device_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_iterator_get_device_eager_fallback(resource, name, ctx):$/;" f +experimental_jit_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/jit.py /^def experimental_jit_scope(compile_ops=True, separate_compiled_gradients=False):$/;" f +experimental_latency_stats_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_latency_stats_dataset(input_dataset, tag, output_types, output_shapes, name=Non/;" f +experimental_latency_stats_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_latency_stats_dataset_eager_fallback(input_dataset, tag, output_types, output_s/;" f +experimental_lmdb_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_lmdb_dataset(filenames, output_types, output_shapes, name=None):$/;" f +experimental_lmdb_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_lmdb_dataset_eager_fallback(filenames, output_types, output_shapes, name, ctx):$/;" f +experimental_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def experimental_local_results(self, value): # pylint: disable=useless-super-delegation$/;" m class:CentralStorageStrategy +experimental_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_local_results(self, value):$/;" m class:StrategyBase +experimental_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def experimental_local_results(self, value): # pylint: disable=useless-super-delegation$/;" m class:OneDeviceStrategy +experimental_logical_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_logical_device(self, logical_device_id):$/;" m class:TPUExtended +experimental_logical_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_logical_device(self, logical_device_id):$/;" m class:_TPUReplicaContext +experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_make_numpy_dataset(self, numpy_input, session=None):$/;" m class:StrategyExtendedV1 +experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_make_numpy_dataset(self, numpy_input, session=None):$/;" m class:StrategyV1 +experimental_map_and_batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_map_and_batch_dataset(input_dataset, other_arguments, batch_size, num_parallel_/;" f +experimental_map_and_batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_map_and_batch_dataset_eager_fallback(input_dataset, other_arguments, batch_size/;" f +experimental_map_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_map_dataset(input_dataset, other_arguments, f, output_types, output_shapes, use/;" f +experimental_map_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_map_dataset_eager_fallback(input_dataset, other_arguments, f, output_types, out/;" f +experimental_matching_files_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_matching_files_dataset(patterns, name=None):$/;" f +experimental_matching_files_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_matching_files_dataset_eager_fallback(patterns, name, ctx):$/;" f +experimental_max_intra_op_parallelism_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_max_intra_op_parallelism_dataset(input_dataset, max_intra_op_parallelism, outpu/;" f +experimental_max_intra_op_parallelism_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_max_intra_op_parallelism_dataset_eager_fallback(input_dataset, max_intra_op_par/;" f +experimental_max_worker_delay_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def experimental_max_worker_delay_secs(self):$/;" m class:RunConfig +experimental_non_serializable_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_non_serializable_dataset(input_dataset, output_types, output_shapes, name=None)/;" f +experimental_non_serializable_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_non_serializable_dataset_eager_fallback(input_dataset, output_types, output_sha/;" f +experimental_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_optimization = options_lib.create_option($/;" v class:Options +experimental_parallel_interleave_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_parallel_interleave_dataset(input_dataset, other_arguments, cycle_length, block/;" f +experimental_parallel_interleave_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_parallel_interleave_dataset_eager_fallback(input_dataset, other_arguments, cycl/;" f +experimental_parse_example_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_parse_example_dataset(input_dataset, num_parallel_calls, dense_defaults, sparse/;" f +experimental_parse_example_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_parse_example_dataset_eager_fallback(input_dataset, num_parallel_calls, dense_d/;" f +EXPERIMENTAL_PLUGINS_QUERY_PARAM adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^EXPERIMENTAL_PLUGINS_QUERY_PARAM = "experimentalPlugin"$/;" v +experimental_predict_with_explanations adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def experimental_predict_with_explanations(self,$/;" m class:_BoostedTreesBase +experimental_private_thread_pool_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_private_thread_pool_dataset(input_dataset, num_threads, output_types, output_sh/;" f +experimental_private_thread_pool_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_private_thread_pool_dataset_eager_fallback(input_dataset, num_threads, output_t/;" f +experimental_random_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_random_dataset(seed, seed2, output_types, output_shapes, name=None):$/;" f +experimental_random_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_random_dataset_eager_fallback(seed, seed2, output_types, output_shapes, name, c/;" f +experimental_rebatch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_rebatch_dataset(input_dataset, num_replicas, output_types, output_shapes, use_f/;" f +experimental_rebatch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_rebatch_dataset_eager_fallback(input_dataset, num_replicas, output_types, outpu/;" f +experimental_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def experimental_ref(self):$/;" m class:Tensor +experimental_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def experimental_ref(self):$/;" m class:Variable +experimental_replicate_to_logical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_replicate_to_logical_devices(self, tensor):$/;" m class:TPUStrategyV2 +experimental_require_static_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_require_static_shapes(self):$/;" m class:StrategyExtendedV2 +experimental_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_run(self, fn, input_iterator=None): # pylint: disable=useless-super-delegati/;" m class:StrategyV1 +experimental_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_run(self, fn, input_iterator=None):$/;" m class:StrategyBase +experimental_run_functions_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def experimental_run_functions_eagerly(run_eagerly):$/;" f +experimental_run_pass_pipeline adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_mlir.py /^def experimental_run_pass_pipeline(mlir_txt, pass_pipeline, show_debug_info):$/;" f +experimental_run_steps_on_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_run_steps_on_iterator(self,$/;" m class:StrategyExtendedV1 +experimental_scan_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_scan_dataset(input_dataset, initial_state, other_arguments, f, output_types, ou/;" f +experimental_scan_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_scan_dataset_eager_fallback(input_dataset, initial_state, other_arguments, f, o/;" f +experimental_set_stats_aggregator_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_set_stats_aggregator_dataset(input_dataset, stats_aggregator, tag, counter_pref/;" f +experimental_set_stats_aggregator_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_set_stats_aggregator_dataset_eager_fallback(input_dataset, stats_aggregator, ta/;" f +experimental_set_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def experimental_set_strategy(strategy):$/;" f +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def experimental_should_init(self):$/;" m class:CollectiveAllReduceExtended +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def experimental_should_init(self):$/;" m class:_WorkerContext +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def experimental_should_init(self):$/;" m class:StrategyExtendedV1 +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def experimental_should_init(self):$/;" m class:MirroredExtended +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def experimental_should_init(self):$/;" m class:OneDeviceExtended +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def experimental_should_init(self):$/;" m class:ParameterServerStrategyExtended +experimental_should_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_should_init(self):$/;" m class:TPUExtended +experimental_slack adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_slack = options_lib.create_option($/;" v class:Options +experimental_sleep_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sleep_dataset(input_dataset, sleep_microseconds, output_types, output_shapes, n/;" f +experimental_sleep_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sleep_dataset_eager_fallback(input_dataset, sleep_microseconds, output_types, o/;" f +experimental_sliding_window_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sliding_window_dataset(input_dataset, window_size, window_shift, window_stride,/;" f +experimental_sliding_window_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sliding_window_dataset_eager_fallback(input_dataset, window_size, window_shift,/;" f +experimental_split_to_logical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def experimental_split_to_logical_devices(self, tensor, partition_dimensions):$/;" m class:TPUStrategyV2 +EXPERIMENTAL_SPMD_LOWERING adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^EXPERIMENTAL_SPMD_LOWERING = False$/;" v +experimental_sql_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sql_dataset(driver_name, data_source_name, query, output_types, output_shapes, /;" f +experimental_sql_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_sql_dataset_eager_fallback(driver_name, data_source_name, query, output_types, /;" f +experimental_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_stats = options_lib.create_option($/;" v class:Options +experimental_stats_aggregator_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_stats_aggregator_handle(container="", shared_name="", name=None):$/;" f +experimental_stats_aggregator_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_stats_aggregator_handle_eager_fallback(container, shared_name, name, ctx):$/;" f +experimental_stats_aggregator_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_stats_aggregator_summary(iterator, name=None):$/;" f +experimental_stats_aggregator_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_stats_aggregator_summary_eager_fallback(iterator, name, ctx):$/;" f +experimental_take_while_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_take_while_dataset(input_dataset, other_arguments, predicate, output_types, out/;" f +experimental_take_while_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_take_while_dataset_eager_fallback(input_dataset, other_arguments, predicate, ou/;" f +EXPERIMENTAL_TFLITE_BUILTINS_ACTIVATIONS_INT16_WEIGHTS_INT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ EXPERIMENTAL_TFLITE_BUILTINS_ACTIVATIONS_INT16_WEIGHTS_INT8 = \\$/;" v class:OpsSet +experimental_threading adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ experimental_threading = options_lib.create_option($/;" v class:Options +experimental_thread_pool_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_thread_pool_dataset(input_dataset, thread_pool, output_types, output_shapes, na/;" f +experimental_thread_pool_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_thread_pool_dataset_eager_fallback(input_dataset, thread_pool, output_types, ou/;" f +experimental_thread_pool_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_thread_pool_handle(num_threads, display_name, max_intra_op_parallelism=1, conta/;" f +experimental_thread_pool_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_thread_pool_handle_eager_fallback(num_threads, display_name, max_intra_op_paral/;" f +experimental_tpu_fit_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def experimental_tpu_fit_loop(model,$/;" f +experimental_tpu_predict_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def experimental_tpu_predict_loop(model,$/;" f +experimental_tpu_test_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def experimental_tpu_test_loop(model,$/;" f +EXPERIMENTAL_TREE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ EXPERIMENTAL_TREE = 1$/;" v class:AggregationMethod +experimental_unbatch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_unbatch_dataset(input_dataset, output_types, output_shapes, name=None):$/;" f +experimental_unbatch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_unbatch_dataset_eager_fallback(input_dataset, output_types, output_shapes, name/;" f +experimental_unique_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_unique_dataset(input_dataset, output_types, output_shapes, name=None):$/;" f +experimental_unique_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def experimental_unique_dataset_eager_fallback(input_dataset, output_types, output_shapes, name,/;" f +EXPERIMENTAL_USE_TOCO_API_DIRECTLY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^EXPERIMENTAL_USE_TOCO_API_DIRECTLY = False$/;" v +ExperimentFromDev adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^class ExperimentFromDev(base_experiment.BaseExperiment):$/;" c +ExperimentIdMiddleware adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experiment_id.py /^class ExperimentIdMiddleware(object):$/;" c +ExperimentMask adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^ExperimentMask = _reflection.GeneratedProtocolMessageType('ExperimentMask', (_message.Message,),/;" v +ExperimentMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class ExperimentMetadata(object):$/;" c +ExperimentNotFoundError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class ExperimentNotFoundError(RuntimeError):$/;" c +ExperimentUrlFormat adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^ExperimentUrlFormat = _reflection.GeneratedProtocolMessageType('ExperimentUrlFormat', (_message./;" v +experiment_description adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def experiment_description(self):$/;" m class:ExperimentMetadata +experiment_from_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def experiment_from_metadata($/;" m class:Context +experiment_id adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^def experiment_id(environ):$/;" f +experiment_id adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def experiment_id(self) -> str:$/;" m class:TensorBoardUploader +experiment_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def experiment_metadata(self, ctx=None, *, experiment_id):$/;" m class:DataProvider +experiment_name adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def experiment_name(self):$/;" m class:ExperimentMetadata +experiment_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^def experiment_pb($/;" f +EXPERIMENT_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^EXPERIMENT_TAG = "_hparams_\/experiment"$/;" v +experiment_url adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def experiment_url(server_info, experiment_id):$/;" f +ExpFormat adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^class ExpFormat(object):$/;" c +expi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t expi(Dd_number_t x0) nogil$/;" f +expint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def expint(x, name=None):$/;" f +Expint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^Expint = tf_export("raw_ops.Expint")(_ops.to_raw_op(expint))$/;" v +expint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def expint(x, name=None):$/;" f +expint_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def expint_eager_fallback(x, name, ctx):$/;" f +ExpirationError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class ExpirationError(AbortionError):$/;" c +expire adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def expire(self, time=None):$/;" m class:TTLCache +expired adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def expired(self):$/;" m class:AnonymousCredentials +expired adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def expired(self):$/;" m class:Credentials +EXPIRED adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ EXPIRED = 'expired'$/;" v class:Outcome.Kind +EXPIRED adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ EXPIRED = 'expired'$/;" v class:Abortion.Kind +expired adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def expired(self):$/;" m class:StorageWeakRef +expires adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ expires = header_property($/;" v class:CommonResponseDescriptorsMixin +ExpiresAfter adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^class ExpiresAfter(BaseHeuristic):$/;" c +expire_after adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^def expire_after(delta, date=None):$/;" f +expit adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def expit(x):$/;" f +expit adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef dfg_number_t expit(dfg_number_t x0) nogil$/;" f +explain adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def explain(exc, depth=16):$/;" m class:ParseException +explain adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def explain(exc, depth=16):$/;" m class:ParseException +explicit adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def explicit(cls, args=None, kwargs=None):$/;" m class:param +explicit adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ explicit = None$/;" v class:Mixin2to3 +ExplicitRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^class ExplicitRequirement(Requirement):$/;" c +ExplicitTagDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class ExplicitTagDecoder(AbstractSimpleDecoder):$/;" c +explicitTagDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^explicitTagDecoder = ExplicitTagDecoder()$/;" v +explicit_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def explicit_fcn(self, B, x):$/;" m class:TestODR +explicit_fjb adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def explicit_fjb(self, B, x):$/;" m class:TestODR +explicit_fjd adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def explicit_fjd(self, B, x):$/;" m class:TestODR +explicit_jacobian_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def explicit_jacobian_solve(matvec, b):$/;" f function:_make_linear_solve_harnesses file: +explicit_path_tests adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^explicit_path_tests = {$/;" v +explicit_resnet_forward adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ explicit_resnet_forward as explicit_resnet_forward # noqa$/;" I +explicit_resnet_param_update adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ explicit_resnet_param_update as explicit_resnet_param_update # noqa$/;" I +EXPLICIT_USER_EXIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^EXPLICIT_USER_EXIT = "explicit_user_exit"$/;" v +exploded adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def exploded(self):$/;" m class:_IPAddressBase +expm adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def expm(A, *, upper_triangular=False, max_squarings=16):$/;" f +expm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def expm(A):$/;" f +expm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def expm(A):$/;" f +expm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.linalg.linalg_impl import matrix_exponential as expm$/;" x +expm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg.linalg_impl import matrix_exponential as expm$/;" x +expm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg.linalg_impl import matrix_exponential as expm$/;" x +expm1 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def expm1(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +expm1 adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^expm1 = np.expm1$/;" v +expm1 adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def expm1(x: Array) -> Array:$/;" f +expm1 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^expm1 = _one_to_one_unop(np.expm1, lax.expm1, True)$/;" v +expm1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t expm1(Dd_number_t x0) nogil$/;" f +expm1 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^expm1 = _unary_op(math_ops.expm1)$/;" v +expm1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def expm1(x, name=None):$/;" f +Expm1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Expm1 = tf_export("raw_ops.Expm1")(_ops.to_raw_op(expm1))$/;" v +expm1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def expm1(x):$/;" f +expm1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def expm1_eager_fallback(x, name, ctx):$/;" f +expm1_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^expm1_p = standard_unop(_float | _complex, 'expm1')$/;" v +expm_cond adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def expm_cond(A, check_finite=True):$/;" f +expm_frechet adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def expm_frechet(A, E, *, method=None, compute_expm=True):$/;" f +expm_frechet adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def expm_frechet(A, E, method=None, compute_expm=True, check_finite=True):$/;" f +expm_frechet_algo_64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def expm_frechet_algo_64(A, E):$/;" f +expm_frechet_block_enlarge adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def expm_frechet_block_enlarge(A, E):$/;" f +expm_frechet_kronform adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def expm_frechet_kronform(A, method=None, check_finite=True):$/;" f +expm_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def expm_multiply(A, B, start=None, stop=None, num=None, endpoint=None):$/;" f +expn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double expn(dl_number_t x0, double x1) nogil$/;" f +expon adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^expon = expon_gen(a=0.0, name='expon')$/;" v +exponential adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def exponential(key, shape=(), dtype=dtypes.float_):$/;" f +exponential adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^exponential = _ExponentialModel()$/;" v +exponential adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def exponential(M, center=None, tau=1., sym=True):$/;" f +exponential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def exponential(x):$/;" f +Exponential adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^class Exponential(gamma.Gamma):$/;" c +Exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^class Exponential(ExponentialFamily):$/;" c +ExponentialBuckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class ExponentialBuckets(Buckets):$/;" c +ExponentialDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class ExponentialDecay(LearningRateSchedule):$/;" c +ExponentialFamily adpepsenv/lib/python3.8/site-packages/torch/distributions/exp_family.py /^class ExponentialFamily(Distribution):$/;" c +ExponentialFittingProblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class ExponentialFittingProblem(object):$/;" c +ExponentialLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class ExponentialLR(_LRScheduler):$/;" c +ExponentialMovingAverage adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^class ExponentialMovingAverage(object):$/;" c +ExponentialWithSoftplusRate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^class ExponentialWithSoftplusRate(Exponential):$/;" c +exponential_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^exponential_data = {$/;" v +exponential_decay adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def exponential_decay(step_size, decay_steps, decay_rate):$/;" f +exponential_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def exponential_decay(learning_rate,$/;" f +exponential_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^exponential_decay = learning_rate_decay.exponential_decay$/;" v +exponential_sleep adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def exponential_sleep(self, previous_attempt_number, delay_since_first_attempt_ms):$/;" m class:Retrying +exponnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^exponnorm = exponnorm_gen(name='exponnorm')$/;" v +exponnorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class exponnorm_gen(rv_continuous):$/;" c +exponpow adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^exponpow = exponpow_gen(a=0.0, name='exponpow')$/;" v +exponpow_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class exponpow_gen(rv_continuous):$/;" c +exponweib adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^exponweib = exponweib_gen(a=0.0, name='exponweib')$/;" v +exponweib_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class exponweib_gen(rv_continuous):$/;" c +expon_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class expon_gen(rv_continuous):$/;" c +ExpOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ExpOptions = 33$/;" v class:BuiltinOptions +ExpOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ExpOptions(object):$/;" c +ExpOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ExpOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ExpOptions +ExpOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ExpOptionsEnd(builder): return builder.EndObject()$/;" f +ExpOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ExpOptionsStart(builder): builder.StartObject(0)$/;" f +ExpOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ExpOptionsT(object):$/;" c +Export adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/mobile_exporter.py /^def Export(workspace, net, params):$/;" f +export adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def export(cls):$/;" m class:_Backend +export adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def export(self, location, url):$/;" m class:Bazaar +export adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def export(self, location, url):$/;" m class:Git +export adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def export(self, location, url):$/;" m class:Mercurial +export adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def export(self, location, url):$/;" m class:Subversion +export adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def export(self, location, url):$/;" m class:VersionControl +export adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def export(self, read_time=None):$/;" m class:TensorBoardExporter +export adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def export(self, name=None):$/;" m class:DenseHashTable +export adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def export(self, name=None):$/;" m class:MutableHashTable +export adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def export(self, name=None):$/;" m class:StaticHashTable +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def export(self, name=None):$/;" m class:_MutableDenseHashTable +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator_lib.py /^from tensorflow_estimator.python.estimator.export import export_lib as export$/;" x +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def export(self, estimator, export_path, checkpoint_path, eval_result,$/;" m class:BestExporter +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def export(self, estimator, export_path, checkpoint_path, eval_result,$/;" m class:Exporter +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def export(self, estimator, export_path, checkpoint_path, eval_result,$/;" m class:FinalExporter +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def export(self, estimator, export_path, checkpoint_path, eval_result,$/;" m class:LatestExporter +export adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def export(self, estimator, export_path, checkpoint_path, eval_result,$/;" m class:_SavedModelExporter +export adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def export(model, args, f, export_params=True, verbose=False, training=None,$/;" f +export adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def export(model, args, f, export_params=True, verbose=False, training=TrainingMode.EVAL,$/;" f +EXPORT adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ EXPORT = "export (compile this function even if nothing calls it)"$/;" v class:FunctionModifiers +export adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def export(fn):$/;" f +ExportEntry adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class ExportEntry(object):$/;" c +Exporter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^class Exporter(object):$/;" c +exporter_lib adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^from tensorboard.uploader import exporter as exporter_lib$/;" x +exporter_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^from tensorflow_estimator.python.estimator import exporter as exporter_lib$/;" x +ExportOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class ExportOutput(object):$/;" c +exports adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def exports(self):$/;" m class:InstalledDistribution +ExportSavedModelApiVersion adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class ExportSavedModelApiVersion(enum.Enum):$/;" c +EXPORTS_FILENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^EXPORTS_FILENAME = 'pydist-exports.json'$/;" v +ExportTypes adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^class ExportTypes:$/;" c +export_chrome_trace adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def export_chrome_trace(self, path):$/;" m class:EventList +export_chrome_trace adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def export_chrome_trace(self, path):$/;" m class:profile +export_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def export_constant(self, module_name, name):$/;" m class:api_export +export_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def export_dir(self):$/;" m class:SavedModelLoader +export_estimator_savedmodel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def export_estimator_savedmodel(estimator,$/;" f +export_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def export_meta_graph(obj, filename, signatures=None, options=None):$/;" f +export_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def export_meta_graph(self,$/;" m class:Saver +export_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def export_meta_graph(filename=None,$/;" f +export_opnames adpepsenv/lib/python3.8/site-packages/torch/jit/__init__.py /^def export_opnames(m):$/;" f +export_outputs_for_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def export_outputs_for_mode($/;" f +export_output_for_metrics adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def export_output_for_metrics(self):$/;" m class:ModelLayer +export_output_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^from tensorflow.python.saved_model.model_utils import export_output as export_output_lib$/;" x +export_output_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow_estimator.python.estimator.export import export_output as export_output_lib$/;" x +export_params_for_metrics adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def export_params_for_metrics(self):$/;" m class:ModelLayer +export_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def export_run_metadata(self):$/;" m class:Context +export_run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def export_run_metadata():$/;" f +export_savedmodel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def export_savedmodel(self,$/;" m class:Estimator +export_savedmodel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ export_savedmodel = deprecation.hide_attribute_from_api($/;" v class:EstimatorV2 +export_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def export_saved_model(model,$/;" f +export_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def export_saved_model(self,$/;" m class:Estimator +export_scoped_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def export_scoped_meta_graph(filename=None,$/;" f +export_sharded adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def export_sharded(self, name=None):$/;" m class:_ShardedMutableDenseHashTable +EXPORT_TAG_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^EXPORT_TAG_MAP = mode_keys.ModeKeyMap(**{$/;" v +export_to_pretty_string adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def export_to_pretty_string(model, args, f, export_params=True, verbose=False, training=None,$/;" f +export_to_pretty_string adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def export_to_pretty_string(*args, **kwargs):$/;" f +export_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^from tensorflow.python.saved_model import model_utils as export_utils$/;" x +expose adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def expose(self, handle):$/;" m class:Dib +expr2name adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def expr2name(a, block, args=[]):$/;" f +ExprBuilder adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class ExprBuilder(Builder):$/;" c +exprel adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double exprel(double x0) nogil$/;" f +ExpRelaxedCategorical adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^class ExpRelaxedCategorical(Distribution):$/;" c +expression adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def expression(f):$/;" f +ExpressionEvaluator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^class ExpressionEvaluator(object):$/;" c +ExpTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class ExpTransform(Transform):$/;" c +exp_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_bc(ya, yb):$/;" f +exp_bc_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_bc_complex(ya, yb):$/;" f +exp_bc_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_bc_jac(ya, yb):$/;" f +exp_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def exp_eager_fallback(x, name, ctx):$/;" f +exp_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_fun(x, y):$/;" f +exp_fun_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_fun_jac(x, y):$/;" f +exp_lr adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def exp_lr(iter, lr_scale):$/;" f member:TestLearningRate.test_composite_learning_rate_op file: +exp_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^exp_p = standard_unop(_float | _complex, 'exp')$/;" v +exp_readers adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/v2_compat.py /^from tensorflow.python.data.experimental.ops import readers as exp_readers$/;" x +exp_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def exp_ref(input_tensor):$/;" f member:TestOperators.test_exp file: +exp_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def exp_ref(X):$/;" f member:TestElementwiseOps.test_exp file: +exp_sol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def exp_sol(x):$/;" f +exrule adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def exrule(self, exrule):$/;" m class:rruleset +ExScalarFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^class ExScalarFunction:$/;" c +ext adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def ext(self):$/;" m class:Link +extend adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def extend(self, elem_seq):$/;" m class:RepeatedCompositeFieldContainer +extend adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def extend(self, elem_seq):$/;" m class:RepeatedScalarFieldContainer +extend adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def extend(self, elem_seq):$/;" m class:ListValue +extend adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def extend(self, itemseq):$/;" m class:ParseResults +extend adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def extend(self, *args, **kwargs):$/;" m class:HTTPHeaderDict +extend adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def extend( self, itemseq ):$/;" m class:ParseResults +extend adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def extend(self, values):$/;" m class:SequenceOfAndSetOfBase +extend adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def extend(self, itemseq):$/;" m class:ParseResults +extend adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def extend(self, c, x, right=None):$/;" m class:BPoly +extend adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def extend(self, c, x, right=None):$/;" m class:_PPolyBase +extend adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def extend(self, c, x, right=True):$/;" m class:Akima1DInterpolator +extend adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def extend(self, paths):$/;" m class:FileList +extend adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def extend(self, items):$/;" m class:FileList +extend adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def extend( self, itemseq ):$/;" m class:ParseResults +extend adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def extend(self, other):$/;" m class:RichTextLines +extend adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def extend(self, values):$/;" m class:List +extend adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def extend(self, values):$/;" m class:ListWrapper +extend adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def extend(self: T, modules: Iterable[Module]) -> T:$/;" m class:ModuleList +extend adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def extend(self: T, parameters: Iterable['Parameter']) -> T:$/;" m class:ParameterList +extend adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def extend(self, *args, **kwargs):$/;" m class:HTTPHeaderDict +extend adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def extend(self, *args, **kwargs):$/;" m class:Headers +extend adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def extend(self, *args, **kwargs):$/;" m class:ImmutableHeadersMixin +extend adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def extend(self, iterable):$/;" m class:ImmutableListMixin +extended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def extended(self):$/;" m class:StrategyBase +ExtendedCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ExtendedCertificate(univ.Sequence):$/;" c +ExtendedCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ExtendedCertificate(univ.Sequence):$/;" c +ExtendedCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ExtendedCertificate(univ.Sequence):$/;" c +extendedCertificateAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^extendedCertificateAttributes = SingleAttribute()$/;" v +ExtendedCertificateInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ExtendedCertificateInfo(univ.Sequence):$/;" c +ExtendedCertificateInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ExtendedCertificateInfo(univ.Sequence):$/;" c +ExtendedCertificateInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ExtendedCertificateInfo(univ.Sequence):$/;" c +ExtendedCertificateOrCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ExtendedCertificateOrCertificate(univ.Choice):$/;" c +ExtendedCertificateOrCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class ExtendedCertificateOrCertificate(univ.Choice):$/;" c +ExtendedCertificateOrCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class ExtendedCertificateOrCertificate(univ.Choice):$/;" c +ExtendedCertificatesAndCertificates adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class ExtendedCertificatesAndCertificates(univ.SetOf):$/;" c +ExtendedNetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtendedNetworkAddress(univ.Choice):$/;" c +ExtendedNetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtendedNetworkAddress(univ.Choice):$/;" c +ExtendedNetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtendedNetworkAddress(univ.Choice):$/;" c +ExtendedRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ExtendedRequest(univ.Sequence):$/;" c +ExtendedResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ExtendedResponse(univ.Sequence):$/;" c +extended_gcd adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def extended_gcd(a: int, b: int) -> typing.Tuple[int, int, int]:$/;" f +extended_network_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^extended_network_address = univ.Integer(22)$/;" v +extended_network_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^extended_network_address = univ.Integer(22)$/;" v +extended_network_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^extended_network_address = univ.Integer(22)$/;" v +extended_timestamp adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def extended_timestamp(self):$/;" m class:DebugTensorDatum +extender adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^extender = """$/;" v +extender adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^extender = """$/;" v +extending adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^extending = Extension("extending",$/;" v +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def extendMarkdown(self, md):$/;" m class:AbbrExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def extendMarkdown(self, md):$/;" m class:AdmonitionExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ def extendMarkdown(self, md):$/;" m class:AttrListExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def extendMarkdown(self, md):$/;" m class:CodeHiliteExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ def extendMarkdown(self, md):$/;" m class:DefListExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/extra.py /^ def extendMarkdown(self, md):$/;" m class:ExtraExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def extendMarkdown(self, md):$/;" m class:FencedCodeExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def extendMarkdown(self, md):$/;" m class:FootnoteExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^ def extendMarkdown(self, md):$/;" m class:LegacyAttrExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^ def extendMarkdown(self, md):$/;" m class:LegacyEmExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def extendMarkdown(self, md):$/;" m class:MarkdownInHtmlExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^ def extendMarkdown(self, md):$/;" m class:MetaExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/nl2br.py /^ def extendMarkdown(self, md):$/;" m class:Nl2BrExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ def extendMarkdown(self, md):$/;" m class:SaneListExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def extendMarkdown(self, md):$/;" m class:SmartyExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def extendMarkdown(self, md):$/;" m class:TableExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def extendMarkdown(self, md):$/;" m class:TocExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^ def extendMarkdown(self, md):$/;" m class:WikiLinkExtension +extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def extendMarkdown(self, md):$/;" m class:Extension +extendMetricsOutput adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def extendMetricsOutput(self):$/;" m class:AnyExpTrainer +extend_all adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def extend_all(module):$/;" f +extend_axis_env adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def extend_axis_env(axis_name, size: int, tag: Any):$/;" f function:omnistaging_disabler file: +extend_axis_env adpepsenv/lib/python3.8/site-packages/jax/core.py /^def extend_axis_env(axis_name: AxisName, size: int, tag: Any):$/;" f +extend_axis_env adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def extend_axis_env(env: AxisEnv, name, size: int):$/;" f +extend_axis_env_nd adpepsenv/lib/python3.8/site-packages/jax/core.py /^def extend_axis_env_nd(axes: Iterable[Tuple[AxisName, int]]):$/;" f +extend_comp_items adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def extend_comp_items(self, context_word, new_comp_items):$/;" m class:TabCompletionRegistry +extend_dynamic_axis_env adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def extend_dynamic_axis_env(axis_name, pmap_trace, hard_size):$/;" f function:omnistaging_disabler file: +extend_jaxpr_stack adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def extend_jaxpr_stack(main, frame):$/;" f +extend_name_stack adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def extend_name_stack(stack, name=''):$/;" f +extend_notes_in_docstring adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def extend_notes_in_docstring(cls, notes):$/;" f +extend_notes_in_docstring adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def extend_notes_in_docstring(cls, notes):$/;" f +extend_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def extend_ops(self, new_ops):$/;" m class:Net +extend_positions adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ extend_positions = append_positions = add_positions$/;" v class:EventCollection +extend_results adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def extend_results(self, results):$/;" m class:Compare +extend_shape_envs adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def extend_shape_envs(logical_env, padded_env):$/;" f +EXTENSIBLE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ EXTENSIBLE = 0xFFFE$/;" v class:WAVE_FORMAT +Extension adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^class Extension:$/;" c +Extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^class Extension(old_Extension):$/;" c +EXTENSION adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^EXTENSION = {}$/;" v +Extension adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Extension(univ.Sequence):$/;" c +Extension adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Extension(univ.Sequence):$/;" c +Extension adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Extension(univ.Sequence):$/;" c +Extension adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^Extension = rfc5280.Extension$/;" v +Extension adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Extension = rfc5280.Extension$/;" v +Extension adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^class Extension(_Extension):$/;" c +Extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/extension.py /^class Extension:$/;" c +ExtensionAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtensionAttribute(univ.Sequence):$/;" c +ExtensionAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtensionAttribute(univ.Sequence):$/;" c +ExtensionAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtensionAttribute(univ.Sequence):$/;" c +ExtensionAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtensionAttributes(univ.SetOf):$/;" c +ExtensionAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtensionAttributes(univ.SetOf):$/;" c +ExtensionAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtensionAttributes(univ.SetOf):$/;" c +ExtensionORAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtensionORAddressComponents(PDSParameter):$/;" c +ExtensionORAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtensionORAddressComponents(PDSParameter):$/;" c +ExtensionORAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtensionORAddressComponents(PDSParameter):$/;" c +ExtensionPhysicalDeliveryAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtensionPhysicalDeliveryAddressComponents(PDSParameter):$/;" c +ExtensionPhysicalDeliveryAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtensionPhysicalDeliveryAddressComponents(PDSParameter):$/;" c +ExtensionPhysicalDeliveryAddressComponents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtensionPhysicalDeliveryAddressComponents(PDSParameter):$/;" c +ExtensionRangeOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^ExtensionRangeOptions = _reflection.GeneratedProtocolMessageType('ExtensionRangeOptions', (_mess/;" v +ExtensionReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class ExtensionReq(univ.SequenceOf):$/;" c +ExtensionReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class ExtensionReq(univ.SequenceOf):$/;" c +ExtensionRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class ExtensionRequest(Extensions):$/;" c +extensionRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^extensionRequest = SingleAttribute()$/;" v +extensions adpepsenv/lib/python3.8/site-packages/markdown/extensions/extra.py /^extensions = [$/;" v +extensions adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^extensions = [extending, distributions]$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Extensions(univ.SequenceOf):$/;" c +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^Extensions = rfc5280.Extensions$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^Extensions = rfc5280.Extensions$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Extensions(univ.SequenceOf):$/;" c +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Extensions(univ.SequenceOf):$/;" c +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^Extensions = rfc5280.Extensions$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^Extensions = rfc5280.Extensions$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Extensions = rfc5280.Extensions$/;" v +Extensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^Extensions = rfc5280.Extensions$/;" v +EXTENSIONS adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^EXTENSIONS = ".tar.gz .tar.bz2 .tar .zip .tgz".split()$/;" v +extensions docs/source/conf.py /^extensions = [$/;" v +ExtensionVersioner adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^class ExtensionVersioner(object):$/;" c +extension_keywords adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^extension_keywords = ('name', 'sources', 'include_dirs',$/;" v +EXTENSION_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ EXTENSION_NAME = 'python\/_pywrap_tensorflow_internal.pyd'$/;" v +extension_name_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^extension_name_re = re.compile \\$/;" v +extension_OR_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^extension_OR_address_components = univ.Integer(12)$/;" v +extension_OR_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^extension_OR_address_components = univ.Integer(12)$/;" v +extension_OR_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^extension_OR_address_components = univ.Integer(12)$/;" v +extension_physical_delivery_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^extension_physical_delivery_address_components = univ.Integer(15)$/;" v +extension_physical_delivery_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^extension_physical_delivery_address_components = univ.Integer(15)$/;" v +extension_physical_delivery_address_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^extension_physical_delivery_address_components = univ.Integer(15)$/;" v +EXTENSION_SUFFIXES adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ EXTENSION_SUFFIXES = [x[0] for x in imp.get_suffixes()]$/;" v +EXTENSION_SUFFIXES adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ EXTENSION_SUFFIXES = [x[0] for x in imp.get_suffixes()]$/;" v +EXTENSION_SUFFIXES adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ EXTENSION_SUFFIXES = [$/;" v +EXTENSION_SUFFIXES adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ EXTENSION_SUFFIXES = [x[0] for x in imp.get_suffixes()]$/;" v +EXTENSION_SUFFIXES adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ EXTENSION_SUFFIXES = [x[0] for x in imp.get_suffixes()]$/;" v +EXTENT adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^EXTENT = 1$/;" v +extents adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def extents(self):$/;" m class:BboxBase +extents adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def extents(self):$/;" m class:RectangleSelector +extents adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def extents(self, extents):$/;" m class:RectangleSelector +extents adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def extents(self):$/;" m class:PyCodecState +ExtentTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^class ExtentTransform(Transform):$/;" c +external adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def external(self):$/;" m class:Dataset +ExternalDataProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^ExternalDataProto = _reflection.GeneratedProtocolMessageType('ExternalDataProto', (_message.Mess/;" v +ExternalInitializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^class ExternalInitializer(object):$/;" c +ExternalLink adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^class ExternalLink(object):$/;" c +ExternalLogger adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^class ExternalLogger(object):$/;" c +externalpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^externalpattern = re.compile($/;" v +ExternalReference adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ExternalReference = collections.namedtuple('ExternalReference',$/;" v +ExternalStatePolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^class ExternalStatePolicy(enum.Enum):$/;" c +external_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def external_captures(self):$/;" m class:FuncGraph +external_input adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ external_input = net.op[0].input[0]$/;" v +external_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def external_inputs(self):$/;" m class:Net +external_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def external_inputs(self):$/;" m class:Caffe2CppRep +external_output adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ external_output = net.op[-1].output[0]$/;" v +external_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def external_outputs(self):$/;" m class:Net +external_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def external_outputs(self):$/;" m class:Caffe2CppRep +extern_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def extern_module(self, module_name: str):$/;" m class:PackageExporter +extern_modules adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def extern_modules(self, module_names: List[str]):$/;" m class:PackageExporter +ExtKeyUsageSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ExtKeyUsageSyntax(univ.SequenceOf):$/;" c +ExtKeyUsageSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ExtKeyUsageSyntax(univ.SequenceOf):$/;" c +ExtKeyUsageSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ExtKeyUsageSyntax(univ.SequenceOf):$/;" c +EXTRA adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^EXTRA = IDENTIFIER$/;" v +EXTRA adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^EXTRA = IDENTIFIER$/;" v +EXTRA adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^EXTRA = IDENTIFIER$/;" v +extract adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def extract(condition, arr):$/;" f +extract adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def extract(condition, arr):$/;" f +extract adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def extract(self, member, path="", set_attrs=True):$/;" m class:TarFile +extract adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def extract(self, return_eigenvectors):$/;" m class:_SymmetricArpackParams +extract adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def extract(self, return_eigenvectors):$/;" m class:_UnsymmetricArpackParams +extract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def extract(self, accumulator):$/;" m class:Combiner +extract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def extract(self, accumulator):$/;" m class:_CategoryEncodingCombiner +extract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def extract(self, accumulator):$/;" m class:_IndexLookupCombiner +extract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def extract(self, accumulator):$/;" m class:_NormalizingCombiner +extractall adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def extractall(self, path=".", members=None):$/;" m class:TarFile +ExtractBitsFromBFloat16 adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def ExtractBitsFromBFloat16(x):$/;" f +ExtractBitsFromBFloat16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def ExtractBitsFromBFloat16(x):$/;" f +ExtractBitsFromFloat16 adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def ExtractBitsFromFloat16(x):$/;" f +ExtractBitsFromFloat16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def ExtractBitsFromFloat16(x):$/;" f +ExtractError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class ExtractError(TarError):$/;" c +extractfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def extractfile(self, member):$/;" m class:TarFile +ExtractGlimpse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ExtractGlimpse = tf_export("raw_ops.ExtractGlimpse")(_ops.to_raw_op(extract_glimpse))$/;" v +ExtractGlimpseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ExtractGlimpseV2 = tf_export("raw_ops.ExtractGlimpseV2")(_ops.to_raw_op(extract_glimpse_v2))$/;" v +ExtractImagePatches adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ExtractImagePatches = tf_export("raw_ops.ExtractImagePatches")(_ops.to_raw_op(extract_image_patc/;" v +ExtractionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ExtractionError(RuntimeError):$/;" c +ExtractionError adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ExtractionError(RuntimeError):$/;" c +extraction_drivers adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^extraction_drivers = unpack_directory, unpack_zipfile, unpack_tarfile$/;" v +extraction_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def extraction_error(self):$/;" m class:ResourceManager +extraction_error adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def extraction_error(self):$/;" m class:ResourceManager +extraction_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ extraction_path = None$/;" v class:ResourceManager +extraction_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ extraction_path = None$/;" v class:ResourceManager +ExtractJpegShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ExtractJpegShape = tf_export("raw_ops.ExtractJpegShape")(_ops.to_raw_op(extract_jpeg_shape))$/;" v +extractor_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def extractor_fn(session_group):$/;" f function:_create_hparam_extractor file: +extractor_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def extractor_fn(session_or_group):$/;" f function:_create_metric_extractor file: +ExtractPredictorNet adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def ExtractPredictorNet(model, inputs, outputs, device):$/;" f +ExtractPredictorNet adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^def ExtractPredictorNet($/;" f +extractText adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def extractText(s, l, t):$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ extractText = lambda s, l, t: s[t._original_start: t._original_end]$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def extractText(s,l,t):$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ extractText = lambda s,l,t: s[t._original_start:t._original_end]$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def extractText(s, l, t):$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ extractText = lambda s, l, t: s[t._original_start: t._original_end]$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def extractText(s,l,t):$/;" f function:originalTextFor file: +extractText adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ extractText = lambda s,l,t: s[t._original_start:t._original_end]$/;" f function:originalTextFor file: +ExtractVolumePatches adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ExtractVolumePatches = tf_export("raw_ops.ExtractVolumePatches")(_ops.to_raw_op(extract_volume_p/;" v +extract_arguments adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def extract_arguments(start, string):$/;" f +extract_by_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def extract_by_key(d, keys):$/;" f +extract_call_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^def extract_call_jaxpr($/;" f +extract_character_data adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def extract_character_data(self, token_list):$/;" m class:LinkifyFilter +extract_constant adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^def extract_constant(code, symbol, default=-1):$/;" f +extract_cookies_to_jar adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def extract_cookies_to_jar(jar, request, response):$/;" f +extract_cookies_to_jar adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def extract_cookies_to_jar(jar, request, response):$/;" f +extract_core_metadata_from_event_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def extract_core_metadata_from_event_proto(event):$/;" f +extract_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/spfuncs.py /^def extract_diagonal(A):$/;" f +extract_err adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def extract_err(name, err, data, lolims, uplims):$/;" f member:Axes.errorbar file: +extract_example_parser_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/example_parser_configuration.py /^def extract_example_parser_configuration(parse_example_op, sess):$/;" f +extract_files adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def extract_files(buffer):$/;" f member:JitTestCase._compared_saved_loaded file: +extract_from_urllib3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def extract_from_urllib3():$/;" f +extract_from_urllib3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^def extract_from_urllib3():$/;" f +extract_from_urllib3 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def extract_from_urllib3():$/;" f +extract_from_urllib3 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^def extract_from_urllib3():$/;" f +extract_glimpse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_glimpse(input, size, offsets, centered=True, normalized=True, uniform_noise=True, no/;" f +extract_glimpse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def extract_glimpse($/;" f +extract_glimpse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import extract_glimpse_v2 as extract_glimpse$/;" x +extract_glimpse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import extract_glimpse_v2 as extract_glimpse$/;" x +extract_glimpse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_glimpse_eager_fallback(input, size, offsets, centered, normalized, uniform_noise, no/;" f +extract_glimpse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_glimpse_v2(input, size, offsets, centered=True, normalized=True, uniform_noise=True,/;" f +extract_glimpse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def extract_glimpse_v2($/;" f +extract_glimpse_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_glimpse_v2_eager_fallback(input, size, offsets, centered, normalized, uniform_noise,/;" f +extract_hash_size adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_feature_hash.py /^ def extract_hash_size(self, metadata):$/;" m class:SparseFeatureHash +extract_image_patches adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def extract_image_patches( # pylint: disable=missing-docstring$/;" f +extract_image_patches adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def extract_image_patches(images, ksizes, strides, rates, padding, name=None):$/;" f +extract_image_patches_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def extract_image_patches_eager_fallback(images, ksizes, strides, rates, padding, name, ctx):$/;" f +extract_image_patches_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def extract_image_patches_v2(images, sizes, strides, rates, padding, name=None):$/;" f +extract_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ extract_index = lambda index, sequence: [ x[index] for x in sequence ]$/;" f member:TestOffsets.test_compound_robustness file: +extract_jpeg_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_jpeg_shape(contents, output_type=_dtypes.int32, name=None):$/;" f +extract_jpeg_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def extract_jpeg_shape_eager_fallback(contents, output_type, name, ctx):$/;" f +extract_macosx_min_system_version adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def extract_macosx_min_system_version(path_to_lib):$/;" f +extract_model_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def extract_model_metrics(model):$/;" f +extract_output_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def extract_output_file_path(args):$/;" f +extract_params adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def extract_params(raw):$/;" f +extract_params adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def extract_params(self, command, paramstring):$/;" m class:AnsiToWin32 +extract_patches adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.array_ops import extract_image_patches_v2 as extract_patches$/;" x +extract_patches adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.array_ops import extract_image_patches_v2 as extract_patches$/;" x +extract_patches adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.array_ops import extract_image_patches_v2 as extract_patches$/;" x +extract_path_info adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def extract_path_info($/;" f +extract_sort_key adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def extract_sort_key(b):$/;" f function:_ComputeBlobsToSync file: +extract_stack adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def extract_stack(limit=0):$/;" f function:_trim_arity file: +extract_stack adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def extract_stack(limit=0):$/;" f function:_trim_arity file: +extract_stack adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def extract_stack(limit=0):$/;" f function:_trim_arity file: +extract_stack adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def extract_stack(limit=0):$/;" f function:_trim_arity file: +extract_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^def extract_stack(limit=-1):$/;" f +extract_sub_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def extract_sub_graph(graph_def, dest_nodes):$/;" f +extract_tb adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def extract_tb(tb, limit=0):$/;" f function:_trim_arity file: +extract_tb adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def extract_tb(tb, limit=0):$/;" f function:_trim_arity file: +extract_tb adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def extract_tb(tb, limit=0):$/;" f function:_trim_arity file: +extract_tb adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def extract_tb(tb, limit=0):$/;" f function:_trim_arity file: +extract_tensors_from_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def extract_tensors_from_dataset(dataset):$/;" f +extract_variable_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def extract_variable_info($/;" f +extract_version adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^def extract_version(metadata):$/;" f +extract_volume_patches adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def extract_volume_patches(input, ksizes, strides, padding, name=None):$/;" f +extract_volume_patches_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def extract_volume_patches_eager_fallback(input, ksizes, strides, padding, name, ctx):$/;" f +extract_wininst_cfg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def extract_wininst_cfg(dist_filename):$/;" f +extract_wsgi adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def extract_wsgi(self, environ, headers):$/;" m class:_TestCookieJar +extract_zipped_paths adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def extract_zipped_paths(path):$/;" f +extract_zipped_paths adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def extract_zipped_paths(path):$/;" f +ExtraData adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class ExtraData(UnpackValueError):$/;" c +ExtraExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/extra.py /^class ExtraExtension(Extension):$/;" c +EXTRAS adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^EXTRAS = (LBRACKET + Optional(EXTRAS_LIST) + RBRACKET)("extras")$/;" v +extras adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def extras(self):$/;" m class:Distribution +EXTRAS adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^EXTRAS = (LBRACKET + Optional(EXTRAS_LIST) + RBRACKET)("extras")$/;" v +extras adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def extras(self):$/;" m class:Distribution +EXTRAS adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^EXTRAS = (LBRACKET + Optional(EXTRAS_LIST) + RBRACKET)("extras")$/;" v +EXTRASAMPLES adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^EXTRASAMPLES = 338$/;" v +ExtrasCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class ExtrasCandidate(Candidate):$/;" c +EXTRAS_LIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^EXTRAS_LIST = EXTRA + ZeroOrMore(COMMA + EXTRA)$/;" v +EXTRAS_LIST adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^EXTRAS_LIST = EXTRA + ZeroOrMore(COMMA + EXTRA)$/;" v +EXTRAS_LIST adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^EXTRAS_LIST = EXTRA + ZeroOrMore(COMMA + EXTRA)$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/test_ideep_net.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^ args, extra_args = GetArgumentParser().parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mul_gradient_benchmark.py /^ args, extra_args = parser.parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^ args, extra_args = parser.parse_known_args()$/;" v +extra_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def extra_args(self):$/;" m class:CriterionTest +extra_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def extra_args(self):$/;" m class:TestBase +EXTRA_ASSETS_DIRECTORY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^EXTRA_ASSETS_DIRECTORY = "assets.extra"$/;" v +extra_condition adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ extra_condition = lambda alpha, phi: True$/;" f function:scalar_search_wolfe2 file: +extra_condition2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def extra_condition2(alpha, phi):$/;" f function:line_search_wolfe2 file: +extra_dll_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^extra_dll_dir = os.path.join(os.path.dirname(__file__), '.libs')$/;" v +extra_dll_dir adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^extra_dll_dir = os.path.join(os.path.dirname(__file__), '.libs')$/;" v +extra_dll_dir adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^extra_dll_dir = os.path.join(os.path.dirname(__file__), '.libs')$/;" v +extra_f77_compile_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ extra_f77_compile_args = []$/;" v class:FCompiler +extra_f90_compile_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ extra_f90_compile_args = []$/;" v class:FCompiler +extra_index_url adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def extra_index_url():$/;" f +EXTRA_INFO adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ EXTRA_INFO = 'extra_info:'$/;" v class:Tags +EXTRA_LOOP_TEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ EXTRA_LOOP_TEST = ($/;" v class:Basic +extra_overhead adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def extra_overhead(self, result):$/;" m class:FauxTorch +EXTRA_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^EXTRA_RE = re.compile(r'''extra\\s*==\\s*("([^"]+)"|'([^']+)')''')$/;" v +extra_repr adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def extra_repr(self) -> str:$/;" m class:_RemoteModule +extra_repr adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def extra_repr(self):$/;" m class:QuantizedLinear +extra_repr adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def extra_repr(self):$/;" m class:QuantizedLinearFP16 +extra_repr adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def extra_repr(self):$/;" m class:QuantizedRNNCellBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def extra_repr(self):$/;" m class:RecursiveScriptModule +extra_repr adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def extra_repr(self):$/;" m class:TracedModule +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def extra_repr(self):$/;" m class:_ConvBnNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:CELU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:ELU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:GLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:Hardshrink +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:Hardtanh +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:LeakyReLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:PReLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:ReLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:ReLU6 +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:SELU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:SiLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:Softmax +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:Softplus +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self) -> str:$/;" m class:Softshrink +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self):$/;" m class:LogSoftmax +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self):$/;" m class:RReLU +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self):$/;" m class:Softmin +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def extra_repr(self):$/;" m class:Threshold +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def extra_repr(self):$/;" m class:_NormBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^ def extra_repr(self):$/;" m class:ChannelShuffle +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def extra_repr(self) -> str:$/;" m class:ParameterDict +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def extra_repr(self) -> str:$/;" m class:ParameterList +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def extra_repr(self):$/;" m class:_ConvNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def extra_repr(self) -> str:$/;" m class:_DropoutNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def extra_repr(self) -> str:$/;" m class:Flatten +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def extra_repr(self) -> str:$/;" m class:Unflatten +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def extra_repr(self) -> str:$/;" m class:Fold +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def extra_repr(self) -> str:$/;" m class:Unfold +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def extra_repr(self) -> str:$/;" m class:Bilinear +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def extra_repr(self) -> str:$/;" m class:Linear +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def extra_repr(self) -> str:$/;" m class:Module +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def extra_repr(self) -> str:$/;" m class:CrossMapLRN2d +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def extra_repr(self) -> str:$/;" m class:GroupNorm +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def extra_repr(self) -> Tensor:$/;" m class:LayerNorm +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def extra_repr(self):$/;" m class:LocalResponseNorm +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def extra_repr(self) -> str:$/;" m class:_ConstantPadNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def extra_repr(self) -> str:$/;" m class:_ReflectionPadNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def extra_repr(self) -> str:$/;" m class:_ReplicationPadNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^ def extra_repr(self) -> str:$/;" m class:PixelShuffle +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_AdaptiveAvgPoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_AdaptiveMaxPoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_AvgPoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_LPPoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_MaxPoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def extra_repr(self) -> str:$/;" m class:_MaxUnpoolNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def extra_repr(self) -> str:$/;" m class:RNNBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def extra_repr(self) -> str:$/;" m class:RNNCellBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def extra_repr(self) -> str:$/;" m class:Embedding +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def extra_repr(self) -> str:$/;" m class:EmbeddingBag +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ def extra_repr(self) -> str:$/;" m class:Upsample +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def extra_repr(self):$/;" m class:Linear +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def extra_repr(self):$/;" m class:RNNBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def extra_repr(self):$/;" m class:RNNCellBase +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def extra_repr(self):$/;" m class:_ConvNd +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def extra_repr(self):$/;" m class:Embedding +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def extra_repr(self):$/;" m class:QFunctional +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def extra_repr(self):$/;" m class:Linear +extra_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def extra_repr(self):$/;" m class:Quantize +extra_repr adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def extra_repr(self):$/;" m class:FakeQuantize +extra_repr adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def extra_repr(self):$/;" m class:MinMaxObserver +extra_repr adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def extra_repr(self):$/;" m class:PerChannelMinMaxObserver +extra_space adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ extra_space = "\\n "$/;" v +extrema adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def extrema(input, labels=None, index=None):$/;" f +ExtremeFinderCycle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class ExtremeFinderCycle(ExtremeFinderSimple):$/;" c +ExtremeFinderFixed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^class ExtremeFinderFixed(ExtremeFinderSimple):$/;" c +ExtremeFinderSimple adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class ExtremeFinderSimple:$/;" c +ExtType adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^class ExtType(namedtuple("ExtType", "code data")):$/;" c +ext_convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def ext_convert(self, value):$/;" m class:BaseConfigurator +ExVectorialFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^class ExVectorialFunction:$/;" c +EX_CONSTRUCT adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^EX_CONSTRUCT = 1$/;" v +EX_READ_ARRAY_HEADER adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^EX_READ_ARRAY_HEADER = 2$/;" v +EX_READ_MAP_HEADER adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^EX_READ_MAP_HEADER = 3$/;" v +EX_SKIP adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^EX_SKIP = 0$/;" v +eye adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def eye(dtype, shape, offset):$/;" f +Eye adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^Eye = taggedtuple('Eye', ['dtype', 'shape', 'offset']) # like np.eye$/;" v +eye adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def eye(N, M=None, k=0, dtype=None):$/;" f +eye adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def eye(N, M=None, k=0, dtype=float, order='C'):$/;" f +eye adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def eye(n,M=None, k=0, dtype=float, order='C'):$/;" f +eye adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def eye(m, n=None, k=0, dtype=float, format=None):$/;" f +eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def eye(size, dtype=None, name=None):$/;" f +eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^eye = linalg_ops.eye$/;" v +eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def eye(num_rows,$/;" f +eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops_impl.py /^def eye(num_rows,$/;" f +eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def eye(N, M=None, k=0, dtype=float): # pylint: disable=invalid-name,missing-docstring$/;" f +eye adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_eye as eye$/;" x +eye adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_eye as eye$/;" x +eye adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_eye as eye$/;" x +eye adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^eye = _make_deprecate(eye_)$/;" v +eye adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def eye(g, n, m, dtype=None, layout=None, device=None, pin_memory=False):$/;" f +eye_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def eye_(tensor):$/;" f +e_legnamnden adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^e_legnamnden = univ.ObjectIdentifier('1.2.752.201')$/;" v +f adpeps/tensor/contractions.py /^f = open(Path(ROOT_DIR, 'ipeps', 'contractions.yaml'))$/;" v +f adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^ def f(name):$/;" f function:_add_gradient_scope file: +f adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^ def f(name):$/;" f function:_replace_colons file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def f(*args, **kwargs):$/;" f member:Workspace.__getattr__ file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_simple_python_op file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^ def f(x):$/;" f function:TestPairWiseLossOps.test_pair_wise_loss_batch.pair_wise_op file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^ def f(_, outputs):$/;" f function:benchmark_sparse_lengths_sum file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_caught_exception_doesnt_terminate file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient_multiple file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient_multiple_with_indices file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_multithreaded_evaluation file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs):$/;" f member:PythonOpTest.test_reshape file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, outputs, ws):$/;" f member:PythonOpTest.test_workspace_manipulation file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, _):$/;" f member:PythonOpTest.test_feed file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, _):$/;" f member:PythonOpTest.test_feed_with_gc file: +f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def f(inputs, _):$/;" f member:PythonOpTest.test_feed_with_helper_function file: +f adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def f(dsid):$/;" f member:DimensionProxy.__getitem__ file: +F adpepsenv/lib/python3.8/site-packages/jax/api.py /^F = TypeVar("F", bound=Callable)$/;" v +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_cond_custom_jvp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_cond_custom_vjp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_cond_partial_eval file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_scan_custom_jvp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_scan_custom_vjp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f(x):$/;" f member:ControlFlowOpsTest.test_while_custom_jvp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x):$/;" f member:Jax2TfTest.test_custom_jvp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x):$/;" f member:Jax2TfTest.test_custom_vjp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x):$/;" f member:Jax2TfTest.test_gradients_with_custom_jvp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x):$/;" f member:Jax2TfTest.test_gradients_with_custom_vjp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x):$/;" f member:Jax2TfTest.test_remat_free_var file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x, y):$/;" f member:Jax2TfTest.test_gradients file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(x1):$/;" f member:Jax2TfTest.test_remat1 file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f(xy: Tuple[float, float]) -> Dict[str, float]:$/;" f member:Jax2TfTest.test_gradients_pytree file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(a, i):$/;" f member:ShapePolyPrimitivesTest.test_gather file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(a, i):$/;" f member:ShapePolyPrimitivesTest.test_gather_vmap file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x):$/;" f member:ShapeAsValueTest.test_concrete_shapes file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x):$/;" f member:ShapeAsValueTest.test_cond file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x):$/;" f member:ShapeAsValueTest.test_dynamic_shapes file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x):$/;" f member:ShapePolyTest.test_gradients_pytree file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x):$/;" f member:ShapePolyTest.test_with_custom_vjp file: +f adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f(x, y):$/;" f member:ShapePolyTest.test_cond file: +f adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def f(c, *xla_args, **params):$/;" f function:lower_fun file: +f adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def f(c, axis_env, name_stack, avals, backend, *xla_args, **params):$/;" f function:lower_fun_initial_style file: +f adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def f(x):$/;" f function:custom_linear_solve._shape_checked file: +f adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def f(ary, indices_or_sections):$/;" f function:_split_on_axis file: +f adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def f(s):$/;" f function:_listify_validator file: +f adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def f(t):$/;" f function:test_mixedsubplots file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def f(a, v):$/;" f member:TestIndexing.test_boolean_assignment_value_mismatch file: +F adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ from fractions import Fraction as F$/;" x member:TestMatmul.test_matmul_object_type_scalar file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ f = Foo(0.5)$/;" v class:TestArrayInterface +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def f(y):$/;" f member:TestVerifyMatchingSignatures.test_array_function_dispatch file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def f(y):$/;" f member:TestVerifyMatchingSignatures.test_array_function_dispatch file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def f(x):$/;" f member:TestRegression.test_frompyfunc_nout_0 file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def f(a):$/;" f member:TestCabs.test_cabs_inf_nan file: +f adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def f(z):$/;" f member:TestCsqrt.test_simple_conjugate file: +f adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f = 0$/;" v +f adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f = 1$/;" v +f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def f(**kw):$/;" f member:TestVectorize.test_keywords4_ticket_2100 file: +f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def f(*v):$/;" f member:TestVectorize.test_keywords5_ticket_2100 file: +f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def f(x):$/;" f member:TestVectorize.test_cache file: +f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def f(_ret={}):$/;" f member:TestFromTxt.test_invalid_raise file: +f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def f(_ret={}):$/;" f member:TestFromTxt.test_invalid_raise_with_usecols file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def f(x):$/;" f member:TestFitting.test_chebfit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def f(self, x):$/;" m class:TestInterpolate +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def f(self, x):$/;" m class:TestInterpolate +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def f(x):$/;" f function:test_fit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def f(x):$/;" f member:TestFitting.test_hermfit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def f(x):$/;" f member:TestFitting.test_hermefit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def f(x):$/;" f member:TestFitting.test_lagfit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def f(x):$/;" f member:TestFitting.test_legfit file: +f adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def f(x):$/;" f member:TestMisc.test_polyfit file: +f adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def f():$/;" f member:TestWarns.test_warn file: +f adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def f():$/;" f member:TestWarns.test_warn_wrong_warning file: +F adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ F = TypeVar('F')$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ f = lambda t, y: 1.0$/;" f member:TestOde.test_concurrent_fail file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ f = lambda t, y: 1.0$/;" f member:TestOde.test_concurrent_ok file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ f = lambda t, z: problem.f(z, t)$/;" f member:TestODEClass._do_problem file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def f(self, z, t):$/;" m class:ComplexExp +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def f(self, z, t):$/;" m class:CoupledDecay +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def f(self, z, t):$/;" m class:Pi +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def f(self, z, t):$/;" m class:SimpleOscillator +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def f(t, x):$/;" f +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(x):$/;" f member:TestQuad.test_b_less_than_a_3 file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(x):$/;" f member:TestQuad.test_b_less_than_a_full_output file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(x, p, q):$/;" f member:TestQuad.test_b_less_than_a file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(x, s):$/;" f member:TestQuad.test_b_less_than_a_2 file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(y, x):$/;" f member:TestNQuad.test_square_aliased_fn_ranges_and_opts file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(y, x):$/;" f member:TestNQuad.test_square_aliased_ranges_and_opts file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(y, x):$/;" f member:TestNQuad.test_square_separate_fn_ranges_and_opts file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def f(y, x):$/;" f member:TestNQuad.test_square_separate_ranges_and_opts file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ f = lambda x: 1 \/ (1 + x**2)$/;" f function:test_quad_vec_pool file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ def f(x):$/;" f function:test_info file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ def f(x):$/;" f function:test_num_eval file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ def f(x):$/;" f function:test_points file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ f = lambda x: 1 \/ (1 + np.float64(x)**2)$/;" f function:test_quad_vec_simple_inf file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ f = lambda x: np.sin(x + 2) \/ (1 + x**2)$/;" f function:test_quad_vec_simple_inf file: +f adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ f = lambda x: x**n$/;" f function:test_quad_vec_simple file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ x, f = fmin_slsqp(fun, array([-1, 1]), bounds=bnds, disp=1,$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ x, f = fmin_slsqp(fun, array([-1, 1]),$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def f(w):$/;" f member:TestCobyla.test_minimize_constraint_violation file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def f(x):$/;" f member:TestScalarFunction.test_x_storage_overlap file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_hessinv.py /^ def f(x):$/;" f function:test_1 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_hessinv.py /^ def f(x):$/;" f function:test_2 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ f = lambda x: np.dot(x, x)$/;" f member:TestLineSearch.test_line_search_wolfe2_bounds file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def f(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None, bounds=None):$/;" f member:LinprogCommonTests.test_invalid_inputs file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def f(c, A_ub=None, b_ub=None, A_eq=None,$/;" f member:LinprogCommonTests.test_unknown_options file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def f(options):$/;" f member:LinprogHiGHSTests.test_invalid_option_values file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f(x, a):$/;" f member:TestCurveFit.test_bounds_p0 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f(x, a, b):$/;" f member:TestCurveFit.test_bounds file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f(x, a, b):$/;" f member:TestCurveFit.test_jac file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f(x, a, b):$/;" f member:TestCurveFit.test_method_argument file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f(x, a, b):$/;" f member:TestCurveFit.test_pcov file: +F adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F(x):$/;" f +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(r):$/;" f member:CheckOptimizeParameterized.test_cg_cornercase file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestBrute.test_1D file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestOptimizeSimple.test_bfgs_double_evaluations file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestOptimizeSimple.test_bfgs_gh_2169 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestOptimizeSimple.test_initial_step_scaling file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestOptimizeSimple.test_minimize_automethod file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f member:TestOptimizeSimple.test_slsqp_respect_bounds file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def f(x):$/;" f function:test_onesided_bounded_powell_stability file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ f = lambda x: -1 * (x[0] + x[1] + x[2])$/;" f function:test_minimize_multiple_constraints file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ f = lambda x: -np.sum(x)$/;" f function:test_onesided_bounded_powell_stability file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def f():$/;" f member:QAPCommonTests.test_unknown_options file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f(x):$/;" f member:TestSLSQP.test_bounds_clipping file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f(x):$/;" f member:TestSLSQP.test_infeasible_initial file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f(x):$/;" f member:TestSLSQP.test_minimize_bounded_constraint file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f(x):$/;" f member:TestSLSQP.test_parameters_stay_within_bounds file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ f = lambda x: x[0]**2 + x[1]**2$/;" f member:TestSLSQP.test_new_bounds_type file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f member:TestBasic.test_array_newton_complex file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f function:test_gh9551_raise_error_if_disp_true file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f function:test_gh_5555 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f function:test_gh_5557 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f function:test_gh_8881 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x):$/;" f function:test_gh_9608_preserve_array_shape file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f(x, *a):$/;" f function:test_complex_halley file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L1 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L2 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L3 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L4 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L5 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L6 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L7 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L8 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def f(x):$/;" f member:TestDifferentialEvolutionSolver.test_L9 file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ f = lambda x: np.sin(x)$/;" f member:TestApproxDerivativesDense.test_fp file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def f(x):$/;" f function:test_absolute_step file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(x):$/;" f member:TestShgoArguments.test_13_high_sobol file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTest1 +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTest2 +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTest3 +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTest4 +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTest5 +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x):$/;" m class:StructTestTable +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x, *args):$/;" m class:StructTestInfeasible +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def f(self, x, *args):$/;" m class:StructTestLJ +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ def f(x, arg):$/;" f function:test_shape file: +f adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^ def f(x):$/;" f function:_root_nonlin_solve file: +f adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^ def f(x):$/;" f function:_bessel_zeros file: +f adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^f = mm.open('%s.mtx.gz' % problem)$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^f = mm.open('%s_rhs1.mtx.gz' % problem)$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ f = lambda n, x: cephes.eval_gegenbauer(n, alpha, x)$/;" f function:roots_gegenbauer file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ f = lambda n, x: cephes.eval_genlaguerre(n, alpha, x)$/;" f function:roots_genlaguerre file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ f = lambda n, x: cephes.eval_jacobi(n, a, b, x)$/;" f function:roots_jacobi file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ f = lambda x: x - sin(x) - c$/;" f function:_compute_tauk file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^ def f(z):$/;" f function:test_complex_dispatch_realpart file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^ def f(z):$/;" f function:test_identities1 file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^ def f(z):$/;" f function:test_identities2 file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def f(z):$/;" f member:TestSystematic.test_gammaln file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ f = lambda x: x**3 - 3*x**2 + x - 2$/;" f function:verify_gauss_quad file: +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def f(self, n, z):$/;" m class:TestSphericalInDerivatives +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def f(self, n, z):$/;" m class:TestSphericalJnDerivatives +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def f(self, n, z):$/;" m class:TestSphericalKnDerivatives +f adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def f(self, n, z):$/;" m class:TestSphericalYnDerivatives +f adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f(theta):$/;" f member:levy_stable_gen._cdf_single_value_zolotarev file: +f adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f(theta):$/;" f member:levy_stable_gen._pdf_single_value_zolotarev file: +f adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f(x):$/;" f member:argus_gen._rvs_scalar file: +f adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^f = f_gen(a=0.0, name='f')$/;" v +f adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ f = lambda k, a: 1.0 - exp(-a * k) \/ (exp(a) + 1)$/;" f member:dlaplace_gen._cdf file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^ def f(original_nodes):$/;" f function:build_debug_info_func file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^ def f(original_nodes):$/;" f function:convert_debug_info_func file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def f(x):$/;" f member:Layer._maybe_cast_inputs file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def f(x):$/;" f member:RGBToHSVOpTestBase.testRGBToHSVGradRandomCase file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def f(x):$/;" f member:RGBToHSVOpTestBase.testRGBToHSVGradSimpleCase file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def f(x):$/;" f member:RGBToHSVOpTestBase.testRGBToHSVGradSpecialCaseRGreatest file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ f = lambda distribution, value: distribution.extended.read_var(value)$/;" f function:_aggregate_variable file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def f(x):$/;" f function:swapaxes.adjust_axes file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def f(ary, indices_or_sections):$/;" f function:_split_on_axis file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def f(x):$/;" f function:_atleast_nd file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a):$/;" f function:diff file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a, b): # pylint: disable=missing-docstring$/;" f function:cross file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a, b): # pylint: disable=missing-docstring$/;" f function:dot file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a, b): # pylint: disable=missing-docstring$/;" f function:isclose file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a, b):$/;" f function:inner file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(a, b):$/;" f function:outer file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(p, x):$/;" f function:polyval file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:angle file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:bitwise_not file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:cbrt file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:deg2rad file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:exp2 file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:fix file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:signbit file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x):$/;" f function:sinc file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:array_equal file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:floor_divide file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:heaviside file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:lcm file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:matmul file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:mod file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:true_divide file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def f(x1, x2):$/;" f function:_bitwise_binary_op file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def f():$/;" f function:pfor file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def f(*args):$/;" f function:_convert_function_call file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def f(maybe_ta):$/;" f function:_tensor_array_to_flow file: +f adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def f():$/;" f function:ResourceVariableSaveable.__init__._read_variable_closure file: +F adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^F = TypeVar('F', bound=FuncType)$/;" v +F adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/functional_adagrad.py /^import torch.optim.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/functional.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^from .. import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/optim/adagrad.py /^from . import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/optim/adam.py /^from . import functional as F$/;" x +F adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^import torch.nn.functional as F$/;" I +f adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^f = Foo(10)$/;" v +F adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^import torch.nn.functional as F$/;" I +F adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ import torch.nn.functional as F$/;" I member:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref file: +F adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ import torch.nn.functional as F$/;" I member:DistAutogradTest.test_no_grad_copy_sparse file: +F adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^import torch.nn.functional as F$/;" I +f adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^ def f(name):$/;" f function:_add_gradient_scope file: +f adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^ def f(name):$/;" f function:_rename_tensorflow_style file: +f adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^ def f(name):$/;" f function:_replace_colons file: +f0 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f0(h, k):$/;" f member:kappa4_gen._get_support file: +f0 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f0(q, h, k):$/;" f member:kappa4_gen._ppf file: +f0 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f0(x, h, k):$/;" f member:kappa4_gen._logcdf file: +f0 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f0(x, h, k):$/;" f member:kappa4_gen._logpdf file: +f1 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def f1():$/;" f member:FixedAxisArtistHelper.get_tick_iterators file: +f1 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def f1():$/;" f member:FloatingAxisArtistHelper.get_tick_iterators file: +f1 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f1(x):$/;" f member:TestNoseDecorators.test_skip_functions_callable file: +f1 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f1(x):$/;" f member:TestNoseDecorators.test_skip_functions_hardcoded file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def f1(t, x, omega):$/;" f +f1 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def f1(x,d=0):$/;" f +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def f1(z, *params):$/;" f +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ f1 = lambda x: x[0] + x[1] - 2$/;" f member:TestSLSQP.test_inconsistent_linearization file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f1(self, x, a=100.0):$/;" m class:TestTnc +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1(x, *a):$/;" f member:TestBasic.test_array_newton file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ f1 = lambda x: x**2 - 2*x - 1$/;" f member:TestBasic.test_newton_combined file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1(x):$/;" m class:TestBasic +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f1(x):$/;" f +f1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f1(x):$/;" f +f1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f1(h, k):$/;" f member:kappa4_gen._get_support file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f1(q, h, k):$/;" f member:kappa4_gen._ppf file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f1(x, h, k):$/;" f member:kappa4_gen._logcdf file: +f1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f1(x, h, k):$/;" f member:kappa4_gen._logpdf file: +F16 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^F16 = 10$/;" v +f1to2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def f1to2(x):$/;" f member:TestApplyAlongAxis.test_axis_insertion file: +f1to2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def f1to2(x):$/;" f member:TestApplyAlongAxis.test_axis_insertion_ma file: +f1_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1_1(x, *a):$/;" f member:TestBasic.test_array_newton file: +f1_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ f1_1 = lambda x: 2*x - 2$/;" f member:TestBasic.test_newton_combined file: +f1_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1_1(x):$/;" m class:TestBasic +f1_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f1_1(x):$/;" f +f1_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1_2(x, *a):$/;" f member:TestBasic.test_array_newton file: +f1_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ f1_2 = lambda x: 2.0 + 0*x$/;" f member:TestBasic.test_newton_combined file: +f1_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1_2(x):$/;" m class:TestBasic +f1_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f1_2(x):$/;" f +f1_and_p_and_pp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f1_and_p_and_pp(x):$/;" f member:TestBasic.test_newton_combined file: +f1_and_p_and_pp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f1_and_p_and_pp(x):$/;" f +f1_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f1_fp(x):$/;" f +f1_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f1_fpp(x):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def f2(x, y):$/;" m class:TestUfuncGenericLoops +f2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f2 = 0$/;" v +f2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f2 = 1$/;" v +f2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f2 = 0$/;" v +f2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def f2(x):$/;" f member:TestFitting.test_chebfit file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def f2(x):$/;" f member:TestFitting.test_hermfit file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def f2(x):$/;" f member:TestFitting.test_hermefit file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def f2(x):$/;" f member:TestFitting.test_legfit file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def f2(x):$/;" f member:TestMisc.test_polyfit file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f2(x):$/;" f member:TestNoseDecorators.test_skip_functions_callable file: +f2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f2(x):$/;" f member:TestNoseDecorators.test_skip_functions_hardcoded file: +f2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def f2(t, x, omega1, omega2):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def f2(x,y=0,dx=0,dy=0):$/;" f +F2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F2(x):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def f2(z, *params):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ f2 = lambda x: x[0]**2 - 1$/;" f member:TestSLSQP.test_inconsistent_linearization file: +f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f2(x):$/;" m class:TestBasic +f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f2(x):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f2(x):$/;" f +f2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f2(q, h, k):$/;" f member:kappa4_gen._ppf file: +f2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f2(x, h, k):$/;" f member:kappa4_gen._logcdf file: +f2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f2(x, h, k):$/;" f member:kappa4_gen._logpdf file: +f2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ f2 = lambda k, a: exp(a * (k+1)) \/ (exp(a) + 1)$/;" f member:dlaplace_gen._cdf file: +f2cmap_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^f2cmap_all = {'real': {'': 'float', '4': 'float', '8': 'double',$/;" v +f2cmap_default adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^f2cmap_default = copy.deepcopy(f2cmap_all)$/;" v +f2py adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ import numpy.f2py as f2py$/;" I member:f2py_info.calc_info file: +f2pyenhancementspattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^f2pyenhancementspattern = re.compile(beforethisafter % ('', 'threadsafe|fortranname|callstatemen/;" v +F2PYError adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^class F2PYError(Exception):$/;" c +F2PyTest adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^class F2PyTest:$/;" c +f2py_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class f2py_info(system_info):$/;" c +f2py_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def f2py_sources(self, sources, extension):$/;" m class:build_src +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/cb_rules.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^f2py_version = 'See `f2py -v`'$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^f2py_version = 'See `f2py -v`'$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^f2py_version = __version__.version$/;" v +f2py_version adpepsenv/lib/python3.8/site-packages/numpy/f2py/use_rules.py /^f2py_version = 'See `f2py -v`'$/;" v +f2_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f2_1(x):$/;" m class:TestBasic +f2_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f2_1(x):$/;" f +f2_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f2_2(x):$/;" m class:TestBasic +f2_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f2_2(x):$/;" f +f2_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f2_fp(x):$/;" f +f2_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f2_fpp(x):$/;" f +F2_lucky adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F2_lucky(x):$/;" f +f3 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f3 = 0$/;" v +f3 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f3 = 1$/;" v +f3 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f3 = 0$/;" v +F3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F3(x):$/;" f +f3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def f3(z, *params):$/;" f +f3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f3(self, x):$/;" m class:TestTnc +f3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f3(x):$/;" f +f3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f3(h, k):$/;" f member:kappa4_gen._get_support file: +f3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f3(q, h, k):$/;" f member:kappa4_gen._ppf file: +f3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f3(x, h, k):$/;" f member:kappa4_gen._logcdf file: +f3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f3(x, h, k):$/;" f member:kappa4_gen._logpdf file: +F32 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^F32 = 11$/;" v +f38 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f38(self, x):$/;" m class:TestTnc +f3_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f3_fp(x):$/;" f +f3_fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f3_fpp(x):$/;" f +f4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f4(self, x):$/;" m class:TestTnc +f4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f4(x):$/;" f +f45 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f45(self, x):$/;" m class:TestTnc +F4_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F4_powell(x):$/;" f +F5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F5(x):$/;" f +f5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def f5(self, x):$/;" m class:TestTnc +f5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f5(x):$/;" f +f5 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def f5(h, k):$/;" f member:kappa4_gen._get_support file: +F6 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^def F6(x):$/;" f +f6 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def f6(x):$/;" f +F64 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^F64 = 12$/;" v +f77modulename adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ f77modulename = l$/;" v +f77modulename adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^f77modulename = ''$/;" v +f90modhooks adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^f90modhooks = {'f90modhooks': '\/*need_f90modhooks*\/',$/;" v +f90modulevars adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^f90modulevars = {}$/;" v +f90_ext_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^f90_ext_match = re.compile(r'.*[.](f90|f95)\\Z', re.I).match$/;" v +f90_module_name_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^f90_module_name_match = re.compile(r'\\s*module\\s*(?P[\\w_]+)', re.I).match$/;" v +FAAD_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ FAAD_AAC = 0x706D$/;" v class:WAVE_FORMAT +fabs adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^fabs = _one_to_one_unop(np.fabs, lax.abs, True)$/;" v +fabs adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^fabs = _MaskedUnaryOperation(umath.fabs)$/;" v +fabs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def fabs(x):$/;" f +face adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^def face(gray=False):$/;" f +facebook_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/facebook.py /^def facebook_compliance_fix(session):$/;" f +fact adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def fact(n):$/;" f +fact adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^def fact(name=None):$/;" f +Fact adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^Fact = tf_export("raw_ops.Fact")(_ops.to_raw_op(fact))$/;" v +factorial adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def factorial(n, exact=False):$/;" f +factorial2 adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def factorial2(n, exact=False):$/;" f +factorialk adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def factorialk(n, k, exact=True):$/;" f +factorized adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def factorized(A):$/;" f +factory adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def factory(cls, glue_type):$/;" m class:GlueSpec +Factory adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^class Factory(object):$/;" c +factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def factory(name=_HOOK_KEY):$/;" f member:TPUEmbedding._gather_saveables_for_checkpoint file: +factory_common_args adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^factory_common_args = merge_dicts(common_args, parse_kwargs("""$/;" v +factory_data_common_args adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^factory_data_common_args = parse_kwargs("""$/;" v +factory_like_common_args adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^factory_like_common_args = parse_kwargs("""$/;" v +factory_methods adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ factory_methods = find_factory_methods(decls)$/;" v +factor_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def factor_prefix(vals, do_it):$/;" f +fact_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^def fact_eager_fallback(name, ctx):$/;" f +fadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^ def fadd(line, s=fwrap):$/;" f function:buildhooks file: +fadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^ def fadd(line, s=fhooks):$/;" f function:buildhooks file: +fail adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def fail(self, msg=None, prefix=None):$/;" m class:TestCase +fail adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def fail(self, exception):$/;" m class:OperationContext +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestBasic.test_from_unicode_array file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestInformation.test_endswith file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestInformation.test_index file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestInformation.test_rindex file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestInformation.test_startswith file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestMethods.test_isdecimal file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestMethods.test_isnumeric file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_broadcast_error file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_invalid_args_tuple file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_invalid_function_args file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_invalid_result_type file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_invalid_type_descr file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_non_existent_method file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def fail():$/;" f member:TestVecString.test_non_string_array file: +Fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Fail:$/;" c member:TestCreation.test_non_sequence_sequence file: +fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def fail(*args, **kwargs):$/;" f member:TestIO.test_unseekable_fromfile file: +FAIL adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^FAIL = object()$/;" v +FAIL adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ FAIL = 2$/;" v class:ExternalStatePolicy +fail adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def fail(self, *args, **kwargs):$/;" f function:_make_fail file: +FAIL adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ FAIL = '\\033[91m'$/;" v class:bcolors +fail adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def fail(self, message):$/;" m class:MultiPartParser +Failed adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def Failed(self):$/;" m class:RpcController +FailedDependency adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class FailedDependency(HTTPException):$/;" c +FailedPreconditionError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class FailedPreconditionError(OpError):$/;" c +FailedPreconditionError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class FailedPreconditionError(OpError):$/;" c +failed_fcompilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^failed_fcompilers = set()$/;" v +FAILED_PRECONDITION adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ FAILED_PRECONDITION = (_cygrpc.StatusCode.failed_precondition,$/;" v class:StatusCode +FAILED_PRECONDITION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^FAILED_PRECONDITION = error_codes.FAILED_PRECONDITION$/;" v +FAILED_PRECONDITION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^FAILED_PRECONDITION = 9$/;" v +FAILED_PRECONDITION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^FAILED_PRECONDITION = 9$/;" v +FAILED_PRECONDITION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^FAILED_PRECONDITION = error_codes_pb2.FAILED_PRECONDITION$/;" v +FailingOptimizer adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^class FailingOptimizer(optim.Optimizer):$/;" c +failing_fits adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^failing_fits = [$/;" v +failing_repros adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^ failing_repros = []$/;" v +failing_test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ failing_test_cases = [("mixed", ['A', 3.14]),$/;" v class:TestPlotTypes +failing_test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ failing_test_cases = [("mixed", [3.14, 'A', np.inf]),$/;" v class:TestStrCategoryConverter +failing_test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ failing_test_cases = [("number", 3.14), ("nan", np.nan),$/;" v class:TestUnitData +fails_cmplx adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^fails_cmplx = set(['beta', 'betaprime', 'chi', 'chi2', 'dgamma', 'dweibull',$/;" v +failure adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ failure = lambda msg: (None, msg)$/;" f function:_try_tf_conv file: +FAILURE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ SUCCESS, HEURISTIC_USED, FAILURE = (0, 1, 2)$/;" v class:TraceableObject +fail_if_array_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def fail_if_array_equal(x, y, err_msg='', verbose=True):$/;" f +fail_if_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def fail_if_equal(actual, desired, err_msg='',):$/;" f +fail_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^fail_mapping = ($/;" v +fail_on_fut adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def fail_on_fut(fut):$/;" f +fail_routine adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def fail_routine(self, mes=("Failed to converge")):$/;" m class:SHGO +fail_test adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def fail_test(msg):$/;" f function:gradcheck file: +fail_val adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ fail_val = lambda: fail_condition()$/;" f function:knownfailureif file: +Fake1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ class Fake1:$/;" c member:TestDatacopied.test_datacopied file: +Fake2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ class Fake2:$/;" c member:TestDatacopied.test_datacopied file: +FakeArray adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class FakeArray(object):$/;" c +FakeArray adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class FakeArray(object):$/;" c +FakeArray2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class FakeArray2(object):$/;" c +FakeArray2 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class FakeArray2(object):$/;" c +FakeAxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class FakeAxis:$/;" c +FakeAxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class FakeAxis:$/;" c +FakeClass adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^class FakeClass(object):$/;" c +FakeEagerSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class FakeEagerSession(object):$/;" c +FakeFile adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^class FakeFile(object):$/;" c +fakeFp16FuseOps adpepsenv/lib/python3.8/site-packages/caffe2/python/fakefp16_transform_lib.py /^def fakeFp16FuseOps(net : NetDef) -> NetDef:$/;" f +FakeGradientTape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ class FakeGradientTape:$/;" c function:_fake_gradient_tape_context_manager file: +FakeImage adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ class FakeImage:$/;" c function:getiptcinfo file: +fakelib_c_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^fakelib_c_text = """$/;" v +FakeObject adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^class FakeObject(object):$/;" c +FakeOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ class FakeOp(object):$/;" c member:TPUReplicateContext._EnterOutsideCompilationScope file: +FakeOptimizerForRestoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class FakeOptimizerForRestoration(trackable.Trackable):$/;" c +FakeParam adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^FakeParam = tf_export("raw_ops.FakeParam")(_ops.to_raw_op(fake_param))$/;" v +FakeQuantization8BitsRowwise adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^def FakeQuantization8BitsRowwise(data):$/;" f +FakeQuantize adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^class FakeQuantize(Module):$/;" c +FakeQuantOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FakeQuantOptions = 58$/;" v class:BuiltinOptions +FakeQuantOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FakeQuantOptions(object):$/;" c +FakeQuantOptionsAddMax adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsAddMax(builder, max): builder.PrependFloat32Slot(1, max, 0.0)$/;" f +FakeQuantOptionsAddMin adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsAddMin(builder, min): builder.PrependFloat32Slot(0, min, 0.0)$/;" f +FakeQuantOptionsAddNarrowRange adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsAddNarrowRange(builder, narrowRange): builder.PrependBoolSlot(3, narrowRange/;" f +FakeQuantOptionsAddNumBits adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsAddNumBits(builder, numBits): builder.PrependInt32Slot(2, numBits, 0)$/;" f +FakeQuantOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FakeQuantOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:FakeQuantOptions +FakeQuantOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsEnd(builder): return builder.EndObject()$/;" f +FakeQuantOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FakeQuantOptionsStart(builder): builder.StartObject(4)$/;" f +FakeQuantOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FakeQuantOptionsT(object):$/;" c +FakeQuantWithMinMaxArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxArgs = tf_export("raw_ops.FakeQuantWithMinMaxArgs")(_ops.to_raw_op(fake_quant/;" v +FakeQuantWithMinMaxArgsGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxArgsGradient = tf_export("raw_ops.FakeQuantWithMinMaxArgsGradient")(_ops.to_r/;" v +FakeQuantWithMinMaxVars adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxVars = tf_export("raw_ops.FakeQuantWithMinMaxVars")(_ops.to_raw_op(fake_quant/;" v +FakeQuantWithMinMaxVarsGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxVarsGradient = tf_export("raw_ops.FakeQuantWithMinMaxVarsGradient")(_ops.to_r/;" v +FakeQuantWithMinMaxVarsPerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxVarsPerChannel = tf_export("raw_ops.FakeQuantWithMinMaxVarsPerChannel")(_ops./;" v +FakeQuantWithMinMaxVarsPerChannelGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^FakeQuantWithMinMaxVarsPerChannelGradient = tf_export("raw_ops.FakeQuantWithMinMaxVarsPerChannel/;" v +FakeQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^FakeQueue = tf_export("raw_ops.FakeQueue")(_ops.to_raw_op(fake_queue))$/;" v +FakeRunConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ class FakeRunConfig(run_config.RunConfig):$/;" c member:BaseLinearRegressorPartitionerTest.testDefaultPartitionerWithMultiplePsReplicas file: +FakeSummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^class FakeSummaryWriter(object):$/;" c +fake_new adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def fake_new(self, *args):$/;" m class:FakeClass +fake_param adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def fake_param(dtype, shape, name=None):$/;" f +fake_param_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def fake_param_eager_fallback(dtype, shape, name, ctx):$/;" f +FAKE_QUANT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FAKE_QUANT = 80$/;" v class:BuiltinOperator +fake_quantize_per_tensor_affine adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def fake_quantize_per_tensor_affine(g, inputs, scale, zero_point, quant_min=-128, quant_max=127)/;" f +fake_quant_with_min_max_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_args(inputs, min=-6, max=6, num_bits=8, narrow_range=False, name=Non/;" f +fake_quant_with_min_max_args_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_args_eager_fallback(inputs, min, max, num_bits, narrow_range, name, /;" f +fake_quant_with_min_max_args_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_args_gradient(gradients, inputs, min=-6, max=6, num_bits=8, narrow_r/;" f +fake_quant_with_min_max_args_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_args_gradient_eager_fallback(gradients, inputs, min, max, num_bits, /;" f +fake_quant_with_min_max_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars(inputs, min, max, num_bits=8, narrow_range=False, name=None):$/;" f +fake_quant_with_min_max_vars_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_eager_fallback(inputs, min, max, num_bits, narrow_range, name, /;" f +fake_quant_with_min_max_vars_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_gradient(gradients, inputs, min, max, num_bits=8, narrow_range=/;" f +fake_quant_with_min_max_vars_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_gradient_eager_fallback(gradients, inputs, min, max, num_bits, /;" f +fake_quant_with_min_max_vars_per_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_per_channel(inputs, min, max, num_bits=8, narrow_range=False, n/;" f +fake_quant_with_min_max_vars_per_channel_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_per_channel_eager_fallback(inputs, min, max, num_bits, narrow_r/;" f +fake_quant_with_min_max_vars_per_channel_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_per_channel_gradient(gradients, inputs, min, max, num_bits=8, n/;" f +fake_quant_with_min_max_vars_per_channel_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fake_quant_with_min_max_vars_per_channel_gradient_eager_fallback(gradients, inputs, min, max/;" f +fake_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fake_queue(resource, name=None):$/;" f +fake_queue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fake_queue_eager_fallback(resource, name, ctx):$/;" f +fake_range adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def fake_range():$/;" f +fake_rcb adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def fake_rcb(key):$/;" f function:try_ann_to_type file: +fake_requires_grad adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def fake_requires_grad(var):$/;" f function:once_differentiable.wrapper file: +fallback_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def fallback_repr(self):$/;" m class:DebugReprGenerator +fallback_to_while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def fallback_to_while_loop(self):$/;" m class:PFor +FalseNegatives adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class FalseNegatives(_ConfusionMatrixConditionCount):$/;" c +FalsePositives adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class FalsePositives(_ConfusionMatrixConditionCount):$/;" c +FalseThenTrue adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class FalseThenTrue:$/;" c member:TestNonzero.test_nonzero_sideeffect_safety file: +False_ adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^False_ = bool_(False)$/;" v +false_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ false_branch = lambda: self.moving_mean$/;" f function:BatchNormalizationBase.call.mean_update file: +false_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ false_branch = lambda: self.moving_variance$/;" f function:BatchNormalizationBase.call.variance_update file: +false_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/conditional_expressions.py /^ def false_fn():$/;" f function:_tf_if_exp file: +FALSE_NEGATIVES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ FALSE_NEGATIVES = 'fn'$/;" v class:ConfusionMatrix +false_negatives adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def false_negatives(labels,$/;" f +false_negatives_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def false_negatives_at_thresholds(labels,$/;" f +FALSE_NEGATIVES_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^FALSE_NEGATIVES_INDEX = 3$/;" v +FALSE_POSITIVES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ FALSE_POSITIVES = 'fp'$/;" v class:ConfusionMatrix +false_positives adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def false_positives(labels,$/;" f +false_positives_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def false_positives_at_thresholds(labels,$/;" f +FALSE_POSITIVES_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^FALSE_POSITIVES_INDEX = 1$/;" v +family_escape adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^family_escape = re.compile(r'([%s])' % family_punc).sub$/;" v +family_name adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def family_name(self):$/;" m class:AFM +family_punc adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^family_punc = r'\\\\\\-:,'$/;" v +family_unescape adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^family_unescape = re.compile(r'\\\\([%s])' % family_punc).sub$/;" v +Fancy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Fancy(_Base):$/;" c class:ArrowStyle +FancyArrow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class FancyArrow(Polygon):$/;" c +FancyArrowPatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class FancyArrowPatch(Patch):$/;" c +FancyBboxPatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class FancyBboxPatch(Patch):$/;" c +FancyGetopt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^class FancyGetopt:$/;" c +FancySelection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^class FancySelection(Selection):$/;" c +fancy_getopt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^def fancy_getopt(options, negative_opt, object, args):$/;" f +FanInConcat adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def FanInConcat(axis=-1):$/;" f +FanInSum adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def FanInSum():$/;" f +FanInSum adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^FanInSum = FanInSum()$/;" v +FanOut adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def FanOut(num):$/;" f +FastAppendBFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def FastAppendBFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +fastclip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def fastclip(self, a, m, M, out=None, casting=None):$/;" m class:TestClip +fastCopyAndTranspose adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^ _fastCopyAndTranspose as fastCopyAndTranspose, ALLOW_THREADS,$/;" x +FastGFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^class FastGFile(_FileIO):$/;" c +FastHashSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^FastHashSpec = HasherSpec("fasthash", None) # pylint: disable=invalid-name$/;" v +FASTOCTREE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^FASTOCTREE = 2$/;" v +fast_forward adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ def fast_forward(self, n):$/;" m class:SobolEngine +fatal adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def fatal(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +fatal adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def fatal(msg, *args, **kwargs):$/;" f +FATAL adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^FATAL = converter.ABSL_FATAL$/;" v +fatal adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def fatal(self, msg, *args):$/;" m class:Log +FATAL adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^FATAL = 5$/;" v +fatal adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^fatal = _global_log.fatal$/;" v +fatal adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def fatal(msg, *args, **kwargs):$/;" f +fatal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def fatal(message, *args):$/;" f +FATAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^FATAL = 'FATAL'$/;" v +FatalClientError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class FatalClientError(OAuth2Error):$/;" c +FatalOpenIDClientError adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class FatalOpenIDClientError(FatalClientError):$/;" c +FatArch adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class FatArch(BaseClass):$/;" c function:extract_macosx_min_system_version file: +FatHeader adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class FatHeader(BaseClass):$/;" c function:extract_macosx_min_system_version file: +fatiguelife adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^fatiguelife = fatiguelife_gen(a=0.0, name='fatiguelife')$/;" v +fatiguelife_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class fatiguelife_gen(rv_continuous):$/;" c +fat_arch_64_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^fat_arch_64_fields = [$/;" v +fat_arch_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^fat_arch_fields = [$/;" v +FAT_CIGAM adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^FAT_CIGAM = 0xbebafeca$/;" v +FAT_CIGAM_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^FAT_CIGAM_64 = 0xbfbafeca$/;" v +fat_header_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^fat_header_fields = [("magic", ctypes.c_uint32), ("nfat_arch", ctypes.c_uint32)]$/;" v +FAT_MAGIC adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^FAT_MAGIC = 0xcafebabe$/;" v +FAT_MAGIC_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^FAT_MAGIC_64 = 0xcafebabf$/;" v +faulthandler adpepsenv/lib/python3.8/site-packages/absl/app.py /^ faulthandler = None$/;" v +faulthandler adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ faulthandler = None$/;" v +faulthandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ faulthandler = None$/;" v +FaultyAgentDistAutogradTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^class FaultyAgentDistAutogradTest(RpcAgentTestFixture):$/;" c +FaultyAgentRpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class FaultyAgentRpcTest(RpcAgentTestFixture):$/;" c +FaultyRpcAgentTestFixture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^class FaultyRpcAgentTestFixture(RpcAgentTestFixture):$/;" c +FAULTY_AGENT_TESTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^FAULTY_AGENT_TESTS = [$/;" v +FauxTorch adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^class FauxTorch(object):$/;" c +FavIcon adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ class FavIcon(tornado.web.RequestHandler):$/;" c class:WebAggApplication +FAVORITE_HASH adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^FAVORITE_HASH = 'sha256'$/;" v +fblas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^from scipy.linalg import _fblas as fblas, get_blas_funcs, toeplitz, solve$/;" x +fblas adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^from scipy.linalg import _fblas as fblas$/;" x +FC adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def FC(self, *args, **kwargs):$/;" m class:CNNModelHelper +fc adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def fc(model, *args, **kwargs):$/;" f +FC adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^class FC(SamplingTrainableMixin, ModelLayer):$/;" c +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^from tensorflow.python.feature_column import feature_column_v2 as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^from tensorflow.python.feature_column import feature_column_v2 as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features_v2.py /^from tensorflow.python.feature_column import feature_column_v2 as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^from tensorflow.python.feature_column import feature_column_v2 as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^from tensorflow.python.feature_column import feature_column as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^from tensorflow.python.feature_column import feature_column as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^from tensorflow.python.feature_column import feature_column_lib as fc$/;" x +fc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^from tensorflow.python.feature_column import feature_column_lib as fc$/;" x +fcluster adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def fcluster(Z, t, criterion='inconsistent', depth=2, R=None, monocrit=None):$/;" f +fclusterdata adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def fclusterdata(X, t, criterion='inconsistent',$/;" f +fcluster_distance adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^fcluster_distance = {$/;" v +fcluster_inconsistent adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^fcluster_inconsistent = {$/;" v +fcluster_maxclust adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^fcluster_maxclust = {$/;" v +fcntl adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^ fcntl = None$/;" v +FCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^class FCompiler(CCompiler):$/;" c +fcompiler adpepsenv/lib/python3.8/site-packages/numpy/f2py/diagnose.py /^ import numpy.distutils.fcompiler as fcompiler$/;" I function:run file: +fcompiler adpepsenv/lib/python3.8/site-packages/numpy/f2py/diagnose.py /^ import numpy_distutils.fcompiler as fcompiler$/;" I function:run file: +fcompiler_aliases adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^fcompiler_aliases = None$/;" v +fcompiler_class adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^fcompiler_class = None$/;" v +FCONVERGED adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^FCONVERGED = 1 # Converged (|f_n-f_(n-1)| ~= 0)$/;" v +FcTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^class FcTest(hu.HypothesisTestCase):$/;" c +FCWithBootstrap adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^class FCWithBootstrap(SamplingTrainableMixin, ModelLayer):$/;" c +FCWithoutBias adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_without_bias.py /^class FCWithoutBias(SamplingTrainableMixin, ModelLayer):$/;" c +FC_Decomp adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def FC_Decomp(self, *args, **kwargs):$/;" m class:CNNModelHelper +fc_decomp adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def fc_decomp($/;" f +fc_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ fc_exe = 'fort'$/;" v class:CompaqFCompiler +fc_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ fc_exe = 'DF'$/;" v class:CompaqVisualFCompiler +fc_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^from tensorflow.python.feature_column import feature_column_v2 as fc_lib$/;" x +fc_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^from tensorflow.python.feature_column import feature_column_lib as fc_lib$/;" x +fc_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^from tensorflow.python.feature_column import feature_column_lib as fc_lib$/;" x +fc_net_to_tt_net adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core.py /^def fc_net_to_tt_net(net):$/;" f +fc_old adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^from tensorflow.python.feature_column import feature_column as fc_old$/;" x +fc_old adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^from tensorflow.python.feature_column import feature_column as fc_old$/;" x +fc_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^ def fc_op(X, W, b):$/;" f member:TestFcOperator._run_test file: +FC_Prune adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def FC_Prune(self, *args, **kwargs):$/;" m class:CNNModelHelper +fc_prune adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def fc_prune($/;" f +FC_Sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def FC_Sparse(self, *args, **kwargs):$/;" m class:CNNModelHelper +fc_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def fc_sparse($/;" f +fc_transposed_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^ def fc_transposed_op(X, W, b):$/;" f member:TestFcOperator._run_test file: +fc_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^from tensorflow.python.feature_column import utils as fc_utils$/;" x +fc_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^from tensorflow.python.feature_column import utils as fc_utils$/;" x +fc_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^from tensorflow.python.feature_column import utils as fc_utils$/;" x +fc_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow.python.feature_column import utils as fc_utils$/;" x +fc_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^from tensorflow.python.feature_column import feature_column_v2 as fc_v2$/;" x +fdata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fdata = zip(*test_cases)$/;" v class:TestUnitData +fder adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fder(x):$/;" f function:test_gh8904_zeroder_at_root_fails file: +fder2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fder2(x):$/;" f function:test_gh8904_zeroder_at_root_fails file: +fdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double fdtr(double x0, double x1, double x2) nogil$/;" f +fdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double fdtrc(double x0, double x1, double x2) nogil$/;" f +fdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double fdtri(double x0, double x1, double x2) nogil$/;" f +fdtridfd adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double fdtridfd(double x0, double x1, double x2) nogil$/;" f +fd_id adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def fd_id(fd):$/;" f +FD_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^FD_METHODS = ('2-point', '3-point', 'cs')$/;" v +Feature adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^Feature = _reflection.GeneratedProtocolMessageType('Feature', (_message.Message,), {$/;" v +Feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^class Feature(enum.Enum):$/;" c +FeatureAlphaDropout adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class FeatureAlphaDropout(_DropoutNd):$/;" c +FeatureColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class FeatureColumn(object):$/;" c +FeatureConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class FeatureConfig($/;" c +FeatureConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class FeatureConfig(object):$/;" c +FeatureConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^FeatureConfiguration = _reflection.GeneratedProtocolMessageType('FeatureConfiguration', (_messag/;" v +FeatureList adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^FeatureList = _reflection.GeneratedProtocolMessageType('FeatureList', (_message.Message,), {$/;" v +FeatureLists adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^FeatureLists = _reflection.GeneratedProtocolMessageType('FeatureLists', (_message.Message,), {$/;" v +features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features = ["VSX", "VSX2", "VSX3"]$/;" v class:Test_POWER_Features +features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features = [$/;" v class:Test_ARM_Features +features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features = [$/;" v class:Test_X86_Features +features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features = []$/;" v class:AbstractTest +features adpepsenv/lib/python3.8/site-packages/PIL/features.py /^features = {$/;" v +Features adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7633.py /^class Features(univ.SequenceOf):$/;" c +Features adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^Features = _reflection.GeneratedProtocolMessageType('Features', (_message.Message,), {$/;" v +features1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^features1 = [[],$/;" v +features1_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^features1_exp = [[0],$/;" v +features2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^features2 = [[],$/;" v +features2_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^features2_exp = [[0],$/;" v +FeatureSet adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class FeatureSet(NamedTuple):$/;" c +FeatureSparseToDense adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/feature_sparse_to_dense.py /^class FeatureSparseToDense(ModelLayer):$/;" c +FeatureSpec adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^FeatureSpec = namedtuple($/;" v +features_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def features_and_labels(self):$/;" m class:_Inputs +features_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def features_and_labels(self):$/;" m class:_InputsWithStoppingSignals +features_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_flags = set()$/;" v class:AbstractTest +features_groups adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_groups = dict($/;" v class:Test_ARM_Features +features_groups adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_groups = dict($/;" v class:Test_X86_Features +features_groups adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_groups = {}$/;" v class:AbstractTest +features_map adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_map = dict($/;" v class:Test_X86_Features +features_map adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_map = dict(VSX2="ARCH_2_07", VSX3="ARCH_3_00")$/;" v class:Test_POWER_Features +features_map adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ features_map = {}$/;" v class:AbstractTest +FeatureTransformationCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class FeatureTransformationCache(object):$/;" c +feature_alpha_dropout adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def feature_alpha_dropout(input, p=0.5, training=False, inplace=False):$/;" f +feature_alpha_dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^feature_alpha_dropout = _unsupported_dropout("feature_alpha_dropout")$/;" v +feature_alpha_dropout_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^feature_alpha_dropout_ = feature_alpha_dropout$/;" v +feature_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.feature_column import feature_column_lib as feature_column$/;" x +feature_column_v1 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^from tensorflow.python.feature_column import feature_column as feature_column_v1$/;" x +feature_dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def feature_dropout(g, input, p, train):$/;" f function:_unsupported_dropout file: +feature_dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^feature_dropout = _unsupported_dropout("feature_dropout")$/;" v +feature_dropout_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^feature_dropout_ = feature_dropout$/;" v +feature_indices adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def feature_indices(self):$/;" m class:_SparseFeatureColumn +feature_to_config_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def feature_to_config_dict(self):$/;" m class:TPUEmbedding +FEATURE_TRANSFORM adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ FEATURE_TRANSFORM = 'feature_transform'$/;" v class:Tags +FEATURE_TRANSFORM_SCHEMA adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ FEATURE_TRANSFORM_SCHEMA = 'feature_transform_schema'$/;" v class:Tags +feature_values adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def feature_values(self):$/;" m class:_SparseFeatureColumn +fedit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def fedit(data, title="", comment="", icon=None, parent=None, apply=None):$/;" f +feed adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def feed(b, v):$/;" f function:FeedRecord file: +feed adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def feed(self, char, char_len):$/;" m class:CharDistributionAnalysis +feed adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def feed(self, byte_str):$/;" m class:CharSetGroupProber +feed adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def feed(self, buf):$/;" m class:CharSetProber +feed adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def feed(self, byte_str):$/;" m class:EscCharSetProber +feed adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def feed(self, byte_str):$/;" m class:EUCJPProber +feed adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def feed(self, byte_str):$/;" m class:HebrewProber +feed adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def feed(self, byte_str, num_bytes):$/;" m class:JapaneseContextAnalysis +feed adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def feed(self, byte_str):$/;" m class:Latin1Prober +feed adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def feed(self, byte_str):$/;" m class:MultiByteCharSetProber +feed adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def feed(self, byte_str):$/;" m class:SingleByteCharSetProber +feed adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def feed(self, byte_str):$/;" m class:SJISProber +feed adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ def feed(self, byte_str):$/;" m class:UniversalDetector +feed adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def feed(self, byte_str):$/;" m class:UTF8Prober +feed adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def feed(self, data):$/;" m class:Parser +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def feed(self, char, char_len):$/;" m class:CharDistributionAnalysis +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def feed(self, byte_str):$/;" m class:CharSetGroupProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def feed(self, buf):$/;" m class:CharSetProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def feed(self, byte_str):$/;" m class:EscCharSetProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def feed(self, byte_str):$/;" m class:EUCJPProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def feed(self, byte_str):$/;" m class:HebrewProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def feed(self, byte_str, num_bytes):$/;" m class:JapaneseContextAnalysis +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def feed(self, byte_str):$/;" m class:Latin1Prober +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def feed(self, byte_str):$/;" m class:MultiByteCharSetProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def feed(self, byte_str):$/;" m class:SingleByteCharSetProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def feed(self, byte_str):$/;" m class:SJISProber +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ def feed(self, byte_str):$/;" m class:UniversalDetector +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def feed(self, byte_str):$/;" m class:UTF8Prober +feed adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def feed(self, next_bytes):$/;" m class:Unpacker +feed adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def feed(self, block):$/;" m class:ContentChecker +feed adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def feed(self, block):$/;" m class:HashChecker +Feed adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^Feed = _reflection.GeneratedProtocolMessageType('Feed', (_message.Message,), {$/;" v +feedable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def feedable(self):$/;" m class:_TrainingTarget +FeedBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FeedBlob(name, arr, device_option=None):$/;" f +FeedFnHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class FeedFnHook(session_run_hook.SessionRunHook):$/;" c +FeedImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FeedImmediate(*args, **kwargs):$/;" f +FeedRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def FeedRecord(blob_record, arrays, ws=None):$/;" f +feed_dict_additions adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def feed_dict_additions(self):$/;" m class:PythonStateSaveable +feed_dict_additions adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def feed_dict_additions(self):$/;" m class:PythonStringStateSaveable +feed_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def feed_hook(self):$/;" m class:_InternalTPUContext +FEED_KEYS_INFO_FILE_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^FEED_KEYS_INFO_FILE_TAG = "feed_keys_info_"$/;" v +feed_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def feed_output_shape(self):$/;" m class:_TrainingEndpoint +feed_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def feed_variables(scaffold, session):$/;" f member:InMemoryEvaluatorHook._evaluate file: +femto adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^femto = 1e-15$/;" v +FEM_CHIPS_PER_STRIPE_X adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ FEM_CHIPS_PER_STRIPE_X = 8$/;" v class:ExcaliburData +FEM_CHIPS_PER_STRIPE_X adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ FEM_CHIPS_PER_STRIPE_X = 8$/;" v class:ExcaliburData +FEM_CHIPS_PER_STRIPE_Y adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ FEM_CHIPS_PER_STRIPE_Y = 1$/;" v class:ExcaliburData +FEM_CHIPS_PER_STRIPE_Y adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ FEM_CHIPS_PER_STRIPE_Y = 1$/;" v class:ExcaliburData +FEM_PIXELS_PER_CHIP_X adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ FEM_PIXELS_PER_CHIP_X = 256$/;" v class:ExcaliburData +FEM_PIXELS_PER_CHIP_X adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ FEM_PIXELS_PER_CHIP_X = 256$/;" v class:ExcaliburData +FEM_PIXELS_PER_CHIP_Y adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ FEM_PIXELS_PER_CHIP_Y = 256$/;" v class:ExcaliburData +FEM_PIXELS_PER_CHIP_Y adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ FEM_PIXELS_PER_CHIP_Y = 256$/;" v class:ExcaliburData +FEM_STRIPES_PER_MODULE adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ FEM_STRIPES_PER_MODULE = 2$/;" v class:ExcaliburData +FEM_STRIPES_PER_MODULE adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ FEM_STRIPES_PER_MODULE = 2$/;" v class:ExcaliburData +fem_stripe_dimensions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def fem_stripe_dimensions(self):$/;" m class:ExcaliburData +fem_stripe_dimensions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def fem_stripe_dimensions(self):$/;" m class:ExcaliburData +FencedBlockPreprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^class FencedBlockPreprocessor(Preprocessor):$/;" c +FencedCodeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^class FencedCodeExtension(Extension):$/;" c +FENCED_BLOCK_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ FENCED_BLOCK_RE = re.compile($/;" v class:FencedBlockPreprocessor +feps adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^feps = numpy.finfo(single).eps$/;" v +feps adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^feps = np.finfo(single).eps$/;" v +feqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def feqcon(x, b=1):$/;" f +fermi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^fermi = 1e-15$/;" v +fetch adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def fetch(v):$/;" f function:FetchRecord file: +fetch adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def fetch(self):$/;" m class:TaskOutput +fetch adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def fetch(self, requirement, tmpdir, force_scan=False, source=False):$/;" m class:PackageIndex +Fetch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^Fetch = _reflection.GeneratedProtocolMessageType('Fetch', (_message.Message,), {$/;" v +fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def fetch(self):$/;" m class:RemoteValue +fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def fetch(self):$/;" m class:RemoteValueImpl +fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def fetch(self, val):$/;" m class:ClusterCoordinator +fetch adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def fetch(self, possibly_batched_index):$/;" m class:_BaseDatasetFetcher +fetch adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def fetch(self, possibly_batched_index):$/;" m class:_IterableDatasetFetcher +fetch adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def fetch(self, possibly_batched_index):$/;" m class:_MapDatasetFetcher +fetch adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def fetch():$/;" m class:ThreadedStream +FetchBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchBlob(name):$/;" f +FetchBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchBlobs(names):$/;" f +fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def fetches(self):$/;" m class:_FetchHandler +FETCHES_INFO_FILE_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^FETCHES_INFO_FILE_TAG = "fetches_info_"$/;" v +FetchImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchImmediate(*args, **kwargs):$/;" f +FetchInt8Blob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchInt8Blob(name):$/;" f +FetchInt8BlobRealVal adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchInt8BlobRealVal(name):$/;" f +FetchRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def FetchRecord(blob_record, ws=None, throw_on_type_mismatch=False):$/;" f +FetchTorch adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FetchTorch(name):$/;" f +fetch_access_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def fetch_access_token(self, url, verifier=None, **request_kwargs):$/;" m class:OAuth1Session +fetch_alias adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_alias(self):$/;" m class:Scanner +fetch_anchor adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_anchor(self):$/;" m class:Scanner +fetch_block_entry adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_block_entry(self):$/;" m class:Scanner +fetch_block_scalar adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_block_scalar(self, style):$/;" m class:Scanner +fetch_build_egg adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def fetch_build_egg(self, req):$/;" m class:Distribution +fetch_build_egg adpepsenv/lib/python3.8/site-packages/setuptools/installer.py /^def fetch_build_egg(dist, req):$/;" f +fetch_build_eggs adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def fetch_build_eggs(self, specifiers):$/;" m class:Distribution +fetch_build_eggs adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def fetch_build_eggs(self, requires):$/;" m class:Distribution +fetch_directive adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_directive(self):$/;" m class:Scanner +fetch_distribution adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def fetch_distribution($/;" m class:PackageIndex +fetch_document_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_document_end(self):$/;" m class:Scanner +fetch_document_indicator adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_document_indicator(self, TokenClass):$/;" m class:Scanner +fetch_document_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_document_start(self):$/;" m class:Scanner +fetch_double adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_double(self):$/;" m class:Scanner +fetch_flow_collection_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_collection_end(self, TokenClass):$/;" m class:Scanner +fetch_flow_collection_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_collection_start(self, TokenClass):$/;" m class:Scanner +fetch_flow_entry adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_entry(self):$/;" m class:Scanner +fetch_flow_mapping_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_mapping_end(self):$/;" m class:Scanner +fetch_flow_mapping_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_mapping_start(self):$/;" m class:Scanner +fetch_flow_scalar adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_scalar(self, style):$/;" m class:Scanner +fetch_flow_sequence_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_sequence_end(self):$/;" m class:Scanner +fetch_flow_sequence_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_flow_sequence_start(self):$/;" m class:Scanner +fetch_folded adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_folded(self):$/;" m class:Scanner +fetch_id_token adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^def fetch_id_token(request, audience):$/;" f +fetch_id_token adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^async def fetch_id_token(request, audience):$/;" f +fetch_key adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_key(self):$/;" m class:Scanner +fetch_literal adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_literal(self):$/;" m class:Scanner +fetch_more_tokens adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_more_tokens(self):$/;" m class:Scanner +fetch_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def fetch_new(self, dest, url, rev_options):$/;" m class:Bazaar +fetch_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def fetch_new(self, dest, url, rev_options):$/;" m class:Git +fetch_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def fetch_new(self, dest, url, rev_options):$/;" m class:Mercurial +fetch_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def fetch_new(self, dest, url, rev_options):$/;" m class:Subversion +fetch_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def fetch_new(self, dest, url, rev_options):$/;" m class:VersionControl +fetch_output adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def fetch_output(self, output):$/;" m class:Session +fetch_page adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def fetch_page(self, location):$/;" m class:LinkCollector +fetch_plain adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_plain(self):$/;" m class:Scanner +fetch_request_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def fetch_request_token(self, url, realm=None, **request_kwargs):$/;" m class:OAuth1Session +fetch_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def fetch_server_info(origin, upload_plugins):$/;" f +fetch_single adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_single(self):$/;" m class:Scanner +fetch_stream_end adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_stream_end(self):$/;" m class:Scanner +fetch_stream_start adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_stream_start(self):$/;" m class:Scanner +fetch_tag adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_tag(self):$/;" m class:Scanner +fetch_token adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def fetch_token(self, **kwargs):$/;" m class:Flow +fetch_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def fetch_token($/;" m class:OAuth2Session +fetch_total adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def fetch_total(session):$/;" f member:TestCheckpoint.run_with file: +fetch_total adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def fetch_total(session):$/;" f member:TestCheckpoint.test_reuse_checkpoint_manager file: +fetch_value adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def fetch_value(self):$/;" m class:Scanner +fev adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def fev(x):$/;" f function:test_hyp2f1_some_points_2 file: +ffi adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^ffi = cffi.FFI()$/;" v +ffi adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^ffi = FFI()$/;" v +FFI adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ FFI = ffi = deferred_error(ex)$/;" v +ffi adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ ffi = FFI()$/;" v +ffi adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ffi = None$/;" v +FFMpegBase adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class FFMpegBase:$/;" c +FFMpegFileWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class FFMpegFileWriter(FFMpegBase, FileMovieWriter):$/;" c +FFMpegWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class FFMpegWriter(FFMpegBase, MovieWriter):$/;" c +fft adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def fft(cls, harness):$/;" m class:Jax2TfLimitation +fft adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft(x, fft_type, fft_lengths):$/;" f +fft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def fft(a, n=None, axis=-1, norm=None):$/;" f +fft adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^fft = fftpkg.fft$/;" v +fft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def fft(a, n=None, axis=-1, norm=None):$/;" f +fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^fft = _MockFunction(np.random.random(10))$/;" v +fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^import scipy.fft as fft$/;" I +fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^import scipy.fft as fft$/;" I +fft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def fft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +fft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^fft = functools.partial(c2c, True)$/;" v +fft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def fft(x, n=None, axis=-1, overwrite_x=False):$/;" f +FFT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^FFT = 0$/;" v +fft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft(input, name=None):$/;" f +FFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^FFT = tf_export("raw_ops.FFT")(_ops.to_raw_op(fft))$/;" v +fft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^fft = gen_spectral_ops.fft$/;" v +fft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^fft = _add_docstr(_fft.fft_fft, r"""$/;" v +fft1 adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^def fft1(x):$/;" f +fft1 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^def fft1(x):$/;" f +fft2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def fft2(a, s=None, axes=(-2,-1), norm=None):$/;" f +fft2 adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^fft2 = fftpkg.fft2$/;" v +fft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def fft2(a, s=None, axes=(-2, -1), norm=None):$/;" f +fft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^fft2 = _MockFunction(np.random.random(10))$/;" v +fft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def fft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +fft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def fft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +fft2 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def fft2(x, shape=None, axes=(-2,-1), overwrite_x=False):$/;" f +fft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft2d(input, name=None):$/;" f +FFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^FFT2D = tf_export("raw_ops.FFT2D")(_ops.to_raw_op(fft2d))$/;" v +fft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^fft2d = gen_spectral_ops.fft2d$/;" v +fft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft2d_eager_fallback(input, name, ctx):$/;" f +fft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft3d(input, name=None):$/;" f +FFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^FFT3D = tf_export("raw_ops.FFT3D")(_ops.to_raw_op(fft3d))$/;" v +fft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^fft3d = gen_spectral_ops.fft3d$/;" v +fft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft3d_eager_fallback(input, name, ctx):$/;" f +fftconvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def fftconvolve(in1, in2, mode="full", axes=None):$/;" f +fftconvolve_err adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def fftconvolve_err(*args, **kwargs):$/;" f +fftfreq adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def fftfreq(n, d=1.0):$/;" f +fftfreq adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^def fftfreq(n, d=1.0):$/;" f +fftn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def fftn(a, s=None, axes=None, norm=None):$/;" f +fftn adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^fftn = fftpkg.fftn$/;" v +fftn adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def fftn(a, s=None, axes=None, norm=None):$/;" f +fftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^fftn = _MockFunction(np.random.random(10))$/;" v +fftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def fftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +fftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^fftn = functools.partial(c2cn, True)$/;" v +fftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def fftn(x, shape=None, axes=None, overwrite_x=False):$/;" f +fftn adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^fftn = _add_docstr(_fft.fft_fftn, r"""$/;" v +fftpack_test_dir adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^fftpack_test_dir = join(dirname(__file__), '..', '..', '..', 'fftpack', 'tests')$/;" v +fftpkg adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^import numpy.fft as fftpkg$/;" I +fftshape_iter adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def fftshape_iter(shp):$/;" f member:TestOverwrite._check_nd_one file: +fftshape_iter adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def fftshape_iter(shp):$/;" f member:TestOverwrite._check_nd_one file: +fftshift adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def fftshift(x, axes=None):$/;" f +fftshift adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^def fftshift(x, axes=None):$/;" f +fftshift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def fftshift(x, axes=None, name=None):$/;" f +fftsizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ fftsizes = [8, 16, 32]$/;" v class:TestOverwrite +fftsizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ fftsizes = [8, 16, 32]$/;" v class:TestOverwrite +FftType adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft.py /^FftType = xla_client.FftType$/;" v +FftType adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def FftType(self):$/;" m class:PocketFftDescriptor +FftType adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^FftType = _xla.FftType$/;" v +FftType adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^FftType = enum_type_wrapper.EnumTypeWrapper(_FFTTYPE)$/;" v +fftw2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class fftw2_info(fftw_info):$/;" c +fftw3_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class fftw3_info(fftw_info):$/;" c +FFTWDATA_COUNT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^FFTWDATA_COUNT = 14$/;" v +FFTWDATA_DOUBLE adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^FFTWDATA_DOUBLE = np.load(join(dirname(__file__), 'fftw_double_ref.npz'))$/;" v +FFTWDATA_SINGLE adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^FFTWDATA_SINGLE = np.load(join(dirname(__file__), 'fftw_single_ref.npz'))$/;" v +fftwdata_size adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def fftwdata_size(request):$/;" f +FFTWDATA_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^FFTWDATA_SIZES = FFTWDATA_DOUBLE['sizes']$/;" v +FFTWNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class FFTWNotFoundError(NotFoundError):$/;" c +fftw_dct_ref adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def fftw_dct_ref(type, size, dt):$/;" f +fftw_dct_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def fftw_dct_ref(type, size, dt):$/;" f +fftw_dst_ref adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def fftw_dst_ref(type, size, dt):$/;" f +fftw_dst_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def fftw_dst_ref(type, size, dt):$/;" f +fftw_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class fftw_info(system_info):$/;" c +fftw_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class fftw_threads_info(fftw_info):$/;" c +fft_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft_abstract_eval(x, fft_type, fft_lengths):$/;" f +fft_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft_batching_rule(batched_args, batch_dims, fft_type, fft_lengths):$/;" f +fft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def fft_eager_fallback(input, name, ctx):$/;" f +fft_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft_impl(x, fft_type, fft_lengths):$/;" f +fft_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class fft_opt_info(system_info):$/;" c +fft_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^fft_p = Primitive('fft')$/;" v +fft_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft_translation_rule(c, x, fft_type, fft_lengths):$/;" f +fft_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def fft_transpose_rule(t, operand, fft_type, fft_lengths):$/;" f +fg1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg1(self, x, a=100.0):$/;" m class:TestTnc +fg3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg3(self, x):$/;" m class:TestTnc +fg38 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg38(self, x):$/;" m class:TestTnc +fg4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg4(self, x):$/;" m class:TestTnc +fg45 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg45(self, x):$/;" m class:TestTnc +fg5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def fg5(self, x):$/;" m class:TestTnc +fget adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def fget(self):$/;" f member:FCompiler._command_property file: +fget adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def fget(self):$/;" f member:ContentRange._callback_property file: +fget adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def fget(self):$/;" f member:WWWAuthenticate._set_property file: +fget adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def fget(self):$/;" f member:CommonResponseDescriptorsMixin._set_property file: +fgetdims1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^fgetdims1 = """\\$/;" v +fgetdims2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^fgetdims2 = """\\$/;" v +fgetdims2_sa adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^fgetdims2_sa = """\\$/;" v +fg_allclose adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def fg_allclose(x, y):$/;" f member:TestScalarFunction.test_fun_and_grad file: +fid adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def fid(self):$/;" m class:File +fids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fdata = zip(*test_cases)$/;" v class:TestUnitData +fids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fvalues = zip(*failing_test_cases)$/;" v class:TestPlotTypes +fids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fvalues = zip(*failing_test_cases)$/;" v class:TestStrCategoryConverter +fiedler adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def fiedler(a):$/;" f +fiedler_companion adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def fiedler_companion(a):$/;" f +Field adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class Field(object):$/;" c +Field adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^Field = _reflection.GeneratedProtocolMessageType('Field', (_message.Message,), {$/;" v +field adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def field(self, attr, val=None):$/;" m class:recarray +field adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^field = re.compile(r"^%[%!\\w]([^:]*)[ \\t]*$")$/;" v +field adpepsenv/lib/python3.8/site-packages/PIL/ImtImagePlugin.py /^field = re.compile(br"([a-z]*) ([^ \\r\\n]*)")$/;" v +field adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ def field(self):$/;" m class:IptcImageFile +field adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def field(self):$/;" m class:MMFile +FieldDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class FieldDescriptor(DescriptorBase):$/;" c +FieldDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^FieldDescriptorProto = _reflection.GeneratedProtocolMessageType('FieldDescriptorProto', (_messag/;" v +FieldElement adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class FieldElement(univ.OctetString):$/;" c +FieldFn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ FieldFn = Callable[[FieldValue], FieldValue]$/;" v class:StructuredTensor +FieldID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class FieldID(univ.Sequence):$/;" c +FieldMask adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^FieldMask = _reflection.GeneratedProtocolMessageType('FieldMask', (_message.Message,), {$/;" v +FieldMask adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class FieldMask(object):$/;" c +FieldName adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ FieldName = Union[str, Sequence[str]]$/;" v class:StructuredTensor +FieldOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^FieldOptions = _reflection.GeneratedProtocolMessageType('FieldOptions', (_message.Message,), {$/;" v +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:_FixedSizer.SpecificSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:_ModifiedSizer.SpecificSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:_SimpleSizer.SpecificSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:BytesSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:GroupSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:MessageSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:StringSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(map_value):$/;" f function:MapSizer file: +FieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def FieldSize(value):$/;" f function:MessageSetItemSizer file: +fieldSizes adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ fieldSizes = [$/;" v class:AppendingTiffWriter +fields_by_camelcase_name adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def fields_by_camelcase_name(self):$/;" m class:Descriptor +FieldValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ FieldValue = Union[ops.Tensor, ragged_tensor.RaggedTensor, 'StructuredTensor']$/;" v class:StructuredTensor +field_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_blobs(self):$/;" m class:Field +field_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_blobs(self):$/;" m class:List +field_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_blobs(self):$/;" m class:ListWithEvicted +field_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_blobs(self):$/;" m class:Scalar +field_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_blobs(self):$/;" m class:Struct +FIELD_COMPLEX adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_COMPLEX = 'complex'$/;" v class:MMFile +FIELD_INTEGER adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_INTEGER = 'integer'$/;" v class:MMFile +field_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_metadata(self):$/;" m class:Field +field_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_metadata(self):$/;" m class:List +field_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_metadata(self):$/;" m class:ListWithEvicted +field_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_metadata(self):$/;" m class:Scalar +field_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_metadata(self):$/;" m class:Struct +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def field_names(self):$/;" m class:Dataset +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_names(self):$/;" m class:Field +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_names(self):$/;" m class:List +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_names(self):$/;" m class:ListWithEvicted +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_names(self):$/;" m class:Scalar +field_names adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_names(self):$/;" m class:Struct +field_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def field_names(self):$/;" m class:StructuredTensor +field_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs.py /^ def field_name_suffix(self):$/;" m class:ComputeHistogramForBlobs +field_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs.py /^ def field_name_suffix(self):$/;" m class:ComputeNormForBlobs +field_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs.py /^ def field_name_suffix(self):$/;" m class:ComputeStatisticsForBlobs +field_name_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs.py /^ def field_name_suffix(self):$/;" m class:GetEntryFromBlobs +field_number adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def field_number(self):$/;" m class:UnknownFieldRef +FIELD_PATTERN adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_PATTERN = 'pattern'$/;" v class:MMFile +FIELD_REAL adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_REAL = 'real'$/;" v class:MMFile +FIELD_SEPARATOR adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^FIELD_SEPARATOR = ':'$/;" v +field_setter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def field_setter(self, new_value):$/;" f function:_AddPropertiesForNonRepeatedScalarField file: +field_type adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_type(self):$/;" m class:Scalar +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def field_types(self):$/;" m class:Dataset +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_types(self):$/;" m class:Field +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_types(self):$/;" m class:List +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_types(self):$/;" m class:ListWithEvicted +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_types(self):$/;" m class:Scalar +field_types adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def field_types(self):$/;" m class:Struct +FIELD_TYPE_TO_WIRE_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^FIELD_TYPE_TO_WIRE_TYPE = {$/;" v +FIELD_UNSIGNED adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_UNSIGNED = 'unsigned-integer'$/;" v class:MMFile +field_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def field_value(self, field_name):$/;" m class:StructuredTensor +FIELD_VALUES adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FIELD_VALUES = (FIELD_INTEGER, FIELD_UNSIGNED, FIELD_REAL, FIELD_COMPLEX, FIELD_PATTERN)$/;" v class:MMFile +fieqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def fieqcon(x, c=10):$/;" f +FIFOCache adpepsenv/lib/python3.8/site-packages/cachetools/fifo.py /^class FIFOCache(Cache):$/;" c +FIFOQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class FIFOQueue(QueueBase):$/;" c +FIFOQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^FIFOQueue = tf_export("raw_ops.FIFOQueue")(_ops.to_raw_op(fifo_queue))$/;" v +FIFOQueueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^FIFOQueueV2 = tf_export("raw_ops.FIFOQueueV2")(_ops.to_raw_op(fifo_queue_v2))$/;" v +FIFOTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^FIFOTYPE = b"6" # fifo special device$/;" v +fifo_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def fifo_cache(maxsize=128, typed=False):$/;" f +fifo_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fifo_queue(component_types, shapes=[], capacity=-1, container="", shared_name="", name=None)/;" f +fifo_queue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fifo_queue_eager_fallback(component_types, shapes, capacity, container, shared_name, name, c/;" f +fifo_queue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fifo_queue_v2(component_types, shapes=[], capacity=-1, container="", shared_name="", name=No/;" f +fifo_queue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def fifo_queue_v2_eager_fallback(component_types, shapes, capacity, container, shared_name, name/;" f +figaspect adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^def figaspect(arg):$/;" f +figimage adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def figimage(self, X, xo=0, yo=0, alpha=None, norm=None, cmap=None,$/;" m class:Figure +figimage adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def figimage($/;" f +figlegend adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def figlegend(*args, **kwargs):$/;" f +fignum_exists adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def fignum_exists(num):$/;" f +figs adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ figs = OrderedDict()$/;" v class:Gcf +figtext adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def figtext(x, y, s, fontdict=None, **kwargs):$/;" f +figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def figure(self):$/;" m class:ToolManager +figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def figure(self, figure):$/;" m class:ToolManager +figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def figure(self):$/;" m class:ToolBase +figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def figure(self, figure):$/;" m class:ToolBase +Figure adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^class Figure(Artist):$/;" c +figure adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def figure(num=None, # autoincrement if None, else integer from 1-N$/;" f +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ FigureCanvas = FigureCanvasAgg$/;" v class:_BackendAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ FigureCanvas = FigureCanvasCairo$/;" v class:_BackendCairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ FigureCanvas = FigureCanvasGTK3$/;" v class:_BackendGTK3 +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ FigureCanvas = FigureCanvasGTK3Agg$/;" v class:_BackendGTK3Cairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^ FigureCanvas = FigureCanvasGTK3Cairo$/;" v class:_BackendGTK3Cairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ FigureCanvas = FigureCanvasMac$/;" v class:_BackendMac +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ FigureCanvas = FigureCanvasNbAgg$/;" v class:_BackendNbAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ FigureCanvas = FigureCanvasPdf$/;" v class:_BackendPdf +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ FigureCanvas = FigureCanvasPgf$/;" v class:_BackendPgf +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ FigureCanvas = FigureCanvasPS$/;" v class:_BackendPS +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4.py /^ class FigureCanvas(FigureCanvasQT):$/;" c class:_BackendQT4 +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4agg.py /^ class FigureCanvas(FigureCanvasQTAgg):$/;" c class:_BackendQT4Agg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4cairo.py /^ class FigureCanvas(FigureCanvasQTCairo):$/;" c class:_BackendQT4Cairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ FigureCanvas = FigureCanvasQT$/;" v class:_BackendQT5 +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^ FigureCanvas = FigureCanvasQTAgg$/;" v class:_BackendQT5Agg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^ FigureCanvas = FigureCanvasQTCairo$/;" v class:_BackendQT5Cairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ FigureCanvas = FigureCanvasSVG$/;" v class:_BackendSVG +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^FigureCanvas = FigureCanvasTemplate$/;" v +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkagg.py /^ FigureCanvas = FigureCanvasTkAgg$/;" v class:_BackendTkAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkcairo.py /^ FigureCanvas = FigureCanvasTkCairo$/;" v class:_BackendTkCairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ FigureCanvas = FigureCanvasWebAgg$/;" v class:_BackendWebAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ FigureCanvas = FigureCanvasWebAggCore$/;" v class:_BackendWebAggCoreAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ FigureCanvas = FigureCanvasWx$/;" v class:_BackendWx +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ FigureCanvas = FigureCanvasWxAgg$/;" v class:_BackendWxAgg +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ FigureCanvas = FigureCanvasWxCairo$/;" v class:_BackendWxCairo +FigureCanvas adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ FigureCanvas = None$/;" v class:_Backend +FigureCanvasAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^class FigureCanvasAgg(FigureCanvasBase):$/;" c +FigureCanvasBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class FigureCanvasBase:$/;" c +FigureCanvasCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^class FigureCanvasCairo(FigureCanvasBase):$/;" c +FigureCanvasGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class FigureCanvasGTK3(Gtk.DrawingArea, FigureCanvasBase):$/;" c +FigureCanvasGTK3Agg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^class FigureCanvasGTK3Agg(backend_gtk3.FigureCanvasGTK3,$/;" c +FigureCanvasGTK3Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^class FigureCanvasGTK3Cairo(backend_gtk3.FigureCanvasGTK3,$/;" c +FigureCanvasMac adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^class FigureCanvasMac(_macosx.FigureCanvas, FigureCanvasAgg):$/;" c +FigureCanvasNbAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^class FigureCanvasNbAgg(FigureCanvasWebAggCore):$/;" c +FigureCanvasPdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class FigureCanvasPdf(FigureCanvasBase):$/;" c +FigureCanvasPgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class FigureCanvasPgf(FigureCanvasBase):$/;" c +FigureCanvasPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class FigureCanvasPS(FigureCanvasBase):$/;" c +FigureCanvasQT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class FigureCanvasQT(QtWidgets.QWidget, FigureCanvasBase):$/;" c +FigureCanvasQTAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^class FigureCanvasQTAgg(FigureCanvasAgg, FigureCanvasQT):$/;" c +FigureCanvasQTCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^class FigureCanvasQTCairo(FigureCanvasQT, FigureCanvasCairo):$/;" c +FigureCanvasSVG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^class FigureCanvasSVG(FigureCanvasBase):$/;" c +FigureCanvasTemplate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^class FigureCanvasTemplate(FigureCanvasBase):$/;" c +FigureCanvasTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class FigureCanvasTk(FigureCanvasBase):$/;" c +FigureCanvasTkAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkagg.py /^class FigureCanvasTkAgg(FigureCanvasAgg, FigureCanvasTk):$/;" c +FigureCanvasTkCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkcairo.py /^class FigureCanvasTkCairo(FigureCanvasCairo, FigureCanvasTk):$/;" c +FigureCanvasWebAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^class FigureCanvasWebAgg(core.FigureCanvasWebAggCore):$/;" c +FigureCanvasWebAggCore adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^class FigureCanvasWebAggCore(backend_agg.FigureCanvasAgg):$/;" c +FigureCanvasWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class FigureCanvasWx(_FigureCanvasWxBase):$/;" c +FigureCanvasWxAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^class FigureCanvasWxAgg(FigureCanvasAgg, _FigureCanvasWxBase):$/;" c +FigureCanvasWxCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^class FigureCanvasWxCairo(_FigureCanvasWxBase, FigureCanvasCairo):$/;" c +FigureFrameWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class FigureFrameWx(wx.Frame):$/;" c +FigureFrameWxAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^class FigureFrameWxAgg(FigureFrameWx):$/;" c +FigureFrameWxCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^class FigureFrameWxCairo(FigureFrameWx):$/;" c +FigureImage adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^class FigureImage(_ImageBase):$/;" c +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ FigureManager = FigureManagerBase$/;" v class:_BackendAgg +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ FigureManager = FigureManagerBase$/;" v class:_BackendCairo +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ FigureManager = FigureManagerGTK3$/;" v class:_BackendGTK3 +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ FigureManager = FigureManagerGTK3Agg$/;" v class:_BackendGTK3Cairo +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ FigureManager = FigureManagerMac$/;" v class:_BackendMac +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ FigureManager = FigureManagerNbAgg$/;" v class:_BackendNbAgg +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ FigureManager = FigureManagerQT$/;" v class:_BackendQT5 +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^FigureManager = FigureManagerTemplate$/;" v +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ FigureManager = core.FigureManagerWebAgg$/;" v class:_BackendWebAgg +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ FigureManager = FigureManagerWebAgg$/;" v class:_BackendWebAggCoreAgg +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ FigureManager = FigureManagerWx$/;" v class:_BackendWx +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ FigureManager = FigureManagerTk$/;" v class:_BackendTk +FigureManager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ FigureManager = FigureManagerBase$/;" v class:_Backend +FigureManagerBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class FigureManagerBase:$/;" c +FigureManagerGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class FigureManagerGTK3(FigureManagerBase):$/;" c +FigureManagerGTK3Agg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^class FigureManagerGTK3Agg(backend_gtk3.FigureManagerGTK3):$/;" c +FigureManagerMac adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^class FigureManagerMac(_macosx.FigureManager, FigureManagerBase):$/;" c +FigureManagerNbAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^class FigureManagerNbAgg(FigureManagerWebAgg):$/;" c +FigureManagerPdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^FigureManagerPdf = FigureManagerBase$/;" v +FigureManagerPgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^FigureManagerPgf = FigureManagerBase$/;" v +FigureManagerPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^FigureManagerPS = FigureManagerBase$/;" v +FigureManagerQT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class FigureManagerQT(FigureManagerBase):$/;" c +FigureManagerSVG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^FigureManagerSVG = FigureManagerBase$/;" v +FigureManagerTemplate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^class FigureManagerTemplate(FigureManagerBase):$/;" c +FigureManagerTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class FigureManagerTk(FigureManagerBase):$/;" c +FigureManagerWebAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^class FigureManagerWebAgg(backend_bases.FigureManagerBase):$/;" c +FigureManagerWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class FigureManagerWx(FigureManagerBase):$/;" c +figureoptions adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^import matplotlib.backends.qt_editor.figureoptions as figureoptions$/;" I +figure_edit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^def figure_edit(axes, parent=None):$/;" f +figure_to_image adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^def figure_to_image(figures, close=True):$/;" f +Fil adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Fil(Glue):$/;" c +file adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def file(self):$/;" m class:HLObject +File adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^class File(Group):$/;" c +File adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class File [$/;" c +file adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ file = sys.stdout$/;" v class:BaseDownloadProgressBar +file adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ file = sys.stdout$/;" v class:DownloadProgressSpinner +File adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ class File(Protocol):$/;" c +File adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^class File(object):$/;" c +file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^ def file(self):$/;" m class:NamedTemporaryFileResult +file adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ file = stderr$/;" v class:Infinite +FILE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ FILE = 1$/;" v class:AutoShardPolicy +FileAndHash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^class FileAndHash(univ.Sequence):$/;" c +FileBaton adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^class FileBaton:$/;" c +FileCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^class FileCache(BaseCache):$/;" c +FileCheck adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^FileCheck = torch._C.FileCheck$/;" v +filecmp adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def filecmp(filename_a, filename_b):$/;" f +FileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class FileDescriptor(DescriptorBase):$/;" c +FileDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^FileDescriptorProto = _reflection.GeneratedProtocolMessageType('FileDescriptorProto', (_message./;" v +FileDescriptorSet adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^FileDescriptorSet = _reflection.GeneratedProtocolMessageType('FileDescriptorSet', (_message.Mess/;" v +FileExistsError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ FileExistsError = OSError$/;" v +FileExistsError adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ FileExistsError = OSError$/;" v +FileExistsError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ FileExistsError = OSError$/;" v +FileExistsError adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^ FileExistsError = OSError$/;" v +FileFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^FileFormat = enum_type_wrapper.EnumTypeWrapper(_FILEFORMAT)$/;" v +FileIO adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^class FileIO(object):$/;" c +FileList adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^class FileList(_FileList):$/;" c +FileList adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^class FileList:$/;" c +FileMetadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class FileMetadata(EmptyProvider):$/;" c +FileMetadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class FileMetadata(EmptyProvider):$/;" c +filemode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def filemode(mode):$/;" f +FileModeWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class FileModeWarning(RequestsWarning, DeprecationWarning):$/;" c +FileModeWarning adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class FileModeWarning(RequestsWarning, DeprecationWarning):$/;" c +filemode_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^filemode_table = ($/;" v +FileMovieWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class FileMovieWriter(MovieWriter):$/;" c +FileMultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class FileMultiDict(MultiDict):$/;" c +filename adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ filename = "embedding_lookup_fused_8bit_rowwise_idx_avx2.cc"$/;" v +filename adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ filename = "embedding_lookup_idx_avx2.cc"$/;" v +filename adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ filename = opts.filename$/;" v +filename adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def filename(self):$/;" m class:File +filename adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def filename(self):$/;" m class:ExternalLink +filename adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ def filename(self, format):$/;" m class:ImageFile +filename adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ filename = sys.argv[1]$/;" v +filename adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def filename(self):$/;" m class:Link +filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def filename(self):$/;" m class:Wheel +filename adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^filename = 'fftw_double_ref'$/;" v +filename adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^filename = 'fftw_longdouble_ref'$/;" v +filename adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^filename = 'fftw_single_ref'$/;" v +filename adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ filename = sys.argv[1]$/;" v +filenames adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ def filenames(self):$/;" m class:ImageFile +filename_decode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^def filename_decode(filename):$/;" f +filename_encode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^def filename_encode(filename):$/;" f +FILENAME_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^FILENAME_RE = re.compile(r'''$/;" v +filename_to_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def filename_to_dataset(filename):$/;" f function:make_csv_dataset_v2 file: +fileno adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def fileno(self):$/;" m class:LoggerWrapper +fileno adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def fileno(self):$/;" m class:WrappedSocket +fileno adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def fileno(self):$/;" m class:WrappedSocket +fileno adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def fileno(self):$/;" m class:HTTPResponse +fileno adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def fileno(self):$/;" m class:WrappedSocket +fileno adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def fileno(self):$/;" m class:WrappedSocket +fileno adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def fileno(self):$/;" m class:HTTPResponse +fileno adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def fileno(self):$/;" m class:SSLTransport +FileNotFoundError adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ FileNotFoundError = (IOError, OSError)$/;" v +FileNotFoundError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/compat.py /^ FileNotFoundError = IOError$/;" v +FileNotFoundError adpepsenv/lib/python3.8/site-packages/torch/_six.py /^FileNotFoundError = builtins.FileNotFoundError$/;" v +fileobject adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ fileobject = ExFileObject # The default ExFileObject class to use.$/;" v class:TarFile +FileOperator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class FileOperator(object):$/;" c +FileOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^FileOptions = _reflection.GeneratedProtocolMessageType('FileOptions', (_message.Message,), {$/;" v +filepaths adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def filepaths(self):$/;" m class:DataFrameIterator +filepaths adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ def filepaths(self):$/;" m class:DirectoryIterator +filepaths adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def filepaths(self):$/;" m class:BatchFromFilesMixin +filepath_from_subprocess_output adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def filepath_from_subprocess_output(output):$/;" f +filepath_to_filepath_list adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def filepath_to_filepath_list(file_path):$/;" f +filepositiontext adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^filepositiontext = ''$/;" v +filePriority adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def filePriority(x):$/;" f member:OpDocGenerator.getOperators file: +files adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^files = ['umath-validation-set-exp',$/;" v +files adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ files = glob.glob("*.ini")$/;" v +files adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ files = []$/;" v +files adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def files(self):$/;" m class:EnvironBuilder +files adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def files(self, value):$/;" m class:EnvironBuilder +files adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def files(self):$/;" m class:BaseRequest +FileStorage adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class FileStorage(object):$/;" c +filesystem adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^import pip._internal.utils.filesystem as filesystem$/;" I +FileSystemStoragePathSourceConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^FileSystemStoragePathSourceConfig = _reflection.GeneratedProtocolMessageType('FileSystemStorageP/;" v +filesys_decode adpepsenv/lib/python3.8/site-packages/setuptools/unicode_utils.py /^def filesys_decode(path):$/;" f +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ filetypes = {'pdf': 'Portable Document Format'}$/;" v class:FigureCanvasPdf +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ filetypes = {"pgf": "LaTeX PGF picture",$/;" v class:FigureCanvasPgf +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ filetypes = {'ps': 'Postscript',$/;" v class:FigureCanvasPS +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ filetypes = {'svg': 'Scalable Vector Graphics',$/;" v class:FigureCanvasSVG +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ filetypes = {**FigureCanvasBase.filetypes, 'foo': 'My magic Foo format'}$/;" v class:FigureCanvasTemplate +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ filetypes = {$/;" v class:_FigureCanvasWxBase +filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ filetypes = _default_filetypes$/;" v class:FigureCanvasBase +FileWrapper adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^class FileWrapper(object):$/;" c +FileWriter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ from tensorflow.train import SummaryWriter as FileWriter$/;" x +FileWriter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ from tensorboard.summary.writer.event_file_writer import EventFileWriter as FileWriter$/;" x +FileWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^class FileWriter(SummaryToEventTransformer):$/;" c +FileWriter adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^class FileWriter(object):$/;" c +FileWriterCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer_cache.py /^class FileWriterCache(object):$/;" c +file_add_header adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def file_add_header(filepath, header):$/;" f +file_crc32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def file_crc32(filename, block_size=_DEFAULT_BLOCK_SIZE):$/;" f +file_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def file_exists(filename):$/;" f +file_exists_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def file_exists_v2(path):$/;" f +FILE_FORMAT_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^FILE_FORMAT_UNKNOWN = 0$/;" v +FILE_IDENTIFIER_LENGTH adpepsenv/lib/python3.8/site-packages/flatbuffers/encode.py /^FILE_IDENTIFIER_LENGTH=4$/;" v +file_io_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ file_io_fn = lambda filename: gzip.open(filename, "rt")$/;" f function:make_csv_dataset_v2 file: +file_io_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ file_io_fn = lambda filename: file_io.FileIO(filename, "r")$/;" f function:make_csv_dataset_v2 file: +file_ns_handler adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def file_ns_handler(importer, path_item, packageName, module):$/;" f +file_ns_handler adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def file_ns_handler(importer, path_item, packageName, module):$/;" f +file_path adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def file_path(self):$/;" m class:Link +file_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def file_path(self):$/;" m class:Resource +file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def file_path(self):$/;" m class:DebugTensorDatum +file_requires_unicode adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def file_requires_unicode(x):$/;" f +FILE_SCHEMA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ FILE_SCHEMA = "file:\/\/\/"$/;" v +FILE_SCHEMA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^FILE_SCHEMA = "file:\/\/"$/;" v +file_size adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def file_size(path):$/;" f +file_specific_replacement adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def file_specific_replacement(filepath, search_string, replace_string, strict=False):$/;" f +file_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from io import TextIOWrapper as file_type$/;" x +file_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from types import FileType as file_type$/;" x +fill adpeps/ipeps/ipeps.py /^ def fill(self, A):$/;" m class:iPEPS +fill adpeps/ipeps/ipeps.py /^ def fill(self, B):$/;" m class:iPEPS_exci +fill adpeps/utils/tlist.py /^ def fill(self, data, d=None, D=None):$/;" m class:TList +fill adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def fill(self, text = ""):$/;" m class:Unparser +fill adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def fill(self, *args, data=None, **kwargs):$/;" m class:Axes +fill adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def fill(self, *args):$/;" m class:GraphicsContextPdf +fill adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def fill(strings, linelen=75):$/;" f +Fill adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Fill(Glue):$/;" c +fill adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ fill = property(get_fill, set_fill)$/;" v class:Patch +fill adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def fill(*args, data=None, **kwargs):$/;" f +fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ fill = '#'$/;" v class:Bar +fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ fill = '█'$/;" v class:ChargingBar +fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ fill = '▣'$/;" v class:FillingSquaresBar +fill adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ fill = '◉'$/;" v class:FillingCirclesBar +FILL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FILL = 94$/;" v class:BuiltinOperator +fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def fill(dims, value, name=None):$/;" f +fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fill(dims, value, name=None):$/;" f +Fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Fill = tf_export("raw_ops.Fill")(_ops.to_raw_op(fill))$/;" v +fillcolor_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def fillcolor_cmd(self, rgb):$/;" m class:GraphicsContextPdf +FillConsoleOutputAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def FillConsoleOutputAttribute(stream_id, attr, length, start):$/;" f +FillConsoleOutputCharacter adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def FillConsoleOutputCharacter(stream_id, char, length, start):$/;" f +filldoc adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def filldoc(docdict, unindent_params=True):$/;" f +filldoc adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def filldoc(docdict, unindent_params=True):$/;" f +filled adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ filled = False$/;" v class:Shapes +filled adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ filled = True$/;" v class:SmallFilledCircles +filled adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ filled = True$/;" v class:Stars +filled adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def filled(self, fill_value=None):$/;" m class:MaskedArray +filled adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def filled(self, fill_value=None):$/;" m class:mvoid +filled adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def filled(a, fill_value=None):$/;" f +FilledArrow adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ class FilledArrow(SimpleArrow):$/;" c class:AxislineStyle +FilledArrow adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ class FilledArrow(SimpleArrow):$/;" c class:_FancyAxislineStyle +filled_docstring adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^filled_docstring = \\$/;" v +filled_markers adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ filled_markers = MarkerStyle.filled_markers$/;" v class:Line2D +filled_markers adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ filled_markers = ($/;" v class:MarkerStyle +fillFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def fillFormat(self, data):$/;" m class:FloatingFormat +FillingCirclesBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class FillingCirclesBar(ChargingBar):$/;" c +FillingSquaresBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class FillingSquaresBar(ChargingBar):$/;" c +Filll adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Filll(Glue):$/;" c +FILLMAP adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILLMAP = {NC_BYTE: FILL_BYTE,$/;" v +FillOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FillOptions = 68$/;" v class:BuiltinOptions +FillOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FillOptions(object):$/;" c +FillOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FillOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:FillOptions +FillOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FillOptionsEnd(builder): return builder.EndObject()$/;" f +FillOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FillOptionsStart(builder): builder.StartObject(0)$/;" f +FillOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FillOptionsT(object):$/;" c +FILLORDER adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^FILLORDER = 266$/;" v +FillRandomNetworkInputs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def FillRandomNetworkInputs(net, input_dims, input_types):$/;" f +fillStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ fillStyles = MarkerStyle.fillstyles$/;" v class:Line2D +fillStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^fillStyles = MarkerStyle.fillstyles$/;" v +fillstyles adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ fillstyles = ('full', 'left', 'right', 'bottom', 'top', 'none')$/;" v class:MarkerStyle +fillvalue adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def fillvalue(self):$/;" m class:Dataset +fill_attrs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def fill_attrs(self, track_order):$/;" m class:TestTrackOrder +fill_between adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def fill_between(self, x, y1, y2=0, where=None, interpolate=False,$/;" m class:Axes +fill_between adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ fill_between = _preprocess_data($/;" v class:Axes +fill_between adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def fill_between($/;" f +fill_betweenx adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def fill_betweenx(self, y, x1, x2=0, where=None,$/;" m class:Axes +fill_betweenx adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ fill_betweenx = _preprocess_data($/;" v class:Axes +fill_betweenx adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def fill_betweenx($/;" f +FILL_BYTE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_BYTE = b'\\x81'$/;" v +FILL_CHAR adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_CHAR = b'\\x00'$/;" v +fill_dcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def fill_dcpl(plist, shape, dtype, chunks, compression, compression_opts,$/;" f +fill_diagonal adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def fill_diagonal(a, val, wrap=False):$/;" f +FILL_DOUBLE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_DOUBLE = b'\\x47\\x9E\\x00\\x00\\x00\\x00\\x00\\x00'$/;" v +fill_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fill_eager_fallback(dims, value, name, ctx):$/;" f +fill_empty_rows adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_fill_empty_rows as fill_empty_rows$/;" x +fill_empty_rows adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_fill_empty_rows as fill_empty_rows$/;" x +fill_empty_rows adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_fill_empty_rows as fill_empty_rows$/;" x +FILL_FLOAT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_FLOAT = b'\\x7C\\xF0\\x00\\x00'$/;" v +FILL_INT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_INT = b'\\x80\\x00\\x00\\x01'$/;" v +fill_object_graph_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def fill_object_graph_proto(self, proto):$/;" m class:_SaveableView +FILL_SHORT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^FILL_SHORT = b'\\x80\\x01'$/;" v +fill_triangular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def fill_triangular(x, upper=False, name=None):$/;" f +fill_triangular_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def fill_triangular_inverse(x, upper=False, name=None):$/;" f +fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def fill_value(self):$/;" m class:MaskedArray +fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def fill_value(self, value=None):$/;" m class:MaskedArray +fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def fill_value(self):$/;" m class:interp1d +fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def fill_value(self, fill_value):$/;" m class:interp1d +filter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def filter(self, # type: ignore[override]$/;" m class:Jax2TfLimitation +filter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def filter(self,$/;" m class:Harness +filter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def filter(self,$/;" m class:Limitation +filter adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def filter(self, category=Warning, message="", module=None):$/;" m class:suppress_warnings +filter adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def filter(self, filter):$/;" m class:Image +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:BoxBlur +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:BuiltinFilter +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:Color3DLUT +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:GaussianBlur +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:ModeFilter +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:RankFilter +filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def filter(self, image):$/;" m class:UnsharpMask +Filter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class Filter:$/;" c +filter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def filter(self, record):$/;" m class:ExcludeLoggerFilter +filter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def filter(self, record):$/;" m class:MaxLevelFilter +filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ filter = filter$/;" v +filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from itertools import ifilter as filter$/;" x +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/alphabeticalattributes.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/base.py /^class Filter(object):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/inject_meta_charset.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/lint.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/optionaltags.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^class Filter(base.Filter):$/;" c +filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def filter($/;" m class:SpecifierSet +filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:BaseSpecifier +filter adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:_IndividualSpecifier +filter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:BaseSpecifier +filter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:SpecifierSet +filter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:_IndividualSpecifier +Filter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Filter(univ.Choice):$/;" c +filter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:BaseSpecifier +filter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:SpecifierSet +filter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def filter(self, iterable, prereleases=None):$/;" m class:_IndividualSpecifier +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/alphabeticalattributes.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/base.py /^class Filter(object):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/inject_meta_charset.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/lint.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/optionaltags.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^class Filter(base.Filter):$/;" c +Filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^class Filter(base.Filter):$/;" c +filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def filter(self, predicate):$/;" m class:DatasetV1 +filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def filter(self, predicate):$/;" m class:DatasetV2 +FILTER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ FILTER = "filter"$/;" v class:SavedModelLabels +filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def filter1d(input_line, output_line, filter_size):$/;" f function:test_generic_filter1d file: +FILTER1D_FUNCTIONS adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^FILTER1D_FUNCTIONS = [$/;" v +Filter2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Filter2(univ.Choice):$/;" c +filter2d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def filter2d(footprint_elements, weights):$/;" f function:test_generic_filter file: +FILTER2D_FUNCTIONS adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^FILTER2D_FUNCTIONS = [$/;" v +Filter3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Filter3(univ.Choice):$/;" c +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 1, 0, ($/;" v class:EDGE_ENHANCE_MORE +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 1, 0, ($/;" v class:FIND_EDGES +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 1, 128, ($/;" v class:EMBOSS +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 1, 255, ($/;" v class:CONTOUR +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 13, 0, ($/;" v class:SMOOTH +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 16, 0, ($/;" v class:SHARPEN +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 2, 0, ($/;" v class:EDGE_ENHANCE +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (3, 3), 6, 0, ($/;" v class:DETAIL +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (5, 5), 100, 0, ($/;" v class:SMOOTH_MORE +filterargs adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ filterargs = (5, 5), 16, 0, ($/;" v class:BLUR +FilterByLastComponentDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^FilterByLastComponentDataset = tf_export("raw_ops.FilterByLastComponentDataset")(_ops.to_raw_op(/;" v +FilterDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class FilterDataset(UnaryUnchangedStructureDataset):$/;" c +FilterDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^FilterDataset = tf_export("raw_ops.FilterDataset")(_ops.to_raw_op(filter_dataset))$/;" v +filtered adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ filtered = [expanded for o in decls for expanded in expand(o) if supports(expanded, factory_/;" v +FILTERED adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ FILTERED = core.FILTERED$/;" v +FilteredStackTrace adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^class FilteredStackTrace(Exception): pass$/;" c +filtered_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def filtered_grad_fn(*args, **kwargs):$/;" f function:get_filtered_grad_fn file: +filtered_tracebacks_supported adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def filtered_tracebacks_supported():$/;" f +filterfalse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from itertools import ifilterfalse as filterfalse$/;" x +filterfalse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ from itertools import ifilterfalse as filterfalse$/;" x +filterfalse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ from itertools import ifilterfalse as filterfalse$/;" x +FilterHeight adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FilterHeight(self):$/;" m class:Pool2DOptions +FilteringFeatures adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class FilteringFeatures(Times, Values, State):$/;" c +FilteringOnlyStateManager adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^class FilteringOnlyStateManager(_OverridableStateManager):$/;" c +FilteringResults adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class FilteringResults(Times, State):$/;" c +FilterItems adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def FilterItems(self, filterFn):$/;" m class:_ReservoirBucket +FilterItems adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def FilterItems(self, filterFn, key=None):$/;" m class:Reservoir +filters adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def filters(self):$/;" m class:ConvLSTM2D +FilterShape2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^FilterShape2D = collections.namedtuple($/;" v +FilterShape3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^FilterShape3D = collections.namedtuple($/;" v +FilterWidth adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FilterWidth(self):$/;" m class:Pool2DOptions +filter_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def filter_(function, iterable):$/;" f +filter_by_last_component_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def filter_by_last_component_dataset(input_dataset, output_types, output_shapes, name=None):$/;" f +filter_by_last_component_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def filter_by_last_component_dataset_eager_fallback(input_dataset, output_types, output_shapes, /;" f +filter_continuation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^def filter_continuation(continue_from, signatures, session, features):$/;" f +filter_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def filter_dataset(input_dataset, other_arguments, predicate, output_types, output_shapes, name=/;" f +filter_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def filter_dataset_eager_fallback(input_dataset, other_arguments, predicate, output_types, outpu/;" f +filter_deprecation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^def filter_deprecation(func):$/;" f +filter_destroy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def filter_destroy(event):$/;" f member:FigureCanvasTk.__init__ file: +filter_dict adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^filter_dict = {'butter': [buttap, buttord],$/;" v +filter_distributed_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def filter_distributed_callbacks(callbacks_list, model):$/;" f +filter_empty_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def filter_empty_gradients(grads_and_vars):$/;" f +filter_empty_layer_containers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def filter_empty_layer_containers(layer_list):$/;" f +filter_empty_layer_containers adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def filter_empty_layer_containers(layer_list):$/;" f +filter_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def filter_files(self, sources, exts = []):$/;" m class:build_src +filter_files adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def filter_files(prefix, suffix, files, remove_prefix=None):$/;" f +filter_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def filter_fn(session_group):$/;" f function:_create_filter file: +filter_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def filter_fn(value):$/;" f function:_create_discrete_set_filter file: +filter_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def filter_fn(value):$/;" f function:_create_interval_filter file: +filter_fn adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def filter_fn(value):$/;" f function:_create_regexp_filter file: +filter_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ filter_fusion = options.create_option($/;" v class:OptimizationOptions +filter_hidden_frames adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def filter_hidden_frames(self):$/;" m class:Group +filter_hidden_frames adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def filter_hidden_frames(self):$/;" m class:Traceback +filter_high_byte_only adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def filter_high_byte_only(buf):$/;" m class:CharSetProber +filter_high_byte_only adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def filter_high_byte_only(buf):$/;" m class:CharSetProber +filter_h_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def filter_h_files(self, sources):$/;" m class:build_src +filter_international_words adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def filter_international_words(buf):$/;" m class:CharSetProber +filter_international_words adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def filter_international_words(buf):$/;" m class:CharSetProber +filter_lines adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def filter_lines(lines):$/;" f +filter_metrics_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def filter_metrics_schema(self, white_set):$/;" m class:LayerModelHelper +filter_null adpeps/ipeps/config.py /^filter_null: bool = False$/;" v +filter_null_modes adpeps/ipeps/evaluation.py /^def filter_null_modes(tensors, basis):$/;" f +filter_oauth_params adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def filter_oauth_params(params):$/;" f +filter_params adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def filter_params(target):$/;" f +filter_py_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def filter_py_files(self, sources):$/;" m class:build_src +filter_singleton_dims adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def filter_singleton_dims(operand, names, other_shape, other_names):$/;" f function:_einsum file: +filter_sk_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def filter_sk_params(self, fn, override=None):$/;" m class:BaseWrapper +filter_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def filter_sources(sources):$/;" f +filter_stack_entry adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def filter_stack_entry(entry):$/;" f function:parse_event_records file: +filter_traceback_and_stack adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def filter_traceback_and_stack(e):$/;" f +filter_unallowed_hashes adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^def filter_unallowed_hashes($/;" f +filter_with_english_letters adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def filter_with_english_letters(buf):$/;" m class:CharSetProber +filter_with_english_letters adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def filter_with_english_letters(buf):$/;" m class:CharSetProber +filter_with_legacy_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def filter_with_legacy_function(self, predicate):$/;" m class:DatasetV1 +filter_with_random_uniform_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ filter_with_random_uniform_fusion = options.create_option($/;" v class:OptimizationOptions +filtfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def filtfilt(b, a, x, axis=-1, padtype='odd', padlen=None, method='pad',$/;" f +filtfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def filtfilt(self, zpk, x, axis=-1, padtype='odd', padlen=None,$/;" m class:TestFiltFilt +filtfilt_gust_opt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def filtfilt_gust_opt(b, a, x):$/;" f +filtfilt_gust_opt_func adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def filtfilt_gust_opt_func(ics, b, a, x):$/;" f function:filtfilt_gust_opt file: +filtfilt_kind adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ filtfilt_kind = 'sos'$/;" v class:TestSOSFiltFilt +filtfilt_kind adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ filtfilt_kind = 'tf'$/;" v class:TestFiltFilt +filt_func adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^ def filt_func(line):$/;" f function:_filter_ridge_lines file: +Final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Final(typing.Final, metaclass=_FinalMeta, _root=True):$/;" c +final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def final(f):$/;" f +Final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Final = typing.Final$/;" v +Final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Final = _Final(_root=True)$/;" v +Final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Final = _FinalForm('Final',$/;" v +FinalExporter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^class FinalExporter(Exporter):$/;" c +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def finalize(self):$/;" m class:GraphicsContextPdf +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def finalize(self):$/;" m class:PdfFile +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def finalize(self):$/;" m class:RendererPdf +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def finalize(self):$/;" m class:RendererSVG +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def finalize(wrapper, new_doc):$/;" f function:deprecated.deprecate file: +finalize adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def finalize(_, new_doc):$/;" f function:deprecated.deprecate file: +finalize adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def finalize(self):$/;" m class:AppendingTiffWriter +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def finalize(self):$/;" m class:_ArgTemplateBuilder +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def finalize(self):$/;" m class:Scope +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def finalize(self):$/;" m class:Graph +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def finalize(self):$/;" m class:Aggregator +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def finalize(self):$/;" m class:ConcatAggregator +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def finalize(self):$/;" m class:MetricsAggregator +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def finalize(self):$/;" m class:OutputsAggregator +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def finalize(self):$/;" m class:SliceAggregator +finalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def finalize(self):$/;" m class:Scaffold +FinalizeAfterCheckpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def FinalizeAfterCheckpoint(model, blobs=None, cpu_mode=False):$/;" f +finalized adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def finalized(self):$/;" m class:Graph +finalize_column_strings adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def finalize_column_strings(self, column_strings, col_widths):$/;" m class:_Row +finalize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def finalize_fn(iterator_id_t):$/;" f member:DatasetV2.from_generator file: +finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def finalize_func(self):$/;" m class:Reducer +finalize_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def finalize_id_token(self, id_token, token, token_handler, request):$/;" m class:RequestValidator +finalize_latex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def finalize_latex(latex):$/;" f member:LatexManager._setup_latex_process file: +finalize_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def finalize_objects(self):$/;" m class:KerasObjectLoader +finalize_offset adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def finalize_offset(self):$/;" m class:DraggableLegend +finalize_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def finalize_offset(self):$/;" m class:DraggableBase +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ def finalize_options(self):$/;" m class:build +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def finalize_options(self):$/;" m class:build_clib +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def finalize_options(self):$/;" m class:build_ext +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def finalize_options(self):$/;" m class:build_src +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def finalize_options(self):$/;" m class:config_cc +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def finalize_options(self):$/;" m class:config_fc +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ def finalize_options (self):$/;" m class:install +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ def finalize_options(self):$/;" m class:install_clib +finalize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_data.py /^ def finalize_options (self):$/;" m class:install_data +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ def finalize_options(self):$/;" m class:alias +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def finalize_options(self):$/;" m class:bdist_egg +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def finalize_options(self):$/;" m class:build_ext +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def finalize_options(self):$/;" m class:build_py +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def finalize_options(self):$/;" m class:develop +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^ def finalize_options(self):$/;" m class:dist_info +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def finalize_options(self):$/;" m class:easy_install +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def finalize_options(self):$/;" m class:egg_info +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def finalize_options(self):$/;" m class:manifest_maker +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def finalize_options(self):$/;" m class:install +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def finalize_options(self):$/;" m class:install_egg_info +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ def finalize_options(self):$/;" m class:rotate +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ def finalize_options(self):$/;" m class:option_base +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ def finalize_options(self):$/;" m class:setopt +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def finalize_options(self):$/;" m class:test +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def finalize_options(self):$/;" m class:upload_docs +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def finalize_options(self):$/;" m class:Distribution +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def finalize_options(self):$/;" m class:Command +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ def finalize_options(self):$/;" m class:bdist +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ def finalize_options(self):$/;" m class:bdist_dumb +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def finalize_options(self):$/;" m class:bdist_msi +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def finalize_options(self):$/;" m class:bdist_rpm +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def finalize_options(self):$/;" m class:bdist_wininst +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def finalize_options(self):$/;" m class:build +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def finalize_options(self):$/;" m class:build_clib +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def finalize_options(self):$/;" m class:build_ext +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def finalize_options(self):$/;" m class:build_py +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def finalize_options(self):$/;" m class:build_scripts +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def finalize_options(self):$/;" m class:check +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ def finalize_options(self):$/;" m class:clean +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def finalize_options(self):$/;" m class:config +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def finalize_options(self):$/;" m class:install +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ def finalize_options(self):$/;" m class:install_data +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ def finalize_options(self):$/;" m class:install_egg_info +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ def finalize_options(self):$/;" m class:install_headers +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def finalize_options(self):$/;" m class:install_lib +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ def finalize_options(self):$/;" m class:install_scripts +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def finalize_options(self):$/;" m class:register +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def finalize_options(self):$/;" m class:sdist +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ def finalize_options(self):$/;" m class:upload +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def finalize_options(self):$/;" m class:PyPIRCCommand +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def finalize_options(self):$/;" m class:Distribution +finalize_options adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def finalize_options(self):$/;" m class:_install_setup_requires.MinimalDistribution +finalize_options adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def finalize_options(self):$/;" m class:InstallCommand +finalize_options adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def finalize_options(self):$/;" m class:InstallHeaders +finalize_options adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def finalize_options(self) -> None:$/;" m class:BuildExtension +finalize_options adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def finalize_options(self):$/;" m class:bdist_wheel +finalize_options versioneer.py /^ def finalize_options(self):$/;" m class:get_cmdclass.cmd_version +finalize_other adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def finalize_other(self):$/;" m class:install +finalize_package_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def finalize_package_data(self):$/;" m class:bdist_rpm +finalize_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def finalize_py_func(iterator_id):$/;" f function:DatasetV2.from_generator.finalize_fn file: +finalize_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def finalize_spec(polymorphic_shape, padded_shape):$/;" f +finalize_unix adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def finalize_unix(self):$/;" m class:install +FinalOpsHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class FinalOpsHook(session_run_hook.SessionRunHook):$/;" c +final_argument_whitespace adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ final_argument_whitespace = False$/;" v class:MathDirective +final_argument_whitespace adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ final_argument_whitespace = False$/;" v class:PlotDirective +FINAL_KAF adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ FINAL_KAF = 0xea$/;" v class:HebrewProber +FINAL_KAF adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ FINAL_KAF = 0xea$/;" v class:HebrewProber +FINAL_MEM adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ FINAL_MEM = 0xed$/;" v class:HebrewProber +FINAL_MEM adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ FINAL_MEM = 0xed$/;" v class:HebrewProber +FINAL_NUN adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ FINAL_NUN = 0xef$/;" v class:HebrewProber +FINAL_NUN adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ FINAL_NUN = 0xef$/;" v class:HebrewProber +final_ops_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def final_ops_values(self):$/;" m class:FinalOpsHook +final_output adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^def final_output(blob_or_record):$/;" f +FINAL_PE adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ FINAL_PE = 0xf3$/;" v class:HebrewProber +FINAL_PE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ FINAL_PE = 0xf3$/;" v class:HebrewProber +FINAL_TSADI adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ FINAL_TSADI = 0xf5$/;" v class:HebrewProber +FINAL_TSADI adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ FINAL_TSADI = 0xf5$/;" v class:HebrewProber +find adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def find(self, sub, start=0, end=None):$/;" m class:chararray +find adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def find(a, sub, start=0, end=None):$/;" f +find adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def find(self, requirement, meta_extras=None, prereleases=False):$/;" m class:DependencyFinder +find adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def find(self, resource_name):$/;" m class:ResourceFinder +find adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def find(self, req):$/;" m class:WorkingSet +find adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def find(self, req):$/;" m class:WorkingSet +find adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def find(sub=None, disp=False):$/;" f +find adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^def find(A):$/;" f +find adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_extract.py /^ def find(self):$/;" m class:TestExtract +find adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def find(req, env=None):$/;" f member:PackageIndex.fetch_distribution file: +find adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def find(cls, where='.', exclude=(), include=('*',)):$/;" m class:PackageFinder +find adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def find(self,$/;" m class:DebugDumpDir +find adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def find(self, header):$/;" m class:HeaderSet +find adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def find(self, key):$/;" m class:Accept +findall adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def findall(self):$/;" m class:Manifest +findall adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def findall(self, dir=os.curdir):$/;" m class:FileList +findall adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^def findall(dir=os.curdir):$/;" f +findall adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^def findall(dir=os.curdir):$/;" f +FindAllExtensionNumbers adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def FindAllExtensionNumbers(self, extendee_name):$/;" m class:DescriptorDatabase +FindAllExtensions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindAllExtensions(self, message_descriptor):$/;" m class:DescriptorPool +findCaller adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def findCaller(self, stack_info=False, stacklevel=1):$/;" m class:ABSLLogger +findcommonblocks adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^def findcommonblocks(block, top=1):$/;" f +FindDependenciesLdd adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^class FindDependenciesLdd:$/;" c +FindDependenciesLdd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^class FindDependenciesLdd:$/;" c +FindEnumTypeByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindEnumTypeByName(self, full_name):$/;" m class:DescriptorPool +finder adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def finder(element):$/;" f member:FootnoteExtension.findFootnotesPlaceholder file: +finder adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^def finder(package):$/;" f +finder_for_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^def finder_for_path(path):$/;" f +FindExtensionByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindExtensionByName(self, full_name):$/;" m class:DescriptorPool +FindExtensionByNumber adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindExtensionByNumber(self, message_descriptor, number):$/;" m class:DescriptorPool +findf90modules adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^def findf90modules(m):$/;" f +FindFieldByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindFieldByName(self, full_name):$/;" m class:DescriptorPool +FindFileByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def FindFileByName(self, name):$/;" m class:DescriptorDatabase +FindFileByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindFileByName(self, file_name):$/;" m class:DescriptorPool +FindFileContainingExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def FindFileContainingExtension(self, extendee_name, extension_number):$/;" m class:DescriptorDatabase +FindFileContainingSymbol adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def FindFileContainingSymbol(self, symbol):$/;" m class:DescriptorDatabase +FindFileContainingSymbol adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindFileContainingSymbol(self, symbol):$/;" m class:DescriptorPool +findfont adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def findfont(self, prop, fontext='ttf', directory=None,$/;" m class:FontManager +findfont adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^findfont = fontManager.findfont$/;" v +findFootnotesPlaceholder adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def findFootnotesPlaceholder(self, root):$/;" m class:FootnoteExtension +findfreqs adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def findfreqs(num, den, N, kind='ba'):$/;" f +FindFuncs adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^class FindFuncs(ast.NodeVisitor):$/;" c +FindFuncs adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^class FindFuncs(ast.NodeVisitor):$/;" c +FindInitializationErrors adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def FindInitializationErrors(self):$/;" f function:_AddIsInitializedMethod file: +FindMessageTypeByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindMessageTypeByName(self, full_name):$/;" m class:DescriptorPool +FindMethodByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def FindMethodByName(self, name):$/;" m class:ServiceDescriptor +FindMethodByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindMethodByName(self, full_name):$/;" m class:DescriptorPool +FindNodeVisitor adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^class FindNodeVisitor(ast.NodeVisitor):$/;" c +findobj adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def findobj(self, match=None, include_self=True):$/;" m class:Artist +findobj adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def findobj(o=None, match=None, include_self=True):$/;" f +FindOneofByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindOneofByName(self, full_name):$/;" m class:DescriptorPool +findop adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^ def findop(idx, X):$/;" f member:TestFindOperator.test_find file: +FindServiceByName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def FindServiceByName(self, full_name):$/;" m class:DescriptorPool +findSystemFonts adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def findSystemFonts(fontpaths=None, fontext='ttf'):$/;" f +find_active_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def find_active_constraints(x, lb, ub, rtol=1e-10):$/;" f +find_active_events adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^def find_active_events(g, g_new, direction):$/;" f +find_all adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def find_all(self, pattern):$/;" m class:RcParams +find_all_candidates adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def find_all_candidates(self, project_name):$/;" m class:PackageFinder +find_all_hinted_output_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def find_all_hinted_output_nodes(session=None, graph_def=None):$/;" f +find_all_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def find_all_modules(self):$/;" m class:build_py +find_and_remove_repl_patterns adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def find_and_remove_repl_patterns(astr):$/;" f +find_before adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def find_before(ctx, pos, substr, offsets=(0, 0)):$/;" f +find_best_blas_type adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^def find_best_blas_type(arrays=(), dtype=None):$/;" f +find_best_candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def find_best_candidate($/;" m class:PackageFinder +find_bezier_t_intersecting_with_closedpath adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def find_bezier_t_intersecting_with_closedpath($/;" f +find_bracket_group adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def find_bracket_group(input_string, start):$/;" f +find_by_value adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def find_by_value(fun: Callable, queries) -> Callable:$/;" f +find_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def find_callback($/;" f function:find_by_value file: +find_candidates adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def find_candidates(self, requirements, constraint):$/;" m class:Factory +find_ca_bundle adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^def find_ca_bundle():$/;" f +find_child_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def find_child_subplots(self):$/;" m class:LayoutBox +find_class adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def find_class(self, module, name):$/;" m class:DumpUnpickler +find_class adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def find_class(self, module, name):$/;" m class:_UnpicklerWrapper +find_closure_group adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def find_closure_group(input_string, start, group):$/;" f +find_comma_decimal_point_locale adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^def find_comma_decimal_point_locale():$/;" f +find_common_root adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def find_common_root(self):$/;" m class:GraphPy +find_common_type adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def find_common_type(array_types, scalar_types):$/;" f +find_config_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def find_config_files(self):$/;" m class:Distribution +find_contraction adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^def find_contraction(positions, input_sets, output_set):$/;" f +find_control_points adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def find_control_points(c1x, c1y, mmx, mmy, c2x, c2y):$/;" f +find_cookie adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def find_cookie(line):$/;" f function:detect_encoding file: +find_credential adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def find_credential(self, url):$/;" m class:PyPIConfig +find_cycle adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def find_cycle(el, graph, reprs, path):$/;" f function:_find_reference_cycle file: +find_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def find_data_files(self, package, src_dir):$/;" m class:build_py +find_data_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def find_data_files(self, package, src_dir):$/;" m class:build_py +find_distributions adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def find_distributions(path_item, only=False):$/;" f +find_distributions adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def find_distributions(path_item, only=False):$/;" f +find_dll adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def find_dll(dll_name):$/;" f +find_duplicate adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def find_duplicate(list):$/;" f +find_duplicates adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def find_duplicates(a, key=None, ignoremask=True, return_index=False):$/;" f +FIND_EDGES adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class FIND_EDGES(BuiltinFilter):$/;" c +find_eggs_in_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def find_eggs_in_zip(importer, path_item, only=False):$/;" f +find_eggs_in_zip adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def find_eggs_in_zip(importer, path_item, only=False):$/;" f +find_exe adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def find_exe(self, exe):$/;" m class:MSVCCompiler +find_exe adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def find_exe(self, exe):$/;" m class:MSVCCompiler +find_executable adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def find_executable(exe, path=None, _cache={}):$/;" f +find_executable adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/spawn.py /^def find_executable(executable, path=None):$/;" f +find_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/none.py /^ def find_executables(self):$/;" m class:NoneFCompiler +find_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ def find_executables(self):$/;" m class:VastFCompiler +find_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def find_executables(self):$/;" m class:FCompiler +find_external_links adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def find_external_links(url, page):$/;" f +find_f2py_commands adpepsenv/lib/python3.8/site-packages/numpy/tests/test_scripts.py /^def find_f2py_commands():$/;" f +find_factory_methods adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def find_factory_methods(decls):$/;" f +find_files adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def find_files(path, pattern):$/;" f +find_files adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^def find_files(pattern, root):$/;" f +find_files_source_depends_on adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^find_files_source_depends_on = _ExtractModuleReferences.run$/;" v +find_free_port adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def find_free_port():$/;" f +find_function_to_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def find_function_to_export(saveable_view):$/;" f +find_integrator adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^def find_integrator(name):$/;" f +find_intersection adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^def find_intersection(x, tr_bounds, lb, ub):$/;" f +find_kernel_bounds adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def find_kernel_bounds(string):$/;" f function:processKernelLaunches file: +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def find_library_file (self, dirs, lib, debug=0):$/;" m class:BCPPCompiler +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def find_library_file (self, dirs, lib, debug=0):$/;" m class:CCompiler +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def find_library_file(self, dirs, lib, debug=0):$/;" m class:MSVCCompiler +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def find_library_file(self, dirs, lib, debug=0):$/;" m class:MSVCCompiler +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def find_library_file(self, dirs, lib, debug=0):$/;" m class:UnixCCompiler +find_library_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def find_library_file(self, dirs, lib, debug=0):$/;" m class:MSVCCompiler +find_links adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def find_links():$/;" f +find_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def find_links(self):$/;" m class:LinkCollector +find_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def find_links(self):$/;" m class:PackageFinder +find_log_dir adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def find_log_dir(log_dir=None):$/;" f +find_log_dir_and_names adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def find_log_dir_and_names(program_name=None, log_dir=None):$/;" f +find_lowest_vertex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def find_lowest_vertex(self):$/;" m class:SHGO +find_matches adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def find_matches(self, requirements):$/;" m class:PipProvider +find_matches adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def find_matches(self, requirements):$/;" m class:AbstractProvider +find_matplotlib_font adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^ def find_matplotlib_font(**kw):$/;" f function:test_font_styles file: +find_method adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^ def find_method(self, method_name):$/;" m class:LiteScriptModule +find_minima adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def find_minima(self):$/;" m class:SHGO +find_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def find_module(self, fullname, path=None):$/;" m class:Mounter +find_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^ def find_module(self, fullname, path=None):$/;" m class:VendorImporter +find_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^ def find_module(self, fullname, path=None):$/;" m class:VendorImporter +find_module adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^ def find_module(module, paths=None):$/;" f +find_module adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^def find_module(module, paths=None):$/;" f +find_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def find_module(self, fullname, path=None):$/;" m class:_SixMetaPathImporter +find_module adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def find_module(self, fullname, path=None):$/;" m class:ImportHookFinder +find_modules adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_py.py /^ def find_modules(self):$/;" m class:build_py +find_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def find_modules(self):$/;" m class:build_py +find_modules adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def find_modules(import_path, include_packages=False, recursive=False):$/;" f +find_module_defining_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def find_module_defining_flag(self, flagname, default=None):$/;" m class:FlagValues +find_module_id_defining_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def find_module_id_defining_flag(self, flagname, default=None):$/;" m class:FlagValues +find_namespace_packages adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ find_namespace_packages = PEP420PackageFinder.find$/;" v +find_nearest_contour adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def find_nearest_contour(self, x, y, indices=None, pixel=True):$/;" m class:ContourSet +find_neighbors_delaunay adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def find_neighbors_delaunay(pindex, triang):$/;" m class:SHGO +find_newv adpeps/tensor/ncon.py /^def find_newv(v, tcon, icon):$/;" f +find_nodes_by_type adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def find_nodes_by_type(node, accept_types):$/;" f +find_nothing adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def find_nothing(importer, path_item, only=False):$/;" f +find_nothing adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def find_nothing(importer, path_item, only=False):$/;" f +find_objects adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def find_objects(input, max_label=0):$/;" f +find_on_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def find_on_path(importer, path_item, only=False):$/;" f +find_on_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def find_on_path(importer, path_item, only=False):$/;" f +FIND_OPEN adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^FIND_OPEN = re.compile(r"^\\s*(\\[).*$")$/;" v +find_output_shape adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def find_output_shape(inputs, shapes, output):$/;" f +find_output_str adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def find_output_str(subscripts):$/;" f +find_packages adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ from setuptools import find_namespace_packages as find_packages$/;" x member:ConfigOptionsHandler._parse_packages file: +find_packages adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def find_packages(self, requirement):$/;" m class:PackageIndex +find_packages adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^find_packages = PackageFinder.find$/;" v +find_package_modules adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_py.py /^ def find_package_modules(self, package, package_dir):$/;" m class:build_py +find_package_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def find_package_modules(self, package, package_dir):$/;" m class:build_py +find_parentheses_group adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def find_parentheses_group(input_string, start):$/;" f +find_path_to_setup_from_repo_root adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^def find_path_to_setup_from_repo_root(location, repo_root):$/;" f +find_peaks adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def find_peaks(x, height=None, threshold=None, distance=None,$/;" f +find_peaks_cwt adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def find_peaks_cwt(vector, widths, wavelet=None, max_distances=None,$/;" f +find_plugins adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def find_plugins($/;" m class:WorkingSet +find_plugins adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def find_plugins($/;" m class:WorkingSet +find_progenitors adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def find_progenitors(self, tracer):$/;" m class:JaxprStackFrame +find_programdata_vs_vers adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def find_programdata_vs_vers(self):$/;" m class:SystemInfo +find_providers adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def find_providers(self, reqt):$/;" m class:DependencyFinder +find_python_dll adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def find_python_dll():$/;" f +find_python_module adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def find_python_module(self, name, mark):$/;" m class:UnsafeConstructor +find_python_module adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def find_python_module(self, name, mark, unsafe=False):$/;" m class:FullConstructor +find_python_name adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def find_python_name(self, name, mark):$/;" m class:UnsafeConstructor +find_python_name adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def find_python_name(self, name, mark, unsafe=False):$/;" m class:FullConstructor +find_p_q adpepsenv/lib/python3.8/site-packages/rsa/key.py /^def find_p_q(nbits: int,$/;" f +find_reg_vs_vers adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def find_reg_vs_vers(self):$/;" m class:SystemInfo +find_repeats adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def find_repeats(arr):$/;" f +find_repeats adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def find_repeats(arr):$/;" f +find_repl_patterns adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def find_repl_patterns(astr):$/;" f +find_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def find_requirement(self, req, upgrade):$/;" m class:PackageFinder +find_signature_hash adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def find_signature_hash(signature: bytes, pub_key: key.PublicKey) -> str:$/;" f +find_some_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def find_some_path(self,$/;" m class:DebugDumpDir +find_sources adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def find_sources(self):$/;" m class:egg_info +find_spec adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^def find_spec(module, paths):$/;" f +FIND_STRING_CHARS adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^FIND_STRING_CHARS = re.compile(r"['\\"]")$/;" v +find_subclasses_recursively adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/__init__.py /^def find_subclasses_recursively(base_cls, sub_cls):$/;" f +find_swig adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def find_swig(self):$/;" m class:build_ext +find_tex_file adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def find_tex_file(filename, format=None):$/;" f +find_token_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def find_token_type(self, request):$/;" m class:ResourceEndpoint +find_top_trace adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def find_top_trace(xs) -> Optional[Trace]:$/;" f function:omnistaging_disabler file: +find_top_trace adpepsenv/lib/python3.8/site-packages/jax/core.py /^def find_top_trace(xs) -> Trace:$/;" f +find_unexpected_members adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^ def find_unexpected_members(mod_name):$/;" f function:test_all_modules_are_expected_2 file: +find_use adpepsenv/lib/python3.8/site-packages/torch/_fx/experimental/GraphManipulation.py /^def find_use(arg: Any, node: Node) -> bool:$/;" f +find_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^def find_vcvarsall(version):$/;" f +finfo adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^class finfo(np.finfo):$/;" c +finfo adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^finfo = dtypes.finfo$/;" v +finfo adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^class finfo:$/;" c +finfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def finfo(dtype):$/;" f +fingerprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def fingerprint(data, method="farmhash64", name=None):$/;" f +fingerprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fingerprint(data, method, name=None):$/;" f +Fingerprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Fingerprint = tf_export("raw_ops.Fingerprint")(_ops.to_raw_op(fingerprint))$/;" v +fingerprint_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def fingerprint_eager_fallback(data, method, name, ctx):$/;" f +finish adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def finish(self):$/;" m class:DataWorker +finish adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def finish(self):$/;" m class:Worker +Finish adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Finish(self, rootTable, file_identifier=None):$/;" m class:Builder +finish adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def finish(self):$/;" m class:AbstractMovieWriter +finish adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def finish(self):$/;" m class:FileMovieWriter +finish adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def finish(self):$/;" m class:HTMLWriter +finish adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def finish(self):$/;" m class:MovieWriter +finish adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def finish(self):$/;" m class:PillowWriter +finish adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def finish(self):$/;" m class:Sankey +finish adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def finish(self):$/;" m class:NullMovieWriter +finish adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def finish(self):$/;" m class:InterruptibleMixin +finish adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def finish(self, final_status):$/;" m class:InteractiveSpinner +finish adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def finish(self, final_status):$/;" m class:NonInteractiveSpinner +finish adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def finish(self, final_status):$/;" m class:SpinnerInterface +finish adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def finish(self):$/;" m class:Infinite +finish adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def finish(self, update_ops):$/;" f member:Optimizer._distributed_apply file: +FINISHED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^FINISHED = 'FINISHED'$/;" v +finished adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ finished = 0$/;" v class:Parser +FinishSizePrefixed adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def FinishSizePrefixed(self, rootTable, file_identifier=None):$/;" m class:Builder +finite_ev adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_ev(self):$/;" m class:SHGO +finite_fev adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_fev(self):$/;" m class:SHGO +finite_homology_growth adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_homology_growth(self):$/;" m class:SHGO +finite_iterations adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_iterations(self):$/;" m class:SHGO +finite_precision adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_precision(self):$/;" m class:SHGO +finite_time adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def finite_time(self):$/;" m class:SHGO +fire_signal_and_quit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def fire_signal_and_quit():$/;" f function:test_fig_signals file: +firls adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def firls(numtaps, bands, desired, weight=None, nyq=None, fs=None):$/;" f +FirmwarePackageIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageIdentifier(univ.Sequence):$/;" c +FirmwarePackageInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageInfo(univ.Sequence):$/;" c +FirmwarePackageLoadError adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageLoadError(univ.Sequence):$/;" c +FirmwarePackageLoadErrorCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageLoadErrorCode(univ.Enumerated):$/;" c +FirmwarePackageLoadReceipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageLoadReceipt(univ.Sequence):$/;" c +FirmwarePackageMessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePackageMessageDigest(univ.Sequence):$/;" c +FirmwarePkgData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FirmwarePkgData(univ.OctetString):$/;" c +FirstEventTimestamp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def FirstEventTimestamp(self):$/;" m class:EventAccumulator +FirstEventTimestamp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def FirstEventTimestamp(self, run):$/;" m class:EventMultiplexer +FirstEventTimestamp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def FirstEventTimestamp(self):$/;" m class:EventAccumulator +FirstEventTimestamp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def FirstEventTimestamp(self, run):$/;" m class:EventMultiplexer +FirstEventTimestamp adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def FirstEventTimestamp(self, run):$/;" m class:DebuggerV2EventMultiplexer +first_color adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def first_color(colors):$/;" f member:HandlerPolyCollection._update_prop file: +FIRST_COMPLETED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^FIRST_COMPLETED = 'FIRST_COMPLETED'$/;" v +FIRST_EXCEPTION adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^FIRST_EXCEPTION = 'FIRST_EXCEPTION'$/;" v +FIRST_LINE_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^FIRST_LINE_RE = re.compile(b'^#!.*pythonw?[0-9.]*([ \\t].*)?$')$/;" v +first_line_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^first_line_re = re.compile(b'^#!.*python[0-9.]*([ \\t].*)?$')$/;" v +FIRST_MEMBER adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ FIRST_MEMBER = 0$/;" v class:GzipDecoderState +FIRST_MEMBER adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ FIRST_MEMBER = 0$/;" v class:GzipDecoderState +first_out_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def first_out_arg(result):$/;" f function:TestBinop.test_ufunc_binop_interaction.check file: +FIRST_RESERVED_FIELD_NUMBER adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ FIRST_RESERVED_FIELD_NUMBER = 19000$/;" v class:FieldDescriptor +first_write adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def first_write(self, v):$/;" m class:savetxt.WriteWrap +firwin adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def firwin(numtaps, cutoff, width=None, window='hamming', pass_zero=True,$/;" f +firwin2 adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def firwin2(numtaps, freq, gain, nfreqs=None, window='hamming', nyq=None,$/;" f +FisherSnedecor adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^class FisherSnedecor(Distribution):$/;" c +fisher_exact adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def fisher_exact(table, alternative='two-sided'):$/;" f +fisk adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^fisk = fisk_gen(a=0.0, name='fisk')$/;" v +fisk_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class fisk_gen(burr_gen):$/;" c +fit adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def fit(self, x,$/;" m class:ImageDataGenerator +fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def fit(cls, x, y, deg, domain=None, rcond=None, full=False, w=None,$/;" m class:ABCPolyBase +fit adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def fit(image, size, method=Image.BICUBIC, bleed=0.0, centering=(0.5, 0.5)):$/;" f +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, **kwds):$/;" m class:norm_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:beta_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:erlang_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:expon_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:gamma_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:gumbel_l_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:gumbel_r_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:invgauss_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:laplace_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:logistic_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:lognorm_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:pareto_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:rayleigh_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def fit(self, data, *args, **kwds):$/;" m class:uniform_gen +fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def fit(self, data, *args, **kwds):$/;" m class:rv_continuous +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def fit(self,$/;" m class:Model +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^ def fit(self,$/;" m class:ArrayLikeTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def fit(self, *args, **kwargs):$/;" m class:DistributionMultiWorkerTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def fit(self,$/;" m class:DistributionSingleWorkerTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def fit(self,$/;" m class:EagerDatasetOrIteratorTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def fit(self,$/;" m class:GeneratorLikeTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def fit(self,$/;" m class:GeneratorOrSequenceTrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def fit(self,$/;" m class:TrainingLoop +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def fit(self,$/;" m class:Model +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def fit(self, *args, **kwargs):$/;" m class:FunctionalPreprocessingStage +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def fit(self, x, y, **kwargs):$/;" m class:BaseWrapper +fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def fit(self, x, y, **kwargs):$/;" m class:KerasClassifier +fitbit_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/fitbit.py /^def fitbit_compliance_fix(session):$/;" f +FitDataError adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class FitDataError(ValueError):$/;" c +fitSkipNonFinite adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ fitSkipNonFinite = ['expon', 'norm', 'uniform']$/;" v class:TestFitMethod +FitSolverError adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class FitSolverError(RuntimeError):$/;" c +FITSStubImageFile adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^class FITSStubImageFile(ImageFile.StubImageFile):$/;" c +FitUniformFixedScaleDataError adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class FitUniformFixedScaleDataError(FitDataError):$/;" c +fit_4th_order_polynomial adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def fit_4th_order_polynomial(y0, y1, y_mid, dy0, dy1, dt):$/;" f +fit_eval_and_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def fit_eval_and_predict(initial_weights,$/;" f +fit_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ fit_func = lambda x, a, b: a*x**2 + b*x - target$/;" f member:TestCurveFit.test_broadcast_y file: +fit_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def fit_generator(self,$/;" m class:Model +fit_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^fit_generator = functools.partial(model_iteration, mode=ModeKeys.TRAIN)$/;" v +fit_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def fit_generator(self,$/;" m class:Model +fit_loc_scale adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def fit_loc_scale(self, data, *args):$/;" m class:rv_continuous +fit_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^fit_loop = functools.partial(model_iteration, mode=ModeKeys.TRAIN)$/;" v +fit_on_sequences adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def fit_on_sequences(self, sequences):$/;" m class:Tokenizer +fit_on_texts adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def fit_on_texts(self, texts):$/;" m class:Tokenizer +fit_sizes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^fit_sizes = [1000, 5000] # sample sizes to try$/;" v +FiveFloatOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^FiveFloatOutputs = tf_export("raw_ops.FiveFloatOutputs")(_ops.to_raw_op(five_float_outputs))$/;" v +five_float_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def five_float_outputs(name=None):$/;" f +five_float_outputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def five_float_outputs_eager_fallback(name, ctx):$/;" f +fix adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def fix(x, out=None):$/;" f +fix adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def fix(x, out=None):$/;" f +fix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def fix(x):$/;" f +fix0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def fix0(X):$/;" m class:TestSelu +Fixed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class Fixed(_Base):$/;" c +Fixed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ class Fixed(AxisArtistHelper.Fixed):$/;" c class:AxisArtistHelperRectlinear +Fixed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ class Fixed(_Base):$/;" c class:AxisArtistHelper +FIXED adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ FIXED = core.FIXED$/;" v +Fixed32ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def Fixed32ByteSize(field_number, fixed32):$/;" f +Fixed32Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^Fixed32Decoder = _StructPackDecoder(wire_format.WIRETYPE_FIXED32, ' None:$/;" m class:RNNBase +flatten_per_replica_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def flatten_per_replica_values(distribution_strategy, per_replica_values):$/;" f +flatten_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flatten_op_test.py /^ def flatten_ref(X):$/;" f member:TestFlatten.test_flatten file: +flatten_sequence adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def flatten_sequence(iterable):$/;" f function:flatten_structured_array file: +flatten_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def flatten_shape(s: XlaShape) -> Sequence[Tuple[Sequence[int], XlaShape]]:$/;" f +flatten_structured_array adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def flatten_structured_array(a):$/;" f +flatten_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def flatten_up_to(shallow_tree, input_tree):$/;" f +flatten_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def flatten_up_to(shallow_tree, input_tree, check_types=True,$/;" f +flatten_with_joined_string_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def flatten_with_joined_string_paths(structure, separator="\/",$/;" f +flatten_with_tuple_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def flatten_with_tuple_paths(structure, expand_composites=False):$/;" f +flatten_with_tuple_paths_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def flatten_with_tuple_paths_up_to(shallow_tree,$/;" f +flattop adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def flattop(M, sym=True):$/;" f +flat_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def flat_input_signature(self):$/;" m class:Function +flat_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def flat_input_signature(self):$/;" m class:FunctionSpec +flat_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def flat_map(self, map_func):$/;" m class:DatasetV1 +flat_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def flat_map(self, map_func):$/;" m class:DatasetV2 +flat_map_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def flat_map_dataset(input_dataset, other_arguments, f, output_types, output_shapes, name=None):$/;" f +flat_map_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def flat_map_dataset_eager_fallback(input_dataset, other_arguments, f, output_types, output_shap/;" f +flat_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def flat_map_fn(dummy_arg):$/;" f member:DatasetV2.from_generator file: +flat_transforms_to_matrices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops.py /^def flat_transforms_to_matrices(transforms):$/;" f +flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def flat_values(self):$/;" m class:RaggedTensor +flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def flat_values(self):$/;" m class:RaggedTensorValue +flat_values_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def flat_values_spec(self):$/;" m class:RaggedTensorSpec +flat_wavelet adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ flat_wavelet = lambda l, w: np.full(w, 1 \/ w)$/;" f member:TestWavelets.test_cwt file: +fletcher32 adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def fletcher32(self):$/;" m class:Dataset +FLEXBUFFERS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FLEXBUFFERS = 0$/;" v class:CustomOptionsFormat +flexible adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^flexible = np.flexible$/;" v +flexible_top_k_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^ def flexible_top_k_ref(self, X, k):$/;" m class:TestFlexibleTopK +FlexiText adpepsenv/lib/python3.8/site-packages/rsa/pem.py /^FlexiText = typing.Union[str, bytes]$/;" v +FLEX_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^FLEX_PREFIX = b'Flex'$/;" v +FLEX_PREFIX_LENGTH adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^FLEX_PREFIX_LENGTH = len(FLEX_PREFIX)$/;" v +fligner adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def fligner(*args, center='median', proportiontocut=0.05):$/;" f +FlignerResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^FlignerResult = namedtuple('FlignerResult', ('statistic', 'pvalue'))$/;" v +FliImageFile adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^class FliImageFile(ImageFile.ImageFile):$/;" c +flip adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def flip(m, axis: Optional[Union[int, Tuple[int, ...]]] = None):$/;" f +flip adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def flip(m, axis=None):$/;" f +flip adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def flip(image):$/;" f +flip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def flip(m, axis=None): # pylint: disable=missing-docstring$/;" f +flip adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def flip(g, input, dims):$/;" f +fliplr adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def fliplr(m):$/;" f +fliplr adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def fliplr(m):$/;" f +fliplr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def fliplr(m): # pylint: disable=missing-docstring$/;" f +flipud adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def flipud(m):$/;" f +flipud adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def flipud(m):$/;" f +flipud adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def flipud(m): # pylint: disable=missing-docstring$/;" f +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def flipy(self):$/;" m class:RendererPgf +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def flipy(self):$/;" m class:RendererSVG +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def flipy(self):$/;" m class:RendererTemplate +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def flipy(self):$/;" m class:RendererWx +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def flipy(self):$/;" m class:RendererPDFPSBase +flipy adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def flipy(self):$/;" m class:RendererBase +flip_axis adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def flip_axis(x, axis):$/;" f +FLIP_LEFT_RIGHT adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^FLIP_LEFT_RIGHT = 0$/;" v +flip_left_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def flip_left_right(image):$/;" f +FLIP_TOP_BOTTOM adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^FLIP_TOP_BOTTOM = 1$/;" v +flip_up_down adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def flip_up_down(image):$/;" f +flist adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ dlist, flist = parse_nm(nm_output)$/;" v +flist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ flist = [1.1, 2.2, 3.3, 4.4, 5.5]$/;" v class:TestMRecords +FLOAT adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^FLOAT = 11$/;" v +FLOAT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^FLOAT = dtypes.float32$/;" v +FLOAT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^FLOAT = 1$/;" v +float adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def float(self: T) -> T:$/;" m class:_RemoteModule +float adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def float(self: T) -> T:$/;" m class:Module +float adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def float(self):$/;" m class:PackedSequence +float adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def float(self):$/;" m class:_StorageBase +float0 adpepsenv/lib/python3.8/site-packages/jax/api.py /^float0 = dtypes.float0$/;" v +float0 adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^float0 = np.dtype([('float0', np.void, 0)])$/;" v +float16 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^float16 = _make_scalar_type(np.float16)$/;" v +float16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^float16 = DType(types_pb2.DT_HALF)$/;" v +FLOAT16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^FLOAT16 = dtypes.float16$/;" v +FLOAT16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FLOAT16 = 1$/;" v class:TensorType +FLOAT16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^FLOAT16 = 10$/;" v +float16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^float16 = DType(types_pb2.DT_HALF)$/;" v +float16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^float16 = np_export.np_export_constant(__name__, 'float16', np.float16)$/;" v +float16_dynamic_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^float16_dynamic_qconfig = QConfigDynamic(activation=PlaceholderObserver.with_args(dtype=torch.fl/;" v +float16_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^float16_ref = DType(types_pb2.DT_HALF_REF)$/;" v +float16_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^float16_ref = DType(types_pb2.DT_HALF_REF)$/;" v +float2epoch adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ def float2epoch(value, unit):$/;" m class:EpochConverter +float32 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^float32 = struct.Struct(" float:$/;" f +float_fill adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object float_fill(void *func, bitgen_t *state, object size, object lock, object out)$/;" f +float_fill_from_double adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object float_fill_from_double(void *func, bitgen_t *state, object size, object lock, object/;" f +float_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_input(a, name=None):$/;" f +float_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_input_eager_fallback(a, name, ctx):$/;" f +float_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def float_operation():$/;" m class:ProfileOptionBuilder +float_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_output(name=None):$/;" f +float_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_output_eager_fallback(name, ctx):$/;" f +float_output_string_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_output_string_output(name=None):$/;" f +float_output_string_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def float_output_string_output_eager_fallback(name, ctx):$/;" f +float_power adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^float_power = _one_to_one_binop(np.float_power, lax.pow, True)$/;" v +float_power adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ float_power = np.power$/;" v +float_power adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def float_power(x1, x2):$/;" f +float_qparams_dynamic_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^float_qparams_dynamic_qconfig = QConfigDynamic(activation=default_dynamic_quant_observer,$/;" v +float_to_float adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ float_to_float = lambda v : _fr1(float_conv(v))$/;" f member:MachArLike.__init__ file: +FLOAT_TO_QUANTIZED_OPERATOR_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^FLOAT_TO_QUANTIZED_OPERATOR_MAPPINGS = {$/;" v +float_to_str adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^ def float_to_str(f):$/;" f member:finfo._bfloat16_finfo file: +float_to_str adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ float_to_str = lambda v: (params['fmt'] % array(_fr0(v)[0], ftype))$/;" f member:MachArLike.__init__ file: +float_types adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/__init__.py /^float_types = [numpy.float32, numpy.float64]$/;" v +float_types_list adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^float_types_list = [np.half, np.single, np.double, np.longdouble,$/;" v +floodfill adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^def floodfill(image, xy, value, border=None, thresh=0):$/;" f +floor adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^floor = np.floor$/;" v +floor adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def floor(x: Array) -> Array:$/;" f +floor adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^floor = _one_to_one_unop(np.floor, lax.floor, True)$/;" v +floor adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^floor = _MaskedUnaryOperation(umath.floor)$/;" v +floor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^floor = _unary_op(math_ops.floor)$/;" v +FLOOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FLOOR = 8$/;" v class:BuiltinOperator +floor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor(x, name=None):$/;" f +Floor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Floor = tf_export("raw_ops.Floor")(_ops.to_raw_op(floor))$/;" v +floor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def floor(x, name=None):$/;" f +floor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def floor(x):$/;" f +floor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def floor(g, input):$/;" f +FloorDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^FloorDiv = tf_export("raw_ops.FloorDiv")(_ops.to_raw_op(floor_div))$/;" v +floordiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def floordiv(x, y, name=None):$/;" f +floordiv adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def floordiv(g, self, other):$/;" f +FloorDivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FloorDivOptions = 65$/;" v class:BuiltinOptions +FloorDivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FloorDivOptions(object):$/;" c +FloorDivOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FloorDivOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:FloorDivOptions +FloorDivOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FloorDivOptionsEnd(builder): return builder.EndObject()$/;" f +FloorDivOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FloorDivOptionsStart(builder): builder.StartObject(0)$/;" f +FloorDivOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FloorDivOptionsT(object):$/;" c +floordiv_and_mod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^def floordiv_and_mod(x, y):$/;" f +FloorMod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^FloorMod = tf_export("raw_ops.FloorMod")(_ops.to_raw_op(floor_mod))$/;" v +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^floormod = gen_math_ops.floor_mod$/;" v +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +floormod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as floormod$/;" x +FloorModOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FloorModOptions = 72$/;" v class:BuiltinOptions +FloorModOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FloorModOptions(object):$/;" c +FloorModOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FloorModOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:FloorModOptions +FloorModOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FloorModOptionsEnd(builder): return builder.EndObject()$/;" f +FloorModOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FloorModOptionsStart(builder): builder.StartObject(0)$/;" f +FloorModOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FloorModOptionsT(object):$/;" c +FLOOR_DIV adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FLOOR_DIV = 90$/;" v class:BuiltinOperator +floor_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor_div(x, y, name=None):$/;" f +floor_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^floor_div = gen_math_ops.floor_div$/;" v +floor_divide adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def floor_divide(x1, x2):$/;" f +floor_divide adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^floor_divide = _DomainedBinaryOperation(umath.floor_divide,$/;" v +floor_divide adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def floor_divide(x1, x2): # pylint: disable=missing-function-docstring$/;" f +floor_divide adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def floor_divide(g, self, other):$/;" f +floor_divide_and_remainder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def floor_divide_and_remainder(x, y):$/;" f +floor_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor_div_eager_fallback(x, y, name, ctx):$/;" f +floor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor_eager_fallback(x, name, ctx):$/;" f +FLOOR_MOD adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FLOOR_MOD = 95$/;" v class:BuiltinOperator +floor_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor_mod(x, y, name=None):$/;" f +floor_mod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def floor_mod_eager_fallback(x, y, name, ctx):$/;" f +floor_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^floor_p = standard_unop(_float, 'floor')$/;" v +floor_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^ def floor_ref(X):$/;" f member:TestFloor.test_floor file: +flop_count adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^def flop_count(idx_contraction, inner, num_terms, size_dictionary):$/;" f +Flow adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^class Flow(object):$/;" c +flow adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def flow(self,$/;" m class:ImageDataGenerator +flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def flow(self,$/;" m class:ImageDataGenerator +flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def flow(self):$/;" m class:TensorArray +flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def flow(self):$/;" m class:_EagerTensorArray +flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def flow(self):$/;" m class:_GraphTensorArray +flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def flow(self):$/;" m class:_GraphTensorArrayV2 +FlowEntryToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class FlowEntryToken(Token):$/;" c +FlowMappingEndToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class FlowMappingEndToken(Token):$/;" c +FlowMappingStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class FlowMappingStartToken(Token):$/;" c +FlowSequenceEndToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class FlowSequenceEndToken(Token):$/;" c +FlowSequenceStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class FlowSequenceStartToken(Token):$/;" c +flow_from_dataframe adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def flow_from_dataframe(self,$/;" m class:ImageDataGenerator +flow_from_dataframe adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def flow_from_dataframe(self,$/;" m class:ImageDataGenerator +flow_from_directory adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def flow_from_directory(self,$/;" m class:ImageDataGenerator +flow_from_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def flow_from_directory(self,$/;" m class:ImageDataGenerator +flow_to_tensor_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def flow_to_tensor_array(flow, ta): # pylint: disable=missing-docstring$/;" f function:_pack_sequence_as file: +FLOYDSTEINBERG adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^FLOYDSTEINBERG = 3 # default$/;" v +fluid_ounce adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^fluid_ounce = fluid_ounce_US = gallon_US \/ 128$/;" v +fluid_ounce_imp adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^fluid_ounce_imp = gallon_imp \/ 160$/;" v +flush adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def flush(self):$/;" m class:ABSLHandler +flush adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def flush(self):$/;" m class:PythonHandler +flush adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def flush():$/;" f +flush adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def flush(self):$/;" m class:Dataset +flush adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def flush(self):$/;" m class:File +flush adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def flush(self):$/;" m class:XMLWriter +flush adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ def flush(self):$/;" m class:memmap +flush adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def flush (self):$/;" m class:GrabStdout +flush adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def flush(self):$/;" m class:JustWriter +flush adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def flush(self):$/;" m class:Draw +flush adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def flush(self):$/;" m class:BrotliDecoder +flush adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def flush(self):$/;" m class:HTTPResponse +flush adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def flush(self):$/;" m class:MultiDecoder +flush adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def flush(self):$/;" m class:netcdf_file +flush adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def flush(self):$/;" m class:GFile +flush adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def flush(self):$/;" m class:EventFileWriter +flush adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def flush(self):$/;" m class:_AsyncWriter +flush adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^ def flush(self):$/;" m class:RecordWriter +flush adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def flush(self):$/;" m class:_BlobRequestSender +flush adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def flush(self):$/;" m class:_ScalarBatchedRequestSender +flush adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def flush(self):$/;" m class:_TensorBatchedRequestSender +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def flush(self):$/;" m class:_EagerTensorCache +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def flush(self):$/;" m class:FileIO +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def flush(self):$/;" m class:TFRecordWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def flush(self):$/;" m class:QuantileAccumulator +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def flush(self):$/;" m class:NoopSummaryWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def flush(self):$/;" m class:ResourceSummaryWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def flush(self):$/;" m class:SummaryWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def flush(writer=None, name=None):$/;" f +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def flush():$/;" f +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def flush(self):$/;" m class:EventFileWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def flush(self):$/;" m class:EventFileWriterV2 +flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def flush(self):$/;" m class:FileWriter +flush adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def flush(self):$/;" m class:FakeSummaryWriter +flush adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def flush(self):$/;" m class:FileWriter +flush adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def flush(self):$/;" m class:SummaryWriter +flush adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def flush(self):$/;" m class:BrotliDecoder +flush adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def flush(self):$/;" m class:HTTPResponse +flush adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def flush(self):$/;" m class:MultiDecoder +flush adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def flush(self):$/;" m class:HTMLStringO +flush adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def flush(self):$/;" m class:ErrorStream +flush adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def flush(self):$/;" m class:ResponseStream +flushCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def flushCharacters(self):$/;" m class:getPhases.InTableTextPhase +flushCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def flushCharacters(self):$/;" m class:getPhases.InTableTextPhase +FlushExecutionFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def FlushExecutionFiles(self):$/;" m class:DebugEventsWriter +FlushNonExecutionFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def FlushNonExecutionFiles(self):$/;" m class:DebugEventsWriter +FlushSummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^FlushSummaryWriter = tf_export("raw_ops.FlushSummaryWriter")(_ops.to_raw_op(flush_summary_writer/;" v +flush_events adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def flush_events(self):$/;" m class:FigureCanvasGTK3 +flush_events adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def flush_events(self):$/;" m class:FigureCanvasQT +flush_events adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def flush_events(self):$/;" m class:_FigureCanvasWxBase +flush_events adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def flush_events(self):$/;" m class:FigureCanvasTk +flush_events adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def flush_events(self):$/;" m class:FigureCanvasBase +flush_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def flush_fn():$/;" f member:_AccumulatorEnsembleGrower.accumulate_quantiles file: +flush_images adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def flush_images():$/;" f function:_draw_list_compositing_images file: +flush_output adpeps/ipeps/config.py /^flush_output: bool = False$/;" v +flush_stream adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def flush_stream(self):$/;" m class:Emitter +flush_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def flush_summary_writer(writer, name=None):$/;" f +flush_summary_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def flush_summary_writer_eager_fallback(writer, name, ctx):$/;" f +fm adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^from matplotlib import cbook, font_manager as fm$/;" x +fm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^from matplotlib import font_manager as fm$/;" x +fm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^from matplotlib import font_manager as fm$/;" x +fmap_dims adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def fmap_dims(axes, f):$/;" f function:_batch_trace_process_xmap file: +fmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def fmask(x):$/;" f function:choose file: +fmax adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def fmax(x1, x2):$/;" f +fmerit adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^ def fmerit(F):$/;" f function:_root_df_sane file: +fmin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def fmin(x1, x2):$/;" f +fmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fmin(func, x0, args=(), xtol=1e-4, ftol=1e-4, maxiter=None, maxfun=None,$/;" f +fmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def fmin(x):$/;" f function:test_vector_constraints file: +fminbound adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fminbound(func, x1, x2, args=(), xtol=1e-5, maxfun=500,$/;" f +fmin_bfgs adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fmin_bfgs(f, x0, fprime=None, args=(), gtol=1e-5, norm=Inf,$/;" f +fmin_cg adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fmin_cg(f, x0, fprime=None, args=(), gtol=1e-5, norm=Inf, epsilon=_epsilon,$/;" f +fmin_cobyla adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^def fmin_cobyla(func, x0, cons, args=(), consargs=None, rhobeg=1.0,$/;" f +fmin_l_bfgs_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^def fmin_l_bfgs_b(func, x0, fprime=None, args=(),$/;" f +fmin_ncg adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fmin_ncg(f, x0, fprime, fhess_p=None, fhess=None, args=(), avextol=1e-5,$/;" f +fmin_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def fmin_powell(func, x0, args=(), xtol=1e-4, ftol=1e-4, maxiter=None,$/;" f +fmin_slsqp adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^def fmin_slsqp(func, x0, eqcons=(), f_eqcons=None, ieqcons=(), f_ieqcons=None,$/;" f +fmin_tnc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^def fmin_tnc(func, x0, fprime=None, args=(), approx_grad=0,$/;" f +fmod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def fmod(x1, x2):$/;" f +fmod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^fmod = _DomainedBinaryOperation(umath.fmod, _DomainSafeDivide(), 0, 1)$/;" v +fmod adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def fmod(g, input, other):$/;" f +fmt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ fmt = mticker.LogFormatterMathtext()$/;" v class:TestLogFormatterMathtext +fmt adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^from pasta.base import formatting as fmt$/;" x +fmt adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^from pasta.base import formatting as fmt$/;" x +fmt adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^from pasta.base import formatting as fmt$/;" x +fmt adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^from pasta.base import formatting as fmt$/;" x +fmt adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^from pasta.base import formatting as fmt$/;" x +fmt adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ fmt = lambda x: "%30s" % np.array2string(x[j], precision=18)$/;" f member:FuncData.check file: +fmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^def fmt(node, color=True, noanno=False):$/;" f +fmt_d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d = "$%d" + deg_mark + "$"$/;" v class:FormatterDMS +fmt_d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d = "$%d" + deg_mark + "$"$/;" v class:FormatterHMS +fmt_ds adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_ds = r"$%d.%s" + deg_mark + "$"$/;" v class:FormatterDMS +fmt_ds adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_ds = r"$%d.%s" + deg_mark + "$"$/;" v class:FormatterHMS +fmt_d_m adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_m = r"$%s%d" + deg_mark + r"\\,%02d" + min_mark + "$"$/;" v class:FormatterDMS +fmt_d_m adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_m = r"$%s%d" + deg_mark + r"\\,%02d" + min_mark+"$"$/;" v class:FormatterHMS +fmt_d_ms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_ms = r"$%s%d" + deg_mark + r"\\,%02d.%s" + min_mark + "$"$/;" v class:FormatterDMS +fmt_d_ms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_ms = r"$%s%d" + deg_mark + r"\\,%02d.%s" + min_mark+"$"$/;" v class:FormatterHMS +fmt_d_m_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_m_partial = "$%s%d" + deg_mark + r"\\,%02d" + min_mark + r"\\,"$/;" v class:FormatterDMS +fmt_d_m_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_d_m_partial = "$%s%d" + deg_mark + r"\\,%02d" + min_mark + r"\\,"$/;" v class:FormatterHMS +fmt_float adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def fmt_float(q):$/;" f member:poly1d.__str__ file: +fmt_key adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def fmt_key(var, eqn):$/;" f function:vars_by_fanout file: +fmt_ss_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_ss_partial = "%02d.%s" + sec_mark + "$"$/;" v class:FormatterDMS +fmt_ss_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_ss_partial = "%02d.%s" + sec_mark + "$"$/;" v class:FormatterHMS +fmt_s_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_s_partial = "%02d" + sec_mark + "$"$/;" v class:FormatterDMS +fmt_s_partial adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ fmt_s_partial = "%02d" + sec_mark + "$"$/;" v class:FormatterHMS +FM_TOWNS_SND adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ FM_TOWNS_SND = 0x0300$/;" v class:WAVE_FORMAT +fn adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ fn = "static bool " + fn_base + suffix$/;" v +fn adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def fn(shape, dtype):$/;" f function:rand_int file: +fn adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def fn(shape, dtype):$/;" f function:rand_unique_int file: +fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ fn = lambda x1, x2: lax_fn(*_promote_args_inexact(numpy_fn.__name__, x1, x2))$/;" f function:_one_to_one_binop file: +fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ fn = lambda x: lax_fn(*_promote_args_inexact(numpy_fn.__name__, x))$/;" f function:_one_to_one_unop file: +fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def fn(x1, x2):$/;" f function:_comparison_op file: +fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def fn(x1, x2):$/;" f function:_maybe_bool_binop file: +fn adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ def fn():$/;" f function:test_multithreaded_sharing file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def fn():$/;" f member:RemoteSingleWorkerMirroredStrategyBase._testMakeInputFnIteratorWithCallable file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def fn(inputs, *args, **kwargs):$/;" f function:_append_activity_regularizer_loss file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def fn(x):$/;" f member:ControlFlowContext.ExitResult file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ fn = lambda: gen_data_flow_ops.stage_peek(index,$/;" f member:StagingArea.peek file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ fn = lambda: gen_data_flow_ops.unstage(dtypes=self._dtypes,$/;" f member:StagingArea.get file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def fn(distribution, *a):$/;" f function:_aggregate_across_replicas file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def fn(args):$/;" f member:PFor._restack_sparse_tensor_logically file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def fn():$/;" f member:_EstimatorWrappedGraph.wrap_input_receiver_fn file: +fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def fn(*args, **kwargs):$/;" f member:_EstimatorWrappedGraph.wrap_model_fn file: +fn adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def fn(input):$/;" f function:gradcheck file: +fn adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def fn(input):$/;" f function:gradcheck file: +fn adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def fn(*args, **kwargs):$/;" f function:boolean_dispatch file: +fname2def adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def fname2def(name):$/;" f +fnames adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^fnames = ('fft', 'fft2', 'fftn',$/;" v +FNFError adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ FNFError = IOError$/;" v +fnorm adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^ def fnorm(F):$/;" f function:_root_df_sane file: +fnumber adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ fnumber = Regex(r'[+-]?\\d+\\.?\\d*([eE][+-]?\\d+)?').setName("fnumber").setParseAction(conv/;" v class:pyparsing_common +fnumber adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ fnumber = Regex(r'[+-]?\\d+\\.?\\d*([eE][+-]?\\d+)?').setName("fnumber").setParseAction(conv/;" v class:pyparsing_common +fnumber adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ fnumber = Regex(r'[+-]?\\d+\\.?\\d*([eE][+-]?\\d+)?').setName("fnumber").setParseAction(conv/;" v class:pyparsing_common +fnumber adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ fnumber = Regex(r'[+-]?\\d+\\.?\\d*([eE][+-]?\\d+)?').setName("fnumber").setParseAction(conv/;" v class:pyparsing_common +fn_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def fn_args(fn):$/;" f +fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^fn_args = function_utils.fn_args$/;" v +FN_BACKLINK_TEXT adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^FN_BACKLINK_TEXT = util.STX + "zz1337820767766393qq" + util.ETX$/;" v +fn_base adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ fn_base = "{}EmbeddingLookupIdx_{}_{}_{}".format($/;" v +fn_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def fn_input_signature(self):$/;" m class:LayerCallCollection +fn_opt adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_opt(*args):$/;" f member:TestNQuad.test_square_aliased_fn_ranges_and_opts file: +fn_opt0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_opt0(*args):$/;" f member:TestNQuad.test_square_separate_fn_ranges_and_opts file: +fn_opt1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_opt1(*args):$/;" f member:TestNQuad.test_square_separate_fn_ranges_and_opts file: +fn_out adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def fn_out():$/;" f function:get_numerical_jacobian.update_jacobians.compute_gradient file: +fn_range adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_range(*args):$/;" f member:TestNQuad.test_square_aliased_fn_ranges_and_opts file: +fn_range0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_range0(*args):$/;" f member:TestNQuad.test_square_separate_fn_ranges_and_opts file: +fn_range1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def fn_range1(*args):$/;" f member:TestNQuad.test_square_separate_fn_ranges_and_opts file: +fn_with_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def fn_with_cond(inner_args, inner_kwds, inner_filtered_flat_args):$/;" f member:Function._call file: +fn_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def fn_wrapper():$/;" f function:AutoGraphTestCase._run_as_tf_function.wrapper file: +fold adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def fold(self):$/;" m class:_DatetimeWithFold +fold adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def fold(input, output_size, kernel_size, dilation=1, padding=0, stride=1):$/;" f +Fold adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^class Fold(Module):$/;" c +foldcauchy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^foldcauchy = foldcauchy_gen(a=0.0, name='foldcauchy')$/;" v +foldcauchy_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class foldcauchy_gen(rv_continuous):$/;" c +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def foldl(fn, elems, initializer=None, name=None):$/;" f +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def foldl(fn,$/;" f +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldl_v2 as foldl$/;" x +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldl_v2 as foldl$/;" x +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldl_v2 as foldl$/;" x +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.functional_ops import foldl_v2 as foldl$/;" x +foldl adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.functional_ops import foldl_v2 as foldl$/;" x +foldl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def foldl_v2(fn,$/;" f +foldnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^foldnorm = foldnorm_gen(a=0.0, name='foldnorm')$/;" v +foldnorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class foldnorm_gen(rv_continuous):$/;" c +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def foldr(fn, elems, initializer=None, name=None):$/;" f +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def foldr(fn,$/;" f +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldr_v2 as foldr$/;" x +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldr_v2 as foldr$/;" x +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import foldr_v2 as foldr$/;" x +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.functional_ops import foldr_v2 as foldr$/;" x +foldr adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.functional_ops import foldr_v2 as foldr$/;" x +foldr_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def foldr_v2(fn,$/;" f +fold_batch_norms adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def fold_batch_norms(input_graph_def):$/;" f +fold_in adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def fold_in(key, data):$/;" f +fold_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def fold_in(seed, data):$/;" f +FollowedBy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class FollowedBy(ParseElementEnhance):$/;" c +FollowedBy adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class FollowedBy(ParseElementEnhance):$/;" c +FollowedBy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class FollowedBy(ParseElementEnhance):$/;" c +FollowedBy adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class FollowedBy(ParseElementEnhance):$/;" c +font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def font(self):$/;" m class:Parser.State +font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def font(self, name):$/;" m class:Parser.State +font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def font(self, s, loc, toks):$/;" m class:Parser +Font adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^class Font:$/;" c +fontangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def fontangles(self):$/;" m class:RendererCairo +fontangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ fontangles = {$/;" v class:RendererWx +FontconfigPatternParser adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^class FontconfigPatternParser:$/;" c +FontConstantsBase adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class FontConstantsBase:$/;" c +FontEntry adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^class FontEntry:$/;" c +FontFile adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^class FontFile:$/;" c +FontLayout adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^class FontLayout(QtWidgets.QGridLayout):$/;" c +FontManager adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^class FontManager:$/;" c +fontManager adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^fontManager = None$/;" v +fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ fontmap = PsfontsMap(find_tex_file('pdftex.map'))$/;" v +fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ fontmap = {$/;" v class:StandardPsFonts +fontmatrix adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def fontmatrix(array):$/;" f member:Type1Font._transformer file: +fontName adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def fontName(self, fontprop):$/;" m class:PdfFile +fontname adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def fontname(name):$/;" f member:Type1Font._transformer file: +fontnames adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ fontnames = {$/;" v class:RendererWx +FontProperties adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^class FontProperties:$/;" c +Fonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Fonts:$/;" c +fontset_choice adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^def fontset_choice(arg):$/;" f +FONTSIZE adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ FONTSIZE = 10$/;" v class:Table +fontweights adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def fontweights(self):$/;" m class:RendererCairo +fontweights adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ fontweights = {$/;" v class:RendererWx +font_attr_segs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def font_attr_segs(self):$/;" m class:RichTextLines +font_families adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ font_families = ('serif', 'sans-serif', 'cursive', 'monospace')$/;" v class:TexManager +font_family adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ font_family = 'serif'$/;" v class:TexManager +font_family_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^font_family_aliases = {$/;" v +font_info adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ font_info = {$/;" v class:TexManager +font_is_installed adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def font_is_installed(font):$/;" f +font_manager adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.font_manager as font_manager$/;" I +font_manager adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.font_manager as font_manager$/;" I +FONT_SCALE adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ FONT_SCALE = 100.$/;" v class:TextToPath +font_scalings adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^font_scalings = {$/;" v +font_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ font_src = csp_property("font-src")$/;" v class:ContentSecurityPolicy +font_tests adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^font_tests = list(filter(lambda x: x[1] is not None, enumerate(font_tests)))$/;" v +font_tests adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^font_tests = []$/;" v +font_test_specs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^font_test_specs = [$/;" v +font_variant adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def font_variant($/;" m class:FreeTypeFont +FOO adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^FOO = 0$/;" v +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def foo():$/;" f member:TestTestDeprecated.test_assert_deprecated file: +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def foo():$/;" f member:TestErrstate.test_errstate_decorator file: +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def foo(*args):$/;" f member:TestErrstate.test_errcall file: +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class foo(ctypes.Structure):$/;" c member:TestNewBufferProtocol.test_ctypes_struct_via_memoryview file: +Foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Foo(np.ndarray):$/;" c class:TestArrayPriority +Foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Foo:$/;" c class:TestArrayInterface +Foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class Foo(np.ndarray):$/;" c member:TestAllclose.test_return_class_is_ndarray file: +Foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class Foo(np.ndarray):$/;" c member:TestRegression.test_richcompare_scalar_and_subclass file: +Foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class Foo:$/;" c member:TestRegression.test_richcompare_crash file: +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class foo:$/;" c class:TestUfuncGenericLoops +foo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class foo(np.ndarray): pass$/;" c function:test_outer_subclass_preserve file: +Foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class Foo:$/;" c member:TestVectorize.test_UnboundMethod_ticket_1156 file: +foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def foo():$/;" f member:TestVectorize.test_coverage1_ticket_2100 file: +foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def foo(a, b=1):$/;" f member:TestVectorize.test_keywords file: +foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def foo(a, b=1):$/;" f member:TestVectorize.test_keywords2_ticket_2100 file: +foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def foo(a, b=1):$/;" f member:TestVectorize.test_signature_excluded file: +foo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def foo(x):$/;" f member:TestVectorize.test_assigning_docstring file: +foo adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def foo(t):$/;" f member:TestArrayEqual.test_generic_rank1 file: +foo adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def foo(t):$/;" f member:TestArrayEqual.test_generic_rank3 file: +Foo adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ class Foo(object):$/;" c function:test_inherit_docstring_from file: +Foo adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class Foo:$/;" c +foo1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo1(a, b, c, name=None):$/;" f +Foo1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Foo1 = tf_export("raw_ops.Foo1")(_ops.to_raw_op(foo1))$/;" v +foo1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo1_eager_fallback(a, b, c, name, ctx):$/;" f +foo2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo2(a, b, c, name=None):$/;" f +Foo2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Foo2 = tf_export("raw_ops.Foo2")(_ops.to_raw_op(foo2))$/;" v +foo2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo2_eager_fallback(a, b, c, name, ctx):$/;" f +foo3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo3(a, b, c, name=None):$/;" f +Foo3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Foo3 = tf_export("raw_ops.Foo3")(_ops.to_raw_op(foo3))$/;" v +foo3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def foo3_eager_fallback(a, b, c, name, ctx):$/;" f +FooBackendOptions adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class FooBackendOptions(rpc.RpcBackendOptions):$/;" c +foot adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^foot = 12 * inch$/;" v +footer adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^footer = \\$/;" v +FOOTER adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^FOOTER = u"""\\$/;" v +FootnoteBlockProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnoteBlockProcessor(BlockProcessor):$/;" c +FootnoteExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnoteExtension(Extension):$/;" c +FootnoteInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnoteInlineProcessor(InlineProcessor):$/;" c +FootnotePostprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnotePostprocessor(Postprocessor):$/;" c +FootnotePostTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnotePostTreeprocessor(Treeprocessor):$/;" c +FootnoteTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^class FootnoteTreeprocessor(Treeprocessor):$/;" c +foo_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def foo_add():$/;" f +For adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def For(name, nets_or_steps, iter_num):$/;" f +For adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def For(start,$/;" f +For adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^For = tf_export("raw_ops.For")(_ops.to_raw_op(_for))$/;" v +Forbidden adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Forbidden(HTTPException):$/;" c +force adpepsenv/lib/python3.8/site-packages/jax/core.py /^ force = lambda x: x$/;" f function:concrete_or_error file: +ForceDefaults adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def ForceDefaults(self, forceDefaults):$/;" m class:Builder +forcequit adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^ def forcequit():$/;" f member:WatcherThread.run file: +force_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def force_cpu():$/;" f +force_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def force_fun(x):$/;" f function:_lazy_force_computation file: +force_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def force_fun(_):$/;" f function:_lazy_force_computation file: +force_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def force_gpu():$/;" f +force_reinstall adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def force_reinstall(self):$/;" m class:Factory +force_stateful_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^force_stateful_ops = set([$/;" v +force_type adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def force_type(cls, response, environ=None):$/;" m class:BaseResponse +force_unicode adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^def force_unicode(s):$/;" f +force_unicode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/encoding.py /^def force_unicode(s, encoding='utf-8', strings_only=False, errors='strict'):$/;" f +force_zero_output_for_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def force_zero_output_for_mask(layer):$/;" f member:Bidirectional.__init__ file: +Fore adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^Fore = AnsiFore()$/;" v +fore adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def fore(self, fore=None, light=False, on_stderr=False):$/;" m class:WinTerm +ForestVariables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^class ForestVariables(object):$/;" c +fori_loop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def fori_loop(lower, upper, body_fun, init_val):$/;" f +fork adpepsenv/lib/python3.8/site-packages/torch/jit/_async.py /^def fork(func, *args, **kwargs):$/;" f +FORK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^ FORK = auto()$/;" v class:MultiProcess +ForkHelper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^class ForkHelper(MultiProcessTestCase):$/;" c +ForkingMixIn adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ class ForkingMixIn(object):$/;" c +ForkingMixIn adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ ForkingMixIn = socketserver.ForkingMixIn$/;" v +ForkingWSGIServer adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^class ForkingWSGIServer(ForkingMixIn, BaseWSGIServer):$/;" c +fork_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^def fork_add(t1, t2, dst: str):$/;" f +fork_rng adpepsenv/lib/python3.8/site-packages/torch/random.py /^def fork_rng(devices=None, enabled=True, _caller="fork_rng", _devices_kw="devices"):$/;" f +ForLoopTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def ForLoopTest(self, nets_or_steps):$/;" m class:TestControl +form adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def form(self):$/;" m class:EnvironBuilder +form adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def form(self, value):$/;" m class:EnvironBuilder +form adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def form(self):$/;" m class:BaseRequest +format adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def format(self, record):$/;" m class:ABSLHandler +format adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def format(self, record):$/;" m class:PythonFormatter +format adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ format = "BLP"$/;" v class:BlpImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ format = "BMP"$/;" v class:BmpImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ format = "DIB"$/;" v class:DibImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^ format = "BUFR"$/;" v class:BufrStubImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^ format = "CUR"$/;" v class:CurImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ format = "DCX"$/;" v class:DcxImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^ format = "DDS"$/;" v class:DdsImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ format = "EPS"$/;" v class:EpsImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^ format = "FITS"$/;" v class:FITSStubImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ format = "FLI"$/;" v class:FliImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ format = "FPX"$/;" v class:FpxImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^ format = "FTEX"$/;" v class:FtexImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^ format = "GBR"$/;" v class:GbrImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^ format = "GD"$/;" v class:GdImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ format = "GIF"$/;" v class:GifImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^ format = "GRIB"$/;" v class:GribStubImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^ format = "HDF5"$/;" v class:HDF5StubImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ format = "ICNS"$/;" v class:IcnsImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ format = "ICO"$/;" v class:IcoImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ format = None$/;" v class:Image +format adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ format = "PNG"$/;" v class:MacViewer +format adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ format = "PNG"$/;" v class:UnixViewer +format adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ format = "PNG"$/;" v class:WindowsViewer +format adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ format = None$/;" v class:Viewer +format adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ format = "IM"$/;" v class:ImImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/ImtImagePlugin.py /^ format = "IMT"$/;" v class:ImtImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ format = "IPTC"$/;" v class:IptcImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ format = "JPEG2000"$/;" v class:Jpeg2KImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ format = "JPEG"$/;" v class:JpegImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/McIdasImagePlugin.py /^ format = "MCIDAS"$/;" v class:McIdasImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ format = "MIC"$/;" v class:MicImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ format = "MPEG"$/;" v class:MpegImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ format = "MPO"$/;" v class:MpoImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^ format = "MSP"$/;" v class:MspImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PcdImagePlugin.py /^ format = "PCD"$/;" v class:PcdImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^ format = "PCX"$/;" v class:PcxImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^ format = "PIXAR"$/;" v class:PixarImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ format = "PNG"$/;" v class:PngImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^ format = "PPM"$/;" v class:PpmImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ format = "PSD"$/;" v class:PsdImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^ format = "SGI"$/;" v class:SgiImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ format = "SPIDER"$/;" v class:SpiderImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^ format = "SUN"$/;" v class:SunImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^ format = "TGA"$/;" v class:TgaImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ format = "TIFF"$/;" v class:TiffImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ format = "WEBP"$/;" v class:WebPImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ format = "WMF"$/;" v class:WmfStubImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^ format = "XBM"$/;" v class:XbmImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^ format = "XPM"$/;" v class:XpmImageFile +format adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^ format = "XVThumb"$/;" v class:XVThumbImageFile +format adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def format(self, record):$/;" m class:ColorizedStreamHandler +format adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def format(self, record):$/;" m class:IndentingFormatter +format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ format = DEFAULT_FORMAT # The format to use when creating an archive.$/;" v class:TarFile +format adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def format(self):$/;" m class:MMFile +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ format = 'bsr'$/;" v class:bsr_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ format = 'coo'$/;" v class:coo_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ format = 'csc'$/;" v class:csc_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ format = 'csr'$/;" v class:csr_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ format = 'dia'$/;" v class:dia_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ format = 'dok'$/;" v class:dok_matrix +format adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ format = 'lil'$/;" v class:lil_matrix +Format adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^Format = enum_type_wrapper.EnumTypeWrapper(_FORMAT)$/;" v +Format adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Format(self):$/;" m class:DimensionMetadata +Format adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^ def Format(pb):$/;" f function:ProtoEq file: +format adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_format as format$/;" x +format adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_format as format$/;" x +format adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_format as format$/;" x +format adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ def format(self, value):$/;" m class:_Formatter +formatargspec adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def formatargspec(args, varargs=None, varkw=None, defaults=None,$/;" f +formatargvalues adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def formatargvalues(args, varargs, varkw, locals,$/;" f +FormatControl adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^class FormatControl(object):$/;" c +FormatError adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^class FormatError(IOError):$/;" c +FormatError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class FormatError(ValueError, UnpackException):$/;" c +formatMessage adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^ def formatMessage(self, record):$/;" m class:LogFormatter +formatpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^formatpattern = re.compile($/;" v +FormatStrFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class FormatStrFormatter(Formatter):$/;" c +FormattedKeyError adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^class FormattedKeyError(KeyError):$/;" c +FormattedTimesMixin adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class FormattedTimesMixin(object):$/;" c +Formatter adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^class Formatter(object):$/;" c +formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def formatter(self):$/;" m class:Ticker +formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def formatter(self, formatter):$/;" m class:Ticker +Formatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class Formatter(TickHelper):$/;" c +FormatterDMS adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class FormatterDMS:$/;" c +FormatterHMS adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class FormatterHMS(FormatterDMS):$/;" c +FormatterPrettyPrint adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class FormatterPrettyPrint:$/;" c +formatter_func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def formatter_func(x, pos):$/;" f function:test_tick_label_update file: +formattingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^formattingElements = frozenset([$/;" v +formattingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^formattingElements = frozenset([$/;" v +FORMATTING_TOKENS adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^FORMATTING_TOKENS = (TOKENS.INDENT, TOKENS.DEDENT, TOKENS.NL, TOKENS.NEWLINE,$/;" v +format_alias adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^def format_alias(name, aliases):$/;" f +format_arg adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def format_arg(arg) -> str:$/;" f member:Graph.__str__ file: +format_args adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def format_args(items):$/;" f member:_LiteFuncCall.__str__ file: +FORMAT_ARRAY adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FORMAT_ARRAY = 'array'$/;" v class:MMFile +format_as_single_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def format_as_single_line(self,$/;" m class:Menu +format_bottleneck adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def format_bottleneck(iterator_name, iterator_long_name, iterator_latency_ps):$/;" f +format_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ format_command = {'rpm': ('bdist_rpm', "RPM distribution"),$/;" v class:bdist +format_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ format_commands = ['rpm', 'gztar', 'bztar', 'xztar', 'ztar', 'tar',$/;" v class:bdist +format_command_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def format_command_args(args):$/;" f +format_command_result adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel_legacy.py /^def format_command_result($/;" f +format_const_einsum_str adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def format_const_einsum_str(einsum_str, constants):$/;" f +format_coord adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def format_coord(self, x, y):$/;" m class:_AxesBase +format_coord adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def format_coord(self, lon, lat):$/;" m class:GeoAxes +format_coord adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def format_coord(self, theta, r):$/;" m class:PolarAxes +format_coord adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def format_coord(self, xd, yd):$/;" m class:Axes3D +FORMAT_COORDINATE adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ FORMAT_COORDINATE = 'coordinate'$/;" v class:MMFile +format_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def format_cursor_data(self, data):$/;" m class:Artist +format_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def format_cursor_data(self, data):$/;" m class:AxesImage +format_data adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^ def format_data(self, value):$/;" m class:UnitDblFormatter +format_data adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data(self, value):$/;" m class:Formatter +format_data adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data(self, value):$/;" m class:LogFormatter +format_data adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data(self, value):$/;" m class:ScalarFormatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def format_data_short(self, value):$/;" m class:ConciseDateFormatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^ def format_data_short(self, value):$/;" m class:UnitDblFormatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data_short(self, value):$/;" m class:Formatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data_short(self, value):$/;" m class:LogFormatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data_short(self, value):$/;" m class:LogitFormatter +format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_data_short(self, value):$/;" m class:ScalarFormatter +format_debug adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def format_debug(self):$/;" m class:InstallRequirement +format_deltas adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def format_deltas(key, dx, dy):$/;" f member:_AxesBase.drag_pan file: +format_description adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ format_description = "Blizzard Mipmap Format"$/;" v class:BlpImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ format_description = "Windows Bitmap"$/;" v class:BmpImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ format_description = "Windows Bitmap"$/;" v class:DibImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^ format_description = "BUFR"$/;" v class:BufrStubImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^ format_description = "Windows Cursor"$/;" v class:CurImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ format_description = "Intel DCX"$/;" v class:DcxImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^ format_description = "DirectDraw Surface"$/;" v class:DdsImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ format_description = "Encapsulated Postscript"$/;" v class:EpsImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^ format_description = "FITS"$/;" v class:FITSStubImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ format_description = "Autodesk FLI\/FLC Animation"$/;" v class:FliImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ format_description = "FlashPix"$/;" v class:FpxImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^ format_description = "Texture File Format (IW2:EOC)"$/;" v class:FtexImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^ format_description = "GIMP brush file"$/;" v class:GbrImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^ format_description = "GD uncompressed images"$/;" v class:GdImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ format_description = "Compuserve GIF"$/;" v class:GifImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^ format_description = "GRIB"$/;" v class:GribStubImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^ format_description = "HDF5"$/;" v class:HDF5StubImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ format_description = "Mac OS icns resource"$/;" v class:IcnsImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ format_description = "Windows Icon"$/;" v class:IcoImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ format_description = None$/;" v class:Image +format_description adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ format_description = "IFUNC Image Memory"$/;" v class:ImImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/ImtImagePlugin.py /^ format_description = "IM Tools"$/;" v class:ImtImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ format_description = "IPTC\/NAA"$/;" v class:IptcImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ format_description = "JPEG 2000 (ISO 15444)"$/;" v class:Jpeg2KImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ format_description = "JPEG (ISO 10918)"$/;" v class:JpegImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/McIdasImagePlugin.py /^ format_description = "McIdas area file"$/;" v class:McIdasImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ format_description = "Microsoft Image Composer"$/;" v class:MicImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ format_description = "MPEG"$/;" v class:MpegImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ format_description = "MPO (CIPA DC-007)"$/;" v class:MpoImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^ format_description = "Windows Paint"$/;" v class:MspImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PcdImagePlugin.py /^ format_description = "Kodak PhotoCD"$/;" v class:PcdImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^ format_description = "Paintbrush"$/;" v class:PcxImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^ format_description = "PIXAR raster image"$/;" v class:PixarImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ format_description = "Portable network graphics"$/;" v class:PngImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^ format_description = "Pbmplus image"$/;" v class:PpmImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ format_description = "Adobe Photoshop"$/;" v class:PsdImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^ format_description = "SGI Image File Format"$/;" v class:SgiImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ format_description = "Spider 2D image"$/;" v class:SpiderImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^ format_description = "Sun Raster File"$/;" v class:SunImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^ format_description = "Targa"$/;" v class:TgaImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ format_description = "Adobe TIFF"$/;" v class:TiffImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ format_description = "WebP image"$/;" v class:WebPImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ format_description = "Windows Metafile"$/;" v class:WmfStubImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^ format_description = "X11 Bitmap"$/;" v class:XbmImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^ format_description = "X11 Pixel Map"$/;" v class:XpmImageFile +format_description adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^ format_description = "XV thumbnail image"$/;" v class:XVThumbImageFile +format_description adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def format_description(self, description):$/;" m class:PrettyHelpFormatter +format_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def format_device_option(dev_opt):$/;" f +format_directory_size adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def format_directory_size(path):$/;" f +FORMAT_DOUBLE_LITTLE_ENDIAN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^FORMAT_DOUBLE_LITTLE_ENDIAN = ' Optional[str]:$/;" f member:Graph.__str__ file: +format_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def format_options(option_names):$/;" f function:reject_location_related_install_options file: +format_option_strings adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def format_option_strings(self, option):$/;" m class:PrettyHelpFormatter +format_parser adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^class format_parser:$/;" c +format_pct adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_pct(self, x, display_range):$/;" m class:PercentFormatter +format_re adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^format_re = re.compile(r'(?P[<>|=]?)'$/;" v +format_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^ def format_shape(shape):$/;" f function:model_to_dot file: +format_shape_dtype_string adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def format_shape_dtype_string(shape, dtype):$/;" f +format_shortcut adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def format_shortcut(key_sequence):$/;" m class:ToolHelpBase +format_size adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def format_size(bytes):$/;" f +format_stream adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^ def format_stream(name, value):$/;" f function:start file: +format_string adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def format_string(string, context):$/;" f +format_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def format_tensor(tensor,$/;" f +format_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def format_tensor(tensor,$/;" f +format_test_name_suffix adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def format_test_name_suffix(opname, shapes, dtypes):$/;" f +format_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def format_ticks(self, values):$/;" m class:StrCategoryFormatter +format_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def format_ticks(self, values):$/;" m class:ConciseDateFormatter +format_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def format_ticks(self, values):$/;" m class:Formatter +format_time adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def format_time(timestamp_pb, now=None):$/;" f +format_time adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def format_time(time_us):$/;" f +format_time adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^def format_time(time_us=None, time_ms=None, time_s=None):$/;" f +format_time_absolute adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def format_time_absolute(timestamp_pb):$/;" f +format_time_share adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def format_time_share(time_us, total_time_us):$/;" f +format_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def format_to_string(self, pretty=False):$/;" m class:_ChromeTraceFormatter +FORMAT_UINT32_LITTLE_ENDIAN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^FORMAT_UINT32_LITTLE_ENDIAN = ' Any:$/;" m class:Function +forward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def forward(self, *args: Any) -> Any: # type: ignore$/;" m class:NestedIOFunction +forward adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^ def forward(ctx, i, dest_type):$/;" m class:Type +forward adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^ def forward(ctx, tensor, sizes):$/;" m class:Resize +forward adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def forward(ctx, concentration):$/;" m class:_Dirichlet +forward adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^ def forward(self, *input):$/;" m class:LiteScriptModule +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input):$/;" m class:QuantizedLinear +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input):$/;" m class:QuantizedLinearFP16 +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input, hx=None):$/;" m class:QuantizedGRU +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input, hx=None):$/;" m class:QuantizedGRUCell +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input, hx=None):$/;" m class:QuantizedLSTM +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input, hx=None):$/;" m class:QuantizedLSTMCell +forward adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward(self, input, hx=None):$/;" m class:QuantizedRNNCell +forward adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ forward = _CachedForward()$/;" v class:ScriptModule +forward adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def forward(self, *args, **kwargs):$/;" m class:TracedModule +forward adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def forward(self, *args: torch.Tensor):$/;" m class:ONNXTracedModule +forward adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ forward = _CachedForward()$/;" v class:TopLevelTracedModule +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def forward(self, input):$/;" m class:ConvBnReLU2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def forward(self, input):$/;" m class:ConvReLU2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def forward(self, input):$/;" m class:_ConvBnNd +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^ def forward(self, input):$/;" m class:LinearReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def forward(self, input):$/;" m class:BNReLU2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def forward(self, input):$/;" m class:BNReLU3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def forward(self, input):$/;" m class:ConvReLU1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def forward(self, input):$/;" m class:ConvReLU2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def forward(self, input):$/;" m class:ConvReLU3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^ def forward(self, input):$/;" m class:LinearReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:CELU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:ELU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:GELU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:GLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Hardshrink +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Hardsigmoid +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Hardswish +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Hardtanh +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LeakyReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LogSigmoid +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LogSoftmax +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:PReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:ReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:RReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:SELU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Sigmoid +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:SiLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softmax +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softmax2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softmin +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softplus +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softshrink +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Softsign +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Tanh +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Tanhshrink +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Threshold +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def forward(self, query, key, value, key_padding_mask=None,$/;" m class:MultiheadAttention +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def forward(self, input: Tensor, target: Tensor) -> _ASMoutput:$/;" m class:AdaptiveLogSoftmaxWithLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:SyncBatchNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:_BatchNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^ def forward(self, input):$/;" m class:ChannelShuffle +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def forward(self):$/;" m class:ModuleDict +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def forward(self):$/;" m class:ModuleList +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def forward(self, input):$/;" m class:Sequential +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Conv1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Conv2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Conv3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor, output_size: Optional[List[int]] = None) -> Tensor:$/;" m class:ConvTranspose1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor, output_size: Optional[List[int]] = None) -> Tensor:$/;" m class:ConvTranspose2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def forward(self, input: Tensor, output_size: Optional[List[int]] = None) -> Tensor:$/;" m class:ConvTranspose3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ def forward(self, x1: Tensor, x2: Tensor) -> Tensor:$/;" m class:CosineSimilarity +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ def forward(self, x1: Tensor, x2: Tensor) -> Tensor:$/;" m class:PairwiseDistance +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AlphaDropout +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Dropout +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Dropout2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Dropout3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:FeatureAlphaDropout +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Flatten +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Unflatten +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Fold +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Unfold +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:_InstanceNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def forward(self, input1: Tensor, input2: Tensor) -> Tensor:$/;" m class:Bilinear +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Identity +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Linear +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, anchor: Tensor, positive: Tensor, negative: Tensor) -> Tensor:$/;" m class:TripletMarginLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, anchor: Tensor, positive: Tensor, negative: Tensor) -> Tensor:$/;" m class:TripletMarginWithDistanceLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input1: Tensor, input2: Tensor, target: Tensor) -> Tensor:$/;" m class:CosineEmbeddingLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input1: Tensor, input2: Tensor, target: Tensor) -> Tensor:$/;" m class:MarginRankingLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:BCELoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:BCEWithLogitsLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:CrossEntropyLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:HingeEmbeddingLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:KLDivLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:L1Loss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:MSELoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:MultiLabelMarginLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:MultiLabelSoftMarginLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:MultiMarginLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:NLLLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:SmoothL1Loss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, input: Tensor, target: Tensor) -> Tensor:$/;" m class:SoftMarginLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, log_input: Tensor, target: Tensor) -> Tensor:$/;" m class:PoissonNLLLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def forward(self, log_probs: Tensor, targets: Tensor, input_lengths: Tensor, target_lengths:/;" m class:CTCLoss +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ forward: Callable[..., Any] = _forward_unimplemented$/;" v class:Module +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:CrossMapLRN2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:GroupNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LayerNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LocalResponseNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:_ConstantPadNd +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:_ReflectionPadNd +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:_ReplicationPadNd +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:PixelShuffle +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveAvgPool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveAvgPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveAvgPool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveMaxPool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveMaxPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveMaxPool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AvgPool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AvgPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:AvgPool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:FractionalMaxPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:FractionalMaxPool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LPPool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:LPPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:MaxPool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:MaxPool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:MaxPool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor, indices: Tensor, output_size: Optional[List[int]] = None) -/;" m class:MaxUnpool1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor, indices: Tensor, output_size: Optional[List[int]] = None) -/;" m class:MaxUnpool2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def forward(self, input: Tensor, indices: Tensor, output_size: Optional[List[int]] = None) -/;" m class:MaxUnpool3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input, hx=None): # noqa: F811$/;" m class:GRU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input, hx=None): # noqa: F811$/;" m class:LSTM +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: PackedSequence, hx: Optional[Tensor] = None) -> Tuple[PackedSequenc/;" m class:GRU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: PackedSequence, hx: Optional[Tuple[Tensor, Tensor]] = None$/;" m class:LSTM +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tensor:$/;" m class:GRUCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tensor:$/;" m class:RNNCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tuple[Tensor, Tensor]: # n/;" m class:GRU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tuple[Tensor, Tensor]:$/;" m class:RNNBase +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tuple[Tensor, Tensor]] = None$/;" m class:LSTM +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tuple[Tensor, Tensor]] = None) -> Tuple[Tensor/;" m class:LSTMCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Embedding +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def forward(self, input: Tensor, offsets: Optional[Tensor] = None, per_sample_weights: Optio/;" m class:EmbeddingBag +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def forward(self, src: Tensor, mask: Optional[Tensor] = None, src_key_padding_mask: Optional/;" m class:TransformerEncoder +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def forward(self, src: Tensor, src_mask: Optional[Tensor] = None, src_key_padding_mask: Opti/;" m class:TransformerEncoderLayer +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def forward(self, src: Tensor, tgt: Tensor, src_mask: Optional[Tensor] = None, tgt_mask: Opt/;" m class:Transformer +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def forward(self, tgt: Tensor, memory: Tensor, tgt_mask: Optional[Tensor] = None, memory_mas/;" m class:TransformerDecoderLayer +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def forward(self, tgt: Tensor, memory: Tensor, tgt_mask: Optional[Tensor] = None,$/;" m class:TransformerDecoder +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ def forward(self, input: Tensor) -> Tensor:$/;" m class:Upsample +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^ def forward(ctx, input, size, alpha=1e-4, beta=0.75, k=1):$/;" m class:CrossMapLRN2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^ def forward(self, input, weight, bias, running_mean, running_var, eps, momentum, process_gro/;" m class:SyncBatchNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def forward(self, *inputs, **kwargs):$/;" m class:DataParallel +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def forward(self, *inputs, **kwargs):$/;" m class:DistributedDataParallel +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def forward(ctx, destination, num_inputs, *grads):$/;" m class:ReduceAddCoalesced +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def forward(ctx, target_device, dim, *inputs):$/;" m class:Gather +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def forward(ctx, target_gpus, *inputs):$/;" m class:Broadcast +forward adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^ def forward(ctx, target_gpus, chunk_sizes, dim, input):$/;" m class:Scatter +forward adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^ def forward(self, input):$/;" m class:Conv2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^ def forward(self, input):$/;" m class:Linear +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def forward(self, x):$/;" m class:Linear +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward(self, input, hx=None):$/;" m class:LSTM +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tensor:$/;" m class:GRUCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tensor] = None) -> Tensor:$/;" m class:RNNCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward(self, input: Tensor, hx: Optional[Tuple[Tensor, Tensor]] = None) -> Tuple[Tensor/;" m class:LSTMCell +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def forward(self, input):$/;" m class:ELU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def forward(self, input):$/;" m class:Hardswish +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def forward(self, input):$/;" m class:ReLU +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def forward(self, input):$/;" m class:ReLU6 +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def forward(self, input):$/;" m class:BatchNorm2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def forward(self, input):$/;" m class:BatchNorm3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def forward(self, input):$/;" m class:Conv1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def forward(self, input):$/;" m class:Conv2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def forward(self, input):$/;" m class:Conv3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def forward(self, input):$/;" m class:ConvTranspose1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def forward(self, input):$/;" m class:ConvTranspose2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def forward(self, indices: Tensor) -> Tensor:$/;" m class:Embedding +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def forward(self, indices: Tensor, offsets: Optional[Tensor] = None, per_sample_weights: Opt/;" m class:EmbeddingBag +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def forward(self, x):$/;" m class:EmbeddingPackedParams +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def forward(self, x):$/;" m class:FloatFunctional +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def forward(self, x):$/;" m class:QFunctional +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def forward(self, x):$/;" m class:Linear +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def forward(self, x):$/;" m class:LinearPackedParams +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def forward(self, input):$/;" m class:GroupNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def forward(self, input):$/;" m class:InstanceNorm1d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def forward(self, input):$/;" m class:InstanceNorm2d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def forward(self, input):$/;" m class:InstanceNorm3d +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def forward(self, input):$/;" m class:LayerNorm +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def forward(self, X):$/;" m class:Quantize +forward adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def forward(self, Xq):$/;" m class:DeQuantize +forward adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def forward(self, *args, **kwargs):$/;" m class:AveragedModel +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def forward(self, X):$/;" m class:FakeQuantize +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x):$/;" m class:NoopObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x):$/;" m class:ObserverBase +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x):$/;" m class:PlaceholderObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x):$/;" m class:RecordingObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x_orig):$/;" m class:HistogramObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x_orig):$/;" m class:MinMaxObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x_orig):$/;" m class:MovingAverageMinMaxObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x_orig):$/;" m class:MovingAveragePerChannelMinMaxObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def forward(self, x_orig):$/;" m class:PerChannelMinMaxObserver +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def forward(self, x):$/;" m class:DeQuantStub +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def forward(self, x):$/;" m class:QuantStub +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def forward(self, X):$/;" m class:QuantWrapper +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^ def forward(self, x, y):$/;" m class:MeanShadowLogger +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def forward(ctx, X, scale, zero_point, ch_axis, q_min, q_max, grad_factor):$/;" m class:_LearnableFakeQuantizePerChannelOp +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def forward(ctx, X, scale, zero_point, q_min, q_max, grad_factor):$/;" m class:_LearnableFakeQuantizePerTensorOp +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def forward(self, X):$/;" m class:_LearnableFakeQuantize +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def forward(self, *x):$/;" m class:Shadow +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def forward(self, x):$/;" m class:Logger +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def forward(self, x):$/;" m class:OutputLogger +forward adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def forward(self, x, y):$/;" m class:ShadowLogger +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def forward(self, *args):$/;" m class:wrap_functional.FunctionalModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, indices):$/;" m class:EmbeddingModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, indices, linear_in):$/;" m class:EmbeddingWithLinear +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, indices, offsets, per_sample_weights):$/;" m class:EmbeddingBagModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ActivationsTestModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedConvBnModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedConvBnReLUModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedConvModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedConvTransposeModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedCustomConfigNestedModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedNestedModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedSingleLayerLinearModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedSkipQuantModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedSubNestedModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:AnnotatedTwoLayerLinearModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ConvBnModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ConvModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ConvTransposeModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:DummyObserver +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:InnerModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:LinearModelWithSubmodule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:LinearReluModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ManualConvLinearQATModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ManualLinearQATModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelForFusion +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelForFusionWithBias +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelMultipleOps +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelMultipleOpsNoAvgPool +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelWithFunctionals +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ModelWithSequentialFusion +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:NestedModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:NormalizationTestModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:QuantStubModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:QuantSubModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:ResNetBase +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:RNNCellDynamicModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:RNNDynamicModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:SingleLayerLinearDynamicModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:SingleLayerLinearModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:SkipQuantModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:SubModelForFusion +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:SubModelWithoutFusion +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x):$/;" m class:TwoLayerLinearModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def forward(self, x, hid):$/;" m class:LSTMwithHiddenDynamicModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def forward(self, input: FeatureSet):$/;" m class:HybridModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def forward(self, input: torch.Tensor):$/;" m class:RemoteEM +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def forward(self, input: torch.Tensor):$/;" m class:RemoteNet +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x):$/;" m class:DistributedTest._DistTestBase.test_ddp_unused_params_rebuild_buckets_exception.ToyModel +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x, rank):$/;" m class:DistributedTest._DistTestBase.test_ddp_uneven_inputs.UnusedParamModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, _):$/;" m class:DistributedTest._DistTestBase.test_ddp_uneven_input_exception.ExceptionModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x):$/;" m class:BatchNormNet +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x):$/;" m class:Net +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x):$/;" m class:Task +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def forward(self, x):$/;" m class:_FC2 +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def forward($/;" m class:MyModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def forward($/;" m class:MyModuleInterface +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def forward($/;" m class:RemoteMyModuleInterface +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp):$/;" m class:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref.MyFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp):$/;" m class:DistAutogradTest.test_no_grad_copy.MyFuncSingleGrad +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp):$/;" m class:DistAutogradTest.test_no_grad_copy_sparse.MyFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp1):$/;" m class:DistAutogradTest.test_no_grad_copy.NonContGradFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp1, inp2):$/;" m class:DistAutogradTest.test_no_grad_copy.MyFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, inp1, inp2):$/;" m class:DistAutogradTest.test_no_grad_copy_sparse.NonContGradFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, input):$/;" m class:DistAutogradTest.MyBackwardFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, input):$/;" m class:DistAutogradTest.TestDebugInfoFunc +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def forward(ctx, input):$/;" m class:SimulateBackwardError +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def forward(self, t1):$/;" m class:MyModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def forward(self) -> Tensor:$/;" m class:MyModuleInterface +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def forward(self) -> Tensor:$/;" m class:MyScriptModule +forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def forward(self) -> Tensor:$/;" m class:MyScriptModuleWithRRefs +forward adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^ def forward(input):$/;" f function:checkpoint_sequential.run_function file: +forward adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^ def forward(ctx, run_function, preserve_rng_state, *args):$/;" m class:CheckpointFunction +forward adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def forward(self, x):$/;" m class:MkldnnBatchNorm +forward adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def forward(self, x):$/;" m class:MkldnnLinear +forward adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def forward(self, x):$/;" m class:_MkldnnConvNd +forward adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def forward(ctx,$/;" m class:LOBPCGAutogradFunction +forward adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def forward(self, length=1):$/;" m class:Reader +ForwardAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^class ForwardAccumulator():$/;" c +FORWARD_ARROW_TEXT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ FORWARD_ARROW_TEXT = "-->"$/;" v class:CursesNavigationHistory +forward_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def forward_async($/;" m class:RemoteMyModuleInterface +forward_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def forward_backward(self, num_doutputs=None):$/;" m class:_DelayedRewriteGradientFunctions +forward_bytes_to_stdout adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def forward_bytes_to_stdout(val):$/;" f +forward_compatibility_horizon adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^def forward_compatibility_horizon(year, month, day):$/;" f +forward_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^def forward_compatible(year, month, day):$/;" f +forward_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def forward_context(self):$/;" m class:_GradLoopState +forward_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def forward_event_shape(self, input_shape):$/;" m class:Bijector +forward_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def forward_event_shape_tensor(self,$/;" m class:Bijector +forward_extended adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def forward_extended(self, *input: Any) -> None:$/;" m class:NestedIOFunction +FORWARD_FUNCTION_ATTRIBUTE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^FORWARD_FUNCTION_ATTRIBUTE_NAME = "forward_function_name"$/;" v +forward_impl adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_impl(self, input, hx, batch_sizes, max_batch_size, sorted_indices):$/;" m class:QuantizedGRU +forward_impl adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_impl(self, input, hx, batch_sizes, max_batch_size, sorted_indices):$/;" m class:QuantizedLSTM +forward_impl adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward_impl($/;" m class:LSTM +forward_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def forward_index(self):$/;" m class:_GradLoopState +forward_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def forward_log_det_jacobian($/;" m class:Bijector +forward_loop_exits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def forward_loop_exits(self):$/;" m class:_GradLoopState +forward_magic_method adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def forward_magic_method(self, method_name, *args, **kwargs):$/;" m class:RecursiveScriptModule +forward_min_event_ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def forward_min_event_ndims(self):$/;" m class:Bijector +forward_model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def forward_model_build_fun(self, model, loss_scale=None):$/;" m class:Seq2SeqModelCaffe2 +forward_packed adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_packed(self, input, hx=None):$/;" m class:QuantizedGRU +forward_packed adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_packed(self, input, hx=None):$/;" m class:QuantizedLSTM +forward_packed adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward_packed($/;" m class:LSTM +forward_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def forward_script($/;" f member:JitDistAutogradTest.test_restore_context_after_swtich_to_jit_thread file: +forward_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def forward_sync(self):$/;" m class:_GradLoopState +forward_tensor adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_tensor(self, input, hx=None):$/;" m class:QuantizedGRU +forward_tensor adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def forward_tensor(self, input, hx=None):$/;" m class:QuantizedLSTM +forward_tensor adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def forward_tensor($/;" m class:LSTM +forward_time adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def forward_time(xi, yi):$/;" f function:get_integrator file: +for_all_test_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def for_all_test_methods(decorator, *args, **kwargs):$/;" f +for_all_test_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def for_all_test_methods(decorator, *args, **kwargs):$/;" f +for_fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def for_fetch(fetch):$/;" m class:_FetchMapper +for_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def for_loop(loop_fn, loop_fn_dtypes, iters, parallel_iterations=None):$/;" f +for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def for_stmt(iter_, extra_test, body, get_state, set_state, symbol_names, opts):$/;" f +for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def for_stmt(iter_,$/;" f +for_subclass_implementers adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^def for_subclass_implementers(obj):$/;" f +FoundValue adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^class FoundValue(Exception):$/;" c +found_autograd adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_autograd = False$/;" v +found_autograd adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_autograd = True$/;" v +found_cupy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_cupy = False$/;" v +found_cupy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_cupy = True$/;" v +FOUND_IT adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ FOUND_IT = 1$/;" v class:ProbingState +FOUND_IT adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ FOUND_IT = 1$/;" v class:ProbingState +found_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_jax = False$/;" v +found_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_jax = True$/;" v +found_tensorflow adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_tensorflow = False$/;" v +found_tensorflow adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_tensorflow = True$/;" v +found_theano adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_theano = False$/;" v +found_theano adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_theano = True$/;" v +found_torch adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_torch = False$/;" v +found_torch adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ found_torch = True$/;" v +fourier_ellipsoid adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def fourier_ellipsoid(input, size, n=-1, axis=-1, output=None):$/;" f +fourier_gaussian adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def fourier_gaussian(input, sigma, n=-1, axis=-1, output=None):$/;" f +fourier_shift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def fourier_shift(input, shift, n=-1, axis=-1, output=None):$/;" f +fourier_uniform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def fourier_uniform(input, size, n=-1, axis=-1, output=None):$/;" f +four_replica_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^four_replica_strategies = [$/;" v +fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ fp = lambda x: 2 * x$/;" f member:TestLineSearch.test_line_search_wolfe2_bounds file: +fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fp(x):$/;" f function:test_gh_8881 file: +fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fp(x):$/;" f function:test_gh_9608_preserve_array_shape file: +fp adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^ def fp(x):$/;" f function:_bessel_zeros file: +FP16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^FP16 = "FP16"$/;" v +FP16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ FP16 = "FP16"$/;" v class:TrtPrecisionMode +FP16SgdOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class FP16SgdOptimizer(SgdOptimizer):$/;" c +FP16_ENGINES adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^FP16_ENGINES = ["SIMD_Q_FP16", "SIMD_Q_STOC_FP16", "SIMD_Q_STOC_MKL_FP16"]$/;" v +FP32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^FP32 = "FP32"$/;" v +FP32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ FP32 = "FP32"$/;" v class:TrtPrecisionMode +fp32_execution adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def fp32_execution(self):$/;" m class:QuantizationMode +fpos32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ fpos32 = lambda x, **k: np.format_float_positional(np.float32(x), **k)$/;" f member:TestRealScalars.test_dragon4 file: +fpos64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ fpos64 = lambda x, **k: np.format_float_positional(np.float64(x), **k)$/;" f member:TestRealScalars.test_dragon4 file: +fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fpp(x):$/;" f function:test_gh_8881 file: +fpp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fpp(x):$/;" f function:test_gh_9608_preserve_array_shape file: +fpp_array adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fpp_array(x):$/;" f function:test_gh_9608_preserve_array_shape file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ fprime = lambda x: -func(x)$/;" f member:CheckOptimizeParameterized.test_bfgs_infinite file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ fprime = lambda x: np.ones_like(x) # Steer away from zero.$/;" f member:TestOptimizeSimple.test_bfgs_nan_return file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ fprime = lambda x: np.ones_like(x)$/;" f member:TestOptimizeSimple.test_bfgs_nan file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def fprime(x):$/;" f member:TestBasic.test_array_newton_complex file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ def fprime(self, x, *args):$/;" m class:MemoizeDer +fprime adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def fprime(x, t, sys):$/;" f function:lsim2 file: +fprime adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def fprime(x, t, sys, ufunc):$/;" f function:lsim2 file: +fprime2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ def fprime2(self, x, *args):$/;" m class:MemoizeDer +fprime_eqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fprime_eqcon(self, x, sign=1.0):$/;" m class:TestSLSQP +fprime_eqcon_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fprime_eqcon_scalar(self, x, sign=1.0):$/;" m class:TestSLSQP +fprime_ieqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fprime_ieqcon(self, x, sign=1.0):$/;" m class:TestSLSQP +fprime_ieqcon2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fprime_ieqcon2(self, x):$/;" m class:TestSLSQP +FPUModeChangeWarning adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^class FPUModeChangeWarning(RuntimeWarning):$/;" c +FPUModeCheckPlugin adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^class FPUModeCheckPlugin(Plugin):$/;" c +FpxImageFile adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^class FpxImageFile(ImageFile.ImageFile):$/;" c +fp_u_str adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^fp_u_str = open(pjoin(test_data_path, 'japanese_utf8.txt'), 'rb')$/;" v +fqr adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^from tensorflow_estimator.python.estimator.inputs.queues import feeding_queue_runner as fqr$/;" x +FR adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +FR adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +frac adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def frac(self, s, loc, toks):$/;" m class:Parser +FRACE_TELECOM_G729 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ FRACE_TELECOM_G729 = 0xA123$/;" v class:WAVE_FORMAT +Fraction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class Fraction(_Base):$/;" c +fraction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ fraction = (signed_integer().setParseAction(convertToFloat) + '\/' + signed_integer().setPar/;" v class:pyparsing_common +fraction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ fraction = (signed_integer().setParseAction(convertToFloat) + '\/' + signed_integer().setPar/;" v class:pyparsing_common +fraction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ fraction = (signed_integer().setParseAction(convertToFloat) + '\/' + signed_integer().setPar/;" v class:pyparsing_common +fraction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ fraction = (signed_integer().setParseAction(convertToFloat) + '\/' + signed_integer().setPar/;" v class:pyparsing_common +FractionalAvgPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FractionalAvgPool = tf_export("raw_ops.FractionalAvgPool")(_ops.to_raw_op(fractional_avg_pool))$/;" v +FractionalAvgPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FractionalAvgPoolGrad = tf_export("raw_ops.FractionalAvgPoolGrad")(_ops.to_raw_op(fractional_avg/;" v +FractionalMatrixPowerError adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class FractionalMatrixPowerError(np.linalg.LinAlgError):$/;" c +FractionalMaxPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FractionalMaxPool = tf_export("raw_ops.FractionalMaxPool")(_ops.to_raw_op(fractional_max_pool))$/;" v +FractionalMaxPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class FractionalMaxPool2d(Module):$/;" c +FractionalMaxPool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class FractionalMaxPool3d(Module):$/;" c +FractionalMaxPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FractionalMaxPoolGrad = tf_export("raw_ops.FractionalMaxPoolGrad")(_ops.to_raw_op(fractional_max/;" v +fractional_avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_avg_pool(value, pooling_ratio, pseudo_random=False, overlapping=False, determinis/;" f +fractional_avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def fractional_avg_pool(value,$/;" f +fractional_avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import fractional_avg_pool_v2 as fractional_avg_pool$/;" x +fractional_avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import fractional_avg_pool_v2 as fractional_avg_pool$/;" x +fractional_avg_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_avg_pool_eager_fallback(value, pooling_ratio, pseudo_random, overlapping, determi/;" f +fractional_avg_pool_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_avg_pool_grad(orig_input_tensor_shape, out_backprop, row_pooling_sequence, col_po/;" f +fractional_avg_pool_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_avg_pool_grad_eager_fallback(orig_input_tensor_shape, out_backprop, row_pooling_s/;" f +fractional_avg_pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def fractional_avg_pool_v2(value,$/;" f +fractional_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def fractional_matrix_power(A, t):$/;" f +fractional_max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_max_pool(value, pooling_ratio, pseudo_random=False, overlapping=False, determinis/;" f +fractional_max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def fractional_max_pool(value,$/;" f +fractional_max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import fractional_max_pool_v2 as fractional_max_pool$/;" x +fractional_max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import fractional_max_pool_v2 as fractional_max_pool$/;" x +fractional_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^fractional_max_pool2d = boolean_dispatch($/;" v +fractional_max_pool2d_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def fractional_max_pool2d_test(test_case):$/;" f +fractional_max_pool2d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def fractional_max_pool2d_with_indices(input, kernel_size, output_size=None,$/;" f +fractional_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^fractional_max_pool3d = boolean_dispatch($/;" v +fractional_max_pool3d_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def fractional_max_pool3d_test(test_case):$/;" f +fractional_max_pool3d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def fractional_max_pool3d_with_indices(input, kernel_size, output_size=None,$/;" f +fractional_max_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_max_pool_eager_fallback(value, pooling_ratio, pseudo_random, overlapping, determi/;" f +fractional_max_pool_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_max_pool_grad(orig_input, orig_output, out_backprop, row_pooling_sequence, col_po/;" f +fractional_max_pool_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fractional_max_pool_grad_eager_fallback(orig_input, orig_output, out_backprop, row_pooling_s/;" f +fractional_max_pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def fractional_max_pool_v2(value,$/;" f +fragment adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^fragment = r"(?: %(pchar)s | \/ | \\? )*" % locals()$/;" v +fragmentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ fragmentClass = None$/;" v class:TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def fragmentClass(self):$/;" m class:getDomBuilder.TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ fragmentClass = DocumentFragment$/;" v class:getETreeBuilder.TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ fragmentClass = Document$/;" v class:TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ fragmentClass = None$/;" v class:TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def fragmentClass(self):$/;" m class:getDomBuilder.TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ fragmentClass = DocumentFragment$/;" v class:getETreeBuilder.TreeBuilder +fragmentClass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ fragmentClass = Document$/;" v class:TreeBuilder +FragmentRoot adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^class FragmentRoot(Root):$/;" c +FragmentRoot adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^class FragmentRoot(Root):$/;" c +FragmentWrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^class FragmentWrapper(object):$/;" c +FragmentWrapper adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^class FragmentWrapper(object):$/;" c +frame adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def frame(self):$/;" m class:DynamicJaxprTrace +Frame adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^Frame = Any # xla_client.Traceback::Frame$/;" v +frame adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def frame(self):$/;" m class:Duration +frame adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def frame(self):$/;" m class:Epoch +Frame adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ class Frame(dict):$/;" c function:test_funcanimation_cache_frame_data file: +frame adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def frame(self, idx):$/;" m class:IcoFile +frame adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/shape_ops.py /^def frame(signal, frame_length, frame_step, pad_end=False, pad_value=0, axis=-1,$/;" f +Frame adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^class Frame(object):$/;" c +FrameInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^class FrameInfo($/;" c +frameon adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ frameon = property(get_frameon, set_frameon)$/;" v class:Figure +FRAMES adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^FRAMES = "File size (no of images)"$/;" v +FrameSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^FrameSummary = _tf_stack.FrameSummary$/;" v +frames_generator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def frames_generator():$/;" f function:test_funcanimation_cache_frame_data file: +FrameworkDir32 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FrameworkDir32(self):$/;" m class:SystemInfo +FrameworkDir64 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FrameworkDir64(self):$/;" m class:SystemInfo +FrameworkVersion32 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FrameworkVersion32(self):$/;" m class:SystemInfo +FrameworkVersion64 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FrameworkVersion64(self):$/;" m class:SystemInfo +framework_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^from tensorflow.python.framework import combinations as framework_combinations$/;" x +framework_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^from tensorflow.python.framework import config as framework_config$/;" x +framework_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^from tensorflow.python.framework import device as framework_device$/;" x +framework_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^from tensorflow.python.framework import function as framework_function$/;" x +framework_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^from tensorflow.python.framework import ops as framework_ops$/;" x +frame_ancestors adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ frame_ancestors = csp_property("frame-ancestors")$/;" v class:ContentSecurityPolicy +frame_format adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def frame_format(self):$/;" m class:FileMovieWriter +frame_format adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def frame_format(self, frame_format):$/;" m class:FileMovieWriter +FRAME_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^FRAME_HTML = u"""\\$/;" v +frame_size adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def frame_size(self):$/;" m class:AbstractMovieWriter +frame_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ frame_src = csp_property("frame-src")$/;" v class:ContentSecurityPolicy +FRAUNHOFER_IIS_MPEG2_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ FRAUNHOFER_IIS_MPEG2_AAC = 0x0180$/;" v class:WAVE_FORMAT +FreeFormField adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class FreeFormField(univ.Choice):$/;" c +freetype adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def freetype(font):$/;" f function:truetype file: +freetype2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class freetype2_info(_pkg_config_info):$/;" c +FreeTypeFont adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^class FreeTypeFont:$/;" c +FreeVar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^FreeVar = namedtuple('FreeVar', ['val'])$/;" v +freeze adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def freeze(self):$/;" m class:NetBuilder +freeze adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^def freeze($/;" f +freeze adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def freeze(self, *args, **kwds):$/;" m class:rv_generic +freeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def freeze(self):$/;" m class:Node +freeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def freeze(self):$/;" m class:InfeedQueue +freeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def freeze(self):$/;" m class:ShardingPolicy +freeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def freeze(self):$/;" m class:PythonStateSaveable +freeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def freeze(self):$/;" m class:PythonStringStateSaveable +freeze adpepsenv/lib/python3.8/site-packages/torch/jit/_freeze.py /^def freeze(mod, preserved_attrs: Optional[List[str]] = None):$/;" f +freeze adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def freeze(self):$/;" m class:BaseResponse +freeze adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def freeze(self, no_etag=False):$/;" m class:ETagResponseMixin +FreezeCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^class FreezeCommand(Command):$/;" c +freeze_bn_stats adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def freeze_bn_stats(self):$/;" m class:_ConvBnNd +freeze_bn_stats adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^def freeze_bn_stats(mod):$/;" f +freeze_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def freeze_graph(sess, input_tensors, output_tensors):$/;" f +freeze_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def freeze_graph(input_graph,$/;" f +freeze_graph_with_def_protos adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def freeze_graph_with_def_protos(input_graph_def,$/;" f +freeze_rng_state adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def freeze_rng_state():$/;" f +freeze_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def freeze_saved_model(saved_model_dir, input_arrays, input_shapes,$/;" f +free_dead_references adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def free_dead_references(self):$/;" m class:SharedCache +free_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def free_vars(self):$/;" m class:Scope +FREQNAMES adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^FREQNAMES = ['YEARLY', 'MONTHLY', 'WEEKLY', 'DAILY', 'HOURLY', 'MINUTELY', 'SECONDLY']$/;" v +freqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def freqresp(self, w=None, n=10000):$/;" m class:lti +freqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def freqresp(self, w=None, n=10000, whole=False):$/;" m class:dlti +freqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def freqresp(system, w=None, n=10000):$/;" f +freqs adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def freqs(b, a, worN=200, plot=None):$/;" f +freqs_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def freqs_zpk(z, p, k, worN=200):$/;" f +frequencies adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ frequencies = np.array([1, 4, 1])$/;" v class:TestCov +FrequencyEstimatorParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^FrequencyEstimatorParameters = _reflection.GeneratedProtocolMessageType('FrequencyEstimatorParam/;" v +FREQUENT_TRACING_WARNING_MAX_CALL_HISTORY adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^FREQUENT_TRACING_WARNING_MAX_CALL_HISTORY = 10$/;" v +FREQUENT_TRACING_WARNING_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^FREQUENT_TRACING_WARNING_THRESHOLD = 5$/;" v +freqz adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def freqz(b, a=1, worN=512, whole=False, plot=None, fs=2*pi, include_nyquist=False):$/;" f +freqz_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def freqz_zpk(z, p, k, worN=512, whole=False, fs=2*pi):$/;" f +FREQ_CAT_NUM adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^FREQ_CAT_NUM = 4$/;" v +FREQ_CAT_NUM adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^FREQ_CAT_NUM = 4$/;" v +FreshestCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class FreshestCRL(CRLDistributionPoints):$/;" c +FreshestCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class FreshestCRL(CRLDistributionPoints):$/;" c +fresh_resource_name adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def fresh_resource_name(tag=None):$/;" f +fresnel adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void fresnel(Dd_number_t x0, Dd_number_t *y0, Dd_number_t *y1) nogil$/;" f +fresnelc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def fresnelc(x):$/;" f member:TestSystematic.test_fresnelc file: +FresnelCos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^FresnelCos = tf_export("raw_ops.FresnelCos")(_ops.to_raw_op(fresnel_cos))$/;" v +fresnelc_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def fresnelc_zeros(nt):$/;" f +fresnels adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def fresnels(x):$/;" f member:TestSystematic.test_fresnels file: +FresnelSin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^FresnelSin = tf_export("raw_ops.FresnelSin")(_ops.to_raw_op(fresnel_sin))$/;" v +fresnels_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def fresnels_zeros(nt):$/;" f +fresnel_cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def fresnel_cos(x, name=None):$/;" f +fresnel_cos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def fresnel_cos(x, name=None):$/;" f +fresnel_cos_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def fresnel_cos_eager_fallback(x, name, ctx):$/;" f +fresnel_sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def fresnel_sin(x, name=None):$/;" f +fresnel_sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def fresnel_sin(x, name=None):$/;" f +fresnel_sin_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def fresnel_sin_eager_fallback(x, name, ctx):$/;" f +fresnel_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def fresnel_zeros(nt):$/;" f +frexp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def frexp(x):$/;" f +FRIDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +friedmanchisquare adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def friedmanchisquare(*args):$/;" f +friedmanchisquare adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def friedmanchisquare(*args):$/;" f +FriedmanchisquareResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^FriedmanchisquareResult = namedtuple('FriedmanchisquareResult',$/;" v +FriedmanchisquareResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^FriedmanchisquareResult = namedtuple('FriedmanchisquareResult',$/;" v +FriendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class FriendlyName(char.BMPString):$/;" c +friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^friendlyName = SingleAttribute()$/;" v +FriendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class FriendlyName(univ.Sequence):$/;" c +FriendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class FriendlyName(char.BMPString):$/;" c +friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^friendlyName = CMSSingleAttribute()$/;" v +frobenius_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def frobenius_norm(g, self, dim=None, keepdim=False):$/;" f +fromarray adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def fromarray(obj, mode=None):$/;" f +fromarrays adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def fromarrays(arrayList, dtype=None, shape=None, formats=None,$/;" f +fromarrays adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def fromarrays(arraylist, dtype=None, shape=None, formats=None,$/;" f +fromBinaryString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def fromBinaryString(cls, value, internalFormat=False, prepend=None):$/;" m class:BitString +fromBinaryString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def fromBinaryString(value):$/;" m class:OctetString +frombuf adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def frombuf(cls, buf, encoding, errors):$/;" m class:TarInfo +frombuffer adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^frombuffer = _convert2ma('frombuffer')$/;" v +frombuffer adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def frombuffer(mode, size, data, decoder_name="raw", *args):$/;" f +frombytes adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def frombytes(self, data, decoder_name="raw", *args):$/;" m class:Image +frombytes adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def frombytes(mode, size, data, decoder_name="raw", *args):$/;" f +frombytes adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def frombytes(self, buffer):$/;" m class:Dib +FromDatetime adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromDatetime(self, dt):$/;" m class:Timestamp +fromDateTime adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def fromDateTime(cls, dt):$/;" m class:TimeMixIn +fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def fromfile(fd, dtype=None, shape=None, offset=0, formats=None,$/;" f +fromfile adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def fromfile(file, dtype=float, count=-1, sep=''):$/;" f +fromflex adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def fromflex(fxarray):$/;" f +fromfunction adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def fromfunction(function, shape, *, dtype=float, **kwargs):$/;" f +fromfunction adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^fromfunction = _convert2ma('fromfunction')$/;" v +fromHexString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def fromHexString(cls, value, internalFormat=False, prepend=None):$/;" m class:BitString +fromHexString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def fromHexString(value):$/;" m class:OctetString +frominterface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class frominterface:$/;" c member:TestFlags.test_writeable_any_base file: +FromJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromJsonString(self, value):$/;" m class:Duration +FromJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromJsonString(self, value):$/;" m class:FieldMask +FromJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromJsonString(self, value):$/;" m class:Timestamp +fromkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def fromkeys(cls, iterable, *args):$/;" m class:ChainMap +fromkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def fromkeys(cls, iterable, value=None):$/;" m class:OrderedDict +fromkeys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def fromkeys(cls):$/;" m class:CombinedMultiDict +fromkeys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def fromkeys(cls, keys, value=None):$/;" m class:ImmutableDictMixin +FromMicroseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromMicroseconds(self, micros):$/;" m class:Duration +FromMicroseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromMicroseconds(self, micros):$/;" m class:Timestamp +FromMilliseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromMilliseconds(self, millis):$/;" m class:Duration +FromMilliseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromMilliseconds(self, millis):$/;" m class:Timestamp +FromNanoseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromNanoseconds(self, nanos):$/;" m class:Duration +FromNanoseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromNanoseconds(self, nanos):$/;" m class:Timestamp +fromnumeric adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^import numpy.core.fromnumeric as fromnumeric$/;" I +fromnumeric adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^import numpy.core.fromnumeric as fromnumeric$/;" I +fromnumeric adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^import numpy.core.fromnumeric as fromnumeric$/;" I +fromOctetString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def fromOctetString(cls, value, internalFormat=False, prepend=None, padding=0):$/;" m class:BitString +fromqimage adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def fromqimage(im):$/;" f +fromqimage adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def fromqimage(im):$/;" f +fromqpixmap adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def fromqpixmap(im):$/;" f +fromqpixmap adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def fromqpixmap(im):$/;" f +fromrecords adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def fromrecords(recList, dtype=None, shape=None, formats=None, names=None,$/;" f +fromrecords adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def fromrecords(reclist, dtype=None, shape=None, formats=None, names=None,$/;" f +fromregex adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def fromregex(file, regexp, dtype, encoding=None):$/;" f +fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def fromroots(cls, roots, domain=[], window=None):$/;" m class:ABCPolyBase +FromSeconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromSeconds(self, seconds):$/;" m class:Duration +FromSeconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromSeconds(self, seconds):$/;" m class:Timestamp +FromServiceAccountMixin adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^class FromServiceAccountMixin(object):$/;" c +fromspline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def fromspline(cls, xk, cvals, order, fill=0.0):$/;" m class:_ppform +FromString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def FromString(s):$/;" f function:_AddStaticMethods file: +fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def fromstring(datastring, dtype=None, shape=None, offset=0, formats=None,$/;" f +fromtarfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def fromtarfile(cls, tarfile):$/;" m class:TarInfo +fromtextfile adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def fromtextfile(fname, delimitor=None, commentchar='#', missingchar='',$/;" f +FromTimedelta adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def FromTimedelta(self, td):$/;" m class:Duration +fromToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ fromToken = CaselessLiteral("from")$/;" v +fromToken adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ fromToken = CaselessLiteral("from")$/;" v +fromToken adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ fromToken = CaselessLiteral("from")$/;" v +fromToken adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ fromToken = CaselessLiteral("from")$/;" v +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def fromutc(self, dt):$/;" m class:tzfile +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def fromutc(self, dt):$/;" m class:tzoffset +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def fromutc(self, dt):$/;" m class:tzutc +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def fromutc(self, dt):$/;" f function:_validate_fromutc_inputs file: +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def fromutc(self, dt):$/;" m class:tzrangebase +fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def fromutc(self, dt):$/;" m class:_tzinfo +fromXmlName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def fromXmlName(self, name):$/;" m class:InfosetFilter +fromXmlName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def fromXmlName(self, name):$/;" m class:InfosetFilter +from_any adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^def from_any(size, fraction_ref=None):$/;" f +from_app adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def from_app(cls, app, environ, buffered=False):$/;" m class:BaseResponse +from_authorized_user_file adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def from_authorized_user_file(cls, filename, scopes=None):$/;" m class:Credentials +from_authorized_user_info adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def from_authorized_user_info(cls, info, scopes=None):$/;" m class:Credentials +from_axis_resources adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def from_axis_resources(cls, axis_resources: Dict[AxisName, Tuple[ResourceAxisName, ...]], res/;" m class:EvaluationPlan +from_bernstein_basis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def from_bernstein_basis(cls, bp, extrapolate=None):$/;" m class:PPoly +from_bernstein_basis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def from_bernstein_basis(cls, bp, extrapolate=None):$/;" m class:Akima1DInterpolator +from_blob_list adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def from_blob_list(schema, values, throw_on_type_mismatch=False):$/;" f +from_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def from_bounds(x0, y0, width, height):$/;" m class:Bbox +from_bytes adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def from_bytes(value):$/;" f +from_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def from_bytes(b):$/;" m class:Timestamp +from_bytes adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ def from_bytes(octets, signed=False):$/;" f +from_client_config adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def from_client_config(cls, client_config, scopes, **kwargs):$/;" m class:Flow +from_client_secrets_file adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def from_client_secrets_file(cls, client_secrets_file, scopes, **kwargs):$/;" m class:Flow +from_column_list adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def from_column_list($/;" f +from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def from_compatible_tensor_list(element_spec, tensor_list):$/;" f +from_concrete_functions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_concrete_functions(cls, funcs):$/;" m class:TFLiteConverterV2 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:BucketizedColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:CrossedColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:EmbeddingColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:FeatureColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:HashedCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:IdentityCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:IndicatorColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:NumericColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:SequenceCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:VocabularyFileCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:VocabularyListCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:WeightedCategoricalColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:SequenceNumericColumn +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def from_config(cls, config):$/;" m class:Layer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def from_config(cls, config):$/;" m class:Layer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Functional +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^ def from_config(cls, config):$/;" m class:InputSpec +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Sequential +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Model +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:_BaseFeaturesLayer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def from_config(cls, config):$/;" m class:Initializer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def from_config(cls, config):$/;" m class:ConvLSTM2D +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:ClassMethod +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:InstanceProperty +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Lambda +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:TFOpLambda +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def from_config(cls, config):$/;" m class:_CuDNNRNN +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:_RNNCellWrapperV1 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:DropoutWrapperBase +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:ResidualWrapperBase +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def from_config(cls, config):$/;" m class:GRU +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def from_config(cls, config):$/;" m class:LSTM +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def from_config(cls, config):$/;" m class:SimpleRNN +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:RNN +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:StackedRNNCells +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:_RNNCellWrapperV2 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Bidirectional +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Wrapper +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def from_config(cls, config):$/;" m class:Loss +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def from_config(cls, config):$/;" m class:MeanMetricWrapper +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:LossScaleOptimizer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:LossScaleOptimizerV1 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Policy +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:PolicyV1 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def from_config(cls, config):$/;" m class:Optimizer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def from_config(self, config):$/;" m class:TFOptimizer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:Adagrad +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def from_config(cls, config):$/;" m class:LearningRateSchedule +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:OptimizerV2 +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:LinearModel +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:WideDeepModel +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def from_config(cls, config):$/;" m class:Regularizer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def from_config(cls, config):$/;" m class:MySubclassModel +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def from_config(cls, config):$/;" m class:Initializer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def from_config(cls, config):$/;" m class:Initializer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def from_config(cls, config):$/;" m class:LossScale +from_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:_LinearModelLayer +from_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def from_config(cls, config, custom_objects=None):$/;" m class:RNNModel +from_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def from_control_flow_context_def(context_def, import_scope=None):$/;" f +from_credentials adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def from_credentials(self, target_credentials, target_audience=None):$/;" m class:IDTokenCredentials +from_cython adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def from_cython(cls, module, name, user_data=None, signature=None):$/;" m class:LowLevelCallable +from_data adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def from_data(cls, data, **options):$/;" m class:StructuredVoidFormat +from_data adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def from_data(cls, m, title="Default title", key="0", mxtype=None, fmt=None):$/;" m class:HBInfo +from_dataset_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def from_dataset_id(processing_mode,$/;" f +from_datetime adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def from_datetime(dt):$/;" m class:Timestamp +from_decorator adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def from_decorator(cls, args):$/;" m class:param +from_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def from_dense(tensor, name=None):$/;" f +from_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def from_derivatives(cls, xi, yi, orders=None, extrapolate=None):$/;" m class:BPoly +from_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def from_devices(session, devices):$/;" m class:WorkerHeartbeatManager +from_dict adpeps/ipeps/config.py /^def from_dict(cfg):$/;" f +from_dict adpeps/tensor/config.py /^def from_dict(cfg):$/;" f +from_dict adpepsenv/lib/python3.8/site-packages/google/auth/_service_account_info.py /^def from_dict(data, require=None):$/;" f +from_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def from_dict(cls, d):$/;" m class:DirectUrl +from_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def from_dict(cls, other, name=None):$/;" m class:ParseResults +from_dict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def from_dict(cls, other, name=None):$/;" m class:ParseResults +from_dim_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def from_dim_sizes(dim_sizes):$/;" m class:RaggedTensorDynamicShape +from_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^ def from_dist(cls, dist):$/;" m class:FrozenRequirement +from_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def from_dist(cls, dist):$/;" m class:UninstallPathSet +from_dlpack adpepsenv/lib/python3.8/site-packages/jax/_src/dlpack.py /^def from_dlpack(dlpack, backend=None):$/;" f +from_dlpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/dlpack/dlpack.py /^def from_dlpack(dlcapsule):$/;" f +from_dlpack adpepsenv/lib/python3.8/site-packages/torch/utils/dlpack.py /^from torch._C import _from_dlpack as from_dlpack$/;" x +from_dtype adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def from_dtype(dtype, _outer_shape=()):$/;" f +from_environ adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^def from_environ(environ):$/;" f +from_environ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def from_environ(cls, environ, **kwargs):$/;" m class:EnvironBuilder +from_environment adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def from_environment(cls):$/;" m class:CommandSpec +from_examples_import_resnet50 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/stax_test.py /^def from_examples_import_resnet50():$/;" f +from_extents adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def from_extents(*args):$/;" m class:Bbox +from_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def from_features(cls, features, types):$/;" m class:_ParseOpParams +from_fields adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def from_fields(cls,$/;" m class:StructuredTensor +from_file adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def from_file(cls, filename, **kwargs):$/;" m class:Credentials +from_file adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def from_file(cls, filename, **kwargs):$/;" m class:Credentials +from_file adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def from_file(cls, fid):$/;" m class:HBInfo +from_filename adpepsenv/lib/python3.8/site-packages/google/auth/_service_account_info.py /^def from_filename(filename, require=None):$/;" f +from_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def from_filename(cls, filename, metadata=None, **kw):$/;" m class:Distribution +from_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def from_filename(cls, filename, metadata=None, **kw):$/;" m class:Distribution +from_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^ def from_flag(cls, flagname, flag_values, other_flag_values=None):$/;" m class:DuplicateFlagError +from_float adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def from_float(cls, timeout):$/;" m class:Timeout +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def from_float(cls, mod):$/;" m class:ConvBnReLU2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def from_float(cls, mod):$/;" m class:ConvReLU2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def from_float(cls, mod):$/;" m class:_ConvBnNd +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^ def from_float(cls, mod):$/;" m class:LinearReLU +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def from_float(cls, mod):$/;" m class:BNReLU2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def from_float(cls, mod):$/;" m class:BNReLU3d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def from_float(cls, mod):$/;" m class:ConvReLU1d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def from_float(cls, mod):$/;" m class:ConvReLU2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def from_float(cls, mod):$/;" m class:ConvReLU3d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^ def from_float(cls, mod):$/;" m class:LinearReLU +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^ def from_float(cls, mod):$/;" m class:Conv2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^ def from_float(cls, mod):$/;" m class:Linear +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def from_float(cls, mod):$/;" m class:Linear +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:GRUCell +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:LSTM +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:LSTMCell +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:RNNBase +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:RNNCell +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def from_float(cls, mod):$/;" m class:RNNCellBase +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def from_float(mod):$/;" m class:ELU +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def from_float(mod):$/;" m class:Hardswish +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def from_float(mod):$/;" m class:ReLU +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def from_float(mod):$/;" m class:ReLU6 +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def from_float(cls, mod):$/;" m class:BatchNorm2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def from_float(cls, mod):$/;" m class:BatchNorm3d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def from_float(cls, mod):$/;" m class:Conv1d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def from_float(cls, mod):$/;" m class:Conv2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def from_float(cls, mod):$/;" m class:Conv3d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def from_float(cls, mod):$/;" m class:_ConvTransposeNd +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def from_float(cls, mod):$/;" m class:Embedding +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def from_float(cls, mod):$/;" m class:EmbeddingBag +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def from_float(cls, mod):$/;" m class:QFunctional +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def from_float(cls, mod):$/;" m class:Linear +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def from_float(cls, mod):$/;" m class:GroupNorm +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def from_float(cls, mod):$/;" m class:InstanceNorm1d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def from_float(cls, mod):$/;" m class:InstanceNorm2d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def from_float(cls, mod):$/;" m class:InstanceNorm3d +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def from_float(cls, mod):$/;" m class:LayerNorm +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def from_float(mod):$/;" m class:DeQuantize +from_float adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def from_float(mod):$/;" m class:Quantize +from_float adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def from_float(cls, timeout):$/;" m class:Timeout +from_fortran adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def from_fortran(cls, fmt):$/;" m class:HBMatrixType +from_frozen_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_frozen_graph(cls,$/;" m class:TFLiteConverter +from_frozen_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_frozen_graph(cls,$/;" m class:TocoConverter +from_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def from_function(model_fn, all_modes=None, config=None, params=None):$/;" m class:ModelFunction +from_function_and_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def from_function_and_signature(python_function,$/;" m class:FunctionSpec +from_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_generator(generator,$/;" m class:DatasetV1 +from_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_generator(generator,$/;" m class:DatasetV2 +from_httplib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def from_httplib(ResponseCls, r, **response_kw):$/;" m class:HTTPResponse +from_httplib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def from_httplib(cls, message): # Python 2$/;" m class:HTTPHeaderDict +from_httplib adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def from_httplib(ResponseCls, r, **response_kw):$/;" m class:HTTPResponse +from_httplib adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def from_httplib(cls, message): # Python 2$/;" m class:HTTPHeaderDict +from_info adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def from_info(cls, info, **kwargs):$/;" m class:Credentials +from_info adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def from_info(cls, info, **kwargs):$/;" m class:Credentials +from_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def from_input_fn(return_values):$/;" m class:_Inputs +from_int adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def from_int(cls, retries, redirect=True, default=None):$/;" m class:Retry +from_int adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def from_int(cls, retries, redirect=True, default=None):$/;" m class:Retry +from_ipc_handle adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def from_ipc_handle(cls, device, handle):$/;" m class:Event +from_json adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def from_json(cls, s):$/;" m class:DirectUrl +from_keras_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_keras_model(cls, model):$/;" m class:TFLiteConverterV2 +from_keras_model_file adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_keras_model_file(cls,$/;" m class:TFLiteConverter +from_keras_model_file adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_keras_model_file(cls,$/;" m class:TocoConverter +from_key_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def from_key_counter(cls, key, counter, alg):$/;" m class:Generator +from_key_val_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def from_key_val_list(value):$/;" f +from_key_val_list adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def from_key_val_list(value):$/;" f +from_levels_and_colors adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def from_levels_and_colors(levels, colors, extend='neither'):$/;" f +from_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def from_library(lib):$/;" f +from_list adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def from_list(name, colors, N=256, gamma=1.0):$/;" m class:LinearSegmentedColormap +from_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def from_list(index, queues):$/;" m class:QueueBase +from_location adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def from_location(cls, location, basename, metadata=None, **kw):$/;" m class:Distribution +from_location adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def from_location(cls, location, basename, metadata=None, **kw):$/;" m class:Distribution +FROM_MINPACK_TO_COMMON adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^FROM_MINPACK_TO_COMMON = {$/;" v +from_mlab_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def from_mlab_linkage(Z):$/;" f +from_nested_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_nested_row_lengths(cls,$/;" m class:RaggedTensor +from_nested_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_nested_row_splits(cls,$/;" m class:RaggedTensor +from_nested_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_nested_value_rowids(cls,$/;" m class:RaggedTensor +from_non_deterministic_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def from_non_deterministic_state(cls, alg=None):$/;" m class:Generator +from_number adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def from_number(cls, n, min=None):$/;" m class:ExpFormat +from_number adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def from_number(cls, n, min=None):$/;" m class:IntFormat +from_obj adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ def from_obj(obj):$/;" m class:VariablePolicy +from_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def from_onnx(args):$/;" m class:OnnxAttributes +from_param adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def from_param(cls, obj):$/;" m class:_ndptr +from_param adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def from_param(cls, param):$/;" m class:CommandSpec +from_params adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^ def from_params(cls, params):$/;" m class:Substitution +from_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def from_path(self):$/;" m class:InstallRequirement +from_pdf_stream adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def from_pdf_stream(cls, data):$/;" m class:PdfName +from_power_basis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def from_power_basis(cls, pp, extrapolate=None):$/;" m class:BPoly +from_PreparedConstraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def from_PreparedConstraint(cls, constraint):$/;" m class:CanonicalConstraint +from_pretrained adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def from_pretrained(cls, embeddings, freeze=True, padding_idx=None,$/;" m class:Embedding +from_pretrained adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def from_pretrained(cls, embeddings: Tensor, freeze: bool = True, max_norm: Optional[float] /;" m class:EmbeddingBag +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def from_proto(self, variable_def, import_scope=None):$/;" m class:AutoCastVariable +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def from_proto(context_def, import_scope=None):$/;" m class:CondContext +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def from_proto(context_def, import_scope=None):$/;" m class:WhileContext +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def from_proto(variable_def, import_scope=None):$/;" m class:BaseResourceVariable +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def from_proto(variable_def, import_scope=None):$/;" m class:Variable +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^ def from_proto(self, proto):$/;" m class:VersionedTypeRegistration +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def from_proto(queue_runner_def, import_scope=None):$/;" m class:QueueRunner +from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def from_proto(saver_def, import_scope=None):$/;" m class:Saver +from_pyval adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def from_pyval(pyval):$/;" m class:Shape +from_pyval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def from_pyval(cls, pyval, typespec=None):$/;" m class:StructuredTensor +from_ragged_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def from_ragged_tensor(rg_tensor, weights=None):$/;" m class:RaggedEnqueueData +from_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def from_requirement(cls, provider, requirement, parent):$/;" m class:Criterion +from_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_row_lengths(cls, values, row_lengths, name=None, validate=True):$/;" m class:RaggedTensor +from_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_row_lengths(cls, row_lengths, validate=True, preferred_dtype=None):$/;" m class:RowPartition +from_row_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_row_limits(cls, values, row_limits, name=None, validate=True):$/;" m class:RaggedTensor +from_row_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_row_limits(cls, row_limits, validate=True, preferred_dtype=None):$/;" m class:RowPartition +from_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_row_splits(cls, values, row_splits, name=None, validate=True):$/;" m class:RaggedTensor +from_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_row_splits(cls, row_splits, validate=True, preferred_dtype=None):$/;" m class:RowPartition +from_row_starts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_row_starts(cls, values, row_starts, name=None, validate=True):$/;" m class:RaggedTensor +from_row_starts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_row_starts(cls,$/;" m class:RowPartition +from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_saved_model(cls, saved_model_dir, signature_keys=None, tags=None):$/;" m class:TFLiteConverterV2 +from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_saved_model(cls,$/;" m class:TFLiteConverter +from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_saved_model(cls,$/;" m class:TocoConverter +from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def from_saved_model(layer):$/;" f +from_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def from_seed(cls, seed, alg=None):$/;" m class:Generator +from_service_account_file adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def from_service_account_file(cls, filename):$/;" m class:FromServiceAccountMixin +from_service_account_file adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_service_account_file(cls, filename, **kwargs):$/;" m class:Credentials +from_service_account_file adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_service_account_file(cls, filename, **kwargs):$/;" m class:OnDemandCredentials +from_service_account_file adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def from_service_account_file(cls, filename, **kwargs):$/;" m class:Credentials +from_service_account_file adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def from_service_account_file(cls, filename, **kwargs):$/;" m class:IDTokenCredentials +from_service_account_info adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def from_service_account_info(cls, info):$/;" m class:FromServiceAccountMixin +from_service_account_info adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_service_account_info(cls, info, **kwargs):$/;" m class:Credentials +from_service_account_info adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_service_account_info(cls, info, **kwargs):$/;" m class:OnDemandCredentials +from_service_account_info adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def from_service_account_info(cls, info, **kwargs):$/;" m class:Credentials +from_service_account_info adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def from_service_account_info(cls, info, **kwargs):$/;" m class:IDTokenCredentials +from_session adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_session(cls, sess, input_tensors, output_tensors):$/;" m class:TFLiteConverter +from_session adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def from_session(cls, sess, input_tensors, output_tensors):$/;" m class:TocoConverter +from_signing_credentials adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_signing_credentials(cls, credentials, **kwargs):$/;" m class:OnDemandCredentials +from_signing_credentials adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def from_signing_credentials(cls, credentials, audience, **kwargs):$/;" m class:Credentials +from_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def from_sparse(st_input, name=None):$/;" f +from_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_sparse(cls, st_input, name=None, row_splits_dtype=dtypes.int64):$/;" m class:RaggedTensor +from_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def from_sparse_tensor(sp_tensor, weights=None):$/;" m class:EnqueueData +from_sparse_tensor_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_sparse_tensor_slices(sparse_tensor):$/;" m class:DatasetV1 +from_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def from_spec(cls, spec):$/;" m class:BoundedTensorSpec +from_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def from_spec(cls, spec, name=None):$/;" m class:DenseSpec +from_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def from_spline(cls, tck, extrapolate=None):$/;" m class:PPoly +from_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def from_spline(cls, tck, extrapolate=None):$/;" m class:Akima1DInterpolator +from_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def from_state(cls, state, alg):$/;" m class:Generator +from_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^def from_str(qn_str):$/;" f +from_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ def from_str(key):$/;" m class:AUCCurve +from_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ def from_str(key):$/;" m class:AUCSummationMethod +from_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def from_str(cls, mode):$/;" m class:PruningMode +from_stream adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def from_stream(cls, orig_stream):$/;" m class:StreamWrapper +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def from_string(cls, key, key_id=None):$/;" m class:FromServiceAccountMixin +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def from_string(cls, key, key_id=None):$/;" m class:ES256Signer +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def from_string(cls, public_key):$/;" m class:ES256Verifier +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def from_string(cls, key, key_id=None):$/;" m class:RSASigner +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def from_string(cls, public_key):$/;" m class:RSAVerifier +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def from_string(cls, key, key_id=None):$/;" m class:RSASigner +from_string adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def from_string(cls, public_key):$/;" m class:RSAVerifier +from_string adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ def from_string(s: str):$/;" m class:ResizeMethod +from_string adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def from_string(cls, string):$/;" m class:CommandSpec +from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def from_string(cls, spec):$/;" m class:DeviceSpecV2 +from_string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def from_string_handle(string_handle,$/;" m class:Iterator +from_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def from_structure(output_types,$/;" m class:Iterator +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def from_tensor(cls, tensor, name=None):$/;" m class:TensorSpec +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def from_tensor(cls, tensor):$/;" m class:KerasTensor +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def from_tensor(cls, tensor):$/;" m class:UserRegisteredTypeKerasTensor +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def from_tensor(cls, tensor):$/;" m class:ndarray +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def from_tensor(tensor,$/;" f +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_tensor(cls,$/;" m class:RaggedTensor +from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def from_tensor(cls, rt_input, dim_size_dtype=None):$/;" m class:RaggedTensorDynamicShape +from_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_tensors(tensors):$/;" m class:DatasetV1 +from_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_tensors(tensors):$/;" m class:DatasetV2 +from_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def from_tensor_list(element_spec, tensor_list):$/;" f +from_tensor_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_tensor_slices(tensors):$/;" m class:DatasetV1 +from_tensor_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_tensor_slices(tensors):$/;" m class:DatasetV2 +from_tuple adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def from_tuple(cls, raw_metadata: tuple):$/;" m class:Metadata +from_tuples adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def from_tuples(cls, fieldname, value, header_formatter=format_header_param_html5):$/;" m class:RequestField +from_tuples adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def from_tuples(cls, fieldname, value, header_formatter=format_header_param_html5):$/;" m class:RequestField +from_uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_uniform_row_length(cls,$/;" m class:RaggedTensor +from_uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_uniform_row_length(cls,$/;" m class:RowPartition +from_unix adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def from_unix(unix_sec):$/;" m class:Timestamp +from_unix_nano adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def from_unix_nano(unix_ns):$/;" m class:Timestamp +from_untyped adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def from_untyped(grad):$/;" f member:GradientRegistry._GetGradientForOpCC file: +from_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def from_url(cls, url):$/;" m class:HashChecker +from_v2 adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def from_v2(cls, original):$/;" m class:ImageFileDirectory_v1 +from_value adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^ def from_value(val):$/;" m class:Zero +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def from_value(value):$/;" m class:DatasetSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def from_value(value):$/;" m class:IteratorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def from_value(value):$/;" m class:MultiDeviceIteratorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def from_value(value):$/;" m class:Optional +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def from_value(value):$/;" m class:OptionalSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def from_value(value):$/;" m class:NoneTensorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def from_value(value):$/;" m class:DistributedIteratorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def from_value(value):$/;" m class:_SingleWorkerDatasetIteratorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def from_value(cls, sparse_tensor_value):$/;" m class:SparseTensor +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def from_value(cls, value):$/;" m class:SparseTensorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_value(cls, value):$/;" m class:RaggedTensorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_value(cls, value):$/;" m class:RowPartitionSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def from_value(cls, value):$/;" m class:StructuredTensorSpec +from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def from_value(value):$/;" m class:TensorArraySpec +from_values adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def from_values(a, b, c, d, e, f):$/;" m class:Affine2D +from_values adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def from_values(cls, *args, **kwargs):$/;" m class:BaseRequest +from_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def from_value_rowids(cls,$/;" m class:RaggedTensor +from_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def from_value_rowids(cls,$/;" m class:RowPartition +from_variable_aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/reduce_util.py /^ def from_variable_aggregation(aggregation):$/;" m class:ReduceOp +from_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def from_variant(variant, structure):$/;" f +from_yaml adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ def from_yaml(cls, loader, node):$/;" m class:YAMLObject +FrontendAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^FrontendAttributes = _reflection.GeneratedProtocolMessageType('FrontendAttributes', (_message.Me/;" v +FrontendError adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class FrontendError(Exception):$/;" c +FrontendMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^class FrontendMetadata(object):$/;" c +FrontendTypeError adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class FrontendTypeError(FrontendError):$/;" c +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def frontend_metadata(self):$/;" m class:AudioPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def frontend_metadata(self):$/;" m class:TBPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def frontend_metadata(self):$/;" m class:CustomScalarsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def frontend_metadata(self):$/;" m class:DebuggerV2Plugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def frontend_metadata(self):$/;" m class:DistributionsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def frontend_metadata(self):$/;" m class:GraphsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def frontend_metadata(self):$/;" m class:HistogramsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def frontend_metadata(self):$/;" m class:HParamsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def frontend_metadata(self):$/;" m class:ImagesPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def frontend_metadata(self):$/;" m class:MeshPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def frontend_metadata(self):$/;" m class:MetricsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def frontend_metadata(self):$/;" m class:NpmiPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^ def frontend_metadata(self):$/;" m class:_ProfileRedirectPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def frontend_metadata(self):$/;" m class:ProjectorPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def frontend_metadata(self):$/;" m class:PrCurvesPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def frontend_metadata(self):$/;" m class:ScalarsPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def frontend_metadata(self):$/;" m class:TextPlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def frontend_metadata(self):$/;" m class:TextV2Plugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def frontend_metadata(self):$/;" m class:ProfilePlugin +frontend_metadata adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def frontend_metadata(self):$/;" m class:WhatIfToolPlugin +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:Affine2DBase +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:BboxBase +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:BlendedGenericTransform +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:CompositeGenericTransform +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:IdentityTransform +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:TransformNode +frozen adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def frozen(self):$/;" m class:TransformWrapper +frozen adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def frozen(self):$/;" m class:CallContext +FrozenDict adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class FrozenDict: # dataclasses might remove some boilerplate here$/;" c +FrozenRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^class FrozenRequirement(object):$/;" c +frozenset_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ frozenset_repr = _sequence_repr_maker("frozenset([", "])", frozenset)$/;" v class:DebugReprGenerator +frozen_saveable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def frozen_saveable_objects(self, object_map=None, to_graph=None,$/;" m class:ObjectGraphView +frozen_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def frozen_saver(root_trackable):$/;" f +fs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ fs = [x**2 - 1 for x in xs]$/;" v class:TestSecant +fsci32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ fsci32 = lambda x, **k: np.format_float_scientific(np.float32(x), **k)$/;" f member:TestRealScalars.test_dragon4 file: +fsci64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ fsci64 = lambda x, **k: np.format_float_scientific(np.float64(x), **k)$/;" f member:TestRealScalars.test_dragon4 file: +fsdecode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^ def fsdecode(filename):$/;" f function:_fscodec file: +fsdecode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^ fsdecode = _fsdecode$/;" v +fsdecode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def fsdecode(filename):$/;" f +fsdecode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ fsdecode = os.fsdecode$/;" v +fsencode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^ def fsencode(filename):$/;" f function:_fscodec file: +fsencode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^ fsencode = _fsencode$/;" v +fsencode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def fsencode(filename):$/;" f +fsencode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/misc.py /^ def fsencode(filename):$/;" f +fset adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def fset(self, value):$/;" f member:ContentRange._callback_property file: +fset adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def fset(self, value):$/;" f member:CommonResponseDescriptorsMixin._set_property file: +FSharp adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FSharp(self):$/;" m class:EnvironmentInfo +FSharpInstallDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FSharpInstallDir(self):$/;" m class:SystemInfo +fsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def fsolve(func, x0, args=(), fprime=None, full_output=0,$/;" f +fspath adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^ def fspath(path):$/;" f +fspath adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def fspath(path):$/;" f +fst adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def fst(t):$/;" f function:_select_and_gather_add file: +fst adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def fst(c, t):$/;" f function:_select_and_gather_add_translation file: +fstr adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def fstr(self):$/;" m class:TokenGenerator +fstrings adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^fstrings = ['f2', 'f3', 'f4', 'f5', 'f6']$/;" v +FstringTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class FstringTest(test_utils.TestCase):$/;" c +fstring_expression adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def fstring_expression(f):$/;" f +fstr_eater adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def fstr_eater(tok):$/;" f function:TokenGenerator.fstr.fstr_parser file: +fstr_parser adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def fstr_parser():$/;" f member:TokenGenerator.fstr file: +FtexImageFile adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^class FtexImageFile(ImageFile.ImageFile):$/;" c +ftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ftrl(w, nz, i, g):$/;" f member:TestOperators.test_sparse_ftrl_sgd file: +ftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ftrl(w, nz, i, g, alpha):$/;" f member:TestOperators.test_sparse_ftrl_sgd_send_alpha_by_input file: +Ftrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^class Ftrl(optimizer_v2.OptimizerV2):$/;" c +FtrlOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class FtrlOptimizer(Optimizer):$/;" c +FtrlOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^class FtrlOptimizer(optimizer.Optimizer):$/;" c +FtrlParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^FtrlParameters = _reflection.GeneratedProtocolMessageType('FtrlParameters', (_message.Message,),/;" v +FtrlParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class FtrlParameters(_OptimizationParameters):$/;" c +FtrlSlotVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^FtrlSlotVariable = collections.namedtuple($/;" v +FtrlSlotVariableName adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^FtrlSlotVariableName = collections.namedtuple($/;" v +ftrl_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import ftrl as ftrl_keras_v2$/;" x +ftrl_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^ftrl_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +ftrl_optimizer_v1_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^ftrl_optimizer_v1_fn = combinations.NamedObject($/;" v +ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^from tensorflow.python.keras.optimizer_v2 import ftrl as ftrl_v2$/;" x +ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import ftrl as ftrl_v2$/;" x +FULL adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ FULL = 'full'$/;" v class:Subscription.Kind +full adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def full(shape: Shape, fill_value: Array, dtype: Optional[DType] = None) -> Array:$/;" f +full adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def full(shape, fill_value, dtype=None):$/;" f +full adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def full(shape, fill_value, dtype=None, order='C'):$/;" f +FULL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FULL = 0$/;" v class:LSTMKernelType +full adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def full(shape, fill_value, dtype=None): # pylint: disable=redefined-outer-name$/;" f +full adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def full(g, sizes, value, dtype, layout, device, pin_memory=False):$/;" f +full adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def full(g, sizes, value, dtype, layout, device, pin_memory=False):$/;" f +FullArgSpec adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^FullArgSpec = namedtuple('FullArgSpec',$/;" v +fullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def fullargspec(self):$/;" m class:FunctionSpec +FullArgSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^ FullArgSpec = _inspect.FullArgSpec # pylint: disable=invalid-name$/;" v +FullArgSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^ FullArgSpec = _inspect.FullArgSpec # pylint: disable=invalid-name$/;" v +FullConstructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class FullConstructor(SafeConstructor):$/;" c +FullHessianUpdateStrategy adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^class FullHessianUpdateStrategy(HessianUpdateStrategy):$/;" c +FullLoader adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^class FullLoader(Reader, Scanner, Parser, Composer, FullConstructor, Resolver):$/;" c +fullname adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def fullname(self):$/;" m class:Harness +fullTree adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^fullTree = True$/;" v +fullTree adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^fullTree = True$/;" v +FullyConnected adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^FullyConnected = Dense$/;" v +FullyConnected adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/core.py /^FullyConnected = Dense$/;" v +FullyConnectedFP16Test adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_fp16_test.py /^class FullyConnectedFP16Test(hu.HypothesisTestCase):$/;" c +FullyConnectedOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FullyConnectedOptions = 8$/;" v class:BuiltinOptions +FullyConnectedOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FullyConnectedOptions(object):$/;" c +FullyConnectedOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs): builder/;" f +FullyConnectedOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.P/;" f +FullyConnectedOptionsAddKeepNumDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsAddKeepNumDims(builder, keepNumDims): builder.PrependBoolSlot(2, keepNu/;" f +FullyConnectedOptionsAddWeightsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsAddWeightsFormat(builder, weightsFormat): builder.PrependInt8Slot(1, we/;" f +FullyConnectedOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FullyConnectedOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:FullyConnectedOptions +FullyConnectedOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsEnd(builder): return builder.EndObject()$/;" f +FullyConnectedOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def FullyConnectedOptionsStart(builder): builder.StartObject(4)$/;" f +FullyConnectedOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FullyConnectedOptionsT(object):$/;" c +FullyConnectedOptionsWeightsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class FullyConnectedOptionsWeightsFormat(object):$/;" c +FULLY_CONNECTED adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ FULLY_CONNECTED = 9$/;" v class:BuiltinOperator +fully_connected adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^fully_connected = dense$/;" v +fully_connected adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/core.py /^fully_connected = dense$/;" v +fully_connected adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model_utils.py /^def fully_connected(inp,$/;" f +fully_contains adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def fully_contains(self, x, y):$/;" m class:BboxBase +fully_containsx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def fully_containsx(self, x):$/;" m class:BboxBase +fully_containsy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def fully_containsy(self, y):$/;" m class:BboxBase +fully_overlaps adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def fully_overlaps(self, other):$/;" m class:BboxBase +fully_qualified_method adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def fully_qualified_method(group, method):$/;" f +FULLY_REPARAMETERIZED adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^FULLY_REPARAMETERIZED = ReparameterizationType("FULLY_REPARAMETERIZED")$/;" v +FULL_HEALTH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^FULL_HEALTH = 4$/;" v +full_like adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def full_like(x: Array, fill_value: Array, dtype: Optional[DType] = None,$/;" f +full_like adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def full_like(a, fill_value, dtype=None, shape=None):$/;" f +full_like adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def full_like(a, fill_value, dtype=None, order='K', subok=True, shape=None):$/;" f +full_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def full_like(a, fill_value, dtype=None, order='K', subok=True, shape=None): # pylint: disable=/;" f +full_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def full_like(g, input, fill_value, dtype, layout, device, pin_memory=False, memory_format=None)/;" f +full_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def full_like(g, input, fill_value, dtype=None, layout=None, device=None, pin_memory=False, memo/;" f +full_load adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def full_load(stream):$/;" f +full_load_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def full_load_all(stream):$/;" f +full_lower adpepsenv/lib/python3.8/site-packages/jax/core.py /^def full_lower(val):$/;" f +full_lower adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def full_lower(self):$/;" m class:CallbackTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def full_lower(self):$/;" m class:DoublingTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def full_lower(self):$/;" m class:TensorFlowTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def full_lower(self):$/;" m class:JetTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def full_lower(self):$/;" m class:JVPTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def full_lower(self):$/;" m class:BatchTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def full_lower(self):$/;" m class:MaskTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def full_lower(self):$/;" m class:DynamicJaxprTracer +full_lower adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def full_lower(self):$/;" m class:JaxprTracer +full_name adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def full_name(self):$/;" m class:Require +full_name_node adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def full_name_node(name, ctx=ast.Load()):$/;" f +FULL_NUMERICS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^FULL_NUMERICS = 6$/;" v +full_path adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def full_path(self):$/;" m class:_TempDir +full_path adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def full_path(self):$/;" m class:_TempFile +full_path adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def full_path(self):$/;" m class:BaseRequest +full_raise adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def full_raise(self, val) -> 'Tracer':$/;" m class:Trace +full_screen_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def full_screen_toggle(self):$/;" m class:FigureManagerGTK3 +full_screen_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def full_screen_toggle(self):$/;" m class:FigureManagerQT +full_screen_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def full_screen_toggle(self):$/;" m class:FigureManagerTk +full_screen_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def full_screen_toggle(self):$/;" m class:FigureManagerBase +full_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/reconstruction_ops.py /^ def full_shape(inner_shape):$/;" f function:overlap_and_add file: +full_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def full_shape(self):$/;" m class:_PartitionInfo +full_steps adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^ def full_steps(steps):$/;" f function:get_dict_to_print file: +full_subscription adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/utilities.py /^def full_subscription(operator, protocol_receiver):$/;" f +full_tag adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^ full_tag = None # None or a (pytag, soabitag, plattag) triple$/;" v class:_bdist_wheel_tag +full_tag_supplied adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^ full_tag_supplied = False$/;" v class:_bdist_wheel_tag +FULL_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^FULL_TENSOR = 7$/;" v +full_version adpepsenv/lib/python3.8/site-packages/numpy/version.py /^full_version = '1.19.5'$/;" v +full_version adpepsenv/lib/python3.8/site-packages/scipy/version.py /^full_version = '1.6.0'$/;" v +fun adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def fun(net, *args, **kw):$/;" f function:copy_func_between_devices file: +fun adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def fun(net, *args, **kw):$/;" f function:copy_func_between_devices file: +fun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun(*tangents):$/;" f function:_lift_linearized file: +fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def fun(x):$/;" f member:JaxPrimitiveTest.test_disable_xla file: +fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def fun(*vals):$/;" f function:omnistaging_disabler.partial_eval_jaxpr file: +fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def fun(*vals):$/;" f function:partial_eval_jaxpr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^ fun = lambda x, y, p: exp_fun(x, y)$/;" f function:test_parameter_validation file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def fun(t, y):$/;" f member:OdeSolver.__init__ file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^ fun = lambda t, x, fun=fun: fun(t, x, *args)$/;" f function:solve_ivp file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def fun(t, y):$/;" f function:test_empty file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def fun(t, y):$/;" f function:test_num_jac file: +fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def fun(t, y):$/;" f function:test_num_jac_sparse file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def fun(x, r=[4, 2, 4, 2, 1]):$/;" f +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def fun(self, x):$/;" m class:TestCobyla +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def fun(x):$/;" f function:test_vector_constraints file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def fun(x):$/;" f function:test_prepare_constraint_infeasible_x0 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2 + (x[2] - 0.75)**2$/;" f member:TestNewToOld.test_individual_constraint_objects file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2 + (x[2] - 0.75)**2$/;" f member:TestNewToOld.test_multiple_constraint_objects file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2 + (x[2] - 0.75)**2$/;" f member:TestNewToOldSLSQP.test_warn_ignored_options file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2 + (x[2] - 0.75)**2$/;" f member:TestNewToOldSLSQP.test_warn_mixed_constraints file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2$/;" f member:TestOldToNew.test_constraint_dictionary_1 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2$/;" f member:TestOldToNew.test_constraint_dictionary_2 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ fun = lambda x: (x[0] - 1)**2 + (x[1] - 2.5)**2$/;" f member:TestOldToNew.test_constraint_dictionary_3 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def fun(self, x):$/;" m class:ExScalarFunction +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def fun(self, x):$/;" m class:ExVectorialFunction +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def fun(self, x):$/;" m class:Rosenbrock +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def fun(self, p):$/;" m class:ExponentialFittingProblem +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def fun(self, x):$/;" m class:BroydenTridiagonal +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:Elec.constr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:HyperbolicIneq.constr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:Maratos.constr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:MaratosGradInFunc.constr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:MaratosTestArgs.constr file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:TestTrustRegionConstr.test_default_hess file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(x):$/;" f member:TestTrustRegionConstr.test_default_jac_and_hess file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x):$/;" m class:Elec +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x):$/;" m class:HyperbolicIneq +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x):$/;" m class:Maratos +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x):$/;" m class:MaratosGradInFunc +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x):$/;" m class:Rosenbrock +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def fun(self, x, a, b):$/;" m class:MaratosTestArgs +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def fun(x):$/;" f member:TestOptimizeScalar.test_gh11207 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def fun(x):$/;" f member:TestOptimizeSimple.test_l_bfgs_b_funjac file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ fun = lambda x: np.array([0.2 * x[0] - 0.4 * x[1] - 0.33 * x[2]])$/;" f member:TestOptimizeSimple.test_respect_maxiter_trust_constr_ineq_constraints file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def fun(self, x, a=1.5):$/;" m class:TestOptimizeScalar +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def fun(self, x, p=2.0):$/;" m class:TestLBFGSBBounds +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def fun(x):$/;" f function:test_result_x_shape_when_len_x_is_one file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fun(x):$/;" f member:TestSLSQP.test_gh1758 file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fun(self, d, sign=1.0):$/;" m class:TestSLSQP +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def fun(x):$/;" f member:TestDifferentialEvolutionSolver.test_constraint_wrapper file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun(self, x):$/;" m class:TestApproxDerivativeSparse +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ class fun(object):$/;" c member:TestRoot.test_f_size file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ fun = lambda x: con['fun'](x, *args)$/;" f function:old_constraint_to_new file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ fun = lambda x: np.dot(A, x)$/;" f function:new_constraint_to_old file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun(self, x):$/;" m class:LinearVectorFunction +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun(self, x):$/;" m class:ScalarFunction +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun(self, x):$/;" m class:VectorFunction +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def fun(x):$/;" f member:_ConstraintWrapper.__init__ file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def fun(self, x):$/;" m class:ObjectiveFunWrapper +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def fun(self):$/;" m class:BaseQuadraticSubproblem +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint._greater_to_canonical file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint._less_to_canonical file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint.concatenate file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint.empty file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint._equal_to_canonical file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def fun(x):$/;" f member:CanonicalConstraint._interval_to_canonical file: +fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^ def fun(x):$/;" f function:create_quadratic_function file: +fun adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def fun(x):$/;" f member:rv_discrete.expect file: +fun adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def fun(x, *args):$/;" f member:rv_continuous.expect file: +fun adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def fun(x):$/;" f function:_drv2_moment file: +func adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def func(self, *args, **kwargs):$/;" f function:given.wrapper file: +func adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^ def func():$/;" f function:debug.wrapper file: +func adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def func(self, str_in, *args, **kwargs):$/;" f function:_takes_ascii file: +func adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def func(dsid):$/;" f member:TestH5DSBindings.test_iter_dimensionscales file: +func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def func(x):$/;" f member:ControlFlowOpsTest.test_while file: +func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def func(x):$/;" f member:ControlFlowOpsTest.test_while_single_carry file: +func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def func(x):$/;" f member:Jax2TfTest.test_convert_of_nested_dependent_jit file: +func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def func(x):$/;" f member:Jax2TfTest.test_convert_of_nested_independent_jit file: +func adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ func = lambda arr: func1d(arr, *args, **kwargs)$/;" f function:apply_along_axis file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def func(s):$/;" f member:ValidateInStrings.__init__ file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def func(pre, arg, post=None):$/;" f function:test_make_keyword_only file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func(ax, x, y): pass$/;" f function:test_compiletime_checks file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func(ax, x, y, z=1):$/;" f function:test_more_args_than_pos_parameter file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^ def func(new, kwo=None):$/;" f function:test_copy_docstring_and_deprecators file: +func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^ def func():$/;" f function:test_rcparams file: +func adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def func(array):$/;" f member:TestArrayFunctionImplementation.test_not_implemented file: +func adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ func = lambda x: x$/;" f member:TestNDArrayArrayFunction.test_no_wrapper file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def func(*vargs):$/;" f member:vectorize.__call__ file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ def func(self):$/;" f function:_unary_method file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ def func(self, other):$/;" f function:_binary_method file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ def func(self, other):$/;" f function:_inplace_binary_method file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ def func(self, other):$/;" f function:_reflected_binary_method file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ func = lambda s: strptime(s.strip(), "%Y-%m-%d")$/;" f member:TestFromTxt.test_dtype_with_object file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ func = lambda s: strptime(s.strip(), "%Y-%m-%d")$/;" f member:TestLoadTxt.test_dtype_with_object file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^ def func(x, out=None, **kwargs):$/;" f function:_deprecate_out_named_y file: +func adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^ def func(x, out=None, **kwargs):$/;" f function:_fix_out_named_y file: +func adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ func = 'tester.test_%i()' % i$/;" v +func adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ from numpy.dual import inv as func$/;" x member:matrix.I file: +func adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ from numpy.dual import pinv as func$/;" x member:matrix.I file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def func(x, t):$/;" f function:test_repeated_t_values file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def func(y, t, c):$/;" f function:test_odeint_banded_jacobian file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func(x):$/;" f member:TestNQuad.test_matching_quad file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func(x0, x1):$/;" f member:TestQuad.test_double_integral3 file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func(x0, x1, t0, t1):$/;" f member:TestQuad.test_double_integral2 file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ func = lambda x: x**(2*n - 1)$/;" f member:TestFixedQuad.test_scalar file: +func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ func = lambda x: x**p[:,None]$/;" f member:TestFixedQuad.test_vector file: +func adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ def func(self):$/;" m class:test_inherit_docstring_from.Bar +func adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ def func(self):$/;" m class:test_inherit_docstring_from.Foo +func adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ def func():$/;" f function:test_decorator file: +func adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ func = lambda x: numpy.mean(x)$/;" f function:test_valid_origins file: +func adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ func = lambda x: numpy.min(x)$/;" f function:test_ticket_701 file: +func adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def func(b, x):$/;" f member:TestODR.test_output_file_overwrite file: +func adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def func(beta, x):$/;" f member:TestODR.test_ticket_11800 file: +func adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def func(par, x):$/;" f member:TestODR.test_work_ind file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ func = lambda z: _as_inexact(F(_array_like(z, x0))).flatten()$/;" f function:nonlin_solve file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def func(p, x):$/;" f function:test_fp32_gh12991 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(self, x, a, b):$/;" m class:TestCurveFit.test_func_is_classmethod.test_self +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(*args):$/;" f member:TestFSolve.test_func_can_raise file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(*args):$/;" f member:TestFSolve.test_reentrant_func file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(*args):$/;" f member:TestLeastSq.test_func_can_raise file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(*args):$/;" f member:TestLeastSq.test_reentrant_func file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(n):$/;" f member:TestFixedPoint.test_no_acceleration file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(p,x,y):$/;" f member:TestLeastSq.test_float32 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x):$/;" f member:TestFixedPoint.test_array_trivial file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x):$/;" f member:TestFixedPoint.test_scalar_basic1 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x):$/;" f member:TestFixedPoint.test_scalar_basic2 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x):$/;" f member:TestFixedPoint.test_scalar_trivial file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, a, b):$/;" f member:TestCurveFit.test_args_in_kwargs file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, a, b):$/;" f member:TestCurveFit.test_curvefit_covariance file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, a, b):$/;" f member:TestCurveFit.test_curvefit_simplecovariance file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, a, b):$/;" f member:TestCurveFit.test_dtypes file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, a, b):$/;" f member:TestCurveFit.test_two_argument file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, c):$/;" f member:TestFixedPoint.test_array_basic1 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x, c):$/;" f member:TestFixedPoint.test_array_basic2 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def func(x,a):$/;" f member:TestCurveFit.test_one_argument file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ func = lambda x: dummy_func(x, (2,))$/;" f member:TestFSolve.test_wrong_shape_fprime_function file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ func = lambda x: dummy_func(x, (2,))$/;" f member:TestLeastSq.test_wrong_shape_Dfun_function file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ func = lambda x: np.array([x[0] - 100, x[1] - 1000], dtype=np.float32)**2$/;" f member:TestFSolve.test_float32 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ func = lambda x: x - np.array([10])$/;" f member:TestFSolve.test_Dfun_can_raise file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ func = lambda x: x - np.array([10])$/;" f member:TestLeastSq.test_Dfun_can_raise file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def func(x):$/;" f member:TestLinear._check file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: (optimize.rosen(x), optimize.rosen_der(x))$/;" f member:TestOptimizeSimple.test_minimize_callback_copies_array file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(x):$/;" f member:TestOptimizeScalar.test_nan_values file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(x):$/;" f member:TestOptimizeSimple.test_nan_values file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(x):$/;" f member:TestOptimizeSimple.test_no_increase file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(z):$/;" f member:TestOptimizeSimple.test_minimize_tol_parameter file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: -np.e**-x$/;" f member:CheckOptimizeParameterized.test_bfgs_infinite file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: 0 if x == 0 else np.nan$/;" f member:TestOptimizeSimple.test_bfgs_nan_return file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.nan$/;" f member:TestOptimizeSimple.test_bfgs_nan_return file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: x$/;" f member:TestOptimizeSimple.test_bfgs_nan file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(self, x):$/;" m class:CheckOptimize +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(x):$/;" f function:test_bounded_powell_vs_powell file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func(x):$/;" f function:test_minimize_multiple_constraints file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.sum((x - np.array([-1., 2., 1.5, -.4]))**2)$/;" f function:test_linesearch_powell file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.sum((x-np.array([-1., 2., 1.5, -.4]))**2)$/;" f function:test_linesearch_powell_bounded file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.sum(x**2)$/;" f function:test_bounded_powell_outsidebounds file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.sum(x**2)$/;" f function:test_bounded_powell_vs_powell file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: np.sum(x**2)$/;" f function:test_neldermead_adaptive file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ func = lambda x: x[0]**2 + x[1]**2$/;" f function:test_neldermead_xatol_fatol file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^ def func(x):$/;" f member:TestRegression.test_lmdif_errmsg file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def func(x):$/;" f member:TestSLSQP.test_gh_6676 file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ func = lambda x: x**2 - 2.0$/;" f member:TestBasic.test_deriv_zero_warning file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ func = lambda x: np.sum((x-5) * (x-1))$/;" f member:TestDualAnnealing.test_local_search_option_bounds file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ func = lambda x: np.sum(x * x - 10 * np.cos(2 * np.pi * x)) + 10 * np.size(x)$/;" f member:TestDualAnnealing.test_from_docstring file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def func(self, x, args=()):$/;" m class:TestDualAnnealing +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def func(p, x):$/;" f member:TestApproxDerivativesDense.test_fp file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def func(z):$/;" f member:TestRoot.test_tol_parameter file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def func(z, f=1):$/;" f member:TestRoot.test_minimize_scalar_coerce_args_param file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ func = lambda x, n: yscale*problem['F'](x\/xscale, n)$/;" f function:test_performance file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ func = lambda x: A.dot(x) - b$/;" f function:test_linear_definite.check_solvability file: +func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ def func(z):$/;" f function:test_complex file: +Func adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^class Func(object):$/;" c +func adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ func = lambda x: np.mean(x, axis=0)$/;" f function:fligner file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ func = lambda x: np.mean(x, axis=0)$/;" f function:levene file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ func = lambda x: np.median(x, axis=0)$/;" f function:fligner file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ func = lambda x: np.median(x, axis=0)$/;" f function:levene file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def func(input, data):$/;" f member:TestLogistic.test_fit file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def func(x):$/;" f member:TestFrozen.test_expect file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ func = lambda a: np.log(a) - sc.digamma(a) - s$/;" f member:gamma_gen.fit file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def func(params, data):$/;" f member:logistic_gen.fit file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def func(scale, data):$/;" f member:gumbel_r_gen.fit file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def func(x):$/;" f member:beta_gen._fitstart file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ func = lambda x: sc.digamma(x) - y$/;" f function:_digammainv file: +func adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def func(theta, x):$/;" f member:rv_continuous._reduce_func file: +func adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__threadsafety.py /^ def func(x):$/;" f function:test_reentering file: +func adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def func(model_inputs):$/;" f function:function file: +func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def func(self):$/;" m class:Template +func adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def func(source, filename, symbol):$/;" f function:_wrap_compiler file: +func1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def func1(foo=None):$/;" f function:test_delete_parameter file: +func1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func1(x0, x1, x2, x3):$/;" f member:TestNQuad.test_fixed_limits file: +func1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func1(x):$/;" f function:test_minimize_multiple_constraints file: +func1d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^def func1d(x):$/;" f +func1d adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ func1d = lambda x_slice: mvn.mvnun(lower, x_slice, mean, cov,$/;" f member:multivariate_normal_gen._cdf file: +func2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def func2(**kwargs):$/;" f function:test_delete_parameter file: +func2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func2(x0, x1, x2, x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +func2 adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ def func2(self):$/;" m class:test_inherit_docstring_from.Bar +func2 adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^ def func2(self):$/;" m class:test_inherit_docstring_from.Foo +func2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func2(x):$/;" f member:TestOptimizeSimple.test_nan_values file: +func2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def func2(x):$/;" f function:test_minimize_multiple_constraints file: +func2 adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ func2 = lambda x: func(x, 2.0)$/;" f function:test_bad_callbacks.check file: +func2 adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ func2 = lambda x: func(x, 2.0)$/;" f function:test_callbacks.check file: +func2d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func2d(x0, x1):$/;" f member:TestNQuad.test_matching_dblquad file: +func2d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^def func2d(x):$/;" f +func2d_easyderiv adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^def func2d_easyderiv(x):$/;" f +func2d_nograd adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^def func2d_nograd(x):$/;" f +func3d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def func3d(x0, x1, x2, c0, c1):$/;" f member:TestNQuad.test_matching_tplquad file: +FuncAnimation adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class FuncAnimation(TimedAnimation):$/;" c +FuncAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^FuncAttr = tf_export("raw_ops.FuncAttr")(_ops.to_raw_op(func_attr))$/;" v +funcbottom adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def funcbottom(self, val):$/;" m class:SubplotTool +FuncData adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^class FuncData(object):$/;" c +FuncFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class FuncFormatter(Formatter):$/;" c +FuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^class FuncGraph(ops.Graph):$/;" c +funchspace adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def funchspace(self, val):$/;" m class:SubplotTool +funcleft adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def funcleft(self, val):$/;" m class:SubplotTool +FuncListAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^FuncListAttr = tf_export("raw_ops.FuncListAttr")(_ops.to_raw_op(func_list_attr))$/;" v +funcp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def funcp(x, a, b):$/;" f member:TestCurveFit.test_curvefit_covariance file: +FuncRegistry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^class FuncRegistry(object):$/;" c +funcright adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def funcright(self, val):$/;" m class:SubplotTool +funcs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ funcs = [_mean, _var, _std]$/;" v class:TestStats +funcs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ funcs = [np.arcsin, np.arccos, np.arctan, np.arcsinh, np.arccosh,$/;" v class:TestComplexFunctions +funcs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ funcs = []$/;" v +funcs adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^funcs = (scipy.fft.fft, scipy.fft.fft2, scipy.fft.fftn,$/;" v +FUNCS adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^FUNCS = {$/;" v +FuncScale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class FuncScale(ScaleBase):$/;" c +FuncScaleLog adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class FuncScaleLog(LogScale):$/;" c +function adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def function(self, s, loc, toks):$/;" m class:Parser +function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def function(x):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^ def function(x):$/;" f function:example file: +function adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def function(self):$/;" m class:LowLevelCallable +Function adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Function = _reflection.GeneratedProtocolMessageType('Function', (_message.Message,), {$/;" v +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def function(self):$/;" m class:StructuredFunctionWrapper +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^function = LazyLoader("function", globals(),$/;" v +Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^class Function(object):$/;" c +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def function(func=None,$/;" f +Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class Function(object):$/;" c +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def function(self):$/;" m class:_Function +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def function(inputs, outputs, updates=None, name=None, **kwargs):$/;" f +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def function(tag, scope):$/;" f function:audio file: +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def function(tag, scope):$/;" f function:generic file: +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def function(tag, scope):$/;" f function:histogram file: +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def function(tag, scope):$/;" f function:image file: +function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def function(tag, scope):$/;" f function:scalar file: +Function adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class Function(with_metaclass(FunctionMeta, _C._FunctionBase, _ContextMethodMixin, _HookMixin)):/;" c +Functional adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^Functional = _Functional()$/;" v +Functional adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/functional.py /^class Functional(ModelLayer):$/;" c +Functional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^class Functional(training_lib.Model):$/;" c +Functional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^Functional = functional.Functional # pylint: disable=invalid-name$/;" v +FunctionalModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ class FunctionalModule(nn.Module):$/;" c function:wrap_functional file: +FunctionalPreprocessingStage adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^class FunctionalPreprocessingStage(base_preprocessing_layer.PreprocessingLayer,$/;" c +functional_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^def functional_decorator():$/;" f +functional_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^functional_lib = LazyLoader($/;" v +functional_optim_map adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ functional_optim_map = {$/;" v class:DistributedOptimizer +functional_with_keyword_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def functional_with_keyword_args():$/;" f +functional_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^ def functional_wrapper(*args, **kwargs):$/;" f function:functional_decorator.decorator file: +FunctionCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class FunctionCache(object):$/;" c +FunctionCallOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class FunctionCallOptions(object):$/;" c +FunctionCount adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^FunctionCount = NamedTuple("FunctionCount", [("count", int), ("function", str)])$/;" v +FunctionDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^FunctionDef = _reflection.GeneratedProtocolMessageType('FunctionDef', (_message.Message,), {$/;" v +FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^FunctionDef = _reflection.GeneratedProtocolMessageType('FunctionDef', (_message.Message,), {$/;" v +FunctionDefLibrary adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^FunctionDefLibrary = _reflection.GeneratedProtocolMessageType('FunctionDefLibrary', (_message.Me/;" v +FunctionDefLibrary adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^FunctionDefLibrary = _reflection.GeneratedProtocolMessageType('FunctionDefLibrary', (_message.Me/;" v +FunctionDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^class FunctionDeleter(object):$/;" c +FunctionEvent adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class FunctionEvent(FormattedTimesMixin):$/;" c +FunctionEventAvg adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class FunctionEventAvg(FormattedTimesMixin):$/;" c +functionhvp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def functionhvp(x, v):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +functionjacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def functionjacobian(x):$/;" f member:TestEmptyConstraint.test_empty_constraint file: +FunctionMaker adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^class FunctionMaker(object):$/;" c +FunctionMeta adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class FunctionMeta(type):$/;" c +FunctionModifiers adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^class FunctionModifiers(object):$/;" c +functionpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^functionpattern = re.compile(beforethisafter % ($/;" v +FUNCTIONS adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^FUNCTIONS = ('multiquadric', 'inverse multiquadric', 'gaussian',$/;" v +functions adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^functions = [f2, f3, f4, f5, f6]$/;" v +functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def functions(self):$/;" m class:WrappedGraph +functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def functions(self):$/;" m class:_GraphDef +functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def functions(self):$/;" m class:SerializedAttributes +FunctionScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^class FunctionScope(object):$/;" c +FunctionSpec adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^FunctionSpec = _reflection.GeneratedProtocolMessageType('FunctionSpec', (_message.Message,), {$/;" v +FunctionSpec adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^FunctionSpec = _reflection.GeneratedProtocolMessageType('FunctionSpec', (_message.Message,), {$/;" v +FunctionSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class FunctionSpec(object):$/;" c +functions_run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def functions_run_eagerly():$/;" f +functions_seem_flaky adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ functions_seem_flaky = ((np.exp(complex(np.inf, 0)).imag != 0)$/;" v +functions_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def functions_to_serialize(self, serialization_cache):$/;" m class:SavedModelSaver +functions_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def functions_to_serialize(self, serialization_cache):$/;" m class:InputLayerSavedModelSaver +functions_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def functions_to_serialize(self, serialization_cache):$/;" m class:LayerSavedModelSaver +functions_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def functions_to_serialize(self):$/;" m class:SerializedAttributes +FunctionTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^class FunctionTransformer(converter.Base):$/;" c +FunctionVisitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^class FunctionVisitor(transformer.Base):$/;" c +FunctionWithGradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class FunctionWithGradient(object):$/;" c +FunctionWrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class FunctionWrapper(_FunctionWrapperBase):$/;" c +FUNCTION_AGGREGATE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_AGGREGATE_ATTR = "_tflite_function_aggregate"$/;" v class:OpHint +function_and_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def function_and_constraints(self, z):$/;" m class:BarrierSubproblem +function_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def function_callback(self, function):$/;" m class:_DumpingCallback +function_call_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def function_call_options(self):$/;" m class:Context +function_call_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def function_call_options(self, options):$/;" m class:Context +function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def function_def(self):$/;" m class:ConcreteFunction +function_def_from_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def function_def_from_tf_function(c_func):$/;" f +function_def_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^from tensorflow.python.framework import function_def_to_graph as function_def_lib$/;" x +function_def_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function_def_to_graph.py /^def function_def_to_graph(fdef, input_shapes=None):$/;" f +function_def_to_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function_def_to_graph.py /^def function_def_to_graph_def(fdef, input_shapes=None):$/;" f +function_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^from tensorflow.python.eager import function as function_eager$/;" x +function_executor_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def function_executor_type(executor_type):$/;" f +function_from_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def function_from_graph_def(graph_def, inputs, outputs):$/;" f +FUNCTION_INPUT_INDEX_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_INPUT_INDEX_ATTR = "_tflite_function_input_index"$/;" v class:OpHint +function_in_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def function_in_eager():$/;" f function:build_as_function_and_v1_graph.decorator.decorated file: +FUNCTION_LEVEL_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_LEVEL_ATTR = "_tflite_ophint_level"$/;" v class:OpHint +function_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^from tensorflow.python.eager import function as function_lib$/;" x +function_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^from tensorflow.python.eager import function as function_lib$/;" x +function_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^from tensorflow.python.eager import function as function_lib$/;" x +FUNCTION_NAME_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_NAME_ATTR = "_tflite_function_name"$/;" v class:OpHint +FUNCTION_OUTPUT_INDEX_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_OUTPUT_INDEX_ATTR = "_tflite_function_output_index"$/;" v class:OpHint +FUNCTION_SORT_INDEX_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_SORT_INDEX_ATTR = "_tflite_function_sort_index"$/;" v class:OpHint +function_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def function_spec(self):$/;" m class:Function +function_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def function_spec(self):$/;" m class:Function +function_start_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^function_start_re = re.compile(r'\\n (\\$|\\*)\\s*function\\b', re.I)$/;" v +FUNCTION_UUID_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ FUNCTION_UUID_ATTR = "_tflite_function_uuid"$/;" v class:OpHint +function_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def function_with_gradient():$/;" f +function_with_multiline_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^def function_with_multiline_call(x):$/;" f +function_with_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^def function_with_print():$/;" f +function_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def function_wrapper(*wrapper_args):$/;" f function:wrap_function file: +function_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def function_wrapper(wrapper):$/;" f +functop adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def functop(self, val):$/;" m class:SubplotTool +FuncTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class FuncTransform(Transform):$/;" c +FuncType adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^FuncType = Callable[..., Any]$/;" v +funcwspace adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def funcwspace(self, val):$/;" m class:SubplotTool +funcy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def funcy(ax, *args, **kwargs):$/;" f function:test_docstring_addition file: +funcy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def funcy(ax, x, y, z, bar=None):$/;" f function:test_docstring_addition file: +funcy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def funcy(ax, x, y, z, t=None):$/;" f function:test_docstring_addition file: +func_ adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ func_ = lambda y, t: func(y, t, *args)$/;" f function:_odeint file: +func_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func_args(ax, x, y, *args): pass$/;" f function:test_compiletime_checks file: +func_assert_same_pos adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def func_assert_same_pos(x, y, func=isnan, hasval='nan'):$/;" f function:assert_array_compare file: +func_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def func_attr(f, name=None):$/;" f +func_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def func_attr_eager_fallback(f, name, ctx):$/;" f +func_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^func_call = torch._C.ScriptFunction.__call__$/;" v +func_code adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ func_code = __code__$/;" v class:AdapterWrapper +func_code adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ func_code = __code__$/;" v class:_AdapterFunctionSurrogate +func_defaults adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ func_defaults = __defaults__$/;" v class:AdapterWrapper +func_defaults adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ func_defaults = __defaults__$/;" v class:_AdapterFunctionSurrogate +func_dump adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def func_dump(func):$/;" f +func_graph_from_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def func_graph_from_py_func(func,$/;" f +func_graph_from_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def func_graph_from_py_func(name,$/;" f +func_graph_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^from tensorflow.python.framework import func_graph as func_graph_lib$/;" x +func_graph_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^from tensorflow.python.framework import func_graph as func_graph_module$/;" x +func_graph_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^from tensorflow.python.framework import func_graph as func_graph_module$/;" x +func_graph_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^from tensorflow.python.framework import func_graph as func_graph_module$/;" x +func_graph_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^from tensorflow.python.framework import func_graph as func_graph_module$/;" x +func_graph_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^from tensorflow.python.framework import func_graph as func_graph_module$/;" x +func_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func_kwargs(ax, x, y, **kwargs): pass$/;" f function:test_compiletime_checks file: +func_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def func_list_attr(f, name=None):$/;" f +func_list_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def func_list_attr_eager_fallback(f, name, ctx):$/;" f +func_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def func_load(code, defaults=None, closure=None, globs=None):$/;" f +func_no_ax_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func_no_ax_args(*args, **kwargs): pass$/;" f function:test_compiletime_checks file: +func_no_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func_no_label(ax, x, y, ls="x", label=None, w="xyz"):$/;" f function:test_no_label_replacements file: +FUNC_RE adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^FUNC_RE = re.compile(r"^(.*) in python%s\\.dll" % py_ver, re.MULTILINE)$/;" v +func_replace_all adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def func_replace_all(ax, x, y, ls="x", label=None, w="NOT"):$/;" f function:test_function_call_replace_all file: +func_with_option adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def func_with_option(array, option='default'):$/;" f member:TestArrayFunctionImplementation.test_optional_args file: +func_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^ def func_wrapped(params):$/;" f function:_wrap_func file: +fundamental_theorem adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def fundamental_theorem(self, n, a, b):$/;" m class:SphericalDerivativesTestCase +FunkyType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class FunkyType:$/;" c member:TestComparisons.test_ignore_object_identity_in_equal file: +FunkyType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class FunkyType:$/;" c member:TestComparisons.test_ignore_object_identity_in_not_equal file: +funm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def funm(A, func, disp=True):$/;" f +fun_2d_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_2d_trivial(x):$/;" f +fun_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_abstract_eval(*avals, **params):$/;" f function:custom_transforms file: +fun_and_constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def fun_and_constr(x):$/;" f function:_minimize_trustregion_constr file: +fun_and_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun_and_grad(self, x):$/;" m class:ScalarFunction +fun_and_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def fun_and_jac(self, d, sign=1.0):$/;" m class:TestSLSQP +fun_batch adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_batch(args, dims, **params):$/;" f function:custom_transforms file: +fun_bvp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_bvp(x):$/;" f +fun_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_complex(t, y):$/;" f +fun_conclude_operator adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_conclude_operator(self, opts):$/;" m class:AnyExpTrainer +fun_conclude_operator adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/output_generator.py /^def fun_conclude_operator(self):$/;" f +fun_impl adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_impl(*args, **params):$/;" f function:custom_transforms file: +fun_jac_p adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_jac_p(x, y, p):$/;" f function:wrap_functions file: +fun_jac_p adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_jac_p(x, y, _):$/;" f function:wrap_functions file: +fun_jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_jac_wrapped(x, y, p):$/;" f function:wrap_functions file: +fun_jvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_jvp(primals, tangents, **params):$/;" f function:custom_transforms file: +fun_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def fun_jvp(xs, ts, **params):$/;" f function:defvjp_all file: +fun_jvp_partial_eval adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def fun_jvp_partial_eval(trace, *tracers, **params):$/;" f function:defvjp_all file: +fun_linear adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_linear(t, y):$/;" f +fun_lin_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def fun_lin_transpose(cts, *args, **kwargs):$/;" f function:defvjp_all file: +fun_mapped adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def fun_mapped(*args):$/;" f function:xmap file: +fun_medazko adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_medazko(t, y):$/;" f +fun_name adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def fun_name(f):$/;" f +fun_non_numpy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_non_numpy(self, x):$/;" m class:TestApproxDerivativesDense +fun_p adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_p(x, y, p):$/;" f function:wrap_functions file: +fun_p adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_p(x, y, _):$/;" f function:wrap_functions file: +fun_parametrized adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_parametrized(self, x, c0, c1=1.0):$/;" m class:TestApproxDerivativesDense +fun_per_epoch_aftRunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_per_epoch_aftRunNet(self, epoch):$/;" m class:AnyExpTrainer +fun_per_epoch_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_per_epoch_b4RunNet(self, epoch):$/;" m class:AnyExpTrainer +fun_per_epoch_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet.py /^def fun_per_epoch_b4RunNet(self, epoch):$/;" f +fun_per_epoch_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet_no_test_model.py /^def fun_per_epoch_b4RunNet(self, epoch):$/;" f +fun_per_iter_aftRunNetAftTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_per_iter_aftRunNetAftTest(self, epoch, epoch_iter):$/;" m class:AnyExpTrainer +fun_per_iter_aftRunNetB4Test adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_per_iter_aftRunNetB4Test(self, epoch, epoch_iter):$/;" m class:AnyExpTrainer +fun_per_iter_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def fun_per_iter_b4RunNet(self, epoch, epoch_iter):$/;" m class:AnyExpTrainer +fun_per_iter_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet.py /^def fun_per_iter_b4RunNet(self, epoch, epoch_iter):$/;" f +fun_per_iter_b4RunNet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet_no_test_model.py /^def fun_per_iter_b4RunNet(self, epoch, epoch_iter):$/;" f +fun_rational adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_rational(t, y):$/;" f +fun_rational_vectorized adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_rational_vectorized(t, y):$/;" f +fun_ref adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def fun_ref(self):$/;" m class:SHGO +fun_remat adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_remat(*args, **kwargs):$/;" f function:checkpoint file: +fun_robertson adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def fun_robertson(t, state):$/;" f function:test_integration_stiff file: +fun_rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_rosenbrock(x):$/;" f +fun_rosenbrock_cropped adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_rosenbrock_cropped(x):$/;" f +fun_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_scalar_scalar(self, x):$/;" m class:TestApproxDerivativeLinearOperator +fun_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_scalar_scalar(self, x):$/;" m class:TestApproxDerivativesDense +fun_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_scalar_vector(self, x):$/;" m class:TestApproxDerivativeLinearOperator +fun_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_scalar_vector(self, x):$/;" m class:TestApproxDerivativesDense +fun_single adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def fun_single(t, y):$/;" f member:OdeSolver.__init__ file: +fun_sourceinfo adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def fun_sourceinfo(fun):$/;" f +fun_translation adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def fun_translation(c, *xla_args, **params):$/;" f function:custom_transforms file: +fun_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_trivial(x, a=0):$/;" f +fun_vectorized adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def fun_vectorized(t, y):$/;" f member:OdeSolver.__init__ file: +fun_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_vector_scalar(self, x):$/;" m class:TestApproxDerivativeLinearOperator +fun_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_vector_scalar(self, x):$/;" m class:TestApproxDerivativesDense +fun_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_vector_vector(self, x):$/;" m class:TestApproxDerivativeLinearOperator +fun_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_vector_vector(self, x):$/;" m class:TestApproxDerivativesDense +fun_vjp_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def fun_vjp_jax(args_jax, out_cts_jax):$/;" f function:convert.converted_fun.converted_grad_fn file: +fun_with_args adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/minimize.py /^ fun_with_args = lambda x: fun(x, *args)$/;" f function:minimize file: +fun_with_nan adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_with_nan(self, x):$/;" m class:TestApproxDerivativesDense +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def fun_wrapped(x, y, p):$/;" f function:wrap_functions file: +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def fun_wrapped(t, y):$/;" f function:check_arguments file: +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun_wrapped(x):$/;" f member:ScalarFunction.__init__ file: +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def fun_wrapped(x):$/;" f member:VectorFunction.__init__ file: +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^ def fun_wrapped(x):$/;" f function:least_squares file: +fun_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^ def fun_wrapped(x):$/;" f function:approx_derivative file: +fun_wrong_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def fun_wrong_dimensions(x):$/;" f +fun_zero adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def fun_zero(t, y):$/;" f +fun_zero_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def fun_zero_jacobian(self, x):$/;" m class:TestApproxDerivativesDense +fuse adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fuse.py /^ def fuse(self, model, inplace=False):$/;" m class:Fuser +fuse adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^ def fuse(self, quantizer, load_arg):$/;" m class:ConvBNReLUFusion +fuse adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^ def fuse(self, quantizer, load_arg):$/;" m class:ModuleReLUFusion +fuseConvBN adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^def fuseConvBN(net):$/;" f +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:AddOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:BidirectionalSequenceLSTMOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:BidirectionalSequenceRNNOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:ConcatenationOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:Conv2DOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:DepthwiseConv2DOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:DivOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:FullyConnectedOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:L2NormOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:LSTMOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:MulOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:Pool2DOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:RNNOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:SequenceRNNOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:SubOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:SVDFOptions +FusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def FusedActivationFunction(self):$/;" m class:UnidirectionalSequenceLSTMOptions +FusedBatchNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNorm = tf_export("raw_ops.FusedBatchNorm")(_ops.to_raw_op(_fused_batch_norm))$/;" v +FusedBatchNormGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNormGrad = tf_export("raw_ops.FusedBatchNormGrad")(_ops.to_raw_op(fused_batch_norm_gra/;" v +FusedBatchNormGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNormGradV2 = tf_export("raw_ops.FusedBatchNormGradV2")(_ops.to_raw_op(fused_batch_norm/;" v +FusedBatchNormGradV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNormGradV3 = tf_export("raw_ops.FusedBatchNormGradV3")(_ops.to_raw_op(fused_batch_norm/;" v +FusedBatchNormV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNormV2 = tf_export("raw_ops.FusedBatchNormV2")(_ops.to_raw_op(fused_batch_norm_v2))$/;" v +FusedBatchNormV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedBatchNormV3 = tf_export("raw_ops.FusedBatchNormV3")(_ops.to_raw_op(fused_batch_norm_v3))$/;" v +FusedFunc adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^class FusedFunc(Func):$/;" c +FusedPadConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedPadConv2D = tf_export("raw_ops.FusedPadConv2D")(_ops.to_raw_op(fused_pad_conv2d))$/;" v +FusedResizeAndPadConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^FusedResizeAndPadConv2D = tf_export("raw_ops.FusedResizeAndPadConv2D")(_ops.to_raw_op(fused_resi/;" v +fused_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def fused_batch_norm($/;" f +fused_batch_norm_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad(y_backprop, x, scale, reserve_space_1, reserve_space_2, epsilon=0.0001/;" f +fused_batch_norm_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad_eager_fallback(y_backprop, x, scale, reserve_space_1, reserve_space_2,/;" f +fused_batch_norm_grad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad_v2(y_backprop, x, scale, reserve_space_1, reserve_space_2, epsilon=0.0/;" f +fused_batch_norm_grad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad_v2_eager_fallback(y_backprop, x, scale, reserve_space_1, reserve_space/;" f +fused_batch_norm_grad_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad_v3(y_backprop, x, scale, reserve_space_1, reserve_space_2, reserve_spa/;" f +fused_batch_norm_grad_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_grad_v3_eager_fallback(y_backprop, x, scale, reserve_space_1, reserve_space/;" f +fused_batch_norm_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_v2(x, scale, offset, mean, variance, epsilon=0.0001, exponential_avg_factor/;" f +fused_batch_norm_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_v2_eager_fallback(x, scale, offset, mean, variance, epsilon, exponential_av/;" f +fused_batch_norm_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_v3(x, scale, offset, mean, variance, epsilon=0.0001, exponential_avg_factor/;" f +fused_batch_norm_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_batch_norm_v3_eager_fallback(x, scale, offset, mean, variance, epsilon, exponential_av/;" f +fused_pad_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_pad_conv2d(input, paddings, filter, mode, strides, padding, name=None):$/;" f +fused_pad_conv2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_pad_conv2d_eager_fallback(input, paddings, filter, mode, strides, padding, name, ctx):$/;" f +fused_resize_and_pad_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_resize_and_pad_conv2d(input, size, paddings, filter, mode, strides, padding, resize_al/;" f +fused_resize_and_pad_conv2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def fused_resize_and_pad_conv2d_eager_fallback(input, size, paddings, filter, mode, strides, pad/;" f +fused_rowwise_8bit_quantize_dequantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^def fused_rowwise_8bit_quantize_dequantize_reference(data):$/;" f +fused_rowwise_8bit_quantize_dequantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def fused_rowwise_8bit_quantize_dequantize_reference(data):$/;" f +fused_rowwise_8bit_quantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^def fused_rowwise_8bit_quantize_reference(data):$/;" f +fused_rowwise_8bit_quantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def fused_rowwise_8bit_quantize_reference(data):$/;" f +fused_rowwise_nbit_quantize_dequantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^def fused_rowwise_nbit_quantize_dequantize_reference(data, bit):$/;" f +fused_rowwise_nbit_quantize_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^def fused_rowwise_nbit_quantize_reference(data, bit):$/;" f +fuseNNPACKConvRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^def fuseNNPACKConvRelu(net):$/;" f +fuser adpepsenv/lib/python3.8/site-packages/torch/jit/_fuser.py /^def fuser(name):$/;" f +Fuser adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fuse.py /^class Fuser:$/;" c +fuse_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def fuse_bn(net, params, ignore_failure):$/;" f +fuse_bn adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_bn(net, params, ignore_failure):$/;" f +fuse_conv_bn adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^def fuse_conv_bn(conv, bn):$/;" f +fuse_conv_bn_eval adpepsenv/lib/python3.8/site-packages/torch/nn/utils/fusion.py /^def fuse_conv_bn_eval(conv, bn):$/;" f +fuse_conv_bn_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def fuse_conv_bn_jit(model, inplace=False):$/;" f +fuse_conv_bn_relu adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^def fuse_conv_bn_relu(conv, bn, relu):$/;" f +fuse_conv_bn_weights adpepsenv/lib/python3.8/site-packages/torch/nn/utils/fusion.py /^def fuse_conv_bn_weights(conv_w, conv_b, bn_rm, bn_rv, bn_eps, bn_w, bn_b):$/;" f +fuse_conv_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def fuse_conv_relu(net):$/;" f +fuse_first_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def fuse_first_bn(net, params, removed_tensors):$/;" f +fuse_first_bn adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_first_bn(net, params, removed_tensors, begin_op_index):$/;" f +fuse_first_mul_add adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def fuse_first_mul_add(net, params, removed_tensors):$/;" f +fuse_first_relu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_first_relu(net, begin_op_index, ignore_op_with_output=None):$/;" f +fuse_first_scale adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_first_scale(net, params, removed_tensors):$/;" f +fuse_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def fuse_fx(graph_module, inplace=False):$/;" f +fuse_known_modules adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^def fuse_known_modules(mod_list):$/;" f +fuse_model adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def fuse_model(self):$/;" m class:AnnotatedConvBnReLUModel +fuse_modules adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^def fuse_modules(model, modules_to_fuse, inplace=False, fuser_func=fuse_known_modules):$/;" f +fuse_modules adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def fuse_modules(self):$/;" m class:AnnotatedSkipQuantModel +fuse_modules adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def fuse_modules(self):$/;" m class:InnerModule +fuse_modules adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def fuse_modules(self):$/;" m class:SkipQuantModel +fuse_mul_add adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def fuse_mul_add(net, params):$/;" f +fuse_relu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_relu(net, ignore_failure, ignore_op_with_output=None):$/;" f +fuse_resize_and_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def fuse_resize_and_conv(input_graph_def, output_node_names):$/;" f +fuse_scale adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def fuse_scale(net, params, ignore_failure):$/;" f +FUSION_PATTERNS adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^FUSION_PATTERNS = OrderedDict()$/;" v +future adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def future(self, request, timeout, metadata=None, protocol_options=None):$/;" m class:_UnaryUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def future(self,$/;" m class:_StreamUnaryMultiCallable +Future adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^class Future(six.with_metaclass(abc.ABCMeta)):$/;" c +future adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def future(self, request, timeout, metadata=None, protocol_options=None):$/;" m class:UnaryUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def future(self,$/;" m class:StreamUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def future(self,$/;" m class:_StreamUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def future(self,$/;" m class:_UnaryUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def future(self,$/;" m class:_StreamUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def future(self,$/;" m class:_UnaryUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def future(self,$/;" m class:StreamUnaryMultiCallable +future adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def future(self,$/;" m class:UnaryUnaryMultiCallable +Future adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Future(six.with_metaclass(abc.ABCMeta)):$/;" c +Future adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ Future = Future$/;" v class:MPIPoolExecutor +Future adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class Future(object):$/;" c +Future adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^class Future(torch._C.Future, Generic[T], metaclass=_PyFutureMeta):$/;" c +FutureCancelledError adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class FutureCancelledError(Exception):$/;" c +FutureTimeoutError adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class FutureTimeoutError(Exception):$/;" c +FutureTypingTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class FutureTypingTest:$/;" c +future_return_to_python adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def future_return_to_python($/;" f member:FutureTypingTest.test_future_passed_between_python_and_jit file: +future_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def future_stream_unary(self,$/;" m class:_GenericStub +future_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def future_stream_unary(self,$/;" m class:GenericStub +future_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def future_unary_unary(self,$/;" m class:_GenericStub +future_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def future_unary_unary(self,$/;" m class:GenericStub +future_wait_in_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def future_wait_in_script(fut: Future[Tensor]) -> Tensor:$/;" f member:FutureTypingTest.test_future_passed_between_python_and_jit file: +FuzzedParameter adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^class FuzzedParameter(object):$/;" c +FuzzedTensor adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^class FuzzedTensor(object):$/;" c +Fuzzer adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^class Fuzzer(object):$/;" c +fv adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def fv(rate, nper, pmt, pv, when='end'):$/;" f +fv adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def fv(t, x, omega):$/;" f +fvalues adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fvalues = zip(*failing_test_cases)$/;" v class:TestPlotTypes +fvalues adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ fids, fvalues = zip(*failing_test_cases)$/;" v class:TestStrCategoryConverter +fw adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def fw(input):$/;" f member:NNTestCase._numerical_jacobian file: +fwd adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def fwd(*args, **kwargs):$/;" f function:custom_gradient file: +fwd adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def fwd(*args):$/;" f function:invertible file: +fwd_jaxpr_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def fwd_jaxpr_thunk():$/;" f member:JaxprTrace.process_custom_vjp_call file: +fwd_out_dims adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ fwd_out_dims = lambda: out_dims2[0]$/;" f function:_custom_vjp_call_jaxpr_vmap file: +FWErrorVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FWErrorVersion(univ.Integer):$/;" c +FWReceiptVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class FWReceiptVersion(univ.Integer):$/;" c +FxTools adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def FxTools(self):$/;" m class:EnvironmentInfo +f_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f_1(x, *a):$/;" f function:test_complex_halley file: +F_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_1(x, n):$/;" f +F_10 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_10(x, n):$/;" f +f_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f_2(x, *a):$/;" f function:test_complex_halley file: +F_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_2(x, n):$/;" f +F_4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_4(x, n):$/;" f +F_6 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_6(x, n):$/;" f +F_7 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_7(x, n):$/;" f +F_9 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def F_9(x, n):$/;" f +f_at_solution adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ f_at_solution = lambda *params: f(*itertools.chain(params, solution))$/;" f function:_root_jvp file: +f_aug adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def f_aug(*args):$/;" f function:_join_cond_outputs.augment_jaxpr file: +f_bwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_bwd(residual, ct_b):$/;" f member:ControlFlowOpsTest.test_cond_custom_vjp file: +f_bwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_bwd(residual, ct_b):$/;" f member:ControlFlowOpsTest.test_scan_custom_vjp file: +f_bwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_bwd(residual, ct_b):$/;" f member:Jax2TfTest.test_custom_vjp file: +f_bwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_bwd(residual, ct_b):$/;" f member:Jax2TfTest.test_gradients_with_custom_vjp file: +f_bwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_bwd(residual, ct_b):$/;" f member:ShapePolyTest.test_with_custom_vjp file: +f_default adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f_default(a):$/;" f member:TestNoseDecorators.test_setastest file: +f_double_gauss adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f_double_gauss(x, x0, x1, A0, A1, sigma, c):$/;" f member:TestCurveFit.test_regression_2639 file: +f_dummy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def f_dummy(x):$/;" f member:RGBToHSVOpTestBase.testRGBToHSVGradSpecialCaseRGreatest file: +f_eq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def f_eq(x):$/;" f function:new_constraint_to_old file: +f_eqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_eqcon(x):$/;" f member:TestSLSQP.test_gh1758 file: +f_eqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_eqcon(self, x, sign=1.0):$/;" m class:TestSLSQP +f_eqcon2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_eqcon2(x):$/;" f member:TestSLSQP.test_gh1758 file: +f_eqcon_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_eqcon_scalar(self, x, sign=1.0):$/;" m class:TestSLSQP +f_flat adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f_flat(x, a, b):$/;" f member:TestCurveFit.test_pcov file: +f_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_fwd(x):$/;" f member:ControlFlowOpsTest.test_cond_custom_vjp file: +f_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_fwd(x):$/;" f member:ControlFlowOpsTest.test_scan_custom_vjp file: +f_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_fwd(x):$/;" f member:Jax2TfTest.test_custom_vjp file: +f_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_fwd(x):$/;" f member:Jax2TfTest.test_gradients_with_custom_vjp file: +f_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_fwd(x):$/;" f member:ShapePolyTest.test_with_custom_vjp file: +f_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class f_gen(rv_continuous):$/;" c +f_ieqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_ieqcon(self, x, sign=1.0):$/;" m class:TestSLSQP +f_ieqcon2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def f_ieqcon2(self, x):$/;" m class:TestSLSQP +f_ineq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def f_ineq(x):$/;" f function:new_constraint_to_old file: +f_inf adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ def f_inf(x):$/;" f function:test_nan_inf file: +F_inner adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def F_inner(self, x):$/;" m class:TestSLSQP.test_nested_minimization.NestedProblem +f_isnottest adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f_isnottest(a):$/;" f member:TestNoseDecorators.test_setastest file: +f_istest adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def f_istest(a):$/;" f member:TestNoseDecorators.test_setastest file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jax(pred, x):$/;" f member:ControlFlowOpsTest.test_cond file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jax(pred, x):$/;" f member:ControlFlowOpsTest.test_cond_multiple_results file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jax(xs):$/;" f member:ControlFlowOpsTest.test_scan_remat file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jax(xs, ys):$/;" f member:ControlFlowOpsTest.test_scan file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jax(xs, ys):$/;" f member:ControlFlowOpsTest.test_scan_partial_eval file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_jax():$/;" f member:Jax2TfTest.test_convert_nullary_func file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_jax(x: Tuple[float, Dict[str, float]]) -> Tuple[float, Dict[str, float]]:$/;" f member:Jax2TfTest.test_pytrees file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ f_jax = lambda a, b: (a + b).astype(jnp.bfloat16)$/;" f member:Jax2TfTest.test_bfloat16_returned_by_jax file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ f_jax = lambda a, b: a + b$/;" f member:Jax2TfTest.test_bfloat16_passed_by_tf file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ f_jax = lambda x: jnp.sin(jnp.cos(x))$/;" f member:Jax2TfTest.test_basics file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ f_jax = lambda x: jnp.sin(jnp.cos(x))$/;" f member:Jax2TfTest.test_variable_input file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def f_jax(arr):$/;" f member:SavedModelTest.test_xla_context_preserved_gather file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def f_jax(arr):$/;" f member:SavedModelTest.test_xla_context_preserved_slice file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def f_jax(x):$/;" f member:SavedModelTest.test_gradient file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ f_jax = lambda x: x * x$/;" f member:SavedModelTest.test_gradient_disabled file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapeAsValueTest.test_mean0 file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapeAsValueTest.test_mean_all_axes file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyPrimitivesTest.test_broadcast file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyPrimitivesTest.test_iota file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyPrimitivesTest.test_reshape file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyPrimitivesTest.test_reshape_compiled file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyPrimitivesTest.test_squeeze file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x):$/;" f member:ShapePolyTest.test_simple file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x, y):$/;" f member:ShapePolyPrimitivesTest.test_add file: +f_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def f_jax(x, y):$/;" f member:ShapePolyPrimitivesTest.test_matmul file: +f_jax_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def f_jax_jvp(primals, tangents):$/;" f member:SavedModelTest.test_gradient file: +f_jitted adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def f_jitted(*args, **kwargs):$/;" f function:_cpp_jit file: +f_jitted adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def f_jitted(*args, **kwargs):$/;" f function:_python_jit file: +f_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jvp(primals, tangents):$/;" f member:ControlFlowOpsTest.test_cond_custom_jvp file: +f_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jvp(primals, tangents):$/;" f member:ControlFlowOpsTest.test_scan_custom_jvp file: +f_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def f_jvp(primals, tangents):$/;" f member:ControlFlowOpsTest.test_while_custom_jvp file: +f_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_jvp(primals, tangents):$/;" f member:Jax2TfTest.test_custom_jvp file: +f_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def f_jvp(primals, tangents):$/;" f member:Jax2TfTest.test_gradients_with_custom_jvp file: +f_jvp_traceable adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def f_jvp_traceable(nonzeros, *primals_and_nztangents):$/;" f +f_lax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ f_lax = lambda a, b: ($/;" f function:_make_triangular_solve_harness file: +f_linear adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def f_linear(x, a, b):$/;" f member:TestCurveFit.test_array_like file: +f_lrucached adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def f_lrucached(x):$/;" f +f_nan adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^ def f_nan(x):$/;" f function:test_nan_inf file: +f_oneway adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def f_oneway(*args):$/;" f +f_oneway adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def f_oneway(*args, axis=0):$/;" f +F_onewayBadInputSizesWarning adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class F_onewayBadInputSizesWarning(RuntimeWarning):$/;" c +F_onewayConstantInputWarning adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class F_onewayConstantInputWarning(RuntimeWarning):$/;" c +F_onewayResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^F_onewayResult = namedtuple('F_onewayResult', ('statistic', 'pvalue'))$/;" v +F_onewayResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^F_onewayResult = namedtuple('F_onewayResult', ('statistic', 'pvalue'))$/;" v +F_outer adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def F_outer(self, x):$/;" m class:TestSLSQP.test_nested_minimization.NestedProblem +f_p adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f_p(x):$/;" f function:test_gh9551_raise_error_if_disp_true file: +f_pmapped adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def f_pmapped(*args, **kwargs):$/;" f function:pmap file: +f_pmapped adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def f_pmapped(*args, **kwargs):$/;" f function:soft_pmap file: +f_rank3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank3():$/;" f function:rot90 file: +f_rank3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank3():$/;" f function:transpose file: +f_rank3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank3():$/;" f function:_flip file: +f_rank3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank3():$/;" f function:_random_flip file: +f_rank4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank4():$/;" f function:rot90 file: +f_rank4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank4():$/;" f function:transpose file: +f_rank4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank4():$/;" f function:_flip file: +f_rank4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def f_rank4():$/;" f function:_random_flip file: +f_retry adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def f_retry(*args, **kwargs):$/;" f function:retry.deco_retry file: +f_vjp adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def f_vjp(*args):$/;" f function:check_grads._check_grads file: +f_with_avals adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def f_with_avals(c, avals, xla_args, params):$/;" f function:lower_fun file: +f_wrapped adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def f_wrapped(x):$/;" f function:_upcast_fp16_for_computation file: +f_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/py_func.py /^ def f_wrapper(*tensor_args):$/;" f function:wrap_py_func file: +f_zeroder_root adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def f_zeroder_root(x):$/;" f function:test_gh8904_zeroder_at_root_fails file: +g adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^ def g(name):$/;" f function:_rename_all file: +g adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^ def g(input_, output):$/;" f member:PythonOpTest.test_simple_python_op file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_cond_custom_jvp file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_cond_custom_vjp file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_cond_units file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_scan_custom_jvp file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_scan_custom_vjp file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def g(x):$/;" f member:ControlFlowOpsTest.test_while_custom_jvp file: +g adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def g():$/;" f function:Jax2TfTest.test_remat_free_var.f file: +g adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ g = lambda a, b: f(*a, **b)$/;" f function:_stop_gradient_fun.wrapper file: +g adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ g = lambda _, x: ((), f(x))$/;" f function:map file: +g adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^ def g(c, *args, **kwargs):$/;" f function:_unpack_tuple file: +g adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def g(a, b):$/;" f member:TestCabs.test_cabs_inf_nan file: +G adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^G = gravitational_constant = _cd('Newtonian constant of gravitation')$/;" v +g adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^g = _cd('standard acceleration of gravity')$/;" v +g adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ g = lambda x: x$/;" f member:TestQuad.test_double_integral2 file: +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def g(c, bounds):$/;" f member:LinprogCommonTests.test_bounds_infeasible_2 file: +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def g(x):$/;" f member:TestOptimizeSimple.test_initial_step_scaling file: +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ g = lambda x: np.cos(x)$/;" f member:TestApproxDerivativesDense.test_fp file: +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g(x):$/;" m class:StructTest1 +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g(x):$/;" m class:StructTest2 +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = (g1, g2)$/;" v class:StructTest3 +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = (g1, g2, g3, g4)$/;" v class:StructTest4 +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = (g1, g2, g3, g4)$/;" v class:StructTestInfeasible +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = None$/;" v class:StructTest5 +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = None$/;" v class:StructTestLJ +g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ g = None$/;" v class:StructTestTable +G adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^ def G(w):$/;" f function:_norm_factor file: +G adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^G = np.eye(n)$/;" v +g adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def g(theta):$/;" f member:levy_stable_gen._pdf_single_value_zolotarev file: +g adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ g = lambda n: sc.gamma(n*c + 1)$/;" f member:genextreme_gen._stats file: +g adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def g(*a, **kw):$/;" f function:threadsafe_generator file: +g adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^ def g(name):$/;" f function:_rename_all file: +G01 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G01(h2, k2):$/;" f function:test_ellip_norm file: +g1 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def g1(x):$/;" f member:TestNoseDecorators.test_skip_generators_callable file: +g1 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def g1(x):$/;" f member:TestNoseDecorators.test_skip_generators_hardcoded file: +g1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g1(self, x, a=100.0):$/;" m class:TestTnc +g1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g1(x):$/;" m class:StructTest3 +g1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g1(x):$/;" m class:StructTest4 +g1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g1(x):$/;" m class:StructTestInfeasible +g1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g1 = [1.006, 0.996, 0.998, 1.000, 0.992, 0.993, 1.002, 0.999, 0.994, 1.000]$/;" v +g10 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g10 = [0.991, 0.995, 0.984, 0.994, 0.997, 0.997, 0.991, 0.998, 1.004, 0.997]$/;" v +G11 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G11(h2, k2):$/;" f function:test_ellip_norm file: +G12 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G12(h2, k2):$/;" f function:test_ellip_norm file: +G13 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G13(h2, k2):$/;" f function:test_ellip_norm file: +g2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def g2(x):$/;" f member:TestNoseDecorators.test_skip_generators_callable file: +g2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def g2(x):$/;" f member:TestNoseDecorators.test_skip_generators_hardcoded file: +g2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g2(x):$/;" m class:StructTest3 +g2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g2(x):$/;" m class:StructTest4 +g2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g2(x):$/;" m class:StructTestInfeasible +g2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g2 = [0.998, 1.006, 1.000, 1.002, 0.997, 0.998, 0.996, 1.000, 1.006, 0.988]$/;" v +G21 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G21(h2, k2):$/;" f function:test_ellip_norm file: +G22 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G22(h2, k2):$/;" f function:test_ellip_norm file: +G23 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G23(h2, k2):$/;" f function:test_ellip_norm file: +G24 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G24(h2, k2):$/;" f function:test_ellip_norm file: +G25 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G25(h2, k2):$/;" f function:test_ellip_norm file: +g2c adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ g2c = 'g2c'$/;" v class:GnuFCompiler +g2c adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ g2c = 'gfortran'$/;" v class:Gnu95FCompiler +g3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g3(self, x):$/;" m class:TestTnc +g3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g3(x):$/;" m class:StructTest4 +g3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g3(x):$/;" m class:StructTestInfeasible +g3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g3 = [0.991, 0.987, 0.997, 0.999, 0.995, 0.994, 1.000, 0.999, 0.996, 0.996]$/;" v +G31 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G31(h2, k2):$/;" f function:test_ellip_norm file: +G32 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G32(h2, k2):$/;" f function:test_ellip_norm file: +G33 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G33(h2, k2):$/;" f function:test_ellip_norm file: +G34 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G34(h2, k2):$/;" f function:test_ellip_norm file: +G35 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G35(h2, k2):$/;" f function:test_ellip_norm file: +G36 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G36(h2, k2):$/;" f function:test_ellip_norm file: +G37 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def G37(h2, k2):$/;" f function:test_ellip_norm file: +g38 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g38(self, x):$/;" m class:TestTnc +g4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g4(self, x):$/;" m class:TestTnc +g4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g4(x):$/;" m class:StructTest4 +g4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def g4(x):$/;" m class:StructTestInfeasible +g4 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g4 = [1.005, 1.002, 0.994, 1.000, 0.995, 0.994, 0.998, 0.996, 1.002, 0.996]$/;" v +g45 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g45(self, x):$/;" m class:TestTnc +g5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def g5(self, x):$/;" m class:TestTnc +g5 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g5 = [0.998, 0.998, 0.982, 0.990, 1.002, 0.984, 0.996, 0.993, 0.980, 0.996]$/;" v +g6 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g6 = [1.009, 1.013, 1.009, 0.997, 0.988, 1.002, 0.995, 0.998, 0.981, 0.996]$/;" v +g7 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g7 = [0.990, 1.004, 0.996, 1.001, 0.998, 1.000, 1.018, 1.010, 0.996, 1.002]$/;" v +G721_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G721_ADPCM = 0x0040$/;" v class:WAVE_FORMAT +G722_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G722_ADPCM = 0x0065$/;" v class:WAVE_FORMAT +G723_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G723_ADPCM = 0x0014$/;" v class:WAVE_FORMAT +G726ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G726ADPCM = 0x0140$/;" v class:WAVE_FORMAT +G726_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G726_ADPCM = 0x0064$/;" v class:WAVE_FORMAT +G728_CELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G728_CELP = 0x0041$/;" v class:WAVE_FORMAT +G729A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ G729A = 0x0083$/;" v class:WAVE_FORMAT +g77_version_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^g77_version_strings = [$/;" v +g8 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g8 = [0.998, 1.000, 1.006, 1.000, 1.002, 0.996, 0.998, 0.996, 1.002, 1.006]$/;" v +g9 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^g9 = [1.002, 0.998, 0.996, 0.995, 0.996, 1.004, 1.004, 0.998, 0.999, 0.991]$/;" v +G95FCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^class G95FCompiler(FCompiler):$/;" c +gain adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def gain(self):$/;" m class:ZerosPolesGain +gain adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def gain(self, gain):$/;" m class:ZerosPolesGain +gallon adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^gallon = gallon_US = 231 * inch**3 # US$/;" v +gallon_imp adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^gallon_imp = 4.54609e-3 # UK$/;" v +gamln adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^from scipy.special import entr, logsumexp, betaln, gammaln as gamln$/;" x +gamma adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def gamma(key, a, shape=None, dtype=dtypes.float_):$/;" f +gamma adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t gamma(Dd_number_t x0) nogil$/;" f +gamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def gamma(x):$/;" f member:TestSystematic.test_lanczos_sum_expg_scaled file: +gamma adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gamma = gamma_gen(a=0.0, name='gamma')$/;" v +Gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^class Gamma(distribution.Distribution):$/;" c +gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.random_ops import random_gamma as gamma$/;" x +gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_gamma as gamma$/;" x +gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_gamma as gamma$/;" x +Gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^class Gamma(ExponentialFamily):$/;" c +gammainc adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def gammainc(a, x):$/;" f +gammainc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammainc(double x0, double x1) nogil$/;" f +gammainc adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^def gammainc(a, x, dps=50, maxterms=10**8):$/;" f +gammaincc adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def gammaincc(a, x):$/;" f +gammaincc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammaincc(double x0, double x1) nogil$/;" f +gammaincc adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^def gammaincc(a, x, dps=50, maxterms=10**8):$/;" f +gammainccinv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammainccinv(double x0, double x1) nogil$/;" f +gammaincinv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammaincinv(double x0, double x1) nogil$/;" f +gammainc_line adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def gammainc_line(self, x):$/;" m class:TestGammainc +gammaln adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def gammaln(x):$/;" f +gammaln adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammaln(double x0) nogil$/;" f +gammasgn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gammasgn(double x0) nogil$/;" f +gammatone adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def gammatone(freq, ftype, order=None, numtaps=None, fs=None):$/;" f +GammaWithSoftplusConcentrationRate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^class GammaWithSoftplusConcentrationRate(Gamma):$/;" c +gamma_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gamma_gen(rv_continuous):$/;" c +GAST2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^GAST2 = hasattr(gast, 'Str')$/;" v +GAST3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^GAST3 = not GAST2$/;" v +GAstToAst adpepsenv/lib/python3.8/site-packages/gast/astn.py /^GAstToAst = _generate_translators(ast)$/;" v +GAstToAst2 adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^class GAstToAst2(GAstToAst):$/;" c +GAstToAst3 adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^class GAstToAst3(GAstToAst):$/;" c +gast_to_ast adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^def gast_to_ast(node):$/;" f +gast_to_ast adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^def gast_to_ast(node):$/;" f +gated_grpc_debug_watches adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def gated_grpc_debug_watches(self):$/;" m class:EventListenerBaseServicer +GatewayTimeout adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class GatewayTimeout(HTTPException):$/;" c +GATE_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ GATE_GRAPH = 2$/;" v class:Optimizer +GATE_NONE adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ GATE_NONE = 0$/;" v class:Optimizer +GATE_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ GATE_OP = 1$/;" v class:Optimizer +gather adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def gather(operand: Array, start_indices: Array,$/;" f +gather adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def gather(operand, start_indices, dimension_numbers, slice_sizes,$/;" f +GATHER adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GATHER = 36$/;" v class:BuiltinOperator +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def gather(self, value, axis):$/;" m class:Strategy +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/test_util.py /^def gather(strategy, value):$/;" f +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def gather(reference, indices):$/;" f +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def gather(params,$/;" f +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather(params, indices, validate_indices=True, name=None):$/;" f +Gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Gather = tf_export("raw_ops.Gather")(_ops.to_raw_op(gather))$/;" v +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def gather(params,$/;" f +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def gather(self, indices, name=None):$/;" m class:TensorArray +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def gather(self, indices, name=None):$/;" m class:_EagerTensorArray +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def gather(self, indices, name=None):$/;" m class:_GraphTensorArray +gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def gather(self, indices, name=None):$/;" m class:_GraphTensorArrayV2 +gather adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_v2 as gather$/;" x +gather adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_v2 as gather$/;" x +gather adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_v2 as gather$/;" x +gather adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import gather_v2 as gather$/;" x +gather adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import gather_v2 as gather$/;" x +gather adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def gather(tensor,$/;" f +gather adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def gather(tensors, dim=0, destination=None, *, out=None):$/;" f +gather adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def gather(self, outputs, output_device):$/;" m class:DataParallel +gather adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def gather(self, outputs, output_device):$/;" m class:DistributedDataParallel +gather adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/scatter_gather.py /^def gather(outputs, target_device, dim=0):$/;" f +Gather adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^class Gather(Function):$/;" c +gather adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def gather(g, self, dim, index, sparse_grad=False):$/;" f +gather adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def gather(g, self, dim, index, sparse_grad=False):$/;" f +GatherDimensionNumbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^class GatherDimensionNumbers(NamedTuple):$/;" c +GatherDimensionNumbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class GatherDimensionNumbers(object):$/;" c +GatherDimensionNumbers adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^GatherDimensionNumbers = _reflection.GeneratedProtocolMessageType('GatherDimensionNumbers', (_me/;" v +GatherNd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^GatherNd = tf_export("raw_ops.GatherNd")(_ops.to_raw_op(gather_nd))$/;" v +GatherNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GatherNdOptions = 83$/;" v class:BuiltinOptions +GatherNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GatherNdOptions(object):$/;" c +GatherNdOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GatherNdOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:GatherNdOptions +GatherNdOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GatherNdOptionsEnd(builder): return builder.EndObject()$/;" f +GatherNdOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GatherNdOptionsStart(builder): builder.StartObject(0)$/;" f +GatherNdOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GatherNdOptionsT(object):$/;" c +GatherOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GatherOptions = 23$/;" v class:BuiltinOptions +GatherOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GatherOptions(object):$/;" c +GatherOptionsAddAxis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GatherOptionsAddAxis(builder, axis): builder.PrependInt32Slot(0, axis, 0)$/;" f +GatherOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GatherOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:GatherOptions +GatherOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GatherOptionsEnd(builder): return builder.EndObject()$/;" f +GatherOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GatherOptionsStart(builder): builder.StartObject(1)$/;" f +GatherOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GatherOptionsT(object):$/;" c +GatherRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^class GatherRecord(ModelLayer):$/;" c +GatherV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^GatherV2 = tf_export("raw_ops.GatherV2")(_ops.to_raw_op(gather_v2))$/;" v +gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather_eager_fallback(params, indices, validate_indices, name, ctx):$/;" f +gather_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def gather_initializers(root_trackable):$/;" f +gather_map adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/scatter_gather.py /^ def gather_map(outputs):$/;" f function:gather file: +gather_names adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^ def gather_names(tensor_info):$/;" f function:get_inputs_outputs file: +GATHER_ND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GATHER_ND = 107$/;" v class:BuiltinOperator +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def gather_nd(self, indices, name=None):$/;" m class:AutoCastVariable +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def gather_nd(params, indices, name=None, batch_dims=0):$/;" f +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather_nd(params, indices, name=None):$/;" f +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def gather_nd(params, indices, batch_dims=0, name=None):$/;" f +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def gather_nd(self, indices, name=None):$/;" m class:BaseResourceVariable +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def gather_nd(self, indices, name=None):$/;" m class:Variable +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_nd_v2 as gather_nd$/;" x +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_nd_v2 as gather_nd$/;" x +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import gather_nd_v2 as gather_nd$/;" x +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import gather_nd_v2 as gather_nd$/;" x +gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import gather_nd_v2 as gather_nd$/;" x +gather_nd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather_nd_eager_fallback(params, indices, name, ctx):$/;" f +gather_nd_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def gather_nd_v2(params, indices, batch_dims=0, name=None):$/;" f +gather_non_trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def gather_non_trainable_weights(trainable, sub_layers, extra_variables):$/;" f +gather_non_trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def gather_non_trainable_weights(trainable, sub_layers, extra_variables):$/;" f +gather_object adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def gather_object(obj, object_gather_list=None, dst=0, group=group.WORLD):$/;" f +gather_ops_or_named_saveables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def gather_ops_or_named_saveables(self):$/;" m class:CheckpointPosition +gather_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^gather_p = standard_primitive($/;" v +gather_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def gather_ranges(data, ranges):$/;" f +gather_ranges_to_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def gather_ranges_to_dense(data, ranges, lengths):$/;" f +gather_ranges_to_dense_with_key adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def gather_ranges_to_dense_with_key(data, ranges, key, lengths):$/;" f +gather_trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def gather_trainable_weights(trainable, sub_layers, extra_variables):$/;" f +gather_trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def gather_trainable_weights(trainable, sub_layers, extra_variables):$/;" f +gather_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def gather_v2(params,$/;" f +gather_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather_v2(params, indices, axis, batch_dims=0, name=None):$/;" f +gather_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def gather_v2_eager_fallback(params, indices, axis, batch_dims, name, ctx):$/;" f +gather_variable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def gather_variable(shape, index_dim, max_indices, duplicate=False):$/;" f +Gauge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class Gauge(univ.Integer):$/;" c +Gauge32 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Gauge32(univ.Integer):$/;" c +gausshyper adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gausshyper = gausshyper_gen(a=0.0, b=1.0, name='gausshyper')$/;" v +gausshyper_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gausshyper_gen(rv_continuous):$/;" c +gaussian adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def gaussian(M, std, sym=True):$/;" f +GAUSSIAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ GAUSSIAN = 'gaussian'$/;" v class:ResizeMethod +GaussianBlur adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class GaussianBlur(MultibandFilter):$/;" c +GaussianDropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^class GaussianDropout(Layer):$/;" c +GaussianFilter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ class GaussianFilter(BaseFilter):$/;" c function:test_agg_filter file: +GaussianKDE adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^class GaussianKDE:$/;" c +GaussianNoise adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^class GaussianNoise(Layer):$/;" c +gaussian_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def gaussian_filter(input, sigma, order=0, output=None,$/;" f +gaussian_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def gaussian_filter1d(input, sigma, axis=-1, order=0, output=None,$/;" f +gaussian_gradient_magnitude adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def gaussian_gradient_magnitude(input, sigma, output=None,$/;" f +gaussian_kde adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^class gaussian_kde(object):$/;" c +gaussian_laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def gaussian_laplace(input, sigma, output=None, mode="reflect",$/;" f +gausspulse adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def gausspulse(t, fc=1000, bw=0.5, bwr=-6, tpr=-60, retquad=False,$/;" f +gauss_from_uint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def gauss_from_uint(x, n, bits):$/;" f +gauss_pts adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ gauss_pts = np.array([[13.\/18., 4.\/18., 1.\/18.],$/;" v class:_ReducedHCT_Element +gauss_spline adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def gauss_spline(x, n):$/;" f +gauss_w adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ gauss_w = np.ones([9], dtype=np.float64) \/ 9.$/;" v class:_ReducedHCT_Element +GB adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_benchmark.py /^GB = 1024 * 1024 * 1024$/;" v +GB2312DistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class GB2312DistributionAnalysis(CharDistributionAnalysis):$/;" c +GB2312DistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class GB2312DistributionAnalysis(CharDistributionAnalysis):$/;" c +GB2312Prober adpepsenv/lib/python3.8/site-packages/chardet/gb2312prober.py /^class GB2312Prober(MultiByteCharSetProber):$/;" c +GB2312Prober adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312prober.py /^class GB2312Prober(MultiByteCharSetProber):$/;" c +GB2312_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^GB2312_CHAR_LEN_TABLE = (0, 1, 1, 1, 1, 1, 2)$/;" v +GB2312_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^GB2312_CHAR_LEN_TABLE = (0, 1, 1, 1, 1, 1, 2)$/;" v +GB2312_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/chardet/gb2312freq.py /^GB2312_CHAR_TO_FREQ_ORDER = ($/;" v +GB2312_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312freq.py /^GB2312_CHAR_TO_FREQ_ORDER = ($/;" v +GB2312_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^GB2312_CLS = ($/;" v +GB2312_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^GB2312_CLS = ($/;" v +GB2312_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^GB2312_SM_MODEL = {'class_table': GB2312_CLS,$/;" v +GB2312_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^GB2312_SM_MODEL = {'class_table': GB2312_CLS,$/;" v +GB2312_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^GB2312_ST = ($/;" v +GB2312_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^GB2312_ST = ($/;" v +GB2312_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/gb2312freq.py /^GB2312_TABLE_SIZE = 3760$/;" v +GB2312_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312freq.py /^GB2312_TABLE_SIZE = 3760$/;" v +GB2312_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/chardet/gb2312freq.py /^GB2312_TYPICAL_DISTRIBUTION_RATIO = 0.9$/;" v +GB2312_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312freq.py /^GB2312_TYPICAL_DISTRIBUTION_RATIO = 0.9$/;" v +GbrImageFile adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^class GbrImageFile(ImageFile.ImageFile):$/;" c +gca adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def gca(self, **kwargs):$/;" m class:Figure +gca adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def gca(**kwargs):$/;" f +gcd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def gcd(x1, x2):$/;" f +gcd adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def gcd(p: int, q: int) -> int:$/;" f +gcd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def gcd(x1, x2):$/;" f +gcd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/util_ops.py /^def gcd(a, b, name=None):$/;" f +GCEClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^class GCEClusterResolver(ClusterResolver):$/;" c +GCE_METADATA_HOST adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^GCE_METADATA_HOST = "GCE_METADATA_HOST"$/;" v +GCE_METADATA_IP adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^GCE_METADATA_IP = "GCE_METADATA_IP"$/;" v +GCE_METADATA_ROOT adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^GCE_METADATA_ROOT = "GCE_METADATA_ROOT"$/;" v +gcf adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def gcf():$/;" f +Gcf adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^class Gcf:$/;" c +gci adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def gci():$/;" f +gcluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/tf_optimizer.py /^from tensorflow.python.grappler import cluster as gcluster$/;" x +GCMParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^class GCMParameters(univ.Sequence):$/;" c +gcrotmk adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/_gcrotmk.py /^def gcrotmk(A, b, x0=None, tol=1e-5, maxiter=1000, M=None, callback=None,$/;" f +gcs adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gcs = dict($/;" v +gcs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^gcs = dict($/;" v +gcs adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gcs = dict($/;" v +gcs_cpu_ideep adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^gcs_cpu_ideep = dict(gc=st.sampled_from([cpu_do, ideep_do]), dc=st.just([cpu_do, ideep_do]))$/;" v +gcs_cpu_mkl adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gcs_cpu_mkl = dict(gc=st.sampled_from([cpu_do, mkl_do]), dc=st.just([cpu_do, mkl_do]))$/;" v +gcs_cpu_only adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gcs_cpu_only = dict(gc=st.sampled_from([cpu_do]), dc=st.just([cpu_do]))$/;" v +gcs_cpu_only adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^gcs_cpu_only = dict(gc=st.sampled_from([cpu_do]), dc=st.just([cpu_do]))$/;" v +gcs_cpu_only adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gcs_cpu_only = dict(gc=st.sampled_from([cpu_do]), dc=st.just([cpu_do]))$/;" v +gcs_cuda_only adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gcs_cuda_only = dict(gc=st.sampled_from(_cuda_do_list), dc=st.just(_cuda_do_list))$/;" v +gcs_gpu_only adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gcs_gpu_only = dict(gc=st.sampled_from(_gpu_do_list), dc=st.just(_gpu_do_list)) # CUDA or ROCm$/;" v +gcs_gpu_only adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gcs_gpu_only = dict(gc=st.sampled_from([gpu_do]), dc=st.just([gpu_do]))$/;" v +gcs_ideep_only adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^gcs_ideep_only = dict(gc=st.sampled_from([ideep_do]), dc=st.just([ideep_do]))$/;" v +gcs_mkl_only adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gcs_mkl_only = dict(gc=st.sampled_from([mkl_do]), dc=st.just([mkl_do]))$/;" v +gcs_no_hip adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gcs_no_hip = dict(gc=st.sampled_from(_device_options_no_hip), dc=st.just(_device_options_no_hip)/;" v +gc_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^def gc_state(state):$/;" f +GdImageFile adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^class GdImageFile(ImageFile.ImageFile):$/;" c +gdk_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gdk_2_info(_pkg_config_info):$/;" c +gdk_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gdk_info(_pkg_config_info):$/;" c +gdk_pixbuf_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gdk_pixbuf_2_info(_pkg_config_info):$/;" c +gdk_pixbuf_xlib_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gdk_pixbuf_xlib_2_info(_pkg_config_info):$/;" c +gdk_x11_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gdk_x11_2_info(_pkg_config_info):$/;" c +gdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gdtr(double x0, double x1, double x2) nogil$/;" f +gdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gdtrc(double x0, double x1, double x2) nogil$/;" f +gdtrc_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtrc_(p, x):$/;" f +gdtria adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gdtria(double x0, double x1, double x2) nogil$/;" f +gdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gdtrib(double x0, double x1, double x2) nogil$/;" f +gdtrib_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtrib_(p, x):$/;" f +gdtrib_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtrib_comp(p, x):$/;" f +gdtrix adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double gdtrix(double x0, double x1, double x2) nogil$/;" f +gdtrix_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtrix_(b, p):$/;" f +gdtrix_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtrix_comp(b, p):$/;" f +gdtr_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def gdtr_(p, x):$/;" f +ge adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def ge(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +ge adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^ge = np.greater_equal$/;" v +ge adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def ge(x: Array, y: Array) -> Array:$/;" f +ge adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def ge(self, x):$/;" m class:_Edge_integer +ge adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ge = _broadcasting_binary_op(math_ops.greater_equal)$/;" v +ge adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def ge(g, input, other):$/;" f +ge adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def ge(g, input, other):$/;" f +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/compression_ops.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/matching_files.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +ged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^from tensorflow.python.ops import gen_experimental_dataset_ops as ged_ops$/;" x +gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def gegenbauer(n, alpha, monic=False):$/;" f +gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def gegenbauer(n, a, x):$/;" f member:TestSystematic.test_gegenbauer_int file: +Gelu adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Gelu = elementwise(gelu)$/;" v +gelu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def gelu(x: Array, approximate: bool = True) -> Array:$/;" f +gelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def gelu(x, approximate=False):$/;" f +gelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def gelu(features, approximate=False, name=None):$/;" f +gelu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def gelu(input):$/;" f +GELU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class GELU(Module):$/;" c +gelu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def gelu(g, self):$/;" f +gelu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def gelu_ref(X):$/;" f member:TestActivations.test_gelu file: +gen adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def gen(shape, dtype, post=lambda x: x):$/;" f function:rand_fullrange file: +gen adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def gen():$/;" f member:FuncAnimation.new_saved_frame_seq file: +gen adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^ def gen():$/;" f function:rand_equation file: +gender adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^gender = SingleAttribute()$/;" v +GenderString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class GenderString(char.PrintableString):$/;" c +GeneralConv adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def GeneralConv(dimension_numbers, out_chan, filter_shape,$/;" f +GeneralConvTranspose adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def GeneralConvTranspose(dimension_numbers, out_chan, filter_shape,$/;" f +GeneralizedTime adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^class GeneralizedTime(char.VisibleString, TimeMixIn):$/;" c +GeneralizedTimeDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class GeneralizedTimeDecoder(OctetStringDecoder):$/;" c +GeneralizedTimeEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class GeneralizedTimeEncoder(TimeEncoderMixIn, encoder.OctetStringEncoder):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class GeneralName(univ.Choice):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class GeneralName(univ.OctetString):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class GeneralName(univ.OctetString):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^GeneralName = rfc5280.GeneralName$/;" v +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class GeneralName(univ.Choice):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class GeneralName(univ.Choice):$/;" c +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^GeneralName = rfc5280.GeneralName$/;" v +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^GeneralName = rfc5280.GeneralName$/;" v +GeneralName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^GeneralName = rfc5280.GeneralName$/;" v +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class GeneralNames(univ.SequenceOf):$/;" c +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^GeneralNames = rfc5280.GeneralNames$/;" v +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class GeneralNames(univ.SequenceOf):$/;" c +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^GeneralNames = rfc5280.GeneralNames$/;" v +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class GeneralNames(univ.SequenceOf):$/;" c +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^GeneralNames = rfc5280.GeneralNames$/;" v +GeneralNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^GeneralNames = rfc5280.GeneralNames$/;" v +GeneralString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class GeneralString(AbstractCharacterString):$/;" c +GeneralStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class GeneralStringDecoder(OctetStringDecoder):$/;" c +GeneralSubtree adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class GeneralSubtree(univ.Sequence):$/;" c +GeneralSubtree adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class GeneralSubtree(univ.Sequence):$/;" c +GeneralSubtree adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class GeneralSubtree(univ.Sequence):$/;" c +GeneralSubtrees adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class GeneralSubtrees(univ.SequenceOf):$/;" c +GeneralSubtrees adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class GeneralSubtrees(univ.SequenceOf):$/;" c +GeneralSubtrees adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class GeneralSubtrees(univ.SequenceOf):$/;" c +general_cosine adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def general_cosine(M, a, sym=True):$/;" f +general_gaussian adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def general_gaussian(M, p, sig, sym=True):$/;" f +general_group adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^general_group = {$/;" v +general_hamming adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def general_hamming(M, alpha, sym=True):$/;" f +general_source_directories_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def general_source_directories_files(top_path):$/;" f +general_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def general_source_files(top_path):$/;" f +generate adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def generate(cls, size, callback, channels=3, target_mode=None):$/;" m class:Color3DLUT +generate adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def generate():$/;" f member:Response.iter_content file: +generate adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/mklabels.py /^def generate(url):$/;" f +generate adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def generate():$/;" f member:Response.iter_content file: +generate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def generate(self, type, mode='full'):$/;" m class:BaseQRdeltas +generate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def generate(self, type, mode='full', p=1):$/;" m class:BaseQRupdate +generate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def generate(self, type, mode='full', which='row', p=1):$/;" m class:BaseQRinsert +generate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def generate(self, shape):$/;" m class:_TestLinearFilter +generate adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def generate(self):$/;" m class:FusedFunc +generate adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def generate(self, all_loops):$/;" m class:Ufunc +generate adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/mklabels.py /^def generate(url):$/;" f +generate adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^ def generate(self,$/;" m class:HTMLGenerator +generate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def generate(combinations, test_combinations=()):$/;" f +generate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^generate = functools.partial($/;" v +generate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^def generate(combinations, test_combinations=()):$/;" f +generate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^generate = functools.partial($/;" v +generate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def generate(self,$/;" m class:ARModel +generate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def generate(self,$/;" m class:TimeSeriesModel +generate adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def generate(rank, world_size, sparse_dims=1, dense_dims=0):$/;" f function:simple_sparse_reduce_tests file: +GenerateBoundingBoxProposals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^GenerateBoundingBoxProposals = tf_export("raw_ops.GenerateBoundingBoxProposals")(_ops.to_raw_op(/;" v +generateCodeLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateCodeLink(self, formatter):$/;" m class:OperatorDoc +generateCodeLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def generateCodeLink(self, formatter):$/;" m class:GHOperatorDoc +GeneratedCodeInfo adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^GeneratedCodeInfo = _reflection.GeneratedProtocolMessageType('GeneratedCodeInfo', (_message.Mess/;" v +generateDevices adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateDevices(self, formatter):$/;" m class:OperatorDoc +GeneratedFileCleaner adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^class GeneratedFileCleaner:$/;" c +generateDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateDoc(self, formatter):$/;" m class:OperatorDoc +generateDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateDoc(self, formatter):$/;" m class:OperatorEngine +generateDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def generateDoc(self, formatter):$/;" m class:GHOperatorEngine +GeneratedProtocolMessageType adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^class GeneratedProtocolMessageType(type):$/;" c +GeneratedProtocolMessageType adpepsenv/lib/python3.8/site-packages/google/protobuf/pyext/cpp_message.py /^class GeneratedProtocolMessageType(_message.MessageMeta):$/;" c +GeneratedProtocolMessageType adpepsenv/lib/python3.8/site-packages/google/protobuf/reflection.py /^GeneratedProtocolMessageType = message_factory._GENERATED_PROTOCOL_MESSAGE_TYPE$/;" v +GeneratedServiceStubType adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^class GeneratedServiceStubType(GeneratedServiceType):$/;" c +GeneratedServiceType adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^class GeneratedServiceType(type):$/;" c +generateEngines adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateEngines(self, formatter):$/;" m class:OperatorDoc +generateImpliedEndTags adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def generateImpliedEndTags(self, exclude=None):$/;" m class:TreeBuilder +generateImpliedEndTags adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def generateImpliedEndTags(self, exclude=None):$/;" m class:TreeBuilder +generateInterface adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateInterface(self, formatter):$/;" m class:OperatorDoc +generateSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateSchema(self, formatter):$/;" m class:OperatorDoc +generateSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def generateSchema(self, formatter):$/;" m class:GHOperatorDoc +generateTable adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def generateTable(self, formatter, tuples, title_row, title):$/;" m class:OperatorDoc +GenerateText adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^ def GenerateText(self, num_characters, ch):$/;" m class:CharRNN +GenerateVocabRemapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^GenerateVocabRemapping = tf_export("raw_ops.GenerateVocabRemapping")(_ops.to_raw_op(generate_voc/;" v +generate_A adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/expn_asy.py /^def generate_A(K):$/;" f +generate_adhoc_ssl_context adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def generate_adhoc_ssl_context():$/;" f +generate_adhoc_ssl_pair adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def generate_adhoc_ssl_pair(cn=None):$/;" f +generate_age adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def generate_age(issue_time):$/;" f +generate_all_chart_tables adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def generate_all_chart_tables(ipa):$/;" f +generate_all_chart_tables adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/kernel_stats_proto_to_gviz.py /^def generate_all_chart_tables(kernel_stats_db):$/;" f +generate_all_chart_tables adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def generate_all_chart_tables(overview_page):$/;" f +generate_all_chart_tables adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def generate_all_chart_tables(combined_tf_data_stats):$/;" f +generate_all_chart_tables adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_stats_proto_to_gviz.py /^def generate_all_chart_tables(tf_stats_db):$/;" f +generate_all_false adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def generate_all_false(self, dtype):$/;" m class:TestRequire +generate_anchor adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def generate_anchor(self, node):$/;" m class:Serializer +generate_api adpepsenv/lib/python3.8/site-packages/numpy/core/generate_numpy_api.py /^def generate_api(output_dir, force=False):$/;" f +generate_api adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def generate_api(ext, build_dir):$/;" f function:configuration.generate_api_func file: +generate_api_func adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def generate_api_func(module_name):$/;" f function:configuration file: +generate_a_pyrex_source adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def generate_a_pyrex_source(self, base, ext_name, source, extension):$/;" m class:build_src +generate_bench adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_bench(name, codes):$/;" f +generate_binary_structure adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def generate_binary_structure(rank, connectivity):$/;" f +generate_blas_pxd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_blas_pxd(all_sigs):$/;" f +generate_blas_pyx adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_blas_pyx(func_sigs, sub_sigs, all_sigs, header_name):$/;" f +generate_bottleneck_analysis_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def generate_bottleneck_analysis_table(bottleneck_analysis):$/;" f +generate_bounding_box_proposals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def generate_bounding_box_proposals(scores, bbox_deltas, image_info, anchors, nms_threshold, pre/;" f +generate_bounding_box_proposals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def generate_bounding_box_proposals(scores,$/;" f +generate_bounding_box_proposals_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def generate_bounding_box_proposals_eager_fallback(scores, bbox_deltas, image_info, anchors, nms/;" f +generate_broadcast_enqueue_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def generate_broadcast_enqueue_ops_fn(ctx, input_fn, inputs_structure_recorder,$/;" f +generate_chart_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_stats_proto_to_gviz.py /^def generate_chart_table(stats_table, device_type):$/;" f +generate_checkpoint_state_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def generate_checkpoint_state_proto(save_dir,$/;" f +generate_checkpoint_state_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^generate_checkpoint_state_proto = ($/;" v +generate_chrome_trace_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def generate_chrome_trace_format(self,$/;" m class:Timeline +generate_client_id adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def generate_client_id(length=30, chars=CLIENT_ID_CHARACTER_SET):$/;" f +generate_combinations_with_testcase_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def generate_combinations_with_testcase_name(**kwargs):$/;" f +generate_combinations_with_testcase_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def generate_combinations_with_testcase_name(**kwargs):$/;" f +generate_config_h adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def generate_config_h(ext, build_dir):$/;" f function:configuration file: +generate_config_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def generate_config_py(target):$/;" f +generate_convnd_inputs adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def generate_convnd_inputs($/;" f +generate_conv_inputs adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def generate_conv_inputs($/;" f +generate_css adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def generate_css(attrib={}):$/;" f +generate_c_header adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_c_header(func_sigs, sub_sigs, all_sigs, lib_name):$/;" f +generate_data adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def generate_data(T, batch_size, max_seq_length):$/;" f +generate_data adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^def generate_data(T, shape, num_labels, fixed_shape):$/;" f +generate_def adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def generate_def(dll, dfile):$/;" f +generate_dequeue_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def generate_dequeue_op(self, tpu_device=0):$/;" m class:InfeedQueue +generate_dequeue_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def generate_dequeue_op(self, tpu_device=0):$/;" m class:_PartitionedInfeedQueue +generate_diagnostics_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/diagnostics.py /^def generate_diagnostics_table(diag):$/;" f +generate_doc adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_doc(name, specs):$/;" f +generate_embedding_table adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def generate_embedding_table(vocab_size, embedding_size):$/;" f +generate_enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def generate_enqueue_ops($/;" m class:TPUEmbedding +generate_enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def generate_enqueue_ops():$/;" f member:TPUEmbedding.enqueue file: +generate_enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def generate_enqueue_ops(self, sharded_inputs):$/;" m class:_PartitionedInfeedQueue +generate_enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def generate_enqueue_ops(self,$/;" m class:InfeedQueue +generate_errorbar_inputs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def generate_errorbar_inputs():$/;" f +generate_etag adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def generate_etag(data):$/;" f +generate_etag adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def generate_etag(self, mtime, file_size, real_filename):$/;" m class:SharedDataMiddleware +generate_eval_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def generate_eval_net(model, include_tags=None):$/;" f +generate_EventCollection_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def generate_EventCollection_plot():$/;" f +generate_fem_stripe_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def generate_fem_stripe_image(self, value, dtype='uint16'):$/;" m class:ExcaliburData +generate_fem_stripe_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def generate_fem_stripe_image(self, value, dtype='uint16'):$/;" m class:ExcaliburData +generate_fontconfig_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^def generate_fontconfig_pattern(d):$/;" f +generate_fortran adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_fortran(func_sigs):$/;" f +generate_fused_funcs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_fused_funcs(modname, ufunc_fn_prefix, fused_funcs):$/;" f +generate_fused_type adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_fused_type(codes):$/;" f +generate_goldens adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^def generate_goldens():$/;" f +generate_graph_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def generate_graph_table(combined_tf_data_stats):$/;" f +generate_help adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def generate_help(self, header=None):$/;" m class:FancyGetopt +generate_hg_version_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def generate_hg_version_py():$/;" f member:Configuration.make_hg_version_py file: +generate_image_from_thubnails adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def generate_image_from_thubnails(thumbnails, thumbnail_dims):$/;" f function:create_sprite_image file: +generate_infeed_enqueue_ops_and_dequeue_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def generate_infeed_enqueue_ops_and_dequeue_fn(self):$/;" m class:_InputPipeline +generate_input_op_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def generate_input_op_table(ipa):$/;" f +generate_input_state adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def generate_input_state(n, d):$/;" f function:_prepare_gru_unit_op file: +generate_input_state adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def generate_input_state(shape):$/;" f function:_prepare_attention file: +generate_input_state adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/rnn_cell_test_util.py /^ def generate_input_state(n, d):$/;" f function:_prepare_rnn file: +generate_kernel_reports_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/kernel_stats_proto_to_gviz.py /^def generate_kernel_reports_table(kernel_reports):$/;" f +generate_lapack_pxd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_lapack_pxd(all_sigs):$/;" f +generate_lapack_pyx adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def generate_lapack_pyx(func_sigs, sub_sigs, all_sigs, header_name):$/;" f +generate_libraries adpepsenv/lib/python3.8/site-packages/numpy/random/setup.py /^ def generate_libraries(ext, build_dir):$/;" f function:configuration file: +generate_link adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def generate_link(flag, np_fun_name):$/;" f +generate_loop adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_loop(func_inputs, func_outputs, func_retval,$/;" f +generate_manifest adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def generate_manifest(config):$/;" f +generate_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def generate_matrix(N, complex_=False, hermitian=False,$/;" f +generate_matrix_symmetric adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def generate_matrix_symmetric(N, pos_definite=False, sparse=False):$/;" f +generate_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/metadata.py /^def generate_metadata(build_env, backend):$/;" f +generate_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/metadata_legacy.py /^def generate_metadata($/;" f +generate_metadata_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ generate_metadata as generate_metadata_legacy$/;" x +generate_mobile_module_lints adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^def generate_mobile_module_lints(script_module: torch.jit.ScriptModule):$/;" f +generate_multimethod adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def generate_multimethod($/;" f +generate_nonce adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def generate_nonce():$/;" f +generate_numpyconfig_h adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def generate_numpyconfig_h(ext, build_dir):$/;" f function:configuration file: +generate_overview_page_analysis_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def generate_overview_page_analysis_table(overview_page_analysis):$/;" f +generate_params adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def generate_params(n_points):$/;" f member:TestGIL.test_rectbivariatespline file: +generate_password_hash adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def generate_password_hash(password, method="pbkdf2:sha256", salt_length=8):$/;" f +generate_per_core_enqueue_ops_fn_for_host adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def generate_per_core_enqueue_ops_fn_for_host(ctx, input_fn,$/;" f +generate_per_host_enqueue_ops_fn_for_host adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def generate_per_host_enqueue_ops_fn_for_host(ctx, input_fn,$/;" f +generate_per_host_v2_enqueue_ops_fn_for_host adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def generate_per_host_v2_enqueue_ops_fn_for_host(ctx, input_fn,$/;" f +generate_placeholders_from_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def generate_placeholders_from_shape(shape):$/;" f +generate_predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def generate_predict_net(model, include_tags=None):$/;" f +generate_proposals_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def generate_proposals_ref():$/;" f member:TorchIntegration.test_generate_proposals file: +generate_proposals_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def generate_proposals_ref():$/;" f member:TorchIntegration.test_generate_proposals_cuda file: +generate_random_dtype_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def generate_random_dtype_array(shape, dtype):$/;" f +generate_random_token adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def generate_random_token():$/;" f +generate_recommendation_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def generate_recommendation_table(ipa):$/;" f +generate_recommendation_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def generate_recommendation_table(overview_page_recommendation):$/;" f +generate_requirements adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def generate_requirements(extras_require):$/;" f +generate_rois adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def generate_rois(roi_counts, im_dims):$/;" f +generate_rois adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def generate_rois(roi_counts, im_dims):$/;" f +generate_rois_rotated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^def generate_rois_rotated(roi_counts, im_dims):$/;" f +generate_rois_rotated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^def generate_rois_rotated(roi_counts, im_dims):$/;" f +generate_run_environment_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def generate_run_environment_table(run_environment):$/;" f +generate_run_to_tools adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def generate_run_to_tools(self):$/;" m class:ProfilePlugin +generate_scripts adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_scripts.py /^ def generate_scripts(self, scripts):$/;" m class:build_scripts +generate_send_gradients_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def generate_send_gradients_op(self,$/;" m class:TPUEmbedding +generate_sensor_module_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def generate_sensor_module_image(self, value, dtype='uint16'):$/;" m class:ExcaliburData +generate_sensor_module_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def generate_sensor_module_image(self, value, dtype='uint16'):$/;" m class:ExcaliburData +generate_signed_token adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def generate_signed_token(private_pem, request):$/;" f +generate_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def generate_sources(self, sources, extension):$/;" m class:build_src +generate_sprite adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def generate_sprite(self, example_strings):$/;" m class:WhatIfToolPlugin +generate_square_subsequent_mask adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def generate_square_subsequent_mask(self, sz: int) -> Tensor:$/;" m class:Transformer +generate_step_breakdown_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def generate_step_breakdown_table(ipa):$/;" f +generate_summary_table adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def generate_summary_table(combined_tf_data_stats):$/;" f +generate_svn_version_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def generate_svn_version_py():$/;" f member:Configuration.make_svn_version_py file: +generate_test adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def generate_test(infile, outfile, normalize, kwargs):$/;" f member:LegacyTestMeta.__new__ file: +generate_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^def generate_tests($/;" f +generate_test_statistics adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def generate_test_statistics(rvs, N=1000, eps=1e-10):$/;" f member:TestOrthoGroup.test_pairwise_distances file: +generate_timestamp adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def generate_timestamp():$/;" f +generate_token adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def generate_token(length=30, chars=UNICODE_ASCII_CHARACTER_SET):$/;" f +generate_training_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def generate_training_nets(model, include_tags=None):$/;" f +generate_training_nets_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def generate_training_nets_forward_only(model, include_tags=None):$/;" f +generate_transform adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def generate_transform(transform_list=[]):$/;" f +generate_ufuncs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_ufuncs(fn_prefix, cxx_fn_prefix, ufuncs):$/;" f +generate_ufuncs_type_stubs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def generate_ufuncs_type_stubs(module_name: str, ufuncs: List[Ufunc]):$/;" f +generate_umath_c adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def generate_umath_c(ext, build_dir):$/;" f function:configuration file: +generate_validator_testcases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def generate_validator_testcases(valid):$/;" f +generate_vocab_remapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^def generate_vocab_remapping(new_vocab_file, old_vocab_file, new_vocab_offset, num_new_vocab, ol/;" f +generate_vocab_remapping_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^def generate_vocab_remapping_eager_fallback(new_vocab_file, old_vocab_file, new_vocab_offset, nu/;" f +generate_xy_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def generate_xy_sample(self, n):$/;" m class:TestCompareWithStats +generate_xy_sample2D adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def generate_xy_sample2D(self, n, nx):$/;" m class:TestCompareWithStats +generationtime adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^generationtime = int(os.environ.get('SOURCE_DATE_EPOCH', time.time()))$/;" v +generator adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def generator(shape, dtype):$/;" f function:rand_bool file: +GENERATOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ GENERATOR = 'distlib (%s)' % __version__$/;" v class:Metadata +generator adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def generator(row_iter, delim=','):$/;" f function:_loadarff file: +Generator adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ class Generator(): # type: ignore[no-redef]$/;" c +Generator adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ from numpy.random import Generator as Generator$/;" x +Generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^class Generator(tracking.AutoTrackable, composite_tensor.CompositeTensor):$/;" c +GeneratorDataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class GeneratorDataAdapter(DataAdapter):$/;" c +GeneratorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^GeneratorDataset = tf_export("raw_ops.GeneratorDataset")(_ops.to_raw_op(generator_dataset))$/;" v +GeneratorEnqueuer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^class GeneratorEnqueuer(SequenceEnqueuer):$/;" c +GeneratorLikeTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^class GeneratorLikeTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +GeneratorOrSequenceTrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^class GeneratorOrSequenceTrainingLoop(training_utils_v1.TrainingLoop):$/;" c +GeneratorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^class GeneratorSpec(type_spec.TypeSpec):$/;" c +generators_from_logdir adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def generators_from_logdir(logdir):$/;" f +generator_context adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def generator_context(*args, **kwargs):$/;" f member:_DecoratorContextManager._wrap_generator file: +generator_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def generator_dataset(init_func_other_args, next_func_other_args, finalize_func_other_args, init/;" f +generator_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def generator_dataset_eager_fallback(init_func_other_args, next_func_other_args, finalize_func_o/;" f +generator_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def generator_fn():$/;" f member:GeneratorDataAdapter._handle_multiprocessing file: +generator_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def generator_fn():$/;" f member:KerasSequenceAdapter._handle_multiprocessing file: +generator_from_event_file adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def generator_from_event_file(event_file):$/;" f +generator_next_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def generator_next_fn(iterator_id_t):$/;" f member:DatasetV2.from_generator file: +generator_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def generator_py_func(iterator_id):$/;" f function:DatasetV2.from_generator.generator_next_fn file: +generic adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^def generic(IndexType, InType, OutType, use_weights, isa, fused, use_offsets):$/;" f +generic adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^generic = allTypes['generic']$/;" v +generic adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def generic(name, tensor, metadata=None, family=None, step=None):$/;" f +GenericArrayLikeDataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class GenericArrayLikeDataAdapter(TensorLikeDataAdapter):$/;" c +GenericBroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class GenericBroyden(Jacobian):$/;" c +GenericHybridParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class GenericHybridParameters(univ.Sequence):$/;" c +GenericMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ GenericMeta = TypingMeta = type$/;" v +GenericObject adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^class GenericObject:$/;" c +GenericRecommendation adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^GenericRecommendation = _reflection.GeneratedProtocolMessageType('GenericRecommendation', (_mess/;" v +GenericRpcHandler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class GenericRpcHandler(six.with_metaclass(abc.ABCMeta)):$/;" c +GenericStepTimeBreakdown adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^GenericStepTimeBreakdown = _reflection.GeneratedProtocolMessageType('GenericStepTimeBreakdown', /;" v +GenericStub adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class GenericStub(six.with_metaclass(abc.ABCMeta)):$/;" c +GenericTranspiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^class GenericTranspiler(object):$/;" c +genericTypeRank adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^genericTypeRank = ['bool', 'int8', 'uint8', 'int16', 'uint16',$/;" v +GenericWithOneTypeVar adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^GenericWithOneTypeVar = Generic[T]$/;" v +generic_callback_test adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def generic_callback_test(self):$/;" f +GENERIC_ERROR adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ GENERIC_ERROR = "too many error responses"$/;" v class:ResponseError +GENERIC_ERROR adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ GENERIC_ERROR = "too many error responses"$/;" v class:ResponseError +generic_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def generic_filter(input, function, size=None, footprint=None,$/;" f +generic_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def generic_filter1d(input, function, filter_size, axis=-1,$/;" f +generic_gradient_magnitude adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def generic_gradient_magnitude(input, derivative, output=None,$/;" f +generic_laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def generic_laplace(input, derivative2, output=None, mode="reflect",$/;" f +generic_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def generic_moment(self, n):$/;" m class:rv_sample +generic_output_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def generic_output_names(outputs_list):$/;" f +GENERIC_PASSTHRU adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GENERIC_PASSTHRU = 0x0249$/;" v class:WAVE_FORMAT +generic_pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def generic_pre_build_hook(cmd, ext, fcompiler_flags, patch_source_func=None,$/;" f +generic_stub adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def generic_stub(channel, options=None):$/;" f +generic_stub adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def generic_stub(channel, host, metadata_transformer, request_serializers,$/;" f +generic_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def generic_tags($/;" f +generic_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def generic_tags($/;" f +GENERIC_TESTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^GENERIC_TESTS = [$/;" v +generic_visit adpepsenv/lib/python3.8/site-packages/astunparse/printer.py /^ def generic_visit(self, node):$/;" m class:Printer +generic_visit adpepsenv/lib/python3.8/site-packages/gast/astn.py /^ def generic_visit(self, node):$/;" m class:_generate_translators.Translator +generic_visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def generic_visit(self, node):$/;" m class:PatternMatcher +generic_visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def generic_visit(self, node, name=None):$/;" m class:PrettyPrinter +genexpon adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^genexpon = genexpon_gen(a=0.0, name='genexpon')$/;" v +genexpon_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class genexpon_gen(rv_continuous):$/;" c +genextreme adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^genextreme = genextreme_gen(name='genextreme')$/;" v +genextreme_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class genextreme_gen(rv_continuous):$/;" c +genfrac adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def genfrac(self, s, loc, toks):$/;" m class:Parser +genfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def genfromtxt(fname, dtype=float, comments='#', delimiter=None,$/;" f +gengamma adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gengamma = gengamma_gen(a=0.0, name='gengamma')$/;" v +gengamma_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gengamma_gen(rv_continuous):$/;" c +genhalflogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^genhalflogistic = genhalflogistic_gen(a=0.0, name='genhalflogistic')$/;" v +genhalflogistic_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class genhalflogistic_gen(rv_continuous):$/;" c +geninvgauss adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^geninvgauss = geninvgauss_gen(a=0.0, name="geninvgauss")$/;" v +geninvgauss_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class geninvgauss_gen(rv_continuous):$/;" c +genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def genlaguerre(n, alpha, monic=False):$/;" f +genlogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^genlogistic = genlogistic_gen(name='genlogistic')$/;" v +genlogistic_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class genlogistic_gen(rv_continuous):$/;" c +GenMsgContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class GenMsgContent(univ.SequenceOf):$/;" c +gennorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gennorm = gennorm_gen(name='gennorm')$/;" v +gennorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gennorm_gen(rv_continuous):$/;" c +genpareto adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^genpareto = genpareto_gen(a=0.0, name='genpareto')$/;" v +genpareto_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class genpareto_gen(rv_continuous):$/;" c +GenRepContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class GenRepContent(univ.SequenceOf):$/;" c +genSparseTensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def genSparseTensor(self, size, sparse_dim, nnz, is_uncoalesced, device='cpu'):$/;" m class:TestCase +gensym adpepsenv/lib/python3.8/site-packages/jax/core.py /^def gensym(jaxprs: Optional[Sequence[Jaxpr]] = None,$/;" f +gentitle adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def gentitle(name):$/;" f +gen_batches adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^def gen_batches(source_corpus, target_corpus, source_vocab, target_vocab,$/;" f +gen_boxes adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^def gen_boxes(count, center):$/;" f +gen_break_points adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def gen_break_points(self, num_outputs, num_samples=10):$/;" m class:DatasetSerializationTestBase +gen_candidates adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def gen_candidates():$/;" f function:matplotlib_fname file: +gen_checkpoint_path adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_checkpoint_path(self, is_checkpoint, epoch):$/;" m class:AnyExpTrainer +gen_class adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^def gen_class(op, op_def):$/;" f +gen_classes adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^def gen_classes(ops, op_list):$/;" f +gen_conversion_log_html adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^def gen_conversion_log_html(conversion_log_dir, quantization_enabled,$/;" f +gen_coverage_sets adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/coverage.py /^def gen_coverage_sets(source_dir):$/;" f +gen_covered_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/coverage.py /^def gen_covered_ops(source_dir):$/;" f +gen_data adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^def gen_data(dt):$/;" f +gen_delims adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^gen_delims = r"(?: : | \/ | \\? | \\# | \\[ | \\] | @ )"$/;" v +gen_do_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def gen_do_gradient(op, g_output):$/;" f +gen_enum adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^def gen_enum(op_list):$/;" f +gen_error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def gen_error(self, msg, line=None):$/;" m class:TextFile +gen_forward_pass_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_forward_pass_builder_fun(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +gen_forward_pass_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/caffe2_resnet50_default_forward.py /^def gen_forward_pass_builder_fun(self, model, dataset, is_train):$/;" f +gen_forward_pass_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^def gen_forward_pass_builder_fun(self, model, dataset, is_train):$/;" f +gen_func_dec adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def gen_func_dec(func):$/;" f function:dispatch_on file: +gen_header adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def gen_header(self):$/;" m class:bdist_egg +gen_if_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def gen_if_gradient(op, g_output):$/;" f +gen_init_net_from_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def gen_init_net_from_blobs(blobs):$/;" f +gen_inner_sum adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/object_arrays.py /^ def gen_inner_sum():$/;" f function:object_einsum file: +gen_input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_input_builder_fun(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +gen_input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/gfs_IN1k.py /^def gen_input_builder_fun(self, model, dataset, is_train):$/;" f +gen_keys adpepsenv/lib/python3.8/site-packages/rsa/key.py /^def gen_keys(nbits: int,$/;" f +gen_lib_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def gen_lib_options(compiler, library_dirs, runtime_library_dirs, libraries):$/;" f +gen_lib_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^def gen_lib_options (compiler, library_dirs, runtime_library_dirs, libraries):$/;" f +gen_multiple_boxes adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^def gen_multiple_boxes(centers, scores, count, num_classes):$/;" f +gen_names adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^def gen_names(op_list):$/;" f +gen_new_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def gen_new_seed(seed, salt):$/;" f +gen_oa_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def gen_oa_shapes(sizes):$/;" f +gen_oa_shapes_2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def gen_oa_shapes_2d(sizes):$/;" f +gen_oa_shapes_eq adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def gen_oa_shapes_eq(sizes):$/;" f +gen_optimizer_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_optimizer_fun(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +gen_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def gen_outputs(self,$/;" m class:DatasetSerializationTestBase +gen_param_update_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_param_update_builder_fun(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +gen_param_update_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/caffe2_resnet50_default_param_update.py /^def gen_param_update_builder_fun(self, model, dataset, is_train):$/;" f +gen_param_update_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_param_update.py /^def gen_param_update_builder_fun(self, model, dataset, is_train):$/;" f +gen_preprocess_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^def gen_preprocess_options(macros, include_dirs):$/;" f +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def gen_random(state, out):$/;" f member:TestThread.test_exp file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def gen_random(state, out):$/;" f member:TestThread.test_multinomial file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def gen_random(state, out):$/;" f member:TestThread.test_normal file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def gen_random(state, out):$/;" f member:TestThread.test_exp file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def gen_random(state, out):$/;" f member:TestThread.test_multinomial file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def gen_random(state, out):$/;" f member:TestThread.test_normal file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def gen_random(state, out):$/;" f member:TestThread.test_exp file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def gen_random(state, out):$/;" f member:TestThread.test_multinomial file: +gen_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def gen_random(state, out):$/;" f member:TestThread.test_normal file: +gen_rendezvous_ctx adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def gen_rendezvous_ctx(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +gen_rendezvous_ctx adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/rendezvous_filestore.py /^def gen_rendezvous_ctx(self, model, dataset, is_train):$/;" f +gen_salt adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def gen_salt(length):$/;" f +gen_script_fn_and_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def gen_script_fn_and_args(method_name, func_type, *args, **kwargs):$/;" f +gen_serialized_test_coverage adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/coverage.py /^def gen_serialized_test_coverage(source_dir, output_dir):$/;" f +gen_setup adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def gen_setup(self, filename, fragment, tmpdir):$/;" m class:PackageIndex +gen_test_resnet50 adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def gen_test_resnet50(_order, _cudnn_ws):$/;" f +gen_trt_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^gen_trt_ops = LazyLoader($/;" v +gen_unused_symbols adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def gen_unused_symbols(used, n):$/;" f +gen_usage adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def gen_usage(script_name):$/;" f function:_patch_usage file: +gen_usage adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^def gen_usage (script_name):$/;" f +gen_vocab adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def gen_vocab(corpus, unk_threshold):$/;" f +gen_while_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def gen_while_gradient(op, g_output):$/;" f +gen_with_size adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def gen_with_size(args):$/;" f function:_gen_test_add_padding file: +GeoAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class GeoAxes(Axes):$/;" c +geom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^geom = geom_gen(a=1, name='geom', longname="A geometric")$/;" v +Geometric adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^class Geometric(Distribution):$/;" c +geometric_slerp adpepsenv/lib/python3.8/site-packages/scipy/spatial/_geometric_slerp.py /^def geometric_slerp(start,$/;" f +geometric_transform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def geometric_transform(input, mapping, output_shape=None,$/;" f +geometry adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def geometry(self):$/;" m class:RectangleSelector +geomspace adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def geomspace(start, stop, num=50, endpoint=True, dtype=None, axis: int = 0):$/;" f +geomspace adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def geomspace(start, stop, num=50, endpoint=True, dtype=None, axis=0):$/;" f +geomspace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def geomspace(start, stop, num=50, endpoint=True, dtype=None, axis=0): # pylint: disable=missin/;" f +geom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class geom_gen(rv_discrete):$/;" c +gershgorin_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^def gershgorin_bounds(H):$/;" f +get adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def get(self, key, default=None):$/;" m class:Cache +get adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def get(self, *args, **kwargs):$/;" m class:TTLCache +get adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def get(self, cls):$/;" m class:_ContextRegistry +get adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def get(self):$/;" m class:NetBuilder +get adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def get(self):$/;" m class:Scalar +get adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def get(self, item, default_value):$/;" m class:Struct +get adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def get(self):$/;" m class:TaskOutput +get adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def get(self, tzid=None):$/;" m class:tzical +get adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^ def get(self, name, default=None):$/;" m class:ZoneInfoFile +Get adpepsenv/lib/python3.8/site-packages/flatbuffers/encode.py /^def Get(packer_type, buf, head):$/;" f +Get adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def Get(self, flags, off):$/;" m class:Table +get adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^def get($/;" f +get adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def get(self, key, default=None):$/;" m class:Mapping +get adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def get(self, key, default=None):$/;" m class:MessageMap +get adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def get(self, key, default=None):$/;" m class:ScalarMap +get adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def get():$/;" m class:ChannelCache +get adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def get(self, name, default=None, getclass=False, getlink=False):$/;" m class:Group +get adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^get = getp$/;" v +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def get(self):$/;" m class:WebAggApplication.AllFiguresPage +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def get(self):$/;" m class:WebAggApplication.FavIcon +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def get(self):$/;" m class:WebAggApplication.MplJs +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def get(self, fignum):$/;" m class:WebAggApplication.SingleFigurePage +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def get(self, fignum, fmt):$/;" m class:WebAggApplication.Download +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get(self):$/;" m class:FormComboWidget +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get(self):$/;" m class:FormDialog +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get(self):$/;" m class:FormTabWidget +get adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get(self):$/;" m class:FormWidget +get adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def get(self, key, default=None):$/;" m class:_DeprecatedCmapDictWrapper +get adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get(self, key):$/;" m class:_AxesStack +get adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def get(obj, *args, **kwargs):$/;" f +get adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^ def get(self, key, default=None):$/;" m class:TypeNADict +get adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def get(self, name, default=None):$/;" m class:EnvironmentConfig +get adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def get(self, k, default=None):$/;" m class:CaseInsensitiveDict +get adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^def get(node, name, default=None):$/;" f +get adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get($/;" m class:Cache +get adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get($/;" m class:SimpleWheelCache +get adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get($/;" m class:WheelCache +get adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^ def get(self, key):$/;" m class:SafeFileCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def get(self, key):$/;" m class:BaseCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def get(self, key):$/;" m class:DictCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def get(self, key):$/;" m class:FileCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def get(self, key):$/;" m class:RedisCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def get(self, key, default=None):$/;" m class:ChainMap +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def get(self, key, default=None):$/;" m class:ConvertingDict +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def get(self, name, default=_MISSING):$/;" m class:LegacyMetadata +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get(self, resource):$/;" m class:ResourceCache +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def get(self, key, default):$/;" m class:BoundMethodDispatcher +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._FifoCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._UnboundedCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def get(self, key, defaultValue=None):$/;" m class:ParseResults +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def get(url, params=None, **kwargs):$/;" f +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get(self, name, default=None, domain=None, path=None):$/;" m class:RequestsCookieJar +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def get(self, url, **kwargs):$/;" m class:Session +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def get(self, key, default=None):$/;" m class:LookupDict +get adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def get(self, wrap_exception=False):$/;" m class:Attempt +get adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._FifoCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._UnboundedCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def get(self, key, defaultValue=None):$/;" m class:ParseResults +get adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._FifoCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._UnboundedCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def get(self, key, defaultValue=None):$/;" m class:ParseResults +get adpepsenv/lib/python3.8/site-packages/requests/api.py /^def get(url, params=None, **kwargs):$/;" f +get adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get(self, name, default=None, domain=None, path=None):$/;" m class:RequestsCookieJar +get adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def get(self, url, **kwargs):$/;" m class:Session +get adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def get(self, key, default=None):$/;" m class:LookupDict +get adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def get(self, key, default=0.):$/;" m class:dok_matrix +get adpepsenv/lib/python3.8/site-packages/scipy/special/add_newdocs.py /^def get(name):$/;" f +get adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._FifoCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def get(self, key):$/;" f member:ParserElement._UnboundedCache.__init__ file: +get adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def get(self, key, defaultValue=None):$/;" m class:ParseResults +get adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^ def get(self, provider_key):$/;" m class:AuthContext +get adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def get(self, key):$/;" m class:LRUCache +get adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def get(self):$/;" m class:AtomicCounter +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def get(self):$/;" m class:_CapturedObject +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def get(self, property_name):$/;" m class:CLIConfig +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def get(self, timeout=None):$/;" m class:_CoordinatedClosureQueue +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def get(self, key, default=None):$/;" m class:MockOsEnv +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def get(self):$/;" m class:AggregatingVariable +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def get(self):$/;" m class:TPUVariableMixin +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get(self, key):$/;" m class:_EagerTensorCache +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def get(self, key):$/;" m class:_LazyBuilder +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get(self, key, state_manager, training=None):$/;" m class:FeatureTransformationCache +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_registry.py /^def get(name):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^def get(query):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def get(self):$/;" m class:GeneratorEnqueuer +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def get(self):$/;" m class:OrderedEnqueuer +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def get(self):$/;" m class:SequenceEnqueuer +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def get(self, key=None, indices=None, name=None):$/;" m class:MapStagingArea +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def get(self, name=None):$/;" m class:StagingArea +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def get(self, node_id):$/;" m class:Loader +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def get(self):$/;" m class:CloseableQueue +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer_cache.py /^ def get(logdir):$/;" m class:FileWriterCache +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^def get(identifier):$/;" f +get adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def get(self, key):$/;" m class:AttributeSentinel +get adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def get(self, total_secs):$/;" m class:IterationCountEstimator +get adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def get(self):$/;" m class:_CapturedObject +get adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^ def get(self):$/;" m class:Unserializable +get adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def get(self, device) -> torch.Tensor:$/;" m class:_MultiDeviceReplicator +get adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def get(self, key, default=None, type=None):$/;" m class:CombinedMultiDict +get adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def get(self, key, default=None, type=None):$/;" m class:TypeConversionDict +get adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def get(self, key, default=None, type=None, as_bytes=False):$/;" m class:Headers +get adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def get(self, *args, **kw):$/;" m class:Client +get versioneer.py /^ def get(parser, name):$/;" f function:get_config_from_root file: +getA adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ getA = A.fget$/;" v class:matrix +getA1 adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ getA1 = A1.fget$/;" v class:matrix +GetAccumulator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def GetAccumulator(self, run):$/;" m class:EventMultiplexer +GetAccumulator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def GetAccumulator(self, run):$/;" m class:EventMultiplexer +getallmatchingheaders adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ getallmatchingheaders = getlist$/;" v class:HTTPHeaderDict +getallmatchingheaders adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ getallmatchingheaders = getlist$/;" v class:HTTPHeaderDict +GetAllParams adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper.py /^ def GetAllParams(self, namescope=None):$/;" m class:Seq2SeqModelHelper +GetAllParams adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetAllParams(self, namescope=None):$/;" m class:ModelHelper +getanno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def getanno(node, key, default=FAIL, field_name='___pyct_anno'):$/;" f +GetApplicationSpecificInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetApplicationSpecificInfo(meta_net_def, key):$/;" f +getargs adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def getargs(co):$/;" f +getargs adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getargs(rout):$/;" f +getargs2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getargs2(rout):$/;" f +getargspec adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def getargspec(func):$/;" f +getargspec adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^def getargspec(f):$/;" f +getargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getargspec(obj):$/;" f +getargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getargspec(obj):$/;" f +GetArgumentByName adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetArgumentByName(net_def, arg_name):$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def GetArgumentParser():$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def GetArgumentParser():$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^def GetArgumentParser():$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/test_ideep_net.py /^def GetArgumentParser():$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def GetArgumentParser():$/;" f +GetArgumentParser adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^def GetArgumentParser():$/;" f +getargvalues adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def getargvalues(frame):$/;" f +getarrdims adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getarrdims(a, var, verbose=0):$/;" f +getarrdocsign adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getarrdocsign(a, var):$/;" f +getarrlen adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def getarrlen(dl, args, star='*'):$/;" f +getAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def getAttribute(self):$/;" m class:EncodingParser +getAttribute adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def getAttribute(self):$/;" m class:EncodingParser +getAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def getAttributes(self):$/;" m class:getDomBuilder.NodeBuilder +getAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def getAttributes(self):$/;" m class:getDomBuilder.NodeBuilder +GETATTR_KIND adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^GETATTR_KIND = 'prim::GetAttr'$/;" v +GetBackwardPass adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetBackwardPass(cls, operators, ys, ys_generate_gradient=False):$/;" m class:GradientRegistry +GetBackwardPass adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetBackwardPass(self, ys):$/;" m class:IR +getbands adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getbands(self):$/;" m class:Image +getBaseTag adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def getBaseTag(self):$/;" m class:TagSet +getbbox adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getbbox(self):$/;" m class:Image +getbbox adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getbbox($/;" m class:FreeTypeFont +GetBlobMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def GetBlobMetadata(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +GetBlobMetadataRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^GetBlobMetadataRequest = _reflection.GeneratedProtocolMessageType('GetBlobMetadataRequest', (_me/;" v +GetBlobMetadataResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^GetBlobMetadataResponse = _reflection.GeneratedProtocolMessageType('GetBlobMetadataResponse', (_/;" v +GetBlobNodeProducer adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetBlobNodeProducer(**kwargs):$/;" f +GetBlobNUMANode adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^GetBlobNUMANode = C.get_blob_numa_node$/;" v +GetBlobRef adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetBlobRef(self, blob_name):$/;" m class:Net +GetBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetBlobs(meta_net_def, key):$/;" f +GetBlobsByTypePrefix adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetBlobsByTypePrefix(meta_net_def, blob_type_prefix):$/;" f +GetBlobSizeBytes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^GetBlobSizeBytes = C.get_blob_size_bytes$/;" v +GetBlobsOrder adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetBlobsOrder(meta_net_def):$/;" f +getblockname adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def getblockname(block, unknown='unknown'):$/;" f +getbuffer adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def getbuffer(self):$/;" m class:Packer +GetBufferIdentifier adpepsenv/lib/python3.8/site-packages/flatbuffers/util.py /^def GetBufferIdentifier(buf, offset, size_prefixed=False):$/;" f +getbufsize adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def getbufsize():$/;" f +GetBulkRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class GetBulkRequestPDU(BulkPDU):$/;" c +getcallargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getcallargs(*func_and_positional, **named):$/;" f +getcallargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getcallargs(*func_and_positional, **named):$/;" f +getcallprotoargument adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getcallprotoargument(rout, cb_map={}):$/;" f +getcallstatement adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getcallstatement(rout):$/;" f +GetCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class GetCert(univ.Sequence):$/;" c +GetCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class GetCert(univ.Sequence):$/;" c +getchannel adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getchannel(self, channel):$/;" m class:Image +GetCheckpointParams adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def GetCheckpointParams(model):$/;" f +getchunks adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def getchunks(im, **params):$/;" f +getClassFromModule adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^def getClassFromModule(moduleName, className):$/;" f +getCodeLink adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^def getCodeLink(formatter, schema):$/;" f +getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getcol(self, j):$/;" m class:spmatrix +getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def getcol(self, i):$/;" m class:csc_matrix +getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def getcol(self, i):$/;" m class:csr_matrix +getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def getcol(self, i):$/;" m class:IndexMixin +GetColocationGroups adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def GetColocationGroups(self):$/;" m class:Item +getcolor adpepsenv/lib/python3.8/site-packages/PIL/ImageColor.py /^def getcolor(color, mode):$/;" f +getcolor adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def getcolor(self, color):$/;" m class:ImagePalette +getcolors adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getcolors(self, maxcolors=256):$/;" m class:Image +GetColumn adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def GetColumn(self):$/;" m class:ParseError +getCompiledRegExp adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def getCompiledRegExp(self):$/;" m class:Pattern +GetCompleteNet adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetCompleteNet(self):$/;" m class:ModelHelper +getComponent adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponent(self, innerFlag=False):$/;" m class:Choice +getComponent adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponent(self, innerFlag=False):$/;" m class:Set +getComponentByName adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentByName(self, name, default=noValue, instantiate=True):$/;" m class:SequenceAndSetBase +getComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getComponentByPosition(self, idx):$/;" m class:ConstructedAsn1Type +getComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentByPosition(self, idx, default=noValue, instantiate=True):$/;" m class:Choice +getComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentByPosition(self, idx, default=noValue, instantiate=True):$/;" m class:SequenceAndSetBase +getComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentByPosition(self, idx, default=noValue, instantiate=True):$/;" m class:SequenceOfAndSetOfBase +getComponentByType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentByType(self, tagSet, default=noValue,$/;" m class:Set +getComponentPositionNearType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentPositionNearType(self, tagSet, idx):$/;" m class:Sequence +getComponentTagMapNearPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentTagMapNearPosition(self, idx):$/;" m class:Sequence +getComponentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getComponentType(self):$/;" m class:ConstructedAsn1Type +getComponentType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getComponentType(self):$/;" m class:SequenceAndSetBase +getcomptype adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def getcomptype(self):$/;" m class:_StreamProxy +GetComputedParams adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetComputedParams(self, namescope=None):$/;" m class:ModelHelper +GetConditionBlobFromNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def GetConditionBlobFromNet(condition_net):$/;" f +getConfig adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def getConfig(self, key, default=''):$/;" m class:Extension +getConfigInfo adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def getConfigInfo(self):$/;" m class:Extension +getConfigs adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def getConfigs(self):$/;" m class:Extension +GetConsoleScreenBufferInfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def GetConsoleScreenBufferInfo(stream_id=STDOUT):$/;" f +getconstvar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def getconstvar(c):$/;" f function:tracers_to_jaxpr file: +getconstvar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def getconstvar(self, c):$/;" m class:DynamicJaxprTrace +GetContainingCondContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetContainingCondContext(ctxt):$/;" f +GetContainingWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def GetContainingWhileContext(ctxt, stop_ctxt=None):$/;" f +GetContainingWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetContainingWhileContext(ctxt, stop_ctxt=None):$/;" f +GetContainingXLAContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def GetContainingXLAContext(ctxt):$/;" f +GetContainingXLAContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetContainingXLAContext(ctxt):$/;" f +GetContentFromProto adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def GetContentFromProto(obj, function_map):$/;" f +GetContentFromProtoString adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def GetContentFromProtoString(s, function_map):$/;" f +GetControlPivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def GetControlPivot(self):$/;" m class:CondContext +GetControlPivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def GetControlPivot(self):$/;" m class:ControlFlowContext +GetControlPivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def GetControlPivot(self):$/;" m class:WhileContext +GetControlPivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def GetControlPivot(self):$/;" m class:TPUReplicateContext +GetConversionParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def GetConversionParams(self, run_params):$/;" m class:TfTrtIntegrationTestBase +GetCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class GetCRL(univ.Sequence):$/;" c +GetCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class GetCRL(univ.Sequence):$/;" c +getctype adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getctype(var):$/;" f +GetCUDAVersion adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetCUDAVersion = C.get_cuda_version$/;" v +GetCUDAVersion adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetCUDAVersion = lambda: 0 # noqa$/;" f +GetCuDNNVersion adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetCuDNNVersion = C.get_cudnn_version$/;" v +GetCuDNNVersion adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetCuDNNVersion = lambda: 0 # noqa$/;" f +getCurrentByte adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def getCurrentByte(self):$/;" m class:EncodingBytes +getCurrentByte adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def getCurrentByte(self):$/;" m class:EncodingBytes +GetCurrentTime adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def GetCurrentTime(self):$/;" m class:Timestamp +getdata adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def getdata(a, subok=True):$/;" f +getdata adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def getdata(im, offset=(0, 0), **params):$/;" f +getdata adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getdata(self, band=None):$/;" m class:Image +getdata adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def getdata(self):$/;" m class:ImagePalette +getdata adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ def getdata(self):$/;" m class:Transform +getDatasetOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def getDatasetOutput(self, dataset, requires_initialization=False):$/;" m class:DatasetTestBase +getDef adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def getDef(self):$/;" m class:TagMap +getDefaultIntent adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getDefaultIntent(profile):$/;" f +getdefiningclass adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getdefiningclass(m, owner_class):$/;" f +GetDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def GetDescriptor():$/;" m class:Service +getDeviceImpl adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def getDeviceImpl(self):$/;" m class:OperatorEngine +GetDeviceProperties adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetDeviceProperties = C.get_device_properties$/;" v +GetDeviceProperties adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetDeviceProperties = lambda x: None # noqa$/;" f +GetDevices adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetDevices(self):$/;" m class:ModelHelper +getdoc adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def getdoc(self):$/;" m class:_convert2ma +getdoc adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def getdoc(self):$/;" m class:_frommethod +getdoc adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def getdoc(self):$/;" m class:_fromnxfunction +getdoc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getdoc(object): # pylint: disable=redefined-builtin$/;" f +getdoc adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getdoc(object): # pylint: disable=redefined-builtin$/;" f +getDocument adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def getDocument(self):$/;" m class:TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def getDocument(self):$/;" m class:getDomBuilder.TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def getDocument(self):$/;" m class:getETreeBuilder.TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def getDocument(self):$/;" m class:TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def getDocument(self):$/;" m class:TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def getDocument(self):$/;" m class:getDomBuilder.TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def getDocument(self):$/;" m class:getETreeBuilder.TreeBuilder +getDocument adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def getDocument(self):$/;" m class:TreeBuilder +getdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def getdomain(x):$/;" f +getDomBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^def getDomBuilder(DomImplementation):$/;" f +getDomBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^def getDomBuilder(DomImplementation):$/;" f +getDomModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^getDomModule = moduleFactoryFactory(getDomBuilder)$/;" v +getDomModule adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^getDomModule = moduleFactoryFactory(getDomBuilder)$/;" v +getdraw adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^def getdraw(im=None, hints=None):$/;" f +getdtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def getdtype(dtype, a=None, default=None):$/;" f +getEffectiveTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getEffectiveTagSet(self):$/;" m class:Asn1Type +getEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def getEncoding(self):$/;" m class:EncodingParser +getEncoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def getEncoding(self):$/;" m class:EncodingParser +GetEntryClass adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def GetEntryClass(self):$/;" m class:MessageMap +GetEntryClass adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def GetEntryClass(self):$/;" m class:ScalarMap +GetEntryFromBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs.py /^class GetEntryFromBlobs(NetModifier):$/;" c +GetEntryFromBlobsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs_test.py /^class GetEntryFromBlobsTest(unittest.TestCase):$/;" c +getentryindex adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def getentryindex(self, size, bpp=False):$/;" m class:IcoFile +geterr adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def geterr():$/;" f +geterrcall adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def geterrcall():$/;" f +getETreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^def getETreeBuilder(ElementTreeImplementation, fullTree=False):$/;" f +getETreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^def getETreeBuilder(ElementTreeImplementation):$/;" f +getETreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^def getETreeBuilder(ElementTreeImplementation, fullTree=False):$/;" f +getETreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^def getETreeBuilder(ElementTreeImplementation):$/;" f +getETreeModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^getETreeModule = moduleFactoryFactory(getETreeBuilder)$/;" v +getETreeModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^getETreeModule = moduleFactoryFactory(getETreeBuilder)$/;" v +getETreeModule adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^getETreeModule = moduleFactoryFactory(getETreeBuilder)$/;" v +getETreeModule adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^getETreeModule = moduleFactoryFactory(getETreeBuilder)$/;" v +getexception adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def getexception():$/;" f +getexif adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getexif(self):$/;" m class:Image +getexif adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def getexif(self):$/;" m class:PngImageFile +GetExperimentRequest adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^GetExperimentRequest = _reflection.GeneratedProtocolMessageType('GetExperimentRequest', (_messag/;" v +getExportImportCopy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def getExportImportCopy(self, m, also_test_file=True, map_location=None):$/;" m class:JitTestCase +getExportImportCopyWithPacking adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def getExportImportCopyWithPacking(self, m, also_test_file=True, map_location=None):$/;" m class:JitTestCase +getextension adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def getextension(name):$/;" f +GetExtentHelper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class GetExtentHelper:$/;" c +getextrema adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getextrema(self):$/;" m class:Image +getfile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getfile(object): # pylint: disable=redefined-builtin$/;" f +getfile adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getfile(object): # pylint: disable=redefined-builtin$/;" f +getFirstChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def getFirstChild(self, node):$/;" m class:NonRecursiveTreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/dom.py /^ def getFirstChild(self, node):$/;" m class:TreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^ def getFirstChild(self, node):$/;" m class:getETreeBuilder.TreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getFirstChild(self, node):$/;" m class:TreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def getFirstChild(self, node):$/;" m class:NonRecursiveTreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/dom.py /^ def getFirstChild(self, node):$/;" m class:TreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^ def getFirstChild(self, node):$/;" m class:getETreeBuilder.TreeWalker +getFirstChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getFirstChild(self, node):$/;" m class:TreeWalker +getfont adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def getfont(self):$/;" m class:ImageDraw +getformat adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getformat(self):$/;" m class:spmatrix +getfortranname adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getfortranname(rout):$/;" f +getFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def getFragment(self):$/;" m class:TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def getFragment(self):$/;" m class:getDomBuilder.TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def getFragment(self):$/;" m class:getETreeBuilder.TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def getFragment(self):$/;" m class:TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def getFragment(self):$/;" m class:TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def getFragment(self):$/;" m class:getDomBuilder.TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def getFragment(self):$/;" m class:getETreeBuilder.TreeBuilder +getFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def getFragment(self):$/;" m class:TreeBuilder +getframeinfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getframeinfo(*args, **kwargs):$/;" f +getframeinfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getframeinfo(*args, **kwargs):$/;" f +GetFromNumpyDTypeDict adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def GetFromNumpyDTypeDict(dtype_dict, dtype):$/;" f +GetFromNumpyDTypeDict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def GetFromNumpyDTypeDict(dtype_dict, dtype):$/;" f +getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getfullargspec(obj):$/;" f +getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getfullargspec(obj):$/;" f +getfullargspec_no_self adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def getfullargspec_no_self(func):$/;" f +getfutureimports adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getfutureimports(entity):$/;" f +GetGlobalInitArgs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def GetGlobalInitArgs():$/;" f +GetGPUMemoryInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetGPUMemoryInfo = C.get_gpu_memory_info$/;" v +GetGPUMemoryInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetGPUMemoryInfo = lambda: None # noqa$/;" f +GetGPUMemoryUsageStats adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def GetGPUMemoryUsageStats():$/;" f +GetGpuPeerAccessPattern adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def GetGpuPeerAccessPattern():$/;" f +GetGpuPeerAccessPattern adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetGpuPeerAccessPattern = lambda: np.array([]) # noqa$/;" f +GetGradientForOp adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetGradientForOp(cls, op, g_output):$/;" m class:GradientRegistry +getGradientForOp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^def getGradientForOp(op):$/;" f +GetGradState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def GetGradState(self, op, before):$/;" m class:_ControlFlowState +GetGraphInJson adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetGraphInJson(operators_or_net, output_filepath):$/;" f +GetGraphPngSafe adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetGraphPngSafe(func, *args, **kwargs):$/;" f +getgrnam adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ getgrnam = None$/;" v +getgrnam adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^ getgrnam = None$/;" v +getH adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ getH = H.fget$/;" v class:matrix +getH adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getH(self):$/;" m class:spmatrix +getHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def getHandle(self, aggregator):$/;" m class:StatsDatasetTestBase +getheader adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def getheader(im, palette=None, info=None):$/;" f +getheader adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def getheader(self, name, default=None):$/;" m class:HTTPResponse +getheader adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def getheader(self, name, default=None):$/;" m class:HTTPResponse +getheaders adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def getheaders(self, name):$/;" m class:MockResponse +getheaders adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def getheaders(self):$/;" m class:HTTPResponse +getheaders adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ getheaders = getlist$/;" v class:HTTPHeaderDict +getheaders adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def getheaders(self, name):$/;" m class:MockResponse +getheaders adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def getheaders(self):$/;" m class:HTTPResponse +getheaders adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ getheaders = getlist$/;" v class:HTTPHeaderDict +getheaders adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def getheaders(self, name):$/;" m class:_TestCookieHeaders +GetHIPVersion adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GetHIPVersion = C.get_hip_version$/;" v +getI adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ getI = I.fget$/;" v class:matrix +getim adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getim(self):$/;" m class:Image +getimage adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def getimage(self, size=None):$/;" m class:IcnsFile +getimage adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def getimage(self, size, bpp=False):$/;" m class:IcoFile +getimage adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^def getimage(photo):$/;" f +getimmediatesource adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getimmediatesource(obj):$/;" f +GetImportedOpsLibraries adpepsenv/lib/python3.8/site-packages/caffe2/python/dyndep.py /^def GetImportedOpsLibraries():$/;" f +GetImportedOpsLibraries adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^def GetImportedOpsLibraries():$/;" f +GetIndexFromGradientList adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def GetIndexFromGradientList(g_list, name):$/;" f +getInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def getInfo(self, formatter, name, impl):$/;" m class:OperatorDoc +getInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def getInfo(self, formatter, name, impl):$/;" m class:GHOperatorDoc +getinit adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getinit(a, var):$/;" f +getink adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def getink(fill):$/;" f member:ImageDraw.text file: +getint adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ def getint(self, key):$/;" m class:IptcImageFile +getiptcinfo adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^def getiptcinfo(im):$/;" f +getitem adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^ def getitem(self, key):$/;" f function:namedtupledict file: +getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def getitem(a, slice_spec):$/;" f +getitem adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^from tensorflow.python.ops.array_ops import _slice_helper as getitem$/;" x +getitem adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^from tensorflow.python.ops.array_ops import _slice_helper as getitem$/;" x +getitem adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ from torch.onnx.symbolic_opset9 import __getitem_ as getitem$/;" x function:__getitem_ file: +GetItemOpts adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^class GetItemOpts(collections.namedtuple('GetItemOpts', ('element_dtype',))):$/;" c +getitem_count adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ getitem_count = 0$/;" v class:TestUfunc.test_custom_array_like.MyThing +GetLearningRateBlobNames adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def GetLearningRateBlobNames(model):$/;" f +getlength adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getlength(self, text, mode="", direction=None, features=None, language=None):$/;" m class:FreeTypeFont +getlincoef adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def getlincoef(e, xset): # e = a*x+b ; x in xset$/;" f +getlincoef_re_1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^getlincoef_re_1 = re.compile(r'\\A\\b\\w+\\b\\Z', re.I)$/;" v +GetLine adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def GetLine(self):$/;" m class:ParseError +getLinear adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def getLinear(d_in, d_out):$/;" f +getLink adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def getLink(self, data, index):$/;" m class:LinkInlineProcessor +getlist adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def getlist(self, key, default=__marker):$/;" m class:HTTPHeaderDict +getlist adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def getlist(self, key, default=__marker):$/;" m class:HTTPHeaderDict +getlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def getlist(self, key, type=None):$/;" m class:CombinedMultiDict +getlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def getlist(self, key, type=None):$/;" m class:MultiDict +getlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def getlist(self, key, type=None):$/;" m class:OrderedMultiDict +getlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def getlist(self, key, type=None, as_bytes=False):$/;" m class:Headers +GetLogdirSubdirectories adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def GetLogdirSubdirectories(path):$/;" f +GetLoopConstantEnter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetLoopConstantEnter(value):$/;" f +GetLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def GetLoss(new_value):$/;" f member:NetGradientChecker.Check file: +GetLossAndGrad adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def GetLossAndGrad($/;" m class:GradientChecker +GetLSTMParamNames adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def GetLSTMParamNames():$/;" f +getmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def getmask(a):$/;" f +getmask adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getmask($/;" m class:FreeTypeFont +getmask adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getmask(self, text, mode="", *args, **kwargs):$/;" m class:ImageFont +getmask adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getmask(self, text, mode="", *args, **kwargs):$/;" m class:TransposedFont +getmask2 adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getmask2($/;" m class:FreeTypeFont +getmaskarray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def getmaskarray(arr):$/;" f +getmaxprint adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getmaxprint(self):$/;" m class:spmatrix +getmember adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def getmember(self, name):$/;" m class:TarFile +getmembers adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def getmembers(self):$/;" m class:TarFile +getmembers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getmembers(object, predicate=None): # pylint: disable=redefined-builtin$/;" f +getmembers adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getmembers(object, predicate=None): # pylint: disable=redefined-builtin$/;" f +GetMessages adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ def GetMessages(self, files):$/;" m class:MessageFactory +GetMessages adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^def GetMessages(file_protos):$/;" f +GetMessages adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def GetMessages(self, files):$/;" m class:SymbolDatabase +getMetaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def getMetaclass(use_metaclass, metaclass_func):$/;" f function:getPhases file: +getMetaclass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def getMetaclass(use_metaclass, metaclass_func):$/;" f function:getPhases file: +getMeterClass adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def getMeterClass(self, meterName):$/;" m class:AnyExpTrainer +getmethodclass adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getmethodclass(m):$/;" f +getmetrics adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getmetrics(self):$/;" m class:FreeTypeFont +getMinTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getMinTagSet(self):$/;" m class:Choice +getmode adpepsenv/lib/python3.8/site-packages/PIL/ImageMode.py /^def getmode(mode):$/;" f +getmodebandnames adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def getmodebandnames(mode):$/;" f +getmodebands adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def getmodebands(mode):$/;" f +getmodebase adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def getmodebase(mode):$/;" f +GetModelMetadata adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def GetModelMetadata(self, request, context):$/;" m class:PredictionServiceServicer +GetModelMetadataRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^GetModelMetadataRequest = _reflection.GeneratedProtocolMessageType('GetModelMetadataRequest', (_/;" v +GetModelMetadataResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^GetModelMetadataResponse = _reflection.GeneratedProtocolMessageType('GetModelMetadataResponse', /;" v +GetModelStatus adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^ def GetModelStatus(self, request, context):$/;" m class:ModelServiceServicer +GetModelStatusRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^GetModelStatusRequest = _reflection.GeneratedProtocolMessageType('GetModelStatusRequest', (_mess/;" v +GetModelStatusResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^GetModelStatusResponse = _reflection.GeneratedProtocolMessageType('GetModelStatusResponse', (_me/;" v +getmodetype adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def getmodetype(mode):$/;" f +getModule adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^def getModule(moduleName):$/;" f +getmodule adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getmodule(object): # pylint: disable=redefined-builtin$/;" f +getmodule adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getmodule(object): # pylint: disable=redefined-builtin$/;" f +getmro adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getmro(cls):$/;" f +getmro adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getmro(cls):$/;" f +getmultilineblock adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getmultilineblock(rout, blockname, comment=1, counter=0):$/;" f +getname adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getname(self):$/;" m class:FreeTypeFont +getname adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^ def getname(n):$/;" f function:check_install_build_global file: +getName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def getName(self):$/;" m class:ParseResults +getName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def getName(self):$/;" m class:ParseResults +getName adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getName(self):$/;" m class:NamedType +getName adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def getName(self, value):$/;" m class:NamedValues +getName adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getName(self, innerFlag=False):$/;" m class:Choice +getName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def getName(self):$/;" m class:ParseResults +getName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def getName(self):$/;" m class:ParseResults +getNameByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getNameByPosition(self, idx):$/;" m class:NamedTypes +getNameByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getNameByPosition(self, idx):$/;" m class:SequenceAndSetBase.DynamicNames +getNameByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getNameByPosition(self, idx):$/;" m class:SequenceAndSetBase +getNamedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getNamedValues(self):$/;" m class:Integer +getnames adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def getnames(self):$/;" m class:TarFile +GetNameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetNameScope(self):$/;" m class:BlobReference +GetNameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def GetNameScope():$/;" f +getnamespace adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getnamespace(f):$/;" f +getNameTuple adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def getNameTuple(self):$/;" m class:getDomBuilder.NodeBuilder +getNameTuple adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def getNameTuple(self):$/;" m class:getDomBuilder.NodeBuilder +getNegMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def getNegMap(self):$/;" m class:TagMap +GetNet adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetNet(meta_net_def, key):$/;" f +getNetArgument adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def getNetArgument(op, net_name):$/;" f +GetNetName adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def GetNetName(net):$/;" f +GetNetOriginal adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetNetOriginal(meta_net_def, key):$/;" f +getnext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:Doctype +getnext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:FragmentRoot +getnext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:FragmentWrapper +getnext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:Root +GetNext adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^ def GetNext(self):$/;" m class:PyRecordReader_New +getnext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:Doctype +getnext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:FragmentRoot +getnext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:FragmentWrapper +getnext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getnext(self):$/;" m class:Root +getNext adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def getNext(self, dataset, requires_initialization=False, shared_name=None):$/;" m class:DatasetTestBase +GetNextRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class GetNextRequestPDU(_RequestBase):$/;" c +GetNextRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class GetNextRequestPDU(PDU):$/;" c +getNextSibling adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def getNextSibling(self, node):$/;" m class:NonRecursiveTreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/dom.py /^ def getNextSibling(self, node):$/;" m class:TreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^ def getNextSibling(self, node):$/;" m class:getETreeBuilder.TreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getNextSibling(self, node):$/;" m class:TreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def getNextSibling(self, node):$/;" m class:NonRecursiveTreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/dom.py /^ def getNextSibling(self, node):$/;" m class:TreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^ def getNextSibling(self, node):$/;" m class:getETreeBuilder.TreeWalker +getNextSibling adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getNextSibling(self, node):$/;" m class:TreeWalker +getnm adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^def getnm(nm_cmd=['nm', '-Cs', 'python%s.lib' % py_ver], shell=True):$/;" f +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getnnz(self, axis=None):$/;" m class:spmatrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def getnnz(self, axis=None):$/;" m class:bsr_matrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def getnnz(self, axis=None):$/;" m class:_cs_matrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def getnnz(self, axis=None):$/;" m class:coo_matrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def getnnz(self, axis=None):$/;" m class:dia_matrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def getnnz(self, axis=None):$/;" m class:dok_matrix +getnnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def getnnz(self, axis=None):$/;" m class:lil_matrix +getNodeDetails adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def getNodeDetails(self, node):$/;" m class:NonRecursiveTreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/dom.py /^ def getNodeDetails(self, node):$/;" m class:TreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^ def getNodeDetails(self, node):$/;" m class:getETreeBuilder.TreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getNodeDetails(self, node):$/;" m class:TreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def getNodeDetails(self, node):$/;" m class:NonRecursiveTreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/dom.py /^ def getNodeDetails(self, node):$/;" m class:TreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^ def getNodeDetails(self, node):$/;" m class:getETreeBuilder.TreeWalker +getNodeDetails adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getNodeDetails(self, node):$/;" m class:TreeWalker +GetNonTrainableParams adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper.py /^ def GetNonTrainableParams(self, namescope=None):$/;" m class:Seq2SeqModelHelper +getNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^def getNorm(p):$/;" f +GetNumNUMANodes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^GetNumNUMANodes = C.get_num_numa_nodes$/;" v +GetNumpyAppendFn adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def GetNumpyAppendFn(dtype):$/;" f +GetNumpyAppendFn adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def GetNumpyAppendFn(dtype):$/;" f +getoffset adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getoffset(self, text):$/;" m class:FreeTypeFont +getOpenProfile adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getOpenProfile(profileFilename):$/;" f +GetOperatorCost adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def GetOperatorCost(operator, blobs):$/;" f +getOperatorDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def getOperatorDoc(self, name, schema, priority):$/;" m class:OpDocGenerator +getOperatorDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def getOperatorDoc(self, name, schema, priority):$/;" m class:GHOpDocGenerator +getOperatorEngine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def getOperatorEngine(self, name):$/;" m class:OpDocGenerator +getOperatorEngine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def getOperatorEngine(self, name):$/;" m class:GHOpDocGenerator +GetOperatorMapForPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetOperatorMapForPlan(plan_def):$/;" f +getOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def getOperators(self):$/;" m class:OpDocGenerator +GetOpNodeProducer adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetOpNodeProducer(append_output, **kwargs):$/;" f +GetOpProperties adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def GetOpProperties(self):$/;" m class:Item +getopt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def getopt(self, args=None, object=None):$/;" m class:FancyGetopt +GetOptimizationParamInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetOptimizationParamInfo(self, params=None):$/;" m class:ModelHelper +GetOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def GetOptions(self):$/;" m class:DescriptorBase +getOpWithThreshold adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def getOpWithThreshold($/;" f member:TestGatherRanges.test_empty_range_check file: +GetOrCreateBlobSequence adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^ def GetOrCreateBlobSequence(self, request, **kwargs):$/;" m class:DryRunTensorBoardWriterStub +GetOrCreateBlobSequence adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def GetOrCreateBlobSequence(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +GetOrCreateBlobSequenceRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^GetOrCreateBlobSequenceRequest = _reflection.GeneratedProtocolMessageType('GetOrCreateBlobSequen/;" v +GetOrCreateBlobSequenceResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^GetOrCreateBlobSequenceResponse = _reflection.GeneratedProtocolMessageType('GetOrCreateBlobSeque/;" v +getoutput adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^def getoutput(cmd, successful_status=(0,), stacklevel=1):$/;" f +GetOutputContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetOutputContext(op):$/;" f +getp adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^def getp(obj, property=None):$/;" f +getp adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def getp(obj, *args, **kwargs):$/;" f +getpalette adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^ def getpalette(self, entries=256):$/;" m class:GradientFile +getpalette adpepsenv/lib/python3.8/site-packages/PIL/GimpPaletteFile.py /^ def getpalette(self):$/;" m class:GimpPaletteFile +getpalette adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getpalette(self):$/;" m class:Image +getpalette adpepsenv/lib/python3.8/site-packages/PIL/PaletteFile.py /^ def getpalette(self):$/;" m class:PaletteFile +GetParams adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def GetParams(self, namescope=None, top_scope=False):$/;" m class:ModelHelper +GetParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def GetParams(self):$/;" m class:TfTrtIntegrationTestBase +getparent adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getparent(self):$/;" m class:FragmentWrapper +getparent adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getparent(self):$/;" m class:FragmentWrapper +getParentNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def getParentNode(self, node):$/;" m class:NonRecursiveTreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/dom.py /^ def getParentNode(self, node):$/;" m class:TreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^ def getParentNode(self, node):$/;" m class:getETreeBuilder.TreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getParentNode(self, node):$/;" m class:TreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def getParentNode(self, node):$/;" m class:NonRecursiveTreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/dom.py /^ def getParentNode(self, node):$/;" m class:TreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^ def getParentNode(self, node):$/;" m class:getETreeBuilder.TreeWalker +getParentNode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def getParentNode(self, node):$/;" m class:TreeWalker +getpeercert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def getpeercert(self, binary_form=False):$/;" m class:WrappedSocket +getpeercert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def getpeercert(self, binary_form=False):$/;" m class:WrappedSocket +getpeercert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def getpeercert(self, binary_form=False):$/;" m class:WrappedSocket +getpeercert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def getpeercert(self, binary_form=False):$/;" m class:WrappedSocket +getpeercert adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def getpeercert(self, binary_form=False):$/;" m class:SSLTransport +GetPerformanceAttributes adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def GetPerformanceAttributes(object, counter, instance=None,$/;" f +getperm adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def getperm(spec, charpair):$/;" f function:conv_general_permutations file: +getPhases adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def getPhases(debug):$/;" f +getPhases adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def getPhases(debug):$/;" f +getpixel adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getpixel(self, xy):$/;" m class:Image +getpixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ getpixel = __getitem__$/;" v class:PyAccess +GetPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetPlan(meta_net_def, key):$/;" f +GetPlanGraph adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetPlanGraph(plan_def, name=None, rankdir='TB'):$/;" f +GetPlanOriginal adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def GetPlanOriginal(meta_net_def, key):$/;" f +getPlug adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getPlug(name):$/;" f member:NoValue.__new__ file: +getPosition adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def getPosition(self):$/;" m class:EncodingBytes +getPosition adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def getPosition(self):$/;" m class:EncodingBytes +getPositionByName adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getPositionByName(self, name):$/;" m class:NamedTypes +getPositionByName adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def getPositionByName(self, name):$/;" m class:SequenceAndSetBase.DynamicNames +getPositionByType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getPositionByType(self, tagSet):$/;" m class:NamedTypes +getPositionNearType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getPositionNearType(self, tagSet, idx):$/;" m class:NamedTypes +getPosMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def getPosMap(self):$/;" m class:TagMap +getprime adpepsenv/lib/python3.8/site-packages/rsa/parallel.py /^def getprime(nbits: int, poolsize: int) -> int:$/;" f +getprime adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def getprime(nbits: int) -> int:$/;" f +getprime_func adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def getprime_func(nbits: int) -> int:$/;" f function:newkeys file: +getProfileCopyright adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileCopyright(profile):$/;" f +getProfileDescription adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileDescription(profile):$/;" f +getProfileInfo adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileInfo(profile):$/;" f +getProfileManufacturer adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileManufacturer(profile):$/;" f +getProfileModel adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileModel(profile):$/;" f +getProfileName adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def getProfileName(profile):$/;" f +getprojection adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def getprojection(self):$/;" m class:Image +GetPrototype adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ def GetPrototype(self, descriptor):$/;" m class:MessageFactory +getpwnam adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ getpwnam = None$/;" v +getpwnam adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^ getpwnam = None$/;" v +getpydocsign adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getpydocsign(a, var):$/;" f +GetPydotGraph adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetPydotGraph($/;" f +GetPydotGraphMinimal adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def GetPydotGraphMinimal($/;" f +getpymethoddef adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getpymethoddef(rout):$/;" f +getqualifiedname adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def getqualifiedname(namespace, object_, max_depth=5, visited=None):$/;" f +GetRealValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def GetRealValue(self, value):$/;" m class:_GradLoopState +getregentry adpepsenv/lib/python3.8/site-packages/idna/codec.py /^def getregentry():$/;" f +getregentry adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^def getregentry():$/;" f +getReplacementCharacter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def getReplacementCharacter(self, char):$/;" m class:InfosetFilter +getReplacementCharacter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def getReplacementCharacter(self, char):$/;" m class:InfosetFilter +GetRequestClass adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def GetRequestClass(self, method_descriptor):$/;" m class:Service +GetRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class GetRequestPDU(_RequestBase):$/;" c +GetRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class GetRequestPDU(PDU):$/;" c +GetResponseClass adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def GetResponseClass(self, method_descriptor):$/;" m class:Service +GetResponsePDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class GetResponsePDU(_RequestBase):$/;" c +getrestdoc adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getrestdoc(rout):$/;" f +getrgb adpepsenv/lib/python3.8/site-packages/PIL/ImageColor.py /^def getrgb(color):$/;" f +GetRootAsAbsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsAbsOptions(cls, buf, offset):$/;" m class:AbsOptions +GetRootAsAddNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsAddNOptions(cls, buf, offset):$/;" m class:AddNOptions +GetRootAsAddOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsAddOptions(cls, buf, offset):$/;" m class:AddOptions +GetRootAsArgMaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsArgMaxOptions(cls, buf, offset):$/;" m class:ArgMaxOptions +GetRootAsArgMinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsArgMinOptions(cls, buf, offset):$/;" m class:ArgMinOptions +GetRootAsBatchMatMulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsBatchMatMulOptions(cls, buf, offset):$/;" m class:BatchMatMulOptions +GetRootAsBatchToSpaceNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsBatchToSpaceNDOptions(cls, buf, offset):$/;" m class:BatchToSpaceNDOptions +GetRootAsBidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsBidirectionalSequenceLSTMOptions(cls, buf, offset):$/;" m class:BidirectionalSequenceLSTMOptions +GetRootAsBidirectionalSequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsBidirectionalSequenceRNNOptions(cls, buf, offset):$/;" m class:BidirectionalSequenceRNNOptions +GetRootAsBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsBuffer(cls, buf, offset):$/;" m class:Buffer +GetRootAsCallOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsCallOptions(cls, buf, offset):$/;" m class:CallOptions +GetRootAsCastOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsCastOptions(cls, buf, offset):$/;" m class:CastOptions +GetRootAsConcatEmbeddingsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsConcatEmbeddingsOptions(cls, buf, offset):$/;" m class:ConcatEmbeddingsOptions +GetRootAsConcatenationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsConcatenationOptions(cls, buf, offset):$/;" m class:ConcatenationOptions +GetRootAsConv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsConv2DOptions(cls, buf, offset):$/;" m class:Conv2DOptions +GetRootAsCosOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsCosOptions(cls, buf, offset):$/;" m class:CosOptions +GetRootAsCumsumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsCumsumOptions(cls, buf, offset):$/;" m class:CumsumOptions +GetRootAsCustomQuantization adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsCustomQuantization(cls, buf, offset):$/;" m class:CustomQuantization +GetRootAsDensifyOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDensifyOptions(cls, buf, offset):$/;" m class:DensifyOptions +GetRootAsDepthToSpaceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDepthToSpaceOptions(cls, buf, offset):$/;" m class:DepthToSpaceOptions +GetRootAsDepthwiseConv2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDepthwiseConv2DOptions(cls, buf, offset):$/;" m class:DepthwiseConv2DOptions +GetRootAsDequantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDequantizeOptions(cls, buf, offset):$/;" m class:DequantizeOptions +GetRootAsDimensionMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDimensionMetadata(cls, buf, offset):$/;" m class:DimensionMetadata +GetRootAsDivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsDivOptions(cls, buf, offset):$/;" m class:DivOptions +GetRootAsEmbeddingLookupSparseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsEmbeddingLookupSparseOptions(cls, buf, offset):$/;" m class:EmbeddingLookupSparseOptions +GetRootAsEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsEqualOptions(cls, buf, offset):$/;" m class:EqualOptions +GetRootAsExpandDimsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsExpandDimsOptions(cls, buf, offset):$/;" m class:ExpandDimsOptions +GetRootAsExpOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsExpOptions(cls, buf, offset):$/;" m class:ExpOptions +GetRootAsFakeQuantOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsFakeQuantOptions(cls, buf, offset):$/;" m class:FakeQuantOptions +GetRootAsFillOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsFillOptions(cls, buf, offset):$/;" m class:FillOptions +GetRootAsFloorDivOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsFloorDivOptions(cls, buf, offset):$/;" m class:FloorDivOptions +GetRootAsFloorModOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsFloorModOptions(cls, buf, offset):$/;" m class:FloorModOptions +GetRootAsFullyConnectedOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsFullyConnectedOptions(cls, buf, offset):$/;" m class:FullyConnectedOptions +GetRootAsGatherNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsGatherNdOptions(cls, buf, offset):$/;" m class:GatherNdOptions +GetRootAsGatherOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsGatherOptions(cls, buf, offset):$/;" m class:GatherOptions +GetRootAsGreaterEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsGreaterEqualOptions(cls, buf, offset):$/;" m class:GreaterEqualOptions +GetRootAsGreaterOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsGreaterOptions(cls, buf, offset):$/;" m class:GreaterOptions +GetRootAsHardSwishOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsHardSwishOptions(cls, buf, offset):$/;" m class:HardSwishOptions +GetRootAsIfOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsIfOptions(cls, buf, offset):$/;" m class:IfOptions +GetRootAsInt32Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsInt32Vector(cls, buf, offset):$/;" m class:Int32Vector +GetRootAsL2NormOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsL2NormOptions(cls, buf, offset):$/;" m class:L2NormOptions +GetRootAsLeakyReluOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLeakyReluOptions(cls, buf, offset):$/;" m class:LeakyReluOptions +GetRootAsLessEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLessEqualOptions(cls, buf, offset):$/;" m class:LessEqualOptions +GetRootAsLessOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLessOptions(cls, buf, offset):$/;" m class:LessOptions +GetRootAsLocalResponseNormalizationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLocalResponseNormalizationOptions(cls, buf, offset):$/;" m class:LocalResponseNormalizationOptions +GetRootAsLogicalAndOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLogicalAndOptions(cls, buf, offset):$/;" m class:LogicalAndOptions +GetRootAsLogicalNotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLogicalNotOptions(cls, buf, offset):$/;" m class:LogicalNotOptions +GetRootAsLogicalOrOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLogicalOrOptions(cls, buf, offset):$/;" m class:LogicalOrOptions +GetRootAsLogSoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLogSoftmaxOptions(cls, buf, offset):$/;" m class:LogSoftmaxOptions +GetRootAsLSHProjectionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLSHProjectionOptions(cls, buf, offset):$/;" m class:LSHProjectionOptions +GetRootAsLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsLSTMOptions(cls, buf, offset):$/;" m class:LSTMOptions +GetRootAsMatrixDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMatrixDiagOptions(cls, buf, offset):$/;" m class:MatrixDiagOptions +GetRootAsMatrixSetDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMatrixSetDiagOptions(cls, buf, offset):$/;" m class:MatrixSetDiagOptions +GetRootAsMaximumMinimumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMaximumMinimumOptions(cls, buf, offset):$/;" m class:MaximumMinimumOptions +GetRootAsMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMetadata(cls, buf, offset):$/;" m class:Metadata +GetRootAsMirrorPadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMirrorPadOptions(cls, buf, offset):$/;" m class:MirrorPadOptions +GetRootAsModel adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsModel(cls, buf, offset):$/;" m class:Model +GetRootAsMulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsMulOptions(cls, buf, offset):$/;" m class:MulOptions +GetRootAsNegOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsNegOptions(cls, buf, offset):$/;" m class:NegOptions +GetRootAsNonMaxSuppressionV4Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsNonMaxSuppressionV4Options(cls, buf, offset):$/;" m class:NonMaxSuppressionV4Options +GetRootAsNonMaxSuppressionV5Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsNonMaxSuppressionV5Options(cls, buf, offset):$/;" m class:NonMaxSuppressionV5Options +GetRootAsNotEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsNotEqualOptions(cls, buf, offset):$/;" m class:NotEqualOptions +GetRootAsOneHotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsOneHotOptions(cls, buf, offset):$/;" m class:OneHotOptions +GetRootAsOperator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsOperator(cls, buf, offset):$/;" m class:Operator +GetRootAsOperatorCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsOperatorCode(cls, buf, offset):$/;" m class:OperatorCode +GetRootAsPackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsPackOptions(cls, buf, offset):$/;" m class:PackOptions +GetRootAsPadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsPadOptions(cls, buf, offset):$/;" m class:PadOptions +GetRootAsPadV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsPadV2Options(cls, buf, offset):$/;" m class:PadV2Options +GetRootAsPocketFftDescriptor adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def GetRootAsPocketFftDescriptor(cls, buf, offset):$/;" m class:PocketFftDescriptor +GetRootAsPool2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsPool2DOptions(cls, buf, offset):$/;" m class:Pool2DOptions +GetRootAsPowOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsPowOptions(cls, buf, offset):$/;" m class:PowOptions +GetRootAsQuantizationParameters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsQuantizationParameters(cls, buf, offset):$/;" m class:QuantizationParameters +GetRootAsQuantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsQuantizeOptions(cls, buf, offset):$/;" m class:QuantizeOptions +GetRootAsRangeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsRangeOptions(cls, buf, offset):$/;" m class:RangeOptions +GetRootAsRankOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsRankOptions(cls, buf, offset):$/;" m class:RankOptions +GetRootAsReducerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsReducerOptions(cls, buf, offset):$/;" m class:ReducerOptions +GetRootAsReshapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsReshapeOptions(cls, buf, offset):$/;" m class:ReshapeOptions +GetRootAsResizeBilinearOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsResizeBilinearOptions(cls, buf, offset):$/;" m class:ResizeBilinearOptions +GetRootAsResizeNearestNeighborOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsResizeNearestNeighborOptions(cls, buf, offset):$/;" m class:ResizeNearestNeighborOptions +GetRootAsReverseSequenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsReverseSequenceOptions(cls, buf, offset):$/;" m class:ReverseSequenceOptions +GetRootAsReverseV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsReverseV2Options(cls, buf, offset):$/;" m class:ReverseV2Options +GetRootAsRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsRNNOptions(cls, buf, offset):$/;" m class:RNNOptions +GetRootAsScatterNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsScatterNdOptions(cls, buf, offset):$/;" m class:ScatterNdOptions +GetRootAsSegmentSumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSegmentSumOptions(cls, buf, offset):$/;" m class:SegmentSumOptions +GetRootAsSelectOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSelectOptions(cls, buf, offset):$/;" m class:SelectOptions +GetRootAsSelectV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSelectV2Options(cls, buf, offset):$/;" m class:SelectV2Options +GetRootAsSequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSequenceRNNOptions(cls, buf, offset):$/;" m class:SequenceRNNOptions +GetRootAsShapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsShapeOptions(cls, buf, offset):$/;" m class:ShapeOptions +GetRootAsSignatureDef adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSignatureDef(cls, buf, offset):$/;" m class:SignatureDef +GetRootAsSkipGramOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSkipGramOptions(cls, buf, offset):$/;" m class:SkipGramOptions +GetRootAsSliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSliceOptions(cls, buf, offset):$/;" m class:SliceOptions +GetRootAsSoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSoftmaxOptions(cls, buf, offset):$/;" m class:SoftmaxOptions +GetRootAsSpaceToBatchNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSpaceToBatchNDOptions(cls, buf, offset):$/;" m class:SpaceToBatchNDOptions +GetRootAsSpaceToDepthOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSpaceToDepthOptions(cls, buf, offset):$/;" m class:SpaceToDepthOptions +GetRootAsSparseToDenseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSparseToDenseOptions(cls, buf, offset):$/;" m class:SparseToDenseOptions +GetRootAsSparsityParameters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSparsityParameters(cls, buf, offset):$/;" m class:SparsityParameters +GetRootAsSplitOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSplitOptions(cls, buf, offset):$/;" m class:SplitOptions +GetRootAsSplitVOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSplitVOptions(cls, buf, offset):$/;" m class:SplitVOptions +GetRootAsSquaredDifferenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSquaredDifferenceOptions(cls, buf, offset):$/;" m class:SquaredDifferenceOptions +GetRootAsSquareOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSquareOptions(cls, buf, offset):$/;" m class:SquareOptions +GetRootAsSqueezeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSqueezeOptions(cls, buf, offset):$/;" m class:SqueezeOptions +GetRootAsStridedSliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsStridedSliceOptions(cls, buf, offset):$/;" m class:StridedSliceOptions +GetRootAsSubGraph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSubGraph(cls, buf, offset):$/;" m class:SubGraph +GetRootAsSubOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSubOptions(cls, buf, offset):$/;" m class:SubOptions +GetRootAsSVDFOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsSVDFOptions(cls, buf, offset):$/;" m class:SVDFOptions +GetRootAsTensor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTensor(cls, buf, offset):$/;" m class:Tensor +GetRootAsTensorMap adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTensorMap(cls, buf, offset):$/;" m class:TensorMap +GetRootAsTileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTileOptions(cls, buf, offset):$/;" m class:TileOptions +GetRootAsTopKV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTopKV2Options(cls, buf, offset):$/;" m class:TopKV2Options +GetRootAsTransposeConvOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTransposeConvOptions(cls, buf, offset):$/;" m class:TransposeConvOptions +GetRootAsTransposeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsTransposeOptions(cls, buf, offset):$/;" m class:TransposeOptions +GetRootAsUint16Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsUint16Vector(cls, buf, offset):$/;" m class:Uint16Vector +GetRootAsUint8Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsUint8Vector(cls, buf, offset):$/;" m class:Uint8Vector +GetRootAsUnidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsUnidirectionalSequenceLSTMOptions(cls, buf, offset):$/;" m class:UnidirectionalSequenceLSTMOptions +GetRootAsUniqueOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsUniqueOptions(cls, buf, offset):$/;" m class:UniqueOptions +GetRootAsUnpackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsUnpackOptions(cls, buf, offset):$/;" m class:UnpackOptions +GetRootAsWhereOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsWhereOptions(cls, buf, offset):$/;" m class:WhereOptions +GetRootAsWhileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsWhileOptions(cls, buf, offset):$/;" m class:WhileOptions +GetRootAsZerosLikeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GetRootAsZerosLikeOptions(cls, buf, offset):$/;" m class:ZerosLikeOptions +getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def getrow(self, i):$/;" m class:spmatrix +getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def getrow(self, i):$/;" m class:csc_matrix +getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def getrow(self, i):$/;" m class:csr_matrix +getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def getrow(self, i):$/;" m class:lil_matrix +getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def getrow(self, i):$/;" m class:IndexMixin +getrowview adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def getrowview(self, i):$/;" m class:lil_matrix +GetSessionHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^GetSessionHandle = tf_export("raw_ops.GetSessionHandle")(_ops.to_raw_op(get_session_handle))$/;" v +GetSessionHandleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^GetSessionHandleV2 = tf_export("raw_ops.GetSessionHandleV2")(_ops.to_raw_op(get_session_handle_v/;" v +GetSessionTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^GetSessionTensor = tf_export("raw_ops.GetSessionTensor")(_ops.to_raw_op(get_session_tensor))$/;" v +GetSessionToolData adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^ def GetSessionToolData(self, request, context):$/;" m class:ProfileAnalysisServicer +GetSessionToolData adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^ def GetSessionToolData(self, request, context):$/;" m class:ProfileAnalysisServicer +getShardedTestCaseNames adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def getShardedTestCaseNames(testCaseClass):$/;" f function:_setup_sharding file: +getsize adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getsize($/;" m class:FreeTypeFont +getsize adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getsize(self, text, *args, **kwargs):$/;" m class:ImageFont +getsize adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getsize(self, text, *args, **kwargs):$/;" m class:TransposedFont +getsizeof adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def getsizeof(value):$/;" m class:Cache +GetSizePrefix adpepsenv/lib/python3.8/site-packages/flatbuffers/util.py /^def GetSizePrefix(buf, offset):$/;" f +getsize_multiline adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def getsize_multiline($/;" m class:FreeTypeFont +GetSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def GetSlot(self, slot, d, validator_flags):$/;" m class:Table +getsource adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getsource(object): # pylint: disable=redefined-builtin$/;" f +getsource adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getsource(object): # pylint: disable=redefined-builtin$/;" f +getsourcefile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getsourcefile(object): # pylint: disable=redefined-builtin$/;" f +getsourcefile adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getsourcefile(object): # pylint: disable=redefined-builtin$/;" f +getsourcelines adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def getsourcelines(object): # pylint: disable=redefined-builtin$/;" f +getsourcelines adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def getsourcelines(object): # pylint: disable=redefined-builtin$/;" f +GetStats adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^GetStats = C.get_stats$/;" v +getstrlength adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def getstrlength(var):$/;" f +getSubtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getSubtypeSpec(self):$/;" m class:Asn1Type +GetSupportedDevices adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def GetSupportedDevices(self, item):$/;" m class:Cluster +GetSymbol adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def GetSymbol(self, symbol):$/;" m class:SymbolDatabase +GetSymbolTrie adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^def GetSymbolTrie(target, nm_command, max_depth):$/;" f +getT adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ getT = T.fget$/;" v class:matrix +getTableMisnestedNodePosition adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def getTableMisnestedNodePosition(self):$/;" m class:TreeBuilder +getTableMisnestedNodePosition adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def getTableMisnestedNodePosition(self):$/;" m class:TreeBuilder +getTagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getTagMap(self):$/;" m class:Asn1Type +getTagMapNearPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getTagMapNearPosition(self, idx):$/;" m class:NamedTypes +getTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getTagSet(self):$/;" m class:Asn1Type +gettarinfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def gettarinfo(self, name=None, arcname=None, fileobj=None):$/;" m class:TarFile +GetTempDir adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^def GetTempDir():$/;" f +getter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def getter(self):$/;" f function:_AddPropertiesForNonRepeatedCompositeField file: +getter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def getter(self):$/;" f function:_AddPropertiesForNonRepeatedScalarField file: +getter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def getter(self):$/;" f function:_AddPropertiesForRepeatedField file: +getter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def getter(self):$/;" f function:_make_getset_interval file: +getter adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^ def getter(names, arrays=(), dtype=None, ilp64=False):$/;" f function:_memoize_get_funcs file: +getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def getter(*args, **kwargs): # pylint: disable=function-redefined$/;" f member:Layer.add_weight file: +getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def getter(*args, **kwargs): # pylint: disable=function-redefined$/;" f member:Layer.add_weight file: +getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def getter(**kwargs):$/;" f function:_make_getter file: +getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def getter(name, shape, dtype, initializer, trainable):$/;" f function:TPUEmbedding._create_variables_and_slots.create_variables file: +getTestCaseNames adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def getTestCaseNames(self, testCaseClass): # pylint:disable=invalid-name$/;" m class:TestLoader +getTestCaseNames adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def getTestCaseNames(self, testCaseClass):$/;" m class:JaxTestLoader +getText adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def getText(self, data, index):$/;" m class:LinkInlineProcessor +gettimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def gettimeout(self):$/;" m class:WrappedSocket +gettimeout adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def gettimeout(self):$/;" m class:WrappedSocket +gettimeout adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def gettimeout(self):$/;" m class:SSLTransport +GetToolBar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def GetToolBar(self):$/;" m class:FigureFrameWx +getTreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/__init__.py /^def getTreeBuilder(treeType, implementation=None, **kwargs):$/;" f +getTreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/__init__.py /^def getTreeBuilder(treeType, implementation=None, **kwargs):$/;" f +getTreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/__init__.py /^def getTreeWalker(treeType, implementation=None, **kwargs):$/;" f +getTreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/__init__.py /^def getTreeWalker(treeType, implementation=None, **kwargs):$/;" f +GetTrtRewriterConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def GetTrtRewriterConfig(self,$/;" m class:TfTrtIntegrationTestBase +getType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getType(self):$/;" m class:NamedType +getTypeByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def getTypeByPosition(self, idx):$/;" m class:NamedTypes +GetTypeChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^def GetTypeChecker(field):$/;" f +getTypeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def getTypeId(cls, increment=1):$/;" m class:Asn1Item +gettz adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^gettz = __get_gettz()$/;" v +gettz adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^def gettz(name):$/;" f +GettzFunc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ class GettzFunc(object):$/;" c function:__get_gettz file: +gettz_db_metadata adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^def gettz_db_metadata():$/;" f +GetUnscopedName adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def GetUnscopedName(self):$/;" m class:BlobReference +GetUnusedImportsTest adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^class GetUnusedImportsTest(test_utils.TestCase):$/;" c +geturl adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def geturl(self):$/;" m class:HTTPResponse +geturl adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def geturl(self):$/;" m class:HTTPResponse +getURLFromName adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^def getURLFromName(name, filename):$/;" f +getusercode adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getusercode(rout):$/;" f +getusercode1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def getusercode1(rout):$/;" f +GetValue adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def GetValue(dim, delta):$/;" f member:NetGradientChecker.Check file: +getvalue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def getvalue(self):$/;" m class:TextWriter +getvalue adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def getvalue(self):$/;" m class:StringIO +getValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def getValue(self, name):$/;" m class:NamedValues +getValue adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def getValue(self):$/;" m class:netcdf_variable +getValueMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def getValueMap(self):$/;" m class:AbstractConstraint +getValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def getValues(self, *names):$/;" m class:NamedValues +getvar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def getvar(self, tracer):$/;" m class:DynamicJaxprTrace +getvar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def getvar(t: JaxprTracer) -> core.Atom:$/;" f function:tracers_to_jaxpr file: +getvar adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def getvar($/;" m class:_FuncGraph +GetVectorAsNumpy adpepsenv/lib/python3.8/site-packages/flatbuffers/encode.py /^def GetVectorAsNumpy(numpy_type, buf, count, offset):$/;" f +GetVectorAsNumpy adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def GetVectorAsNumpy(self, flags, off):$/;" m class:Table +GetVOffsetTSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def GetVOffsetTSlot(self, slot, d):$/;" m class:Table +GetWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def GetWhileContext(self):$/;" m class:ControlFlowContext +GetWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def GetWhileContext(self):$/;" m class:WhileContext +GetWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GetWhileContext(op):$/;" f +getzoneinfofile_stream adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^def getzoneinfofile_stream():$/;" f +get_8bits_compatible_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def get_8bits_compatible_parameters(self, fused=True):$/;" m class:SparseLookup +get_abi3_suffix adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^def get_abi3_suffix():$/;" f +get_abi_tag adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def get_abi_tag():$/;" f +get_absl_handler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def get_absl_handler():$/;" f +get_absl_logger adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def get_absl_logger():$/;" f +get_absl_log_prefix adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def get_absl_log_prefix(record):$/;" f +get_accelerator_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^def get_accelerator_devices(master, config_proto):$/;" f +get_accessed_features adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/feature_sparse_to_dense.py /^ def get_accessed_features(self):$/;" m class:FeatureSparseToDense +get_accessed_features adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_accessed_features(self):$/;" m class:ModelLayer +get_access_token_secret adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_access_token_secret(self, client_key, token, request):$/;" m class:RequestValidator +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:UploadIntent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_AuthRevokeIntent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_DeleteExperimentIntent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_ExportIntent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_Intent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_ListIntent +get_ack_message_body adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def get_ack_message_body(self):$/;" m class:_UpdateMetadataIntent +get_action adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def get_action(self, module):$/;" m class:Convert +get_action adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def get_action(self, module):$/;" m class:DoNotConvert +get_activations adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_activations(self):$/;" m class:TPUEmbedding +get_activation_post_process adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^ def get_activation_post_process(qconfig, device):$/;" f function:add_observer_ file: +get_active adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def get_active(self, required=True):$/;" m class:_ContextInfo +get_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def get_active(self):$/;" m class:Widget +get_active adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def get_active(cls):$/;" m class:Gcf +get_adapter adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def get_adapter(self, url):$/;" m class:Session +get_adapter adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def get_adapter(self, url):$/;" m class:Session +get_adjustable adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_adjustable(self):$/;" m class:_AxesBase +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:AffineBase +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:BlendedGenericTransform +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:CompositeGenericTransform +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:IdentityTransform +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:Transform +get_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_affine(self):$/;" m class:TransformedPath +get_agg_filter adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_agg_filter(self):$/;" m class:Artist +get_aliased_and_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def get_aliased_and_aliases(d):$/;" f function:_define_aliases file: +get_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_aliases(self):$/;" m class:ArtistInspector +get_all adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def get_all(self, key: MetadataKey) -> List[MetadataValue]:$/;" m class:Metadata +get_all adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ get_all = getlist$/;" v class:HTTPHeaderDict +get_all adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def get_all():$/;" f +get_all adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ get_all = getlist$/;" v class:HTTPHeaderDict +get_all adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def get_all(self, name):$/;" m class:Headers +get_all adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def get_all(self, name, default=None):$/;" m class:_TestCookieHeaders +get_allowed_errors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^ def get_allowed_errors(X):$/;" f member:TestFloatToFusedRandRowwiseQuantized.test_rand_quantization file: +get_allowed_formats adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def get_allowed_formats(self, canonical_name):$/;" m class:FormatControl +get_all_attributes adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_all_attributes(self, name):$/;" m class:ExecutionStep +get_all_attributes adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_all_attributes(self, name):$/;" m class:Plan +get_all_collection_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_all_collection_keys(self):$/;" m class:Graph +get_all_collection_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_all_collection_keys():$/;" f +get_all_complex_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_complex_dtypes(include_complex32=False) -> List[torch.dtype]:$/;" f +get_all_devices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def get_all_devices(cls):$/;" m class:CUDATestBase +get_all_devices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def get_all_devices(cls):$/;" m class:DeviceTypeTestBase +get_all_device_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_device_types() -> List[str]:$/;" f +get_all_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^def get_all_distribution_names(url=None):$/;" f +get_all_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_dtypes(include_half=True,$/;" f +get_all_energy adpeps/ipeps/evaluation.py /^def get_all_energy(H, tensors):$/;" f +get_all_fig_managers adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def get_all_fig_managers(cls):$/;" m class:Gcf +get_all_fp_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_fp_dtypes(include_half=True, include_bfloat16=True) -> List[torch.dtype]:$/;" f +get_all_headers adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^ def get_all_headers(message, key): # noqa$/;" f +get_all_headers adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^def get_all_headers(message, key):$/;" f +get_all_int_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_int_dtypes() -> List[torch.dtype]:$/;" f +get_all_math_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def get_all_math_dtypes(device) -> List[torch.dtype]:$/;" f +get_all_nn_module_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_all_nn_module_tests():$/;" f +get_all_plugin_assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^def get_all_plugin_assets(graph=None):$/;" f +get_all_records adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def get_all_records(self, ):$/;" m class:MockZipReader +get_all_registered_kernels adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/kernels.py /^def get_all_registered_kernels():$/;" f +get_all_sharing_strategies adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^def get_all_sharing_strategies():$/;" f +get_all_users_of adpepsenv/lib/python3.8/site-packages/torch/_fx/experimental/GraphManipulation.py /^def get_all_users_of(fx_module: GraphModule, index: int) -> List[int]:$/;" f +get_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_alpha(self):$/;" m class:Artist +get_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_alpha(self):$/;" m class:GraphicsContextBase +get_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def get_alpha(self):$/;" m class:ScalarMappable +get_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_alpha(self):$/;" m class:ContourSet +get_alt_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_alt_path(self):$/;" m class:MarkerStyle +get_alt_transform adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_alt_transform(self):$/;" m class:MarkerStyle +get_analytical_jacobian adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def get_analytical_jacobian(input, output, nondet_tol=0.0, grad_out=1.0):$/;" f +get_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_anchor(self):$/;" m class:_AxesBase +get_anchor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_anchor(self):$/;" m class:AxesDivider +get_anchor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_anchor(self):$/;" m class:Divider +get_angle adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_angle(self):$/;" m class:AFM +get_angle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_angle(self):$/;" m class:Ellipse +get_animated adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_animated(self):$/;" m class:Artist +get_anncoords adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_anncoords(self):$/;" m class:Annotation +get_annotated_lines adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def get_annotated_lines(self):$/;" m class:Frame +get_annotation_clip adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_annotation_clip(self):$/;" m class:ConnectionPatch +get_annotation_clip adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_annotation_clip(self):$/;" m class:_AnnotationBase +get_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_antialiased(self):$/;" m class:GraphicsContextBase +get_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_antialiased(self):$/;" m class:Line2D +get_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_antialiased(self):$/;" m class:Patch +get_api_client adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^def get_api_client(api_endpoint=None):$/;" f +get_api_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def get_api_def(self, op_name):$/;" m class:ApiDefMap +get_api_init_text adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def get_api_init_text(packages,$/;" f +get_api_versions adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def get_api_versions(apiversion, codegen_dir):$/;" f +get_appendable_file_encoding adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/remote_utils.py /^def get_appendable_file_encoding():$/;" f +get_applicable_candidates adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def get_applicable_candidates($/;" m class:CandidateEvaluator +get_application_default_credentials_path adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^def get_application_default_credentials_path():$/;" f +get_approximate_basis adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^def get_approximate_basis(A, # type: Tensor$/;" f +get_app_iter adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def get_app_iter(self, environ):$/;" m class:BaseResponse +get_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ def get_arch(self):$/;" m class:SunFCompiler +get_archive_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def get_archive_files(self):$/;" m class:sdist +get_archive_formats adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def get_archive_formats():$/;" f +get_arch_list adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def get_arch_list() -> List[str]:$/;" f +get_arglist adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^ def get_arglist(I_type, T_type):$/;" f function:parse_routine file: +get_args adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/_cmd.py /^def get_args():$/;" f +get_args adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def get_args(argspec, n):$/;" f +get_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_args(cls, dist, header=None):$/;" m class:ScriptWriter +get_args adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def get_args(tp):$/;" f +get_args adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ get_args = typing.get_args$/;" v +get_arg_return_types_from_interface adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^def get_arg_return_types_from_interface(module_interface):$/;" f +get_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def get_arg_value(node, arg_name, arg_pos=None):$/;" f +get_array adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def get_array(self):$/;" m class:ScalarMappable +get_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def get_array(i):$/;" f function:test_iter_iterrange file: +get_array_base adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object get_array_base(ndarray arr):$/;" f +get_array_base adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object get_array_base(ndarray arr):$/;" f +get_array_prepare adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def get_array_prepare(*args):$/;" f +get_array_wrap adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def get_array_wrap(*args):$/;" f +get_arrowstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_arrowstyle(self):$/;" m class:FancyArrowPatch +get_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_aspect(self):$/;" m class:_AxesBase +get_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_aspect(self):$/;" m class:AxesDivider +get_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_aspect(self):$/;" m class:Divider +get_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_aspect(self):$/;" m class:Grid +get_assembled_entropy adpepsenv/lib/python3.8/site-packages/numpy/random/bit_generator.pxd /^ cdef get_assembled_entropy(self)$/;" m class:SeedSequence +get_assets_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_assets_dir(export_dir):$/;" f +get_asset_filename_to_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def get_asset_filename_to_add(asset_filepath, asset_filename_map):$/;" f +get_asset_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def get_asset_tensors(export_dir, meta_graph_def_to_load, import_scope=None):$/;" f +get_as_parameter adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ get_as_parameter = _as_parameter_.fget$/;" v class:_ctypes +get_atlas_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def get_atlas_version(**config):$/;" f +get_attention_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def get_attention_weights(self):$/;" m class:LSTMWithAttentionDecoder +get_attention_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_attention_weights(self):$/;" m class:AttentionCell +get_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def get_attr(self, attr):$/;" m class:_MockOp +get_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_attr(self, name):$/;" m class:Operation +get_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def get_attr(self, attr):$/;" m class:_PforInput +get_attr adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def get_attr(self, name: str) -> Node:$/;" m class:Graph +get_attributes adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_attributes(self, name):$/;" m class:Net +get_attribute_from_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_attribute_from_ref_artist(self, attr_name, default_value=None):$/;" m class:AttributeCopier +get_attrs adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def get_attrs(str):$/;" f +get_attrs adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def get_attrs(self):$/;" m class:WinTerm +get_attr_name adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def get_attr_name(self, long_option):$/;" m class:FancyGetopt +get_attr_name adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_attr_name(i):$/;" f function:get_new_attr_name_with_prefix.get_new_attr_name file: +get_author adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_author(self):$/;" m class:DistributionMetadata +get_authorization_code_nonce adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def get_authorization_code_nonce(self, client_id, code, redirect_uri, request):$/;" m class:RequestValidator +get_authorization_code_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def get_authorization_code_scopes(self, client_id, code, redirect_uri, request):$/;" m class:RequestValidator +get_author_email adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_author_email(self):$/;" m class:DistributionMetadata +get_auth_access_token adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^def get_auth_access_token(account=None):$/;" f +get_auth_from_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_auth_from_url(url):$/;" f +get_auth_from_url adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_auth_from_url(url):$/;" f +get_autoscalex_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_autoscalex_on(self):$/;" m class:_AxesBase +get_autoscaley_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_autoscaley_on(self):$/;" m class:_AxesBase +get_autoscalez_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_autoscalez_on(self):$/;" m class:Axes3D +get_autoscale_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_autoscale_on(self):$/;" m class:_AxesBase +get_autoscale_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_autoscale_on(self):$/;" m class:Axes3D +get_auxiliary_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def get_auxiliary_parameters(self):$/;" m class:Optimizer +get_aux_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def get_aux_axes(self, tr, viewlim_mode="equal", axes_class=ParasiteAxes):$/;" m class:HostAxesBase +get_aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^def get_aval(x):$/;" f +get_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def get_aval(self) -> AbstractValue:$/;" m class:PartialVal +get_avg_length adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def get_avg_length(record):$/;" f +get_ax adpepsenv/lib/python3.8/site-packages/matplotlib/testing/widgets.py /^def get_ax():$/;" f +get_axes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_axes(self):$/;" m class:Figure +get_axes_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_axes_locator(self):$/;" m class:_AxesBase +get_axes_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_axes_locator(self):$/;" m class:Grid +get_axes_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_axes_pad(self):$/;" m class:Grid +get_axisbelow adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_axisbelow(self):$/;" m class:_AxesBase +get_axislabel_pos_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_axislabel_pos_angle(self, axes):$/;" m class:AxisArtistHelper.Fixed +get_axislabel_pos_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_axislabel_pos_angle(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_axislabel_pos_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_axislabel_pos_angle(self, axes):$/;" m class:FloatingAxisArtistHelper +get_axislabel_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_axislabel_transform(self, axes):$/;" m class:AxisArtistHelper.Fixed +get_axislabel_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_axislabel_transform(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_axislabel_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_axislabel_transform(self, axes):$/;" m class:FloatingAxisArtistHelper +get_axisline_style adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_axisline_style(self):$/;" m class:AxisArtist +get_axis_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_axis_position(self):$/;" m class:Axes3D +get_a_var adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/parallel_apply.py /^def get_a_var(obj):$/;" f +get_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def get_backend(platform=None):$/;" f +get_backend adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def get_backend():$/;" f +get_backend adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_backend(self, name):$/;" m class:VcsSupport +get_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def get_backend(group=group.WORLD):$/;" f +get_backend_for_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_backend_for_dir(self, location):$/;" m class:VcsSupport +get_backend_for_scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_backend_for_scheme(self, scheme):$/;" m class:VcsSupport +get_backoff_factor adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def get_backoff_factor(self):$/;" m class:GradScaler +get_backoff_time adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def get_backoff_time(self):$/;" m class:Retry +get_backoff_time adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def get_backoff_time(self):$/;" m class:Retry +get_backward_walk_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def get_backward_walk_ops(seed_ops,$/;" f +get_barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def get_barrier():$/;" f +get_base adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def get_base(self, x):$/;" m class:TestStride +get_basefile adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_basefile(self, tex, fontsize, dpi=None):$/;" m class:TexManager +get_base_class_and_magic_number adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def get_base_class_and_magic_number(lib_file, seek=None):$/;" f +get_base_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def get_base_rev_args(rev):$/;" m class:Bazaar +get_base_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_base_rev_args(rev):$/;" m class:Git +get_base_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_base_rev_args(rev):$/;" m class:Mercurial +get_base_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_base_rev_args(rev):$/;" m class:Subversion +get_base_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_base_rev_args(rev):$/;" m class:VersionControl +get_batch_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def get_batch_dim(type_spec):$/;" f member:_RebatchDataset._may_form_partial_batches file: +get_batch_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def get_batch_dimension(iterator):$/;" f +get_batch_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def get_batch_loss(self, features, mode, state):$/;" m class:ARModel +get_batch_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def get_batch_loss(self, features, mode, state):$/;" m class:TimeSeriesModel +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def get_batch_size(global_batch_size, distribution):$/;" f +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_collection_base.py /^ def get_batch_size(self):$/;" m class:ModelAndInput +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_batch_size(self):$/;" m class:SimpleFunctionalModel +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_batch_size(self):$/;" m class:SimpleSequentialModel +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_batch_size(self):$/;" m class:SimpleSubclassModel +get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_batch_size(self):$/;" m class:SimpleTFModuleModel +get_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_bbox(self):$/;" m class:FancyBboxPatch +get_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_bbox(self):$/;" m class:Rectangle +get_bbox_char adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_bbox_char(self, c, isord=False):$/;" m class:AFM +get_bbox_edge_pos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_bbox_edge_pos(bbox, loc):$/;" m class:BboxConnector +get_bbox_header adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def get_bbox_header(lbrt, rotated=False):$/;" f +get_bbox_patch adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_bbox_patch(self):$/;" m class:Text +get_bbox_to_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_bbox_to_anchor(self):$/;" m class:Legend +get_bbox_to_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_bbox_to_anchor(self):$/;" m class:AnchoredOffsetbox +get_bending_matrices adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_bending_matrices(self, J, ecc):$/;" m class:_ReducedHCT_Element +get_better_fn adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def get_better_fn(key):$/;" f +get_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def get_bias(self):$/;" m class:RNNBase +get_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def get_bias(self):$/;" m class:RNNCellBase +get_blas_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^def get_blas_funcs(names, arrays=(), dtype=None, ilp64=False):$/;" f +get_blas_lapack_symbols adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def get_blas_lapack_symbols():$/;" f +get_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def get_blobs(self):$/;" m class:Dataset +get_blob_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def get_blob_weighted_sum():$/;" f member:TestLayers.testBlobWeightedSum file: +get_body adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_body(self, environ=None):$/;" m class:HTTPException +get_bottleneck_analysis_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def get_bottleneck_analysis_table_args(combined_tf_data_stats):$/;" f +get_boundaries_intersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def get_boundaries_intersections(self, z, d, trust_radius):$/;" m class:BaseQuadraticSubproblem +get_boundary adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def get_boundary(self):$/;" m class:GridHelperCurveLinear +get_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_bounds(self):$/;" m class:Spine +get_boxstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_boxstyle(self):$/;" m class:FancyBboxPatch +get_box_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_box_aspect(self):$/;" m class:_AxesBase +get_bracket_info adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def get_bracket_info(self):$/;" m class:Brent +get_broadcast_compatible_shape adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def get_broadcast_compatible_shape(tensor_shape):$/;" f +get_bucket_boundaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def get_bucket_boundaries(self):$/;" m class:QuantileAccumulator +get_bucket_boundaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_quantile_stream_resource_g/;" x +get_buf_from_file adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def get_buf_from_file(f):$/;" m class:PdfParser +get_build_architecture adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_build_architecture():$/;" f +get_build_architecture adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^def get_build_architecture():$/;" f +get_build_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^def get_build_info():$/;" f +get_build_msvc_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^from distutils.msvccompiler import get_build_version as get_build_msvc_version$/;" x +get_build_platform adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_build_platform():$/;" f +get_build_platform adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_build_platform():$/;" f +get_build_temp_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_build_temp_dir(self):$/;" m class:Configuration +get_build_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^def get_build_version():$/;" f +get_build_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^def get_build_version():$/;" f +get_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_bytes(self, resource):$/;" m class:ResourceFinder +get_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_bytes(self, resource):$/;" m class:ZipResourceFinder +get_c2_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ def get_c2_model(self, model_name):$/;" m class:ModelDownloader +get_c2_model_dbg adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ def get_c2_model_dbg(self, model_name):$/;" m class:ModelDownloader +get_cachedir adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def get_cachedir():$/;" f +get_cache_base adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_cache_base(suffix=None):$/;" f +get_cache_dir adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def get_cache_dir():$/;" f +get_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def get_cache_dir(self, options, args):$/;" m class:CacheCommand +get_cache_entry adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_cache_entry($/;" m class:WheelCache +get_cache_info adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def get_cache_info(self, options, args):$/;" m class:CacheCommand +get_cache_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_cache_info(self, resource):$/;" m class:ResourceFinder +get_cache_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_cache_info(self, resource):$/;" m class:ZipResourceFinder +get_cache_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_cache_path(self, archive_name, names=()):$/;" m class:ResourceManager +get_cache_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_cache_path(self, archive_name, names=()):$/;" m class:ResourceManager +get_caching_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def get_caching_key(self, ctx):$/;" m class:PyToTF +get_caching_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def get_caching_key(self, user_context):$/;" m class:PyToPy +get_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_call(method_name, func_type, args, kwargs):$/;" f +get_calling_module adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def get_calling_module():$/;" f +get_calling_module_object_and_name adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def get_calling_module_object_and_name():$/;" f +get_call_context_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/keras_deps.py /^def get_call_context_function():$/;" f +get_cancelable_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ def get_cancelable_function(self, concrete_function):$/;" m class:CancellationManager +get_candidate_lookup adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def get_candidate_lookup(self):$/;" m class:Requirement +get_candidate_lookup adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def get_candidate_lookup(self):$/;" m class:ExplicitRequirement +get_candidate_lookup adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def get_candidate_lookup(self):$/;" m class:RequiresPythonRequirement +get_candidate_lookup adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def get_candidate_lookup(self):$/;" m class:SpecifierRequirement +get_candidate_paths adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def get_candidate_paths():$/;" f function:_get_data_path file: +get_canonical_import adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def get_canonical_import(import_set):$/;" f +get_canonical_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_canonical_name(api_names, deprecated_api_names):$/;" f +get_canonical_name_for_symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_canonical_name_for_symbol($/;" f +get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_canvas(self, fig):$/;" m class:FigureFrameWx +get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_canvas(self, frame, fig):$/;" m class:ConfigureSubplotsWx +get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_canvas(self, frame, fig):$/;" m class:NavigationToolbar2Wx +get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ def get_canvas(self, fig):$/;" m class:FigureFrameWxAgg +get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ def get_canvas(self, fig):$/;" m class:FigureFrameWxCairo +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def get_canvas_width_height(self):$/;" m class:RendererAgg +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def get_canvas_width_height(self):$/;" m class:RendererCairo +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_canvas_width_height(self):$/;" m class:RendererPgf +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def get_canvas_width_height(self):$/;" m class:RendererSVG +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def get_canvas_width_height(self):$/;" m class:RendererTemplate +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_canvas_width_height(self):$/;" m class:RendererWx +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def get_canvas_width_height(self):$/;" m class:RendererPDFPSBase +get_canvas_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_canvas_width_height(self):$/;" m class:RendererBase +get_capheight adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_capheight(self):$/;" m class:AFM +get_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def get_capstyle(self):$/;" m class:GraphicsContextPS +get_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_capstyle(self):$/;" m class:GraphicsContextBase +get_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_capstyle(self):$/;" m class:Collection +get_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_capstyle(self):$/;" m class:MarkerStyle +get_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_capstyle(self):$/;" m class:Patch +get_categorical_features_to_sampling adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_categorical_features_to_sampling(examples, top_k):$/;" f +get_categorical_feature_names adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_categorical_feature_names(example):$/;" f +get_categorical_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def get_categorical_limit(record):$/;" f +get_cblas_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_cblas_libs(self, info):$/;" m class:blas_info +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:BoolGauge +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:Counter +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:IntGauge +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:Metric +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:Sampler +get_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def get_cell(self, *labels):$/;" m class:StringGauge +get_celld adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_celld(self):$/;" m class:Table +get_center adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_center(self):$/;" m class:Ellipse +get_channel adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def get_channel(self, target: str, options: Sequence[Tuple[str, str]],$/;" m class:ChannelCache +get_char adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def get_char(index):$/;" f member:ExprBuilder.build_Attribute file: +get_chart_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_stats_proto_to_gviz.py /^def get_chart_table_args(stats_table, device_type):$/;" f +get_char_width adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def get_char_width(charcode):$/;" f function:PdfFile.embedTTF.embedTTFType3 file: +get_checkpoint_mtimes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def get_checkpoint_mtimes(checkpoint_prefixes):$/;" f +get_checkpoint_mtimes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^get_checkpoint_mtimes = checkpoint_management.get_checkpoint_mtimes$/;" v +get_checkpoint_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def get_checkpoint_state(checkpoint_dir, latest_filename=None):$/;" f +get_checkpoint_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^get_checkpoint_state = checkpoint_management.get_checkpoint_state$/;" v +get_check_binary_allowed adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^def get_check_binary_allowed(format_control):$/;" f +get_check_numerics_error_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def get_check_numerics_error_message(slot,$/;" f +get_chief_queue_runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def get_chief_queue_runner(self):$/;" m class:SyncReplicasOptimizer +get_child adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_child(self):$/;" m class:AnchoredOffsetbox +get_children adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def get_children(self):$/;" m class:Struct +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_children(self):$/;" m class:Artist +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_children(self):$/;" m class:_AxesBase +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_children(self):$/;" m class:Axis +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_children(self):$/;" m class:Tick +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def get_children(self):$/;" m class:Container +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_children(self):$/;" m class:Figure +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_children(self):$/;" m class:Legend +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_children(self):$/;" m class:AnchoredOffsetbox +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_children(self):$/;" m class:AnnotationBbox +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_children(self):$/;" m class:OffsetBox +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_children(self):$/;" m class:OffsetImage +get_children adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_children(self):$/;" m class:Table +get_children adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_children(self):$/;" m class:Axes +get_ckpt_db_name adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def get_ckpt_db_name(self, node_name, epoch):$/;" m class:MultiNodeCheckpointManager +get_ckpt_filename adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^def get_ckpt_filename(node_name, epoch):$/;" f +get_clang_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_clang_version(run_lambda):$/;" f +get_class adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^def get_class(name, notfound_action=1):$/;" f +get_classes adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def get_classes(self, df, y_col):$/;" m class:DataFrameIterator +get_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def get_classes(tensors):$/;" f +get_classifiers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_classifiers(self):$/;" m class:DistributionMetadata +get_class_and_title adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def get_class_and_title(self, match):$/;" m class:AdmonitionProcessor +get_class_name_lineno adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def get_class_name_lineno(method):$/;" f +get_class_properties adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def get_class_properties(cls, self_name):$/;" f +get_clib_test_routine adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^def get_clib_test_routine(name, restype, *argtypes):$/;" f +get_click_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def get_click_command(self, mouse_y):$/;" m class:ScrollBar +get_client_cert_and_key adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def get_client_cert_and_key(client_cert_callback=None):$/;" f +get_client_secret adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_client_secret(self, client_key, request):$/;" m class:RequestValidator +get_client_ssl_credentials adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def get_client_ssl_credentials(generate_encrypted_key=False):$/;" f +get_clim adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def get_clim(self):$/;" m class:ScalarMappable +get_clip_box adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_clip_box(self):$/;" m class:Artist +get_clip_on adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_clip_on(self):$/;" m class:Artist +get_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_clip_path(self):$/;" m class:Artist +get_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_clip_path(self):$/;" m class:GraphicsContextBase +get_clip_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_clip_rectangle(self):$/;" m class:GraphicsContextBase +get_closed adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_closed(self):$/;" m class:Polygon +get_closure adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def get_closure(fn):$/;" f +get_cmake_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_cmake_version(run_lambda):$/;" f +get_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def get_cmap(self):$/;" m class:ScalarMappable +get_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^def get_cmap(name=None, lut=None):$/;" f +get_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_cmd(cmdname, _cache={}):$/;" f +get_cmdclass versioneer.py /^def get_cmdclass():$/;" f +get_cmdline_options adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def get_cmdline_options(self):$/;" m class:Distribution +get_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code adpepsenv/lib/python3.8/site-packages/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def get_code(self, fullname):$/;" m class:_SixMetaPathImporter +get_code_challenge adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def get_code_challenge(self, code, request):$/;" m class:RequestValidator +get_code_challenge_method adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def get_code_challenge_method(self, code, request):$/;" m class:RequestValidator +get_coding_state_machine adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def get_coding_state_machine(self):$/;" m class:CodingStateMachine +get_coding_state_machine adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def get_coding_state_machine(self):$/;" m class:CodingStateMachine +get_coeffs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_coeffs(self):$/;" m class:UnivariateSpline +get_coeffs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_coeffs(self):$/;" m class:_BivariateSplineBase +get_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_collection(self, name, scope=None):$/;" m class:Graph +get_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_collection(key, scope=None):$/;" f +get_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def get_collection(self, name):$/;" m class:VariableScope +get_collection_proto_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_collection_proto_type(collection_name):$/;" f +get_collection_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_collection_ref(self, name):$/;" m class:Graph +get_collection_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_collection_ref(key):$/;" f +get_color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get_color(self):$/;" m class:ColorButton +get_color adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_color(self):$/;" m class:EventCollection +get_color adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_color(self):$/;" m class:LineCollection +get_color adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_color(self):$/;" m class:Line2D +get_color adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_color(self):$/;" m class:Text +get_color adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_color(self):$/;" m class:AxisLabel +get_color adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_color(self):$/;" m class:Ticks +get_colors adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ get_colors = get_color # for compatibility with old versions$/;" v class:LineCollection +get_combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_combiner(self):$/;" m class:_TPUBaseEmbeddingColumn +get_combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_combiner(self):$/;" m class:_TPUEmbeddingColumn +get_combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_combiner(self):$/;" m class:_TPUSharedEmbeddingColumn +get_combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_combiner(self):$/;" m class:_TPUEmbeddingColumnV2 +get_combiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_combiner(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_command adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command(self, file, **options):$/;" m class:MacViewer +get_command adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command(self, file, **options):$/;" m class:UnixViewer +get_command adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command(self, file, **options):$/;" m class:Viewer +get_command adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command(self, file, **options):$/;" m class:WindowsViewer +get_command_class adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def get_command_class(self, command):$/;" m class:Distribution +get_command_class adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_command_class(self, command):$/;" m class:Distribution +get_command_ex adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command_ex(self, file, **options):$/;" m class:DisplayViewer +get_command_ex adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command_ex(self, file, **options):$/;" m class:EogViewer +get_command_ex adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_command_ex(self, file, title=None, **options):$/;" m class:XVViewer +get_command_list adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def get_command_list(self):$/;" m class:Distribution +get_command_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_command_list(self):$/;" m class:Distribution +get_command_name adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def get_command_name(self):$/;" m class:Command +get_command_obj adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_command_obj(self, command, create=1):$/;" m class:Distribution +get_command_packages adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_command_packages(self):$/;" m class:Distribution +get_command_stderr adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def get_command_stderr(command, env=None, close_fds=True):$/;" f +get_command_string adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def get_command_string(command):$/;" f +get_comm_world adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_comm_world():$/;" f +get_compare_output_module_list adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_compare_output_module_list():$/;" f +get_comparison_dtype adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def get_comparison_dtype(a, b):$/;" f +get_compiler_ir adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_compiler_ir(self, device_name, function_name, args, stage="hlo"):$/;" m class:Context +get_compile_flags adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^def get_compile_flags():$/;" f +get_compile_options adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def get_compile_options($/;" f +get_completions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def get_completions(self, context_word, prefix):$/;" m class:TabCompletionRegistry +get_complex_dtype adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def get_complex_dtype(dtype):$/;" f +get_components_bitmask adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^def get_components_bitmask(content_types):$/;" f +get_compression_type_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def get_compression_type_string(cls, options):$/;" m class:TFRecordOptions +get_comp_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def get_comp_name(string, name):$/;" f +get_concatenated_feature_to_index adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/concat.py /^def get_concatenated_feature_to_index(blobs_to_concat):$/;" f +get_concrete_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def get_concrete_array(aval):$/;" f +get_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def get_concrete_function(self, *args, **kwargs):$/;" m class:Function +get_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def get_concrete_function(self, *args, **kwargs):$/;" m class:Function +get_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def get_concrete_function(self, *args, **kwargs):$/;" m class:LayerCall +get_conda_packages adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_conda_packages(run_lambda):$/;" f +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_confidence(self):$/;" m class:CharDistributionAnalysis +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def get_confidence(self):$/;" m class:CharSetGroupProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def get_confidence(self):$/;" m class:CharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def get_confidence(self):$/;" m class:EscCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def get_confidence(self):$/;" m class:EUCJPProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def get_confidence(self):$/;" m class:JapaneseContextAnalysis +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def get_confidence(self):$/;" m class:Latin1Prober +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def get_confidence(self):$/;" m class:MultiByteCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def get_confidence(self):$/;" m class:SingleByteCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def get_confidence(self):$/;" m class:SJISProber +get_confidence adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def get_confidence(self):$/;" m class:UTF8Prober +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_confidence(self):$/;" m class:CharDistributionAnalysis +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def get_confidence(self):$/;" m class:CharSetGroupProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def get_confidence(self):$/;" m class:CharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def get_confidence(self):$/;" m class:EscCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def get_confidence(self):$/;" m class:EUCJPProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def get_confidence(self):$/;" m class:JapaneseContextAnalysis +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def get_confidence(self):$/;" m class:Latin1Prober +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def get_confidence(self):$/;" m class:MultiByteCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def get_confidence(self):$/;" m class:SingleByteCharSetProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def get_confidence(self):$/;" m class:SJISProber +get_confidence adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def get_confidence(self):$/;" m class:UTF8Prober +get_config adpeps/_version.py /^def get_config():$/;" f +get_config adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^ def get_config(self):$/;" m class:TimeseriesGenerator +get_config adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def get_config(self):$/;" m class:Tokenizer +get_config adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^def get_config():$/;" f +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def get_config(self):$/;" m class:TFLiteLSTMCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def get_config(self):$/;" m class:TfLiteRNNCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:BucketizedColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:CrossedColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:EmbeddingColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:FeatureColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:HashedCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:IdentityCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:IndicatorColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:NumericColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:SequenceCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:VocabularyFileCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:VocabularyListCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_config(self):$/;" m class:WeightedCategoricalColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def get_config(self):$/;" m class:SequenceNumericColumn +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def get_config(self):$/;" m class:Constraint +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def get_config(self):$/;" m class:MaxNorm +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def get_config(self):$/;" m class:MinMaxNorm +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def get_config(self):$/;" m class:UnitNorm +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_config(self):$/;" m class:AddLoss +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_config(self):$/;" m class:AddMetric +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_config(self):$/;" m class:Layer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_config(self):$/;" m class:TensorFlowOpLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_config(self):$/;" m class:Layer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def get_config(self):$/;" m class:Functional +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^ def get_config(self):$/;" m class:InputLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^ def get_config(self):$/;" m class:InputSpec +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def get_config(self):$/;" m class:Sequential +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def get_config(self):$/;" m class:Model +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def get_config(self):$/;" m class:_BaseFeaturesLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def get_config(self):$/;" m class:HeNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def get_config(self):$/;" m class:HeUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def get_config(self):$/;" m class:LecunNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def get_config(self):$/;" m class:LecunUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:Constant +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:GlorotNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:GlorotUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:HeNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:HeUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:Initializer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:LecunNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def get_config(self):$/;" m class:LecunUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:ELU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:LeakyReLU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:PReLU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:ReLU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:Softmax +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def get_config(self):$/;" m class:ThresholdedReLU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Conv +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Conv1DTranspose +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Conv2DTranspose +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Conv3DTranspose +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Cropping1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Cropping2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:Cropping3D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:DepthwiseConv2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:SeparableConv +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:UpSampling1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:UpSampling2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:UpSampling3D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:ZeroPadding1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:ZeroPadding2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def get_config(self):$/;" m class:ZeroPadding3D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def get_config(self):$/;" m class:ConvLSTM2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def get_config(self):$/;" m class:ConvLSTM2DCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Activation +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:ActivityRegularization +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:ClassMethod +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Dense +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Dropout +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Flatten +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:InstanceProperty +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Lambda +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Masking +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Permute +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:RepeatVector +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:Reshape +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def get_config(self):$/;" m class:TFOpLambda +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def get_config(self):$/;" m class:CuDNNGRU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def get_config(self):$/;" m class:CuDNNLSTM +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def get_config(self):$/;" m class:_CuDNNRNN +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def get_config(self):$/;" m class:AdditiveAttention +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def get_config(self):$/;" m class:Attention +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def get_config(self):$/;" m class:BaseDenseAttention +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^ def get_config(self):$/;" m class:EinsumDense +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def get_config(self):$/;" m class:Embedding +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def get_config(self):$/;" m class:RandomFourierFeatures +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self): # pylint: disable=useless-super-delegation$/;" m class:RNNCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self):$/;" m class:BasicLSTMCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self):$/;" m class:BasicRNNCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self):$/;" m class:GRUCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self):$/;" m class:LSTMCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_config(self):$/;" m class:_RNNCellWrapperV1 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def get_config(self):$/;" m class:DeviceWrapperBase +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def get_config(self):$/;" m class:DropoutWrapperBase +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def get_config(self):$/;" m class:ResidualWrapperBase +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def get_config(self):$/;" m class:LocallyConnected1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def get_config(self):$/;" m class:LocallyConnected2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def get_config(self):$/;" m class:Concatenate +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def get_config(self):$/;" m class:Dot +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def get_config(self):$/;" m class:MultiHeadAttention +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def get_config(self):$/;" m class:AlphaDropout +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def get_config(self):$/;" m class:GaussianDropout +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def get_config(self):$/;" m class:GaussianNoise +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def get_config(self):$/;" m class:BatchNormalizationBase +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def get_config(self):$/;" m class:LayerNormalization +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:GlobalPooling1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:GlobalPooling2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:GlobalPooling3D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:Pooling1D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:Pooling2D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def get_config(self):$/;" m class:Pooling3D +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def get_config(self):$/;" m class:CategoryCrossing +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def get_config(self):$/;" m class:CategoryEncoding +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def get_config(self):$/;" m class:Discretization +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def get_config(self):$/;" m class:Hashing +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:CenterCrop +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomContrast +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomCrop +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomFlip +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomHeight +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomRotation +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomTranslation +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomWidth +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:RandomZoom +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:Rescaling +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def get_config(self):$/;" m class:Resizing +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def get_config(self):$/;" m class:IndexLookup +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/integer_lookup.py /^ def get_config(self):$/;" m class:IntegerLookup +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def get_config(self):$/;" m class:Normalization +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup.py /^ def get_config(self):$/;" m class:StringLookup +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def get_config(self):$/;" m class:TextVectorization +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:GRU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:GRUCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:LSTM +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:LSTMCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:RNN +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:SimpleRNN +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:SimpleRNNCell +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_config(self):$/;" m class:StackedRNNCells +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def get_config(self):$/;" m class:_RNNCellWrapperV2 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def get_config(self):$/;" m class:Bidirectional +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def get_config(self):$/;" m class:Wrapper +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def get_config(self):$/;" m class:Loss +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def get_config(self):$/;" m class:LossFunctionWrapper +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:AUC +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:MeanIoU +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:MeanMetricWrapper +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:MeanRelativeError +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:Metric +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:Precision +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:PrecisionAtRecall +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:Recall +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:RecallAtPrecision +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:SensitivityAtSpecificity +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:SpecificityAtSensitivity +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:SumOverBatchSizeMetricWrapper +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_config(self):$/;" m class:_ConfusionMatrixConditionCount +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_config(self):$/;" m class:LossScaleOptimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def get_config(self):$/;" m class:Policy +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def get_config(self):$/;" m class:PolicyV1 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def get_config(self):$/;" m class:IdentityRegularizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def get_config(self):$/;" m class:MultiplyLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def get_config(self):$/;" m class:ReduceSumRegularizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Adadelta +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Adagrad +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Adam +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Adamax +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Nadam +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:Optimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:RMSprop +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:SGD +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_config(self):$/;" m class:TFOptimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def get_config(self):$/;" m class:Adadelta +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def get_config(self):$/;" m class:Adagrad +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def get_config(self):$/;" m class:Adam +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def get_config(self):$/;" m class:NonFusedAdam +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def get_config(self):$/;" m class:Adamax +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def get_config(self):$/;" m class:Ftrl +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def get_config(self):$/;" m class:SGD +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:CosineDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:CosineDecayRestarts +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:ExponentialDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:InverseTimeDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:LearningRateSchedule +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:LinearCosineDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:NoisyLinearCosineDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:PiecewiseConstantDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def get_config(self):$/;" m class:PolynomialDecay +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def get_config(self):$/;" m class:Nadam +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_config(self):$/;" m class:OptimizerV2 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_config(self):$/;" m class:RestoredOptimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def get_config(self):$/;" m class:RMSprop +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^ def get_config(self):$/;" m class:LinearModel +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def get_config(self):$/;" m class:WideDeepModel +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def get_config(self):$/;" m class:L1 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def get_config(self):$/;" m class:L1L2 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def get_config(self):$/;" m class:L2 +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def get_config(self):$/;" m class:Regularizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^def get_config(obj):$/;" f +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def get_config(self):$/;" m class:RevivedInputLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def get_config(self):$/;" m class:RevivedLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def get_config(self):$/;" m class:MySubclassModel +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Constant +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:ConvolutionDeltaOrthogonal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:ConvolutionOrthogonal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:GlorotNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:GlorotUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Identity +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Initializer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Ones +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Orthogonal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:RandomNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:RandomUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:TruncatedNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:UniformUnitScaling +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:VarianceScaling +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def get_config(self):$/;" m class:Zeros +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:Constant +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:GlorotNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:GlorotUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:Identity +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:Initializer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:Orthogonal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:RandomNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:RandomUniform +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:TruncatedNormal +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def get_config(self):$/;" m class:VarianceScaling +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def get_config(self):$/;" m class:DynamicLossScale +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def get_config(self):$/;" m class:FixedLossScale +get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def get_config(self):$/;" m class:LossScale +get_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def get_config(self):$/;" m class:mock_optimizer._Optimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def get_config(self):$/;" m class:_LinearModelLayer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def get_config(self):$/;" m class:mock_optimizer._Optimizer +get_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def get_config(self):$/;" m class:RNNModel +get_configdir adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def get_configdir():$/;" f +get_configs_from_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def get_configs_from_feature_columns(feature_columns):$/;" f +get_configuration_files adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^def get_configuration_files():$/;" f +get_config_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_config_cmd(self):$/;" m class:Configuration +get_config_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_config_exe(self):$/;" m class:_pkg_config_info +get_config_from_root versioneer.py /^def get_config_from_root(root):$/;" f +get_config_h_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_config_h_filename():$/;" f +get_config_h_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_config_h_filename():$/;" f +get_config_output adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_config_output(self, config_exe, option):$/;" m class:_pkg_config_info +get_config_path adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^def get_config_path():$/;" f +get_config_var adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_config_var(name):$/;" f +get_config_var adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_config_var(name):$/;" f +get_config_vars adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_config_vars(*args):$/;" f +get_config_vars adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_config_vars(*args):$/;" f +get_connection adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def get_connection(self, url, proxies=None):$/;" m class:HTTPAdapter +get_connection adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def get_connection(self, url, proxies=None):$/;" m class:HTTPAdapter +get_connectionstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_connectionstyle(self):$/;" m class:FancyArrowPatch +get_connect_duration adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def get_connect_duration(self):$/;" m class:Timeout +get_connect_duration adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def get_connect_duration(self):$/;" m class:Timeout +get_console_script_specs adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def get_console_script_specs(console):$/;" f +get_constant adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_constant(x):$/;" f +get_constrained_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_constrained_layout(self):$/;" m class:Figure +get_constrained_layout_pads adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_constrained_layout_pads(self, relative=False):$/;" m class:Figure +get_consuming_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def get_consuming_ops(ts):$/;" f +get_contact adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_contact(self):$/;" m class:DistributionMetadata +get_contact_email adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_contact_email(self):$/;" m class:DistributionMetadata +get_contains adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_contains(self):$/;" m class:Artist +get_content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_content_length(environ):$/;" f +get_content_type adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def get_content_type(mimetype, charset):$/;" f +get_context_lines adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def get_context_lines(self, context=5):$/;" m class:Frame +get_controller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_controller(self, default):$/;" m class:_DefaultGraphStack +get_controller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_controller(self, default):$/;" m class:_DefaultStack +get_control_and_context adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def get_control_and_context(self, control_output_blob):$/;" m class:CollectivesConcurrencyControl +get_control_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^ def get_control_outputs(self, op):$/;" m class:_ControlOutputCache +get_converter adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def get_converter(self, x):$/;" m class:Registry +get_converter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_converter(self, variable_name, converter_name, args, kwargs):$/;" m class:Rule +get_cookie_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def get_cookie_header(jar, request):$/;" f +get_cookie_header adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def get_cookie_header(jar, request):$/;" f +get_copy_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_copy_pool():$/;" f +get_correctness_test_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def get_correctness_test_inputs(use_numpy, use_validation_data,$/;" f +get_cos_sin adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def get_cos_sin(x0, y0, x1, y1):$/;" f +get_count adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def get_count(self):$/;" m class:ClusterNode +get_cpp_triangulation adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def get_cpp_triangulation(self):$/;" m class:Triangulation +get_cpuinfo_item adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def get_cpuinfo_item(self, magic_key):$/;" m class:AbstractTest +get_cpu_blob_name adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def get_cpu_blob_name(self, base_str, node_name=""):$/;" m class:Optimizer +get_cpu_children adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def get_cpu_children(event):$/;" f member:RpcTest.test_rpc_profiling_remote_record_function file: +get_cpu_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def get_cpu_type(type_name):$/;" f +get_cross_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def get_cross_replica_context():$/;" f +get_csv_rows_for_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def get_csv_rows_for_installed($/;" f +get_cuda_memory_usage adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def get_cuda_memory_usage():$/;" m class:CudaMemoryLeakCheck +get_cudnn_mode adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^def get_cudnn_mode(mode):$/;" f +get_cudnn_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_cudnn_version(run_lambda):$/;" f +get_current_branch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_current_branch(cls, location):$/;" m class:Git +get_current_charlen adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def get_current_charlen(self):$/;" m class:CodingStateMachine +get_current_charlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def get_current_charlen(self):$/;" m class:CodingStateMachine +get_current_fig_manager adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def get_current_fig_manager():$/;" f +get_current_replica_id_as_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def get_current_replica_id_as_int():$/;" f +get_current_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/arg_scope.py /^def get_current_scope():$/;" f +get_current_step adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def get_current_step(self):$/;" m class:Seq2SeqModelCaffe2 +get_current_traceback adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^def get_current_traceback($/;" f +get_current_url adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_current_url($/;" f +get_current_version adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^def get_current_version():$/;" f +get_current_worker_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator_context.py /^def get_current_worker_context():$/;" f +get_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_cursor_data(self, event):$/;" m class:Artist +get_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_cursor_data(self, event):$/;" m class:AxesImage +get_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_cursor_data(self, event):$/;" m class:PcolorImage +get_custom_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def get_custom_objects():$/;" f +get_custom_objects_by_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def get_custom_objects_by_name(item, custom_objects=None):$/;" f +get_custom_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_custom_preamble(self):$/;" m class:TexManager +get_cxx_std_flag adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def get_cxx_std_flag(compiler):$/;" f +get_c_std_flag adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def get_c_std_flag(compiler):$/;" f +get_d2Sidksij2 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_d2Sidksij2(self, alpha, ecc):$/;" m class:_ReducedHCT_Element +get_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_dashes(self):$/;" m class:GraphicsContextBase +get_dash_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_dash_capstyle(self):$/;" m class:Line2D +get_dash_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_dash_joinstyle(self):$/;" m class:Line2D +get_data adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_data(self, orig=True):$/;" m class:Line2D +get_data adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_data(self):$/;" m class:OffsetImage +get_data adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ get_data = data.fget$/;" v class:_ctypes +get_data adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^get_data = getdata$/;" v +get_data adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def get_data(self, x_stride=1, y_stride=1):$/;" m class:BaseGemv +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_data(self):$/;" m class:TestDistributionStrategyCorrectnessBase +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_data(self,$/;" m class:TestDistributionStrategyEmbeddingModelCorrectnessBase +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_data(self):$/;" m class:TestDistributionStrategyDnnCorrectness +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def get_data(self,$/;" m class:DistributionStrategySiameseEmbeddingModelCorrectnessTest +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def get_data(self):$/;" m class:DistributionStrategyCnnCorrectnessTest +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_collection_base.py /^ def get_data(self):$/;" m class:ModelAndInput +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_data(self):$/;" m class:SimpleFunctionalModel +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_data(self):$/;" m class:SimpleSequentialModel +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_data(self):$/;" m class:SimpleSubclassModel +get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_data(self):$/;" m class:SimpleTFModuleModel +get_data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def get_data(self, cache=True, as_text=False, parse_form_data=False):$/;" m class:BaseRequest +get_data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def get_data(self, as_text=False):$/;" m class:BaseResponse +get_data adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def get_data(self):$/;" m class:BaseConstructor +get_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_datalim(self, transData):$/;" m class:Collection +get_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_datalim(self, transData):$/;" m class:QuadMesh +get_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def get_datalim(self, transData):$/;" m class:Quiver +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def get_dataset(distribution):$/;" f +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:CompositeTensorDataAdapter +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:DataAdapter +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:DatasetAdapter +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:GeneratorDataAdapter +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:ListsOfScalarsDataAdapter +get_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_dataset(self):$/;" m class:TensorLikeDataAdapter +get_dataset_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_dataset_graph_def(dataset):$/;" f +get_data_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_data_3d(self):$/;" m class:Line3D +get_data_boundary adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def get_data_boundary(self, side):$/;" m class:GridHelperCurveLinear +get_data_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_data_files(data):$/;" f +get_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def get_data_files(self):$/;" m class:build_py +get_data_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def get_data_files(self):$/;" m class:build_py +get_data_files_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^def get_data_files_path():$/;" f +get_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_data_interval(self):$/;" m class:Axis +get_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_data_interval, set_data_interval = _make_getset_interval($/;" v class:XAxis +get_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_data_interval, set_data_interval = _make_getset_interval($/;" v class:YAxis +get_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_data_interval(self):$/;" m class:_AxisWrapper +get_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_data_interval(self):$/;" m class:_DummyAxis +get_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:XAxis +get_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:YAxis +get_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:ZAxis +get_data_path adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def get_data_path(*, _from_rc=None):$/;" f +get_data_ratio adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_data_ratio(self):$/;" m class:_AxesBase +get_data_ratio adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_data_ratio(self):$/;" m class:GeoAxes +get_data_ratio adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_data_ratio(self):$/;" m class:PolarAxes +get_data_ratio_log adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_data_ratio_log(self):$/;" m class:_AxesBase +get_data_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def get_data_size(data):$/;" f +get_data_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_data_transform(self):$/;" m class:Patch +get_data_with_partial_last_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_data_with_partial_last_batch(self):$/;" m class:TestDistributionStrategyCorrectnessBase +get_data_with_partial_last_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_data_with_partial_last_batch(self):$/;" m class:TestDistributionStrategyDnnCorrectness +get_data_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_data_with_partial_last_batch_eval(self):$/;" m class:TestDistributionStrategyCorrectnessBase +get_data_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_data_with_partial_last_batch_eval(self):$/;" m class:TestDistributionStrategyDnnCorrectness +get_data_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def get_data_with_partial_last_batch_eval(self):$/;" m class:DistributionStrategyCnnCorrectnessTest +get_datetime64_unit adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline NPY_DATETIMEUNIT get_datetime64_unit(object obj) nogil:$/;" f +get_datetime64_value adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline npy_datetime get_datetime64_value(object obj) nogil:$/;" f +get_db_type adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def get_db_type(self):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoder +get_db_type adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def get_db_type(self):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoderBase +get_debug adpepsenv/lib/python3.8/site-packages/oauthlib/__init__.py /^def get_debug():$/;" f +get_debug_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_debug_dir(export_dir):$/;" f +get_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def get_debug_info(nodes_to_debug_info_func, converted_graph):$/;" f +get_debug_state adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def get_debug_state(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +get_declaration adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def get_declaration(ufunc, c_name, c_proto, cy_proto, header, proto_h_filename):$/;" f +get_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_default(self):$/;" m class:_DefaultGraphStack +get_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_default(self):$/;" m class:_DefaultStack +get_defaults adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def get_defaults(f):$/;" f +get_default_args adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def get_default_args(fn):$/;" f +get_default_args_for_class adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def get_default_args_for_class(cls):$/;" f +get_default_assets_zip_provider adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^def get_default_assets_zip_provider():$/;" f +get_default_bbox_extra_artists adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_default_bbox_extra_artists(self):$/;" m class:_AxesBase +get_default_bbox_extra_artists adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_default_bbox_extra_artists(self):$/;" m class:Figure +get_default_build_root adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def get_default_build_root() -> str:$/;" f +get_default_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_default_cache():$/;" f +get_default_cache adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_default_cache():$/;" f +get_default_communication_protocol adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/remote_utils.py /^def get_default_communication_protocol():$/;" f +get_default_compiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^def get_default_compiler(osname=None, platform=None):$/;" f +get_default_fcompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def get_default_fcompiler(osname=None, platform=None, requiref90=False,$/;" f +get_default_filename adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_default_filename(self):$/;" m class:FigureCanvasBase +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def get_default_filetype(self):$/;" m class:FigureCanvasPdf +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_default_filetype(self):$/;" m class:FigureCanvasPgf +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def get_default_filetype(self):$/;" m class:FigureCanvasPS +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def get_default_filetype(self):$/;" m class:FigureCanvasSVG +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def get_default_filetype(self):$/;" m class:FigureCanvasTemplate +get_default_filetype adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_default_filetype(cls):$/;" m class:FigureCanvasBase +get_default_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_default_graph():$/;" f +get_default_graph_uid_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_default_graph_uid_map():$/;" f +get_default_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_default_handler_map(cls):$/;" m class:Legend +get_default_qat_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^def get_default_qat_qconfig(backend='fbgemm'):$/;" f +get_default_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^def get_default_qconfig(backend='fbgemm'):$/;" f +get_default_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_default_realms(self, client_key, request):$/;" m class:RequestValidator +get_default_redirect adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_default_redirect(self, rule, method, values, query_args):$/;" m class:MapAdapter +get_default_redirect_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def get_default_redirect_uri(self, client_id, request, *args, **kwargs):$/;" m class:RequestValidator +get_default_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def get_default_scopes(self, client_id, request, *args, **kwargs):$/;" m class:RequestValidator +get_default_session adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def get_default_session(self, options):$/;" m class:SessionCommandMixin +get_default_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_default_session():$/;" f +get_default_session_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_default_session_config():$/;" f +get_default_session_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def get_default_session_config():$/;" f +get_default_size adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_default_size():$/;" m class:FontManager +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_AdagradHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_AdamHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_FtrlHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_MomentumHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_OptimizerHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_ProximalAdagradHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_ProximalYogiHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_RMSPropHandler +get_default_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_default_slot_variable_names(self, table):$/;" m class:_StochasticGradientDescentHandler +get_default_test_flags adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def get_default_test_flags():$/;" f +get_default_test_srcdir adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def get_default_test_srcdir():$/;" f +get_default_test_tmpdir adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def get_default_test_tmpdir():$/;" f +get_default_values adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def get_default_values(self):$/;" m class:ConfigOptionParser +get_default_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_default_weight(self):$/;" m class:FontManager +get_default_xml_output_filename adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def get_default_xml_output_filename():$/;" f +get_definition_directive adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def get_definition_directive(self, node, directive, arg, default):$/;" m class:Base +get_delete adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def get_delete(self, kind):$/;" m class:TempDirectoryTypeRegistry +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:BucketizedColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:DenseColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:EmbeddingColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:IndicatorColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:NumericColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:SharedEmbeddingColumn +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_dense_tensor(self, transformation_cache, state_manager):$/;" m class:_TPUEmbeddingColumnV2 +get_dense_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^ def get_dense_weights(input_dim, output_dim):$/;" f function:main file: +get_dependencies adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_dependencies(sources):$/;" f +get_dependencies adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^ def get_dependencies(self, lfile):$/;" m class:FindDependenciesLdd +get_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def get_dependencies(self, candidate):$/;" m class:PipProvider +get_dependencies adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def get_dependencies(self, candidate):$/;" m class:AbstractProvider +get_dependencies adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^ def get_dependencies(self, file):$/;" m class:FindDependenciesLdd +get_dependent_dists adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^def get_dependent_dists(dists, dist):$/;" f +get_depth adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_depth(self, texstr, dpi=120, fontsize=14):$/;" m class:MathTextParser +get_description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_description(self):$/;" m class:DistributionMetadata +get_description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_description(self, environ=None):$/;" m class:HTTPException +get_destination_modules adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def get_destination_modules(self):$/;" m class:_ModuleInitCodeBuilder +get_devices_from adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def get_devices_from(destinations):$/;" f +get_device_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def get_device_backend(device=None):$/;" f +get_device_capability adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def get_device_capability(device: Optional[_device_t] = None) -> Tuple[int, int]:$/;" f +get_device_details adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_device_details(self, device): # pylint: disable=redefined-outer-name$/;" m class:Context +get_device_details adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_device_details(device):$/;" f +get_device_info adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def get_device_info():$/;" f function:_cpp_jit file: +get_device_name adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def get_device_name(device: Optional[_device_t] = None) -> str:$/;" f +get_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^def get_device_option(device):$/;" f +get_device_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_device_policy():$/;" f +get_device_properties adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def get_device_properties(device: _device_t) -> _CudaDeviceProperties:$/;" f +get_device_states adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def get_device_states(*args) -> Tuple[List[int], List[torch.Tensor]]:$/;" f +get_dialog adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get_dialog(self):$/;" m class:FormWidget +get_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_dict(self, domain=None, path=None):$/;" m class:RequestsCookieJar +get_dict adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_dict(self, domain=None, path=None):$/;" m class:RequestsCookieJar +get_dict_to_print adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def get_dict_to_print(field_to_obs):$/;" f +get_diff_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def get_diff_image(self):$/;" m class:FigureCanvasWebAggCore +get_dim_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def get_dim_size(dim):$/;" f function:pinv file: +get_dir adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def get_dir():$/;" f +get_directory_loader adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def get_directory_loader(self, directory):$/;" m class:SharedDataMiddleware +get_dir_vector adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def get_dir_vector(zdir):$/;" f +get_disabled_rewriter_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def get_disabled_rewriter_config():$/;" f +get_discounts adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^ def get_discounts(v):$/;" f member:TestListwiseL2rOps.ref_lambda_rank_loss file: +get_display_profile adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def get_display_profile(handle=None):$/;" f +get_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def get_dist(self):$/;" m class:InstallRequirement +get_distinfo_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_distinfo_file(self, path):$/;" m class:InstalledDistribution +get_distinfo_resource adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_distinfo_resource(self, path):$/;" m class:InstalledDistribution +get_distributed_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def get_distributed_dataset(dataset,$/;" f +get_distributed_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def get_distributed_datasets_from_function(dataset_fn,$/;" f +get_distributed_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def get_distributed_function(model, mode):$/;" f +get_distributed_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^ def get_distributed_inputs():$/;" f function:_prepare_feed_values file: +get_distributed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def get_distributed_model(model, mode):$/;" f +get_distribution adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def get_distribution(always=False):$/;" f +get_distribution adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_distribution(self):$/;" m class:Configuration +get_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def get_distribution(req_name):$/;" f +get_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_distribution(self, name):$/;" m class:DistributionPath +get_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_distribution(dist):$/;" f +get_distribution adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_distribution(dist):$/;" f +get_distributions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_distributions(self):$/;" m class:DistributionPath +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:AggregatingLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:DirectoryLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:JSONLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:Locator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:PyPIJSONLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:PyPIRPCLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_distribution_names(self):$/;" m class:SimpleScrapingLocator +get_distribution_names adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def get_distribution_names(namespace_pairs, rv_base_class):$/;" f +get_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^get_distribution_strategy = get_strategy$/;" v +get_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^def get_distribution_strategy(distribution_strategy="mirrored",$/;" f +get_dist_info adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def get_dist_info(self, zf):$/;" m class:Wheel +get_dist_to_uninstall adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def get_dist_to_uninstall(self, candidate):$/;" m class:Factory +get_divider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_divider(self):$/;" m class:Grid +get_dms adpeps/ipeps/evaluation.py /^def get_dms(ts, only_gs=False):$/;" f +get_doc adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def get_doc(fun): return getattr(fun, "__doc__", "")$/;" f +get_docstring adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def get_docstring(node, clean=True):$/;" f +get_doc_sources adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/doc_srcs.py /^def get_doc_sources(api_name):$/;" f +get_dof_vec adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_dof_vec(tri_z, tri_dz, J):$/;" m class:_DOF_estimator +get_download_url adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_download_url(self):$/;" m class:DistributionMetadata +get_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_dpi(self):$/;" m class:Figure +get_dpi_cor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_dpi_cor(self):$/;" m class:FancyArrowPatch +get_draggable adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_draggable(self):$/;" m class:Legend +get_drawstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_drawstyle(self):$/;" m class:Line2D +get_dropout_mask_for_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_dropout_mask_for_cell(self, inputs, training, count=1):$/;" m class:DropoutRNNCellMixin +get_dump_sizes_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def get_dump_sizes_bytes(self,$/;" m class:DebugDumpDir +get_dynamic_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^def get_dynamic_plugins():$/;" f +get_dynamic_quant_module_mappings adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_dynamic_quant_module_mappings():$/;" f +get_dynamic_quant_patterns adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def get_dynamic_quant_patterns():$/;" f +get_eager_safe_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def get_eager_safe_handle_data(handle):$/;" f +get_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_edgecolor(self):$/;" m class:Collection +get_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_edgecolor(self):$/;" m class:Figure +get_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_edgecolor(self):$/;" m class:Patch +get_edgecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_edgecolor(self):$/;" m class:Poly3DCollection +get_effective_source_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def get_effective_source_map(self):$/;" m class:StackTraceMapper +get_effective_source_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def get_effective_source_map(self):$/;" m class:StackTraceMapper +get_egg_cache_dir adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def get_egg_cache_dir(self):$/;" m class:Distribution +get_element adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def get_element(self):$/;" m class:HTMLExtractorExtra +get_elements adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def get_elements(n):$/;" f +get_element_from_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_element_from_tensor_info(tensor_info, graph=None, import_scope=None):$/;" f +get_eligible_features adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_eligible_features(examples, num_mutants):$/;" f +get_embedding_info adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_embedding.py /^def get_embedding_info(metadata, label_img, filesys, subdir, global_step, tag):$/;" f +get_embedding_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_table_size(self):$/;" m class:_TPUBaseEmbeddingColumn +get_embedding_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_table_size(self):$/;" m class:_TPUEmbeddingColumn +get_embedding_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_table_size(self):$/;" m class:_TPUSharedEmbeddingColumn +get_embedding_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_embedding_table_size(self):$/;" m class:_TPUEmbeddingColumnV2 +get_embedding_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_embedding_table_size(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_var_name(self):$/;" m class:_TPUBaseEmbeddingColumn +get_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_var_name(self):$/;" m class:_TPUEmbeddingColumn +get_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_embedding_var_name(self):$/;" m class:_TPUSharedEmbeddingColumn +get_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_embedding_var_name(self):$/;" m class:_TPUEmbeddingColumnV2 +get_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_embedding_var_name(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_empty_inline_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def get_empty_inline_table(self):$/;" m class:TomlDecoder +get_empty_kwargs adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_empty_kwargs(self):$/;" m class:Rule +get_empty_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def get_empty_table(self):$/;" m class:TomlDecoder +get_empty_table adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def get_empty_table(self):$/;" m class:TomlEncoder +get_enabled adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^ def get_enabled(self):$/;" m class:Warning +get_encodings_from_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_encodings_from_content(content):$/;" f +get_encodings_from_content adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_encodings_from_content(content):$/;" f +get_encoding_from_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_encoding_from_headers(headers):$/;" f +get_encoding_from_headers adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_encoding_from_headers(headers):$/;" f +get_endtag_text adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def get_endtag_text(self, tag):$/;" m class:HTMLExtractor +get_end_vertices adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def get_end_vertices(self):$/;" m class:CbarAxesLocator +get_enqueue_datas_list_from_ragged_tensors_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def get_enqueue_datas_list_from_ragged_tensors_list(rg_tensors_list):$/;" f +get_enqueue_datas_list_from_sparse_tensors_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def get_enqueue_datas_list_from_sparse_tensors_list(sp_tensors_list):$/;" f +get_entrypoints adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def get_entrypoints(distribution):$/;" f +get_entry_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_entry_info(self, group, name):$/;" m class:Distribution +get_entry_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_entry_info(dist, group, name):$/;" f +get_entry_info adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_entry_info(self, group, name):$/;" m class:Distribution +get_entry_info adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_entry_info(dist, group, name):$/;" f +get_entry_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_entry_map(self, group=None):$/;" m class:Distribution +get_entry_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_entry_map(dist, group=None):$/;" f +get_entry_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_entry_map(self, group=None):$/;" m class:Distribution +get_entry_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_entry_map(dist, group=None):$/;" f +get_enum adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .h5t import py_get_enum as get_enum$/;" x +get_enum adpepsenv/lib/python3.8/site-packages/torch/nn/_reduction.py /^def get_enum(reduction):$/;" f +get_enum_value_type adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def get_enum_value_type(e: Type[enum.Enum], loc):$/;" f +get_environ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def get_environ(self):$/;" m class:EnvironBuilder +get_environ_proxies adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_environ_proxies(url, no_proxy=None):$/;" f +get_environ_proxies adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_environ_proxies(url, no_proxy=None):$/;" f +get_environ_vars adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def get_environ_vars(self):$/;" m class:Configuration +get_env_info adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_env_info():$/;" f +get_ephem_path_for_link adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_ephem_path_for_link(self, link):$/;" m class:WheelCache +get_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def get_epoch():$/;" f +get_errors adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_errors(self):$/;" m class:Locator +get_error_intro adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def get_error_intro(tf_error):$/;" f +get_err_size adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_err_size(self, legend, xdescent, ydescent,$/;" m class:HandlerErrorbar +get_etag adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def get_etag(self):$/;" m class:ETagResponseMixin +get_eval_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def get_eval_net(self):$/;" m class:LayersTestCase +get_event adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def get_event(partial_key):$/;" f member:DistAutogradTest.test_dist_autograd_profiling file: +get_event adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def get_event(self):$/;" m class:Parser +get_events_from_profile adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def get_events_from_profile(profile_rref):$/;" f +get_example_features adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_example_features(example):$/;" f +get_exci_base_file adpeps/utils/io.py /^def get_exci_base_file():$/;" f +get_exci_file adpeps/utils/io.py /^def get_exci_file(momentum_ix):$/;" f +get_exci_folder adpeps/utils/io.py /^def get_exci_folder():$/;" f +get_exclusions adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def get_exclusions(self):$/;" m class:install_lib +get_executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_executable():$/;" f +get_executable_path adpepsenv/lib/python3.8/site-packages/absl/testing/_bazelize_command.py /^def get_executable_path(py_binary_name):$/;" f +get_execution_plan adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def get_execution_plan(graph_executor_state):$/;" f +get_exe_bytes adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def get_exe_bytes(self):$/;" m class:bdist_wininst +get_exe_prefixes adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def get_exe_prefixes(exe_filename):$/;" f +get_expected_hidden_size adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def get_expected_hidden_size(self, input, batch_sizes):$/;" m class:QuantizedRNNBase +get_expected_hidden_size adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def get_expected_hidden_size(self, input: Tensor, batch_sizes: Optional[Tensor]) -> Tuple[in/;" m class:RNNBase +get_expected_hidden_size adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def get_expected_hidden_size(self, input: Tensor, batch_sizes: Optional[Tensor]) -> Tuple[in/;" m class:RNNBase +get_expected_metric_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_expected_metric_variable_names(var_names, name_suffix=''):$/;" f +get_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_experimental_options as get_experim/;" x +get_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_experimental_options as get_experim/;" x +get_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_experimental_options as get_experim/;" x +get_experiment_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def get_experiment_route(self, request):$/;" m class:HParamsPlugin +get_exported_entries adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_exported_entries(self, category, name=None):$/;" m class:DistributionPath +get_export_entry adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_export_entry(specification):$/;" f +get_export_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def get_export_outputs(export_outputs, predictions):$/;" f +get_export_symbols adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def get_export_symbols(self, ext):$/;" m class:build_ext +get_export_symbols adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_export_symbols(self, ext):$/;" m class:build_ext +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_extent(self):$/;" m class:AxesImage +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_extent(self):$/;" m class:FigureImage +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_extent(self):$/;" m class:NonUniformImage +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:AnchoredOffsetbox +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:AuxTransformBox +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:DrawingArea +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:OffsetBox +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:OffsetImage +get_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent(self, renderer):$/;" m class:TextArea +get_extent adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_extent(self, renderer):$/;" m class:AnchoredSizeLocator +get_extent adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_extent(self, renderer):$/;" m class:AnchoredZoomLocator +get_extents adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_extents(self):$/;" m class:Patch +get_extents adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def get_extents(self, transform=None, **kwargs):$/;" m class:Path +get_extent_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent_offsets(self, renderer):$/;" m class:HPacker +get_extent_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent_offsets(self, renderer):$/;" m class:OffsetBox +get_extent_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent_offsets(self, renderer):$/;" m class:PaddedBox +get_extent_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_extent_offsets(self, renderer):$/;" m class:VPacker +get_external_blob_names adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_util.py /^def get_external_blob_names(net, lexical_scope):$/;" f +get_extras adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_extras(requested, available):$/;" f +get_extra_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def get_extra_args():$/;" f +get_extra_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def get_extra_inputs():$/;" f +get_extra_locals adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def get_extra_locals(self):$/;" m class:TestingTranspiler +get_extra_locals adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def get_extra_locals(self):$/;" m class:PyToTF +get_extra_locals adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def get_extra_locals(self):$/;" m class:PyToPy +get_extra_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def get_extra_vars():$/;" f +get_ext_filename adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def get_ext_filename(self, fullname):$/;" m class:build_ext +get_ext_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_ext_filename(self, ext_name):$/;" m class:build_ext +get_ext_filename adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def get_ext_filename(self, ext_name):$/;" m class:BuildExtension +get_ext_fullname adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_ext_fullname(self, ext_name):$/;" m class:build_ext +get_ext_fullpath adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_ext_fullpath(self, ext_name):$/;" m class:build_ext +get_ext_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def get_ext_outputs(self):$/;" m class:bdist_egg +get_ext_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_ext_source_files(ext):$/;" f +get_f2py_int64_options adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def get_f2py_int64_options():$/;" f +get_f2py_modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^def get_f2py_modulename(source):$/;" f +get_f77flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def get_f77flags(src):$/;" f +get_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_facecolor(self):$/;" m class:_AxesBase +get_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_facecolor(self):$/;" m class:Collection +get_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_facecolor(self):$/;" m class:Figure +get_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_facecolor(self):$/;" m class:Patch +get_facecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_facecolor(self):$/;" m class:Patch3D +get_facecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_facecolor(self):$/;" m class:Poly3DCollection +get_family adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_family(self):$/;" m class:FontProperties +get_familyname adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_familyname(self):$/;" m class:AFM +get_fcompiler_ilp64_flags adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def get_fcompiler_ilp64_flags():$/;" f +get_fcompiler_macro_include_flags adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def get_fcompiler_macro_include_flags(path):$/;" f +get_fc_predictor_version adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^def get_fc_predictor_version(fc_version):$/;" f +get_fc_predictor_version adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^def get_fc_predictor_version(fc_version):$/;" f +get_features_without_cache adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def get_features_without_cache():$/;" f function:_cache_transformed_features file: +get_features_with_cache adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def get_features_with_cache():$/;" f function:_cache_transformed_features file: +get_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_feature_key_name(self):$/;" m class:_TPUBaseEmbeddingColumn +get_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_feature_key_name(self):$/;" m class:_TPUEmbeddingColumn +get_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_feature_key_name(self):$/;" m class:_TPUSharedEmbeddingColumn +get_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_feature_key_name(self):$/;" m class:_TPUEmbeddingColumnV2 +get_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_feature_key_name(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_field adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def get_field(self):$/;" m class:_SchemaNode +get_fieldspec adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^get_fieldspec = np.lib.recfunctions._get_fieldspec$/;" v +get_fieldstructure adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def get_fieldstructure(adtype, lastname=None, parents=None,):$/;" f +get_field_to_observations_map adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def get_field_to_observations_map(generator, query_for_tag=""):$/;" f +get_figheight adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_figheight(self):$/;" m class:Figure +get_figlabels adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def get_figlabels():$/;" f +get_fignums adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def get_fignums():$/;" f +get_figure adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_figure(self):$/;" m class:Artist +get_figure_manager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_figure_manager(self):$/;" m class:FigureFrameWx +get_figwidth adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_figwidth(self):$/;" m class:Figure +get_fig_manager adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def get_fig_manager(cls, num):$/;" m class:Gcf +get_file adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_file(self):$/;" m class:FontProperties +get_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def get_file(fname,$/;" f +get_filesystem adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def get_filesystem(filename):$/;" f +get_filesystem_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/filesystem.py /^def get_filesystem_encoding():$/;" f +get_file_content adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def get_file_content(url, session, comes_from=None):$/;" f +get_file_hash adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def get_file_hash(path, block_size=2 ** 20):$/;" f +get_file_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def get_file_list(self):$/;" m class:sdist +get_file_loader adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def get_file_loader(self, filename):$/;" m class:SharedDataMiddleware +get_file_location adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def get_file_location(self, pathformat=None):$/;" m class:BaseURL +get_file_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_file_path(self, name, relative_path):$/;" m class:DistributionPath +get_file_path adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def get_file_path(*path_components):$/;" f +get_file_path_2 adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def get_file_path_2(*path_components):$/;" f +get_file_to_edit adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def get_file_to_edit(self):$/;" m class:Configuration +get_file_url adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def get_file_url($/;" f +get_fill adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_fill(self):$/;" m class:Collection +get_fill adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_fill(self):$/;" m class:Patch +get_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_fillstyle(self):$/;" m class:Line2D +get_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_fillstyle(self):$/;" m class:MarkerStyle +get_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ get_fill_value = fill_value.fget$/;" v class:MaskedArray +get_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def get_fill_value(a):$/;" f +get_filtered_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def get_filtered_filenames(self):$/;" m class:CurrentModuleFilter +get_filtered_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def get_filtered_filenames(self):$/;" m class:StackTraceFilter +get_filtered_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^def get_filtered_grad_fn(grad_fn):$/;" f +get_filternorm adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_filternorm(self):$/;" m class:_ImageBase +get_filterrad adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_filterrad(self):$/;" m class:_ImageBase +get_filters adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def get_filters(plist):$/;" f +get_finalized_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def get_finalized_command(self, command, create=1):$/;" m class:Command +get_first adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_first(prop_array):$/;" f member:HandlerPolyCollection._update_prop file: +get_flag adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def get_flag(var, fallback, expected=True, warn=True):$/;" f +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags(self):$/;" m class:AbsoftFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags(self):$/;" m class:CompaqFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags(self):$/;" m class:CompaqVisualFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ def get_flags(self):$/;" m class:G95FCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags(self):$/;" m class:Gnu95FCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ def get_flags(self):$/;" m class:HPUXFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ def get_flags(self):$/;" m class:IBMFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags(self):$/;" m class:IntelEM64TFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags(self):$/;" m class:IntelFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags(self):$/;" m class:IntelVisualFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ def get_flags(self):$/;" m class:MIPSFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ def get_flags(self):$/;" m class:NVHPCFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags(self):$/;" m class:PGroupFCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags(self):$/;" m class:PGroupFlangCompiler +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags(tag, flags):$/;" f member:FCompiler.customize file: +get_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags(self):$/;" m class:FCompiler +get_flags_ar adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_ar(self):$/;" m class:FCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_arch(self):$/;" m class:CompaqFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_arch(self):$/;" m class:CompaqVisualFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags_arch(self):$/;" m class:GnuFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_arch(self):$/;" m class:IntelEM64TFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_arch(self):$/;" m class:IntelEM64VisualFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_arch(self):$/;" m class:IntelFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_arch(self):$/;" m class:IntelVisualFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ def get_flags_arch(self):$/;" m class:MIPSFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_arch(self):$/;" m class:BaseNAGFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_arch(self):$/;" m class:NAGFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_arch(self):$/;" m class:PGroupFlangCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ def get_flags_arch(self):$/;" m class:VastFCompiler +get_flags_arch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_arch(self):$/;" m class:FCompiler +get_flags_arch_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ def get_flags_arch_f77(self):$/;" m class:MIPSFCompiler +get_flags_arch_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ get_flags_arch_f77 = get_flags_arch_f90 = get_flags_arch$/;" v class:FCompiler +get_flags_arch_f90 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ def get_flags_arch_f90(self):$/;" m class:MIPSFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_debug(self):$/;" m class:CompaqFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_debug(self):$/;" m class:CompaqVisualFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ def get_flags_debug(self):$/;" m class:G95FCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags_debug(self):$/;" m class:GnuFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ def get_flags_debug(self):$/;" m class:IBMFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_debug(self):$/;" m class:IntelVisualFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ def get_flags_debug(self):$/;" m class:LaheyFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_debug(self):$/;" m class:NAGFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_debug(self):$/;" m class:NAGFORCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ def get_flags_debug(self):$/;" m class:NVHPCFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ def get_flags_debug(self):$/;" m class:PathScaleFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_debug(self):$/;" m class:PGroupFCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_debug(self):$/;" m class:PGroupFlangCompiler +get_flags_debug adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_debug(self):$/;" m class:FCompiler +get_flags_debug_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ get_flags_debug_f77 = get_flags_debug_f90 = get_flags_debug$/;" v class:FCompiler +get_flags_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags_f77(self):$/;" m class:AbsoftFCompiler +get_flags_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ def get_flags_f77(self):$/;" m class:SunFCompiler +get_flags_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_f77(self):$/;" m class:FCompiler +get_flags_f90 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags_f90(self):$/;" m class:AbsoftFCompiler +get_flags_f90 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_f90(self):$/;" m class:FCompiler +get_flags_fix adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags_fix(self):$/;" m class:AbsoftFCompiler +get_flags_fix adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_fix(self):$/;" m class:FCompiler +get_flags_for_module adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def get_flags_for_module(self, module):$/;" m class:FlagValues +get_flags_free adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_free(self):$/;" m class:IntelFCompiler +get_flags_free adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_free(self):$/;" m class:IntelVisualFCompiler +get_flags_free adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_free(self):$/;" m class:PGroupFlangCompiler +get_flags_free adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_free(self):$/;" m class:FCompiler +get_flags_linker_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_linker_exe(self):$/;" m class:FCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags_linker_so(self):$/;" m class:AbsoftFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_linker_so(self):$/;" m class:CompaqFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags_linker_so(self):$/;" m class:Gnu95FCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags_linker_so(self):$/;" m class:GnuFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ def get_flags_linker_so(self):$/;" m class:IBMFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_linker_so(self):$/;" m class:IntelFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_linker_so(self):$/;" m class:BaseNAGFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_linker_so(self):$/;" m class:NAGFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ def get_flags_linker_so(self):$/;" m class:NVHPCFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_linker_so(self):$/;" m class:PGroupFCompiler +get_flags_linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_linker_so(self):$/;" m class:FCompiler +get_flags_names adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def get_flags_names(self):$/;" m class:MultiFlagsValidator +get_flags_names adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def get_flags_names(self):$/;" m class:SingleFlagValidator +get_flags_names adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def get_flags_names(self):$/;" m class:Validator +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_flags_opt(self):$/;" m class:AbsoftFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_opt(self):$/;" m class:CompaqFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ def get_flags_opt(self):$/;" m class:CompaqVisualFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ def get_flags_opt(self):$/;" m class:G95FCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_flags_opt(self):$/;" m class:GnuFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ def get_flags_opt(self):$/;" m class:HPUXFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ def get_flags_opt(self):$/;" m class:IBMFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_opt(self): # Scipy test failures with -O2$/;" m class:IntelEM64TFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_opt(self): # Scipy test failures with -O2$/;" m class:IntelFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def get_flags_opt(self):$/;" m class:IntelVisualFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ def get_flags_opt(self):$/;" m class:LaheyFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ def get_flags_opt(self):$/;" m class:MIPSFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def get_flags_opt(self):$/;" m class:BaseNAGFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ def get_flags_opt(self):$/;" m class:NVHPCFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ def get_flags_opt(self):$/;" m class:PathScaleFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_opt(self):$/;" m class:PGroupFCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_flags_opt(self):$/;" m class:PGroupFlangCompiler +get_flags_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_flags_opt(self):$/;" m class:FCompiler +get_flags_opt_f77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ get_flags_opt_f77 = get_flags_opt_f90 = get_flags_opt$/;" v class:FCompiler +get_flag_suggestions adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def get_flag_suggestions(attempt, longopt_list):$/;" f +get_flag_value adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def get_flag_value(self, name, default): # pylint: disable=invalid-name$/;" m class:FlagValues +get_flag_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def get_flag_value(self, wanted_flag_name):$/;" m class:TTParameters +get_flattened_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/common.py /^def get_flattened_names(feeds_or_fetches):$/;" f +get_flattened_qconfig_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def get_flattened_qconfig_dict(qconfig_dict):$/;" f +get_flat_tensor_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def get_flat_tensor_shapes(element_spec):$/;" f +get_flat_tensor_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def get_flat_tensor_specs(element_spec):$/;" f +get_flat_tensor_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def get_flat_tensor_types(element_spec):$/;" f +get_flat_tri_mask adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def get_flat_tri_mask(self, min_circle_ratio=0.01, rescale=True):$/;" m class:TriAnalyzer +get_flinalg_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^def get_flinalg_funcs(names,arrays=(),debug=0):$/;" f +get_floating_dtype adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def get_floating_dtype(A):$/;" f +get_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def get_fn(option):$/;" f function:create_option file: +get_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def get_font(self):$/;" m class:FontLayout +get_font adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def get_font(filename, hinting_factor=None):$/;" f +get_fontconfig_fonts adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def get_fontconfig_fonts(fontext='ttf'):$/;" f +get_fontconfig_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_fontconfig_pattern(self):$/;" m class:FontProperties +get_fontext_synonyms adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def get_fontext_synonyms(fontext):$/;" f +get_fontfamily adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontfamily(self):$/;" m class:Text +get_fontname adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_fontname(self):$/;" m class:AFM +get_fontname adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontname(self):$/;" m class:Text +get_fontproperties adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontproperties(self):$/;" m class:Text +get_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_fontsize(self, s=None):$/;" m class:AnnotationBbox +get_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_fontsize(self):$/;" m class:Cell +get_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontsize(self):$/;" m class:Text +get_fontspec adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def get_fontspec():$/;" f +get_fontstyle adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontstyle(self):$/;" m class:Text +get_fontvariant adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontvariant(self):$/;" m class:Text +get_fontweight adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_fontweight(self):$/;" m class:Text +get_font_config adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_font_config(self):$/;" m class:TexManager +get_font_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_font_preamble(self):$/;" m class:TexManager +get_forced_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_forced_alpha(self):$/;" m class:GraphicsContextBase +get_format adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def get_format(self, image):$/;" m class:Viewer +get_formatted_file_tags adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^ def get_formatted_file_tags(self):$/;" m class:Wheel +get_formatted_locations adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ def get_formatted_locations(self):$/;" m class:SearchScope +get_formatted_values adpepsenv/lib/python3.8/site-packages/pasta/base/fstring_utils.py /^def get_formatted_values(joined_str):$/;" f +get_formatters adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def get_formatters(ax, names):$/;" f function:test_ticklabel_format file: +get_format_mimetype adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def get_format_mimetype(self):$/;" m class:ImageFile +get_forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def get_forward(c):$/;" f +get_forward_graph adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def get_forward_graph(c):$/;" f +get_fp16_compatible_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def get_fp16_compatible_parameters(self):$/;" m class:FC +get_fp16_compatible_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def get_fp16_compatible_parameters(self):$/;" m class:FCWithBootstrap +get_fp16_compatible_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_fp16_compatible_parameters(self):$/;" m class:ModelLayer +get_fp16_compatible_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def get_fp16_compatible_parameters(self):$/;" m class:SparseLookup +get_frame adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_frame(self):$/;" m class:Legend +get_frame adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_frame(level=0):$/;" f +get_frameon adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_frameon(self):$/;" m class:Figure +get_frame_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_frame_on(self):$/;" m class:_AxesBase +get_frame_on adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_frame_on(self):$/;" m class:Legend +get_frame_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_frame_on(self):$/;" m class:Axes3D +get_frame_vars adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def get_frame_vars(self, frames_up):$/;" m class:JitTestCase +get_from_args_and_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def get_from_args_and_kwargs(*args, **kwargs):$/;" m class:Triangulation +get_from_proto_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_from_proto_function(collection_name):$/;" f +get_frozen_object adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^ def get_frozen_object(module, paths):$/;" f +get_frozen_object adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^def get_frozen_object(module, paths=None):$/;" f +get_fullname adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_fullname(self):$/;" m class:AFM +get_fullname adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def get_fullname(self, filesafe=False):$/;" m class:LegacyMetadata +get_fullname adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_fullname(self):$/;" m class:DistributionMetadata +get_fully_transformed_path adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_fully_transformed_path(self):$/;" m class:TransformedPath +get_full_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_full_url(self):$/;" m class:MockRequest +get_full_url adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_full_url(self):$/;" m class:MockRequest +get_full_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def get_full_variable_names(graph,$/;" f +get_func adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def get_func(func, backend='numpy', default=None):$/;" f +get_functional_graph_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def get_functional_graph_model(input_dim, num_classes):$/;" m class:NestedTestModel2 +get_functional_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def get_functional_model():$/;" f function:nested_functional_in_subclassed_model file: +get_function_arglist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def get_function_arglist(func):$/;" f +get_function_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_closure adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_closure adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_closure adpepsenv/lib/python3.8/site-packages/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_closure adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_function_closure = operator.attrgetter(_func_closure)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_code adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_function_code = operator.attrgetter(_func_code)$/;" v +get_function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_function_def(self, name):$/;" m class:Context +get_function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def get_function_def(name):$/;" f +get_function_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_defaults adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_defaults adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_defaults adpepsenv/lib/python3.8/site-packages/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_defaults adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_function_defaults = operator.attrgetter(_func_defaults)$/;" v +get_function_derivatives adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_function_derivatives(self, alpha, J, ecc, dofs):$/;" m class:_ReducedHCT_Element +get_function_event adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def get_function_event(function_events, partial_event_name):$/;" f +get_function_from_type adpepsenv/lib/python3.8/site-packages/torch/_six.py /^def get_function_from_type(cls, name):$/;" f +get_function_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_globals adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_globals adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_globals adpepsenv/lib/python3.8/site-packages/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_globals adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_function_globals = operator.attrgetter(_func_globals)$/;" v +get_function_hessians adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_function_hessians(self, alpha, J, ecc, dofs):$/;" m class:_ReducedHCT_Element +get_function_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_function_qconfig($/;" f member:Quantizer._generate_qconfig_map file: +get_function_values adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_function_values(self, alpha, ecc, dofs):$/;" m class:_ReducedHCT_Element +get_func_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def get_func_code(func):$/;" f +get_func_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def get_func_graph(op, input_shapes, func_name):$/;" f +get_func_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def get_func_graphs(op):$/;" f +get_func_graph_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def get_func_graph_output(t):$/;" f function:_get_accumulator file: +get_func_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def get_func_name(func):$/;" f +get_fuser_method adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^def get_fuser_method(op_list):$/;" f +get_fusion_patterns adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def get_fusion_patterns():$/;" f +get_g77_abi_wrappers adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def get_g77_abi_wrappers(info):$/;" f +get_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_gc(self):$/;" m class:RendererWx +get_gcc_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_gcc_version(run_lambda):$/;" f +get_gencode_flags adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def get_gencode_flags() -> str:$/;" f +get_generating_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def get_generating_ops(ts):$/;" f +get_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def get_geometry(self):$/;" m class:SubplotBase +get_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_geometry(self):$/;" m class:GridSpecBase +get_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_geometry(self):$/;" m class:SubplotSpec +get_geometry adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_geometry(self):$/;" m class:SubplotDivider +get_geometry adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_geometry(self):$/;" m class:Grid +get_gid adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_gid(self):$/;" m class:Artist +get_gid adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_gid(self):$/;" m class:GraphicsContextBase +get_git_version adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_git_version(self):$/;" m class:Git +get_global_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def get_global_aval(local_aval, global_parts: PartitionsOrReplicated,$/;" f +get_global_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def get_global_generator():$/;" f +get_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def get_global_step(graph=None):$/;" f +get_glyphs_mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_glyphs_mathtext(self, prop, s, glyph_map=None,$/;" m class:TextToPath +get_glyphs_tex adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_glyphs_tex(self, prop, s, glyph_map=None,$/;" m class:TextToPath +get_glyphs_with_font adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_glyphs_with_font(self, font, s, glyph_map=None,$/;" m class:TextToPath +get_gpu_blob_name adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def get_gpu_blob_name(self, base_str, gpu_id, node_name):$/;" m class:Optimizer +get_gpu_info adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_gpu_info(run_lambda):$/;" f +get_gpu_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def get_gpu_type(type_name):$/;" f +get_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_gradients(self, loss, params):$/;" m class:LossScaleOptimizer +get_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_gradients(self, loss, params):$/;" m class:Optimizer +get_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_gradients(self, loss, params):$/;" m class:OptimizerV2 +get_gradients_through_compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_gradient.py /^def get_gradients_through_compute_gradients(optimizer, loss, activations):$/;" f +get_gradients_through_dummy_table_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_gradient.py /^def get_gradients_through_dummy_table_variables(tpu_embedding):$/;" f +get_gradient_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def get_gradient_function(self):$/;" m class:_DelayedRewriteGradientFunctions +get_gradient_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_gradient_function(op):$/;" f +get_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_grads(self, loss, params):$/;" m class:TFOptimizer +get_grad_multiplier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def get_grad_multiplier(self):$/;" m class:EmbeddingConfig +get_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_graph():$/;" f +get_graph_element_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/common.py /^def get_graph_element_name(elem):$/;" f +get_graph_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def get_graph_table_args(combined_tf_data_stats):$/;" f +get_grappler_config adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def get_grappler_config(optimizers_list):$/;" f +get_grey adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_grey(self, tex, fontsize=None, dpi=None):$/;" m class:TexManager +get_gridlines adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_gridlines(self):$/;" m class:Axis +get_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_gridlines(self, which, axis):$/;" m class:GridHelperBase +get_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_gridlines(self, which="major", axis="both"):$/;" m class:GridHelperRectlinear +get_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def get_gridlines(self, which="major", axis="both"):$/;" m class:GridHelperCurveLinear +get_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_gridlines(self, which="major", axis="both"):$/;" m class:GridHelperCurveLinear +get_gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def get_gridspec(self):$/;" m class:SubplotBase +get_gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_gridspec(self):$/;" m class:SubplotSpec +get_grid_helper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_grid_helper(self):$/;" m class:Axes +get_grid_info adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def get_grid_info(self, x1, y1, x2, y2):$/;" m class:GridFinder +get_grid_positions adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_grid_positions(self, fig, raw=False):$/;" m class:GridSpecBase +get_group_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def get_group_key(self, devices):$/;" m class:CollectiveKeys +get_growth_factor adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def get_growth_factor(self):$/;" m class:GradScaler +get_growth_interval adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def get_growth_interval(self):$/;" m class:GradScaler +get_gs_energy adpeps/ipeps/evaluation.py /^def get_gs_energy(H, tensors):$/;" f +get_gs_file adpeps/utils/io.py /^def get_gs_file():$/;" f +get_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def get_handle(x):$/;" f member:_WrapperFunction._call_flat file: +get_hash adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_hash(self, data, hasher=None):$/;" m class:BaseInstalledDistribution +get_hash adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def get_hash(self, data, hash_kind=None):$/;" m class:Wheel +get_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_hatch(self):$/;" m class:GraphicsContextBase +get_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_hatch(self):$/;" m class:Collection +get_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_hatch(self):$/;" m class:Patch +get_hatch_color adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_hatch_color(self):$/;" m class:GraphicsContextBase +get_hatch_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_hatch_linewidth(self):$/;" m class:GraphicsContextBase +get_hatch_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_hatch_path(self, density=6.0):$/;" m class:GraphicsContextBase +get_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_header(self, name, default=None):$/;" m class:MockRequest +get_header adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_header(self, name, default=None):$/;" m class:MockRequest +get_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_header(cls, script_text="", executable=None):$/;" m class:ScriptWriter +get_header adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^def get_header(graphs,$/;" f +get_headers adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_headers(self, environ=None):$/;" m class:HTTPException +get_headers adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_headers(self, environ=None):$/;" m class:MethodNotAllowed +get_headers adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_headers(self, environ=None):$/;" m class:RequestedRangeNotSatisfiable +get_headers adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_headers(self, environ=None):$/;" m class:Unauthorized +get_headers adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_headers(self, environ=None):$/;" m class:_RetryAfter +get_header_from_ops_and_kernels adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^def get_header_from_ops_and_kernels(ops_and_kernels,$/;" f +get_header_items adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def get_header_items(self):$/;" m class:WSGIRequestHandler +get_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_height(self):$/;" m class:Ellipse +get_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_height(self):$/;" m class:FancyBboxPatch +get_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_height(self):$/;" m class:Rectangle +get_height_char adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_height_char(self, c, isord=False):$/;" m class:AFM +get_height_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_height_ratios(self):$/;" m class:GridSpecBase +get_help adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def get_help(self, prefix='', include_special_flags=True):$/;" m class:FlagValues +get_help adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def get_help(self, handler_name):$/;" m class:DebugAnalyzer +get_help adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def get_help(self):$/;" m class:CursesUI +get_help adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def get_help(self, cmd_prefix=None):$/;" m class:CommandHandlerRegistry +get_help adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def get_help(self, handler_name):$/;" m class:ProfileAnalyzer +get_helper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_helper(self):$/;" m class:AxisArtist +get_help_width adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def get_help_width():$/;" f +get_help_width adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^get_help_width = _helpers.get_help_width$/;" v +get_hinting_flag adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^def get_hinting_flag():$/;" f +get_hinting_type adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_hinting_type(self):$/;" m class:MathtextBackend +get_hinting_type adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_hinting_type(self):$/;" m class:MathtextBackendAgg +get_hip_file_path adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def get_hip_file_path(filepath):$/;" f +get_home adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def get_home():$/;" f +get_hooks_from_the_first_device adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def get_hooks_from_the_first_device(per_device_hooks):$/;" f member:Estimator._actual_train_model_distributed file: +get_hooks_from_the_first_device adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def get_hooks_from_the_first_device(per_device_hooks):$/;" f member:Estimator._call_model_fn_eval_distributed file: +get_horizontal adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_horizontal(self):$/;" m class:Divider +get_horizontalalignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_horizontalalignment(self):$/;" m class:Text +get_horizontal_sizes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_horizontal_sizes(self, renderer):$/;" m class:Divider +get_horizontal_stem_width adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_horizontal_stem_width(self):$/;" m class:AFM +get_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_host(self):$/;" m class:MockRequest +get_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def get_host(url):$/;" f +get_host adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_host(self):$/;" m class:MockRequest +get_host adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def get_host(url):$/;" f +get_host adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_host(self, domain_part):$/;" m class:MapAdapter +get_host adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_host(environ, trusted_hosts=None):$/;" f +get_host_for_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^def get_host_for_device(device):$/;" f +get_host_platform adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def get_host_platform():$/;" f +get_Hrot_from_J adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_Hrot_from_J(self, J, return_area=False):$/;" m class:_ReducedHCT_Element +get_http_url adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def get_http_url($/;" f +get_icon adpeps/tensor/ncon.py /^def get_icon(v, tcon):$/;" f +get_id adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def get_id(self, name):$/;" m class:AttributeManager +get_id adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def get_id(self):$/;" m class:ClusterNode +get_ident adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def get_ident(self):$/;" m class:LocalManager +get_ident adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ from greenlet import getcurrent as get_ident$/;" x +get_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def get_id_token(self, token, token_handler, request):$/;" m class:RequestValidator +get_ifd adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def get_ifd(self, tag):$/;" m class:Exif +get_ignored_functions adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def get_ignored_functions() -> Set[Callable]:$/;" f +get_ignore_reason adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def get_ignore_reason(obj, denylist):$/;" f function:_find_reference_cycle file: +get_imag adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ get_imag = imag.fget$/;" v class:MaskedArray +get_images adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_images(self):$/;" m class:_AxesBase +get_images_artists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def get_images_artists(self):$/;" m class:ParasiteAxesBase +get_image_magnification adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def get_image_magnification(self):$/;" m class:RendererPdf +get_image_magnification adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def get_image_magnification(self):$/;" m class:RendererPS +get_image_magnification adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def get_image_magnification(self):$/;" m class:RendererSVG +get_image_magnification adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_image_magnification(self):$/;" m class:RendererBase +get_include adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^def get_include():$/;" f +get_include adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def get_include():$/;" f +get_include adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^def get_include():$/;" f +get_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_include_dirs(self, key='include_dirs'):$/;" m class:system_info +get_indentation adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^def get_indentation():$/;" f +get_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def get_index(uid, i):$/;" f +get_indexer adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ get_indexer = index$/;" v class:OrderedSet +get_index_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def get_index_dtype(arrays=(), maxval=None, check_contents=False):$/;" f +get_index_for_name adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def get_index_for_name(self, name):$/;" m class:Registry +get_index_urls_locations adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ def get_index_urls_locations(self, project_name):$/;" m class:SearchScope +get_inferences_resp adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def get_inferences_resp():$/;" f member:WhatIfToolPlugin._infer file: +get_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_info(self,*names):$/;" m class:Configuration +get_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_info(pkgname, dirs=None):$/;" f +get_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_info(self, notfound_action=0):$/;" m class:system_info +get_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def get_info(name, notfound_action=0):$/;" f +get_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^def get_info(name):$/;" f +get_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^def get_info(name):$/;" f +get_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def get_info(self):$/;" m class:TarInfo +get_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ def get_info(name, notfound_action=0):$/;" f +get_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ get_info = old_get_info$/;" v +get_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^def get_info(name):$/;" f +get_inidata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def get_inidata(self):$/;" m class:bdist_wininst +get_init adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^def get_init(cls):$/;" f +get_initialization_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def get_initialization_function(self, *args, **kwargs):$/;" m class:Function +get_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_initializer(self):$/;" m class:_TPUBaseEmbeddingColumn +get_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_initializer(self):$/;" m class:_TPUEmbeddingColumn +get_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_initializer(self):$/;" m class:_TPUSharedEmbeddingColumn +get_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_initializer(self):$/;" m class:_TPUEmbeddingColumnV2 +get_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_initializer(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_initializer_args adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def get_initializer_args():$/;" f function:update_initializer file: +get_initial_for_level adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def get_initial_for_level(level):$/;" f +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def get_initial_state(self, inputs):$/;" m class:ConvRNN2D +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:RNNCell +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs):$/;" m class:RNN +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:AbstractRNNCell +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:GRUCell +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:LSTMCell +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:SimpleRNNCell +get_initial_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_initial_state(self, inputs=None, batch_size=None, dtype=None):$/;" m class:StackedRNNCells +get_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def get_init_op(meta_graph_def, import_scope=None):$/;" f +get_init_tokens_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def get_init_tokens_op(self, num_tokens=-1):$/;" m class:SyncReplicasOptimizer +get_inner_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^ def get_inner_shape(item):$/;" f function:_default_inner_shape_for_pylist file: +get_inplace adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def get_inplace(self):$/;" m class:OpInfo +get_inplaces adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def get_inplaces(op):$/;" f function:verify_inplace_blobs file: +get_inputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def get_inputs(self):$/;" m class:install +get_inputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ def get_inputs(self):$/;" m class:install_data +get_inputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ def get_inputs(self):$/;" m class:install_headers +get_inputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def get_inputs(self):$/;" m class:install_lib +get_inputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ def get_inputs(self):$/;" m class:install_scripts +get_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def get_inputs(self):$/;" m class:InstallHeaders +get_inputs_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def get_inputs_outputs(signature_def):$/;" f +get_input_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def get_input_arg_value(self, args, kwargs):$/;" m class:LayerCallCollection +get_input_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def get_input_arrays(self):$/;" m class:TFLiteConverterBaseV1 +get_input_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_input_at(self, node_index):$/;" m class:Layer +get_input_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_input_at(self, node_index):$/;" m class:Layer +get_input_dataset adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def get_input_dataset(self, opts):$/;" m class:AnyExpTrainer +get_input_dataset adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/gfs_IN1k.py /^def get_input_dataset(opts):$/;" f +get_input_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def get_input_details(self):$/;" m class:Interpreter +get_input_for_correctness_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_input_for_correctness_test(self, **kwargs):$/;" m class:TestDistributionStrategyCorrectnessBase +get_input_for_dynamic_lr_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_input_for_dynamic_lr_test(self, **kwargs):$/;" m class:TestDistributionStrategyCorrectnessBase +get_input_mask_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_input_mask_at(self, node_index):$/;" m class:Layer +get_input_mask_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_input_mask_at(self, node_index):$/;" m class:Layer +get_input_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def get_input_names(self):$/;" m class:ModelInputs +get_input_op_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def get_input_op_table_args(ipa):$/;" f +get_input_params adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_input_params(self):$/;" m class:LayerNormLSTMCell +get_input_params adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_input_params(self):$/;" m class:LSTMCell +get_input_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def get_input_params(distribution_strategy,$/;" f +get_input_shape_and_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^def get_input_shape_and_dtype(layer):$/;" f +get_input_shape_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_input_shape_at(self, node_index):$/;" m class:Layer +get_input_shape_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_input_shape_at(self, node_index):$/;" m class:Layer +get_input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_input_spec(shape):$/;" f member:RNN.build file: +get_input_stream adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_input_stream(environ, safe_fallback=True):$/;" f +get_input_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^def get_input_tensors():$/;" f +get_input_type_from_signature adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^def get_input_type_from_signature(op_signature):$/;" f +get_inspection_units adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def get_inspection_units(logdir="", event_file="", tag=""):$/;" f +get_installation_error adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def get_installation_error(self, e):$/;" m class:Factory +get_installation_order adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/base.py /^ def get_installation_order(self, req_set):$/;" m class:BaseResolver +get_installation_order adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def get_installation_order(self, req_set):$/;" m class:Resolver +get_installation_order adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^ def get_installation_order(self, req_set):$/;" m class:Resolver +get_installed_distributions adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def get_installed_distributions($/;" f +get_installed_version adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def get_installed_version(dist_name, working_set=None):$/;" f +get_installer adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/packaging.py /^def get_installer(dist):$/;" f +get_installer_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def get_installer_filename(self, fullname):$/;" m class:bdist_msi +get_installer_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def get_installer_filename(self, fullname):$/;" m class:bdist_wininst +get_install_candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def get_install_candidate(self, link_evaluator, link):$/;" m class:PackageFinder +get_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def get_install_requirement(self):$/;" m class:Candidate +get_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def get_install_requirement(self):$/;" m class:AlreadyInstalledCandidate +get_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def get_install_requirement(self):$/;" m class:ExtrasCandidate +get_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def get_install_requirement(self):$/;" m class:RequiresPythonCandidate +get_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def get_install_requirement(self):$/;" m class:_InstallRequirementBackedCandidate +get_instance_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def get_instance_key(self, group_key, device):$/;" m class:CollectiveKeys +get_instance_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^def get_instance_name(name, content_type):$/;" f +get_int64_dtype adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def get_int64_dtype(dtype):$/;" f function:do_test_empty_full file: +get_integrator adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def get_integrator(u, v, dmap, minlength, maxlength, integration_direction):$/;" f +get_interlace adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def get_interlace(im):$/;" f +get_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_interpolation(self):$/;" m class:_ImageBase +get_interpolation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def get_interpolation(interpolation):$/;" f +get_interp_point adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_interp_point(idx):$/;" f member:Axes._fill_between_x_or_y file: +get_intersection adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def get_intersection(cx1, cy1, cos_t1, sin_t1,$/;" f +get_inter_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_inter_op_parallelism_threads():$/;" f +get_intra_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_intra_op_parallelism_threads():$/;" f +get_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_inverted(self):$/;" m class:Axis +get_inv_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def get_inv_matvec(M, hermitian=False, tol=0):$/;" f +get_in_layout adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_in_layout(self):$/;" m class:Artist +get_ip adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def get_ip(self):$/;" m class:IRIXCPUInfo +get_ipython adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^ from IPython.core.ipapi import get as get_ipython$/;" x +get_ipython adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^ from IPython.ipapi import get as get_ipython$/;" x +get_is_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_is_finite(grads):$/;" f member:_DynamicLossScaleState.update file: +get_is_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def get_is_finite(grads):$/;" f member:DynamicLossScale.update file: +get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def get_item(target, i, opts):$/;" f +get_items adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def get_items(self, block):$/;" m class:OListProcessor +get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def get_iterator(self, iterator_id):$/;" m class:DatasetV2._GeneratorState +get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_iterator(self, worker):$/;" m class:DistributedIteratorV1 +get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def get_iterator(dataset, distribution_strategy):$/;" f +get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_iterator(dataset):$/;" f +get_iterator_id_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def get_iterator_id_fn(unused_dummy):$/;" f member:DatasetV2.from_generator file: +get_javascript adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def get_javascript(cls, stream=None):$/;" m class:FigureManagerNbAgg +get_javascript adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def get_javascript(cls, stream=None):$/;" m class:FigureManagerWebAgg +get_jax_disable_jit_flag adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def get_jax_disable_jit_flag():$/;" f function:_cpp_jit file: +get_jax_enable_x64 adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def get_jax_enable_x64():$/;" f function:_cpp_jit file: +get_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_jit as get_jit$/;" x +get_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_jit as get_jit$/;" x +get_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import get_optimizer_jit as get_jit$/;" x +get_jit_class_def adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def get_jit_class_def(cls, self_name):$/;" f +get_jit_def adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def get_jit_def(fn, def_name, self_name=None):$/;" f +get_job_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def get_job_name(self):$/;" m class:TPUClusterResolver +get_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def get_joinstyle(self):$/;" m class:GraphicsContextPS +get_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_joinstyle(self):$/;" m class:GraphicsContextBase +get_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_joinstyle(self):$/;" m class:Collection +get_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_joinstyle(self):$/;" m class:MarkerStyle +get_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_joinstyle(self):$/;" m class:Patch +get_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def get_json(self, force=False, silent=False, cache=True):$/;" m class:JSONMixin +get_json_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^def get_json_type(obj):$/;" f +get_json_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/serialization.py /^def get_json_type(obj):$/;" f +get_jwt_bearer_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def get_jwt_bearer_token(self, token, token_handler, request):$/;" m class:RequestValidator +get_keras_submodule adpepsenv/lib/python3.8/site-packages/keras_preprocessing/__init__.py /^def get_keras_submodule(name):$/;" f +get_kern adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_kern(self, font1, fontclass1, sym1, fontsize1,$/;" m class:Fonts +get_kern adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_kern(self, font1, fontclass1, sym1, fontsize1,$/;" m class:StandardPsFonts +get_kern adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_kern(self, font1, fontclass1, sym1, fontsize1,$/;" m class:TruetypeFonts +get_kernel_reports_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/kernel_stats_proto_to_gviz.py /^def get_kernel_reports_table_args(kernel_reports):$/;" f +get_kerning adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_kerning(self, next):$/;" m class:Char +get_kerning adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_kerning(self, next):$/;" m class:Node +get_kern_dist adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_kern_dist(self, c1, c2):$/;" m class:AFM +get_kern_dist_from_name adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_kern_dist_from_name(self, name1, name2):$/;" m class:AFM +get_key adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def get_key(record):$/;" f +get_key adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def get_key(event, group_by_input_shapes, group_by_stack_n):$/;" f member:EventList.key_averages file: +get_keyring_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^def get_keyring_auth(url, username):$/;" f +get_keywords adpeps/_version.py /^def get_keywords():$/;" f +get_keywords adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_keywords(self):$/;" m class:DistributionMetadata +get_key_flags_for_module adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def get_key_flags_for_module(self, module):$/;" m class:FlagValues +get_Kff_and_Ff adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def get_Kff_and_Ff(self, J, ecc, triangles, Uc):$/;" m class:_ReducedHCT_Element +get_kind adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def get_kind(var):$/;" f +get_knots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_knots(self):$/;" m class:UnivariateSpline +get_knots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_knots(self):$/;" m class:_BivariateSplineBase +get_known adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def get_known(self) -> Optional[core.Value]:$/;" m class:PartialVal +get_label adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^ def get_label():$/;" f function:_draw_steps file: +get_label adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_label(self):$/;" m class:Artist +get_label adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_label(self):$/;" m class:Axis +get_label adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ get_label = Artist.get_label$/;" v class:Container +get_label_coords adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_label_coords(self, distances, XX, YY, ysize, lw):$/;" m class:ContourLabeler +get_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_label_position(self):$/;" m class:Axis +get_label_text adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_label_text(self):$/;" m class:Axis +get_label_vocab adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_label_vocab(vocab_path):$/;" f +get_label_width adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_label_width(self, lev, fmt, fsize):$/;" m class:ContourLabeler +get_language adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_language(sources):$/;" f +get_lapack_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^def get_lapack_funcs(names, arrays=(), dtype=None, ilp64=False):$/;" f +get_lapack_lite_sources adpepsenv/lib/python3.8/site-packages/numpy/linalg/setup.py /^ def get_lapack_lite_sources(ext, build_dir):$/;" f function:configuration file: +get_last_child adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def get_last_child(node):$/;" f +get_last_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_last_lr(self):$/;" m class:_LRScheduler +get_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def get_layer(self, name=None, index=None):$/;" m class:Model +get_layer_class adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def get_layer_class(name):$/;" f +get_layer_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/get_layer_policy.py /^def get_layer_policy(layer):$/;" f +get_layer_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def get_layer_scope(scope, layer_type, i):$/;" f +get_lcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def get_lcpl(coding):$/;" f member:CommonStateObject._e file: +get_learning_rate_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_learning_rate_fn(self):$/;" m class:_TPUBaseEmbeddingColumn +get_left adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def get_left(self):$/;" m class:ClusterNode +get_legacy_build_wheel_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel_legacy.py /^def get_legacy_build_wheel_path($/;" f +get_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def get_legacy_output_classes(dataset_or_iterator):$/;" f +get_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def get_legacy_output_shapes(dataset_or_iterator):$/;" f +get_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def get_legacy_output_types(dataset_or_iterator):$/;" f +get_legend adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_legend(self):$/;" m class:_AxesBase +get_legend_handler adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_legend_handler(legend_handler_map, orig_handle):$/;" m class:Legend +get_legend_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_legend_handler_map(self):$/;" m class:Legend +get_legend_handles_labels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_legend_handles_labels(self, legend_handler_map=None):$/;" m class:Axes +get_level adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def get_level(self, parent, block):$/;" m class:ListIndentProcessor +get_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^def get_lib():$/;" f +get_libgcc_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_libgcc_dir(self):$/;" m class:GnuFCompiler +get_libgfortran_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_libgfortran_dir(self):$/;" m class:GnuFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_libraries(self):$/;" m class:AbsoftFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_libraries(self):$/;" m class:Gnu95FCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_libraries(self):$/;" m class:GnuFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ def get_libraries(self):$/;" m class:HPUXFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ def get_libraries(self):$/;" m class:LaheyFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_libraries(self):$/;" m class:PGroupFlangCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ def get_libraries(self):$/;" m class:SunFCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_libraries(self):$/;" m class:FCompiler +get_libraries adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_libraries(self, key='libraries'):$/;" m class:system_info +get_libraries adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_libraries(self, ext):$/;" m class:build_ext +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def get_library_dirs(self):$/;" m class:AbsoftFCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_library_dirs(self):$/;" m class:Gnu95FCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_library_dirs(self):$/;" m class:GnuFCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ def get_library_dirs(self):$/;" m class:HPUXFCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ def get_library_dirs(self):$/;" m class:LaheyFCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def get_library_dirs(self):$/;" m class:PGroupFlangCompiler +get_library_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_library_dirs(self):$/;" m class:FCompiler +get_library_names adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def get_library_names(self):$/;" m class:build_clib +get_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_libs(self, key, default):$/;" m class:system_info +get_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_lib_dirs(self, key='library_dirs'):$/;" m class:system_info +get_lib_location_guesses adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^def get_lib_location_guesses($/;" f +get_lib_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_lib_source_files(lib):$/;" f +get_licence adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ get_licence = get_license$/;" v class:DistributionMetadata +get_license adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_license(self):$/;" m class:DistributionMetadata +get_linalg_error_extobj adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def get_linalg_error_extobj(callback):$/;" f +get_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_line(self, axes):$/;" m class:AxisArtistHelper.Fixed +get_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_line(self, axes):$/;" m class:AxisArtistHelper.Floating +get_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_line(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def get_line(self, axes):$/;" m class:FixedAxisArtistHelper +get_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_line(self, axes):$/;" m class:FloatingAxisArtistHelper +get_linelength adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_linelength(self):$/;" m class:EventCollection +get_lineoffset adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_lineoffset(self):$/;" m class:EventCollection +get_lines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_lines(self):$/;" m class:_AxesBase +get_lines adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_lines(self):$/;" m class:Legend +get_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_linestyle(self):$/;" m class:Collection +get_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_linestyle(self):$/;" m class:Line2D +get_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_linestyle(self):$/;" m class:Patch +get_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_linewidth(self):$/;" m class:GraphicsContextBase +get_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_linewidth(self):$/;" m class:Collection +get_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_linewidth(self):$/;" m class:EventCollection +get_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_linewidth(self):$/;" m class:Line2D +get_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_linewidth(self):$/;" m class:Patch +get_linewidths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_linewidths(self):$/;" m class:EventCollection +get_line_parser adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def get_line_parser(finder):$/;" f +get_line_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_line_transform(self, axes):$/;" m class:AxisArtistHelper.Fixed +get_line_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_line_transform(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_line_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_line_transform(self, axes):$/;" m class:FloatingAxisArtistHelper +get_link_flags adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^def get_link_flags():$/;" f +get_list_of_hosts adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def get_list_of_hosts(strategy: tpu_strategy.TPUStrategy) -> List[Text]:$/;" f +get_literal_string adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def get_literal_string(cls, data, offset):$/;" m class:PdfParser +get_load_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^def get_load_options():$/;" f +get_loc adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_loc(self):$/;" m class:Tick +get_loc adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ get_loc = index$/;" v class:OrderedSet +get_locallyconnected_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^def get_locallyconnected_mask(input_shape, kernel_shape, strides, padding,$/;" f +get_local_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def get_local_aval(global_aval, global_parts: PartitionsOrReplicated,$/;" f +get_local_backend adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def get_local_backend(name=None):$/;" f +get_local_ip adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def get_local_ip(self):$/;" m class:Client +get_local_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def get_local_variable( # pylint: disable=missing-docstring$/;" f +get_locator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def get_locator(self, dmin, dmax):$/;" m class:AutoDateLocator +get_locator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_locator(self, d):$/;" m class:OldAutoLocator +get_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_locator(self):$/;" m class:Divider +get_loc_in_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_loc_in_canvas(self):$/;" m class:DraggableOffsetBox +get_logdir adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def get_logdir(self):$/;" m class:EventFileWriter +get_logdir adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def get_logdir(self):$/;" m class:EventFileWriter +get_logdir adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def get_logdir(self):$/;" m class:EventFileWriterV2 +get_logdir adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def get_logdir(self):$/;" m class:FileWriter +get_logdir adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def get_logdir(self):$/;" m class:FileWriter +get_logdir adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def get_logdir(self):$/;" m class:SummaryWriter +get_logger adpepsenv/lib/python3.8/site-packages/tensorboard/util/tb_logging.py /^def get_logger():$/;" f +get_logger adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def get_logger():$/;" f +get_logger_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def get_logger_dict(mod, prefix=""):$/;" f +get_logical_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_logical_device_configuration(self, dev):$/;" m class:Context +get_logical_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_logical_device_configuration(device):$/;" f +get_logits_and_probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def get_logits_and_probs(logits=None,$/;" f +get_log_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def get_log_device_placement():$/;" f +get_log_file_name adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def get_log_file_name(level=INFO):$/;" f +get_log_range adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_log_range(lo, hi):$/;" f member:SymmetricalLogLocator.tick_values file: +get_long_description adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_long_description(self):$/;" m class:DistributionMetadata +get_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def get_losses(scope=None, loss_collection=ops.GraphKeys.LOSSES):$/;" f +get_losses_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_losses_for(self, inputs):$/;" m class:Layer +get_losses_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_losses_for(self, inputs):$/;" m class:Layer +get_losses_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def get_losses_for(self, inputs=None):$/;" m class:_CuDNNRNN +get_loss_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_loss_function(loss):$/;" f +get_loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def get_loss_reduction():$/;" f +get_lowest adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def get_lowest(self):$/;" m class:Storage +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:CosineAnnealingLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:CosineAnnealingWarmRestarts +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:CyclicLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:ExponentialLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:LambdaLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:MultiplicativeLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:MultiStepLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:OneCycleLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:StepLR +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def get_lr(self):$/;" m class:_LRScheduler +get_lr adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def get_lr(self):$/;" m class:SWALR +get_lr_injection adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def get_lr_injection():$/;" f +get_lr_mu adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def get_lr_mu(self, distance, grad_var, h_min, h_max):$/;" m class:TestYellowFin +get_lsb_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_lsb_version(run_lambda):$/;" f +get_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def get_lut(self):$/;" m class:LutBuilder +get_machine_id adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^def get_machine_id():$/;" f +get_mac_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_mac_version(run_lambda):$/;" f +get_maintainer adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_maintainer(self):$/;" m class:DistributionMetadata +get_maintainer_email adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_maintainer_email(self):$/;" m class:DistributionMetadata +get_majorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_majorticklabels(self):$/;" m class:Axis +get_majorticklines adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_majorticklines(self):$/;" m class:Axis +get_majorticklocs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_majorticklocs(self):$/;" m class:Axis +get_major_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_major_formatter(self):$/;" m class:Axis +get_major_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_major_locator(self):$/;" m class:Axis +get_major_minor_version adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^def get_major_minor_version():$/;" f +get_major_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_major_ticks(self, numticks=None):$/;" m class:Axis +get_major_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def get_major_ticks(self, numticks=None):$/;" m class:Axis +get_makefile_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_makefile_filename():$/;" f +get_makefile_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_makefile_filename():$/;" f +get_manifest adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def get_manifest(self, exename):$/;" m class:ScriptMaker +get_mark adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def get_mark(self):$/;" m class:Reader +get_marker adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_marker(self):$/;" m class:Line2D +get_marker adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_marker(self):$/;" m class:MarkerStyle +get_markeredgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markeredgecolor(self):$/;" m class:Line2D +get_markeredgecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_markeredgecolor(self):$/;" m class:Ticks +get_markeredgewidth adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markeredgewidth(self):$/;" m class:Line2D +get_markeredgewidth adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_markeredgewidth(self):$/;" m class:Ticks +get_markerfacecolor adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markerfacecolor(self):$/;" m class:Line2D +get_markerfacecoloralt adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markerfacecoloralt(self):$/;" m class:Line2D +get_markersize adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markersize(self):$/;" m class:Line2D +get_markevery adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_markevery(self):$/;" m class:Line2D +get_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^get_mask = getmask$/;" v +get_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def get_mask(y_p):$/;" f +get_masked_subclass adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def get_masked_subclass(*arrays):$/;" f +get_masked_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def get_masked_triangles(self):$/;" m class:Triangulation +get_master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def get_master(self):$/;" m class:TPUClusterResolver +get_mat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^def get_mat(n):$/;" f +get_mat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def get_mat(n):$/;" f +get_mat adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def get_mat(n):$/;" f +get_mat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def get_mat(n):$/;" f +get_mat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^def get_mat(n):$/;" f +get_matcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_matcher(self, reqt):$/;" m class:DependencyFinder +get_matching_activations adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def get_matching_activations(float_module, q_module):$/;" f +get_matching_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def get_matching_files(filename):$/;" f +get_matching_files_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def get_matching_files_v2(pattern):$/;" f +get_matfile_version adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def get_matfile_version(fileobj):$/;" f +get_mathlibs adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_mathlibs(path=None):$/;" f +get_mathlib_info adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def get_mathlib_info(*args):$/;" f function:configuration file: +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_matrix(self):$/;" m class:PolarAffine +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_matrix(self):$/;" m class:_ThetaShift +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:Affine2D +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:AffineDeltaTransform +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:BboxTransform +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:BboxTransformFrom +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:BboxTransformTo +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:BboxTransformToMaxOnly +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:BlendedAffine2D +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:CompositeAffine2D +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:IdentityTransform +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:ScaledTranslation +get_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_matrix(self):$/;" m class:Transform +get_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def get_matrix(self):$/;" m class:FullHessianUpdateStrategy +get_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def get_matrix(self):$/;" m class:HessianUpdateStrategy +get_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def get_matrix():$/;" f member:TestInt32Overflow.test_bsr_1_block file: +get_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def get_matrix():$/;" f member:TestInt32Overflow.test_bsr_n_block file: +get_matvecs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def get_matvecs(A):$/;" f member:TestLinearOperator.test_matvec file: +get_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def get_max():$/;" f member:SensitivitySpecificityBase._find_max_under_constraint file: +get_max_sequence_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_max_sequence_length(self):$/;" m class:_TPUBaseEmbeddingColumn +get_max_tolerance_limitation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def get_max_tolerance_limitation($/;" m class:Jax2TfLimitation +get_max_workers adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_max_workers():$/;" f +get_memory_growth adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_memory_growth(self, dev):$/;" m class:Context +get_memory_growth adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_memory_growth(device):$/;" f +get_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bucket_weighted.py /^ def get_memory_usage(self):$/;" m class:BucketWeighted +get_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_memory_usage(self):$/;" m class:ModelLayer +get_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/position_weighted.py /^ def get_memory_usage(self):$/;" m class:PositionWeighted +get_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def get_memory_usage(self):$/;" m class:SparseLookup +get_memory_usage adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def get_memory_usage(assignments):$/;" f +get_memory_usage adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_memory_usage(device):$/;" f +get_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def get_message(self):$/;" m class:ErrorMetadataBase +get_messagestream_config adpepsenv/lib/python3.8/site-packages/scipy/_lib/setup.py /^ def get_messagestream_config(ext, build_dir):$/;" f function:configuration file: +get_message_start adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def get_message_start(self, formatted, levelno):$/;" m class:IndentingFormatter +get_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/feature_sparse_to_dense.py /^ def get_metadata(self):$/;" m class:FeatureSparseToDense +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/packaging.py /^def get_metadata(dist):$/;" f +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def get_metadata(self, name):$/;" m class:DictMetadata +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^ def get_metadata(self, name):$/;" m class:WheelMetadata +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata(name):$/;" m class:IMetadataProvider +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata(self, name):$/;" m class:FileMetadata +get_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata(self, name):$/;" m class:NullProvider +get_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata(name):$/;" m class:IMetadataProvider +get_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata(self, name):$/;" m class:FileMetadata +get_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata(self, name):$/;" m class:NullProvider +get_metadata adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def get_metadata(name):$/;" f member:Wheel._convert_metadata file: +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def get_metadata_lines(self, name):$/;" m class:DictMetadata +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata_lines(name):$/;" m class:IMetadataProvider +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata_lines(self, name):$/;" m class:FileMetadata +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_metadata_lines(self, name):$/;" m class:NullProvider +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata_lines(name):$/;" m class:IMetadataProvider +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata_lines(self, name):$/;" m class:FileMetadata +get_metadata_lines adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_metadata_lines(self, name):$/;" m class:NullProvider +get_metadata_version adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def get_metadata_version(self):$/;" f +get_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def get_meta_graph_def(saved_model_dir, tag_set):$/;" f +get_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def get_meta_graph_def(saved_model_dir, tag_set):$/;" f +get_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_utils.py /^def get_meta_graph_def(saved_model_dir, tag_set):$/;" f +get_meta_graph_def_from_tags adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def get_meta_graph_def_from_tags(self, tags):$/;" m class:SavedModelLoader +get_meta_graph_def_from_tags adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def get_meta_graph_def_from_tags(self, tags):$/;" m class:_EagerSavedModelLoader +get_meta_net_def adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def get_meta_net_def(predictor_export_meta, ws=None, db_type=None):$/;" f +get_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def get_method(self):$/;" m class:OpInfo +get_method_function adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_function adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_function adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_function adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_function adpepsenv/lib/python3.8/site-packages/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_function adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_method_function = operator.attrgetter(_meth_func)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_method_self adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^get_method_self = operator.attrgetter(_meth_self)$/;" v +get_metrics adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_metrics(self, font, font_class, sym, fontsize, dpi, math=True):$/;" m class:Fonts +get_metric_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_metric_function(metric, output_shape=None, loss_fn=None):$/;" f +get_metric_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_metric_name(metric, weighted=False):$/;" f +get_metric_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/metric_utils.py /^def get_metric_summary(metric_name):$/;" f +get_minimumdescent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_minimumdescent(self):$/;" m class:TextArea +get_minorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minorticklabels(self):$/;" m class:Axis +get_minorticklines adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minorticklines(self):$/;" m class:Axis +get_minorticklocs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minorticklocs(self):$/;" m class:Axis +get_minor_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minor_formatter(self):$/;" m class:Axis +get_minor_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minor_locator(self):$/;" m class:Axis +get_minor_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minor_ticks(self, numticks=None):$/;" m class:Axis +get_minor_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def get_minor_ticks(self, numticks=None):$/;" m class:Axis +get_minpos adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minpos(self):$/;" m class:Axis +get_minpos adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minpos(self):$/;" m class:XAxis +get_minpos adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_minpos(self):$/;" m class:YAxis +get_minpos adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_minpos(self):$/;" m class:_AxisWrapper +get_minpos adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_minpos(self):$/;" m class:_DummyAxis +get_misc_info adpepsenv/lib/python3.8/site-packages/scipy/spatial/setup.py /^ from numpy.distutils.misc_util import get_info as get_misc_info$/;" x function:configuration file: +get_mixed_type_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def get_mixed_type_key(obj):$/;" f +get_mkl_rootdir adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_mkl_rootdir(self):$/;" m class:mkl_info +get_mnist_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/multi_worker_testing_utils.py /^def get_mnist_model(input_shape):$/;" f +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def get_model():$/;" f +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def get_model(self,$/;" m class:TestDistributionStrategyCorrectnessBase +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_model(self,$/;" m class:TestDistributionStrategyDnnCorrectness +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_model(self,$/;" m class:TestDistributionStrategyDnnCorrectnessWithSubclassedModel +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_model(self,$/;" m class:TestDistributionStrategyDnnMetricCorrectness +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def get_model(self,$/;" m class:TestDistributionStrategyDnnMetricEvalCorrectness +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def get_model(self,$/;" m class:DistributionStrategyEmbeddingModelCorrectnessTest +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def get_model(self,$/;" m class:DistributionStrategySiameseEmbeddingModelCorrectnessTest +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def get_model(self,$/;" m class:DistributionStrategyCnnCorrectnessTest +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def get_model(self,$/;" m class:_DistributionStrategyRnnModelCorrectnessTest +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^ def get_model(self,$/;" m class:DistributionStrategyStatefulLstmModelCorrectnessTest +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_collection_base.py /^ def get_model(self):$/;" m class:ModelAndInput +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_model(self, **kwargs):$/;" m class:SimpleFunctionalModel +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_model(self, **kwargs):$/;" m class:SimpleSequentialModel +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_model(self, **kwargs):$/;" m class:SimpleSubclassModel +get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def get_model(self, **kwargs):$/;" m class:SimpleTFModuleModel +get_models adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def get_models(exclude_models=None):$/;" f +get_model_file adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def get_model_file(self, model):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoder +get_model_file adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def get_model_file(self, model):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoderBase +get_model_from_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_model_from_layers(model_layers,$/;" f +get_model_input_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def get_model_input_fun(self):$/;" m class:AnyExpTrainer +get_model_input_fun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/gfs_IN1k.py /^def get_model_input_fun(self):$/;" f +get_model_params adpeps/ipeps/config.py /^def get_model_params():$/;" f +get_model_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_model_type():$/;" f +get_module adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def get_module(fun): return getattr(fun, "__module__", "")$/;" f +get_module adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^ def get_module(module, paths, info):$/;" f +get_module adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^def get_module(module, paths, info):$/;" f +get_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def get_module(dir_path, relative_to_dir):$/;" f +get_module adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^def get_module(model, name):$/;" f +get_module_concrete_type adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def get_module_concrete_type(nn_module, share_types=True):$/;" f +get_module_constant adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^def get_module_constant(module, symbol, default=-1, paths=None):$/;" f +get_module_dir adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def get_module_dir():$/;" f +get_module_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def get_module_docstring(module_name, package, api_name):$/;" f +get_module_from_module_name adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def get_module_from_module_name(module_name):$/;" f +get_module_functions adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def get_module_functions(module):$/;" f +get_module_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def get_module_method(m, module, method):$/;" f +get_module_name_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_module_name_qconfig($/;" f member:Quantizer._generate_qconfig_map file: +get_module_name_regex_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_module_name_regex_qconfig($/;" f member:Quantizer._generate_qconfig_map file: +get_module_object_and_name adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def get_module_object_and_name(globals_dict):$/;" f +get_module_outfile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def get_module_outfile(self, build_dir, package, module):$/;" m class:build_py +get_module_type_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_module_type_qconfig($/;" f member:Quantizer._generate_qconfig_map file: +get_moms adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def get_moms(lam, sig, mu):$/;" f member:TestExponNorm.test_moments file: +get_monitor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def get_monitor_value(self, logs):$/;" m class:EarlyStopping +get_msg adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def get_msg(func,msg=None):$/;" f function:skipif.skip_decorator file: +get_msvcr adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^def get_msvcr():$/;" f +get_msvcr_replacement adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def get_msvcr_replacement():$/;" f +get_msvc_paths adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def get_msvc_paths(self, path, platform='x86'):$/;" m class:MSVCCompiler +get_multilinebaseline adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_multilinebaseline(self):$/;" m class:TextArea +get_multi_inputs_multi_outputs_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def get_multi_inputs_multi_outputs_data():$/;" f +get_multi_io_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_multi_io_model($/;" f +get_multi_io_subclass_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^def get_multi_io_subclass_model(use_bn=False, use_dp=False, num_classes=(2, 3)):$/;" f +get_mutation_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_mutation_aspect(self):$/;" m class:FancyArrowPatch +get_mutation_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_mutation_aspect(self):$/;" m class:FancyBboxPatch +get_mutation_scale adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_mutation_scale(self):$/;" m class:FancyArrowPatch +get_mutation_scale adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_mutation_scale(self):$/;" m class:FancyBboxPatch +get_n adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def get_n(self):$/;" m class:TestCompareWithStats +get_name adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def get_name(self):$/;" m class:ModelHelper +get_name adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def get_name(fun): return getattr(fun, "__name__", "")$/;" f +get_name adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def get_name(el):$/;" f +get_name adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_name(self):$/;" m class:FontProperties +get_name adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def get_name(self, options, args):$/;" m class:ConfigurationCommand +get_name adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_name(self):$/;" m class:DistributionMetadata +get_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def get_name(self):$/;" m class:Optimizer +get_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def get_name(self):$/;" m class:TestBase +get_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def get_name(event):$/;" f member:RpcTest.test_profiler_remote_cuda file: +get_named_colors_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def get_named_colors_mapping():$/;" f +get_named_summaries adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def get_named_summaries(root):$/;" f function:tensorboard_events file: +get_names adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def get_names(adtype):$/;" f +get_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^get_names = np.lib.recfunctions.get_names$/;" v +get_names_flat adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def get_names_flat(adtype):$/;" f +get_names_flat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^get_names_flat = np.lib.recfunctions.get_names_flat$/;" v +get_name_char adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_name_char(self, c, isord=False):$/;" m class:AFM +get_name_for_node adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def get_name_for_node(self, node):$/;" m class:RootScope +get_name_list adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^ def get_name_list(prefix, num, max_num):$/;" f function:_Functional.__getattribute__.op_func file: +get_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_name_scope(self):$/;" m class:Graph +get_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_name_scope():$/;" f +get_navigate adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_navigate(self):$/;" m class:_AxesBase +get_navigate_mode adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_navigate_mode(self):$/;" m class:_AxesBase +get_needs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^def get_needs():$/;" f +get_nested_model_3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^def get_nested_model_3(input_dim, num_classes):$/;" f +get_net adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_net(self, name):$/;" m class:ExecutionStep +get_netloc_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_netloc_and_auth(cls, netloc, scheme):$/;" m class:Subversion +get_netloc_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_netloc_and_auth(cls, netloc, scheme):$/;" m class:VersionControl +get_netrc_auth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_netrc_auth(url, raise_errors=False):$/;" f +get_netrc_auth adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_netrc_auth(url, raise_errors=False):$/;" f +get_network_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def get_network_config(network, serialize_layer_fn=None):$/;" f +get_net_name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def get_net_name(netlike):$/;" f +get_new_attr_name adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_new_attr_name(module):$/;" f function:get_new_attr_name_with_prefix file: +get_new_attr_name_with_prefix adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def get_new_attr_name_with_prefix(prefix):$/;" f +get_new_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_new_headers(self):$/;" m class:MockRequest +get_new_headers adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_new_headers(self):$/;" m class:MockRequest +get_new_worker_id adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def get_new_worker_id(self):$/;" m class:GlobalWorkerCoordinator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next(self):$/;" m class:IteratorBase +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next(self):$/;" m class:OwnedIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next(self, name=None):$/;" m class:Iterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def get_next(self, device=None):$/;" m class:MultiDeviceIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def get_next(self, device=None):$/;" m class:OwnedMultiDeviceIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def get_next(self, name=None):$/;" m class:_PerWorkerDistributedIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def get_next(self):$/;" m class:_DefaultDistributionExtended.DefaultInputIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next(self):$/;" m class:DistributedIteratorInterface +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next(self, device, name=None):$/;" m class:_SingleWorkerCallableIterator +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next(self, device, name=None):$/;" m class:_SingleWorkerDatasetIteratorBase +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next(self, name=None):$/;" m class:DistributedIteratorBase +get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^ def get_next(self):$/;" m class:Iterator +get_next_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_list(self, name=None):$/;" m class:_SingleWorkerCallableIterator +get_next_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_list(self, name=None):$/;" m class:_SingleWorkerDatasetIteratorBase +get_next_as_list_static_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_list_static_shapes(self, name=None):$/;" m class:_SingleWorkerCallableIterator +get_next_as_list_static_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_list_static_shapes(self, name=None):$/;" m class:_SingleWorkerDatasetIteratorBase +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next_as_optional(self):$/;" m class:Iterator +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next_as_optional(self):$/;" m class:IteratorBase +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def get_next_as_optional(self):$/;" m class:OwnedIterator +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^def get_next_as_optional(iterator):$/;" f +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def get_next_as_optional(self):$/;" m class:MultiDeviceIterator +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def get_next_as_optional(self):$/;" m class:OwnedMultiDeviceIterator +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def get_next_as_optional(self):$/;" m class:_DefaultDistributionExtended.DefaultInputIterator +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_optional(self):$/;" m class:DistributedIteratorBase +get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def get_next_as_optional(self):$/;" m class:DistributedIteratorInterface +get_next_blob_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_next_blob_reference(self, name):$/;" m class:ModelLayer +GET_NEXT_CALL_WARNING_MESSAGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^GET_NEXT_CALL_WARNING_MESSAGE = ($/;" v +GET_NEXT_CALL_WARNING_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^GET_NEXT_CALL_WARNING_THRESHOLD = 32$/;" v +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_next_color():$/;" f member:Axes.pie file: +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_next_color(self):$/;" m class:_process_plot_var_args +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def get_next_color():$/;" f member:TestScatter.test_scatter_c file: +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def get_next_color():$/;" f function:test_parse_scatter_color_args file: +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def get_next_color():$/;" f function:test_parse_scatter_color_args_edgecolors file: +get_next_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def get_next_color():$/;" f function:test_parse_scatter_color_args_error file: +get_next_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def get_next_id(self, *args):$/;" m class:DatasetV2._GeneratorState +get_next_qparams_idx adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^ def get_next_qparams_idx(module, qparams):$/;" f function:quantize_node file: +get_nn_functional_compiled_fn_and_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_nn_functional_compiled_fn_and_inputs(name, self_size, args, variant_name='', *extra_args/;" f +get_nn_module_class_from_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_nn_module_class_from_kwargs(**kwargs):$/;" f +get_nn_module_name_from_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_nn_module_name_from_kwargs(**kwargs):$/;" f +get_nn_mod_test_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_nn_mod_test_name(**kwargs):$/;" f +get_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def get_node(self):$/;" m class:Composer +get_node_def_from_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def get_node_def_from_graph(node_name, graph_def):$/;" f +get_node_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def get_node_index(layer, config_node_index):$/;" f function:reconstruct_from_config file: +get_node_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def get_node_name(element_name):$/;" f +get_normalizer adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_context.py /^ def get_normalizer(self, name):$/;" m class:NormalizerContext +get_normal_points adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def get_normal_points(cx, cy, cos_t, sin_t, length):$/;" f +get_not_required adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def get_not_required(self, packages, options):$/;" m class:ListCommand +get_npy_pkg_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_npy_pkg_dir():$/;" f +get_np_doc_form adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def get_np_doc_form():$/;" f +get_nth_coord adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_nth_coord(self):$/;" m class:AxisArtistHelper.Fixed +get_nth_coord adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_nth_coord(self):$/;" m class:AxisArtistHelper.Floating +get_numberized_sentence adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def get_numberized_sentence(sentence, vocab):$/;" f +get_numerical_jacobian adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def get_numerical_jacobian(fn, input, target=None, eps=1e-3, grad_out=1.0):$/;" f +get_numeric_features_to_observed_range adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_numeric_features_to_observed_range(examples):$/;" f +get_numeric_feature_names adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def get_numeric_feature_names(example):$/;" f +get_numpoints adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_numpoints(self, legend):$/;" m class:HandlerLineCollection +get_numpoints adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_numpoints(self, legend):$/;" m class:HandlerNpoints +get_numpoints adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_numpoints(self, legend):$/;" m class:HandlerRegularPolyCollection +get_numpy_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_numpy_include_dirs():$/;" f +get_numsides adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_numsides(self):$/;" m class:RegularPolyCollection +get_num_build_jobs adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_num_build_jobs():$/;" f +get_num_categories adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ def get_num_categories(cls):$/;" m class:SequenceLikelihood +get_num_categories adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ def get_num_categories(cls):$/;" m class:SequenceLikelihood +get_num_duplicates adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def get_num_duplicates(self, li):$/;" m class:FootnotePostTreeprocessor +get_num_fig_managers adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def get_num_fig_managers(cls):$/;" m class:Gcf +get_num_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def get_num_gpus():$/;" f +get_num_inputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def get_num_inputs(o):$/;" f +get_num_owners_and_forks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def get_num_owners_and_forks():$/;" f +get_num_partitions adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def get_num_partitions(*partitions):$/;" f +get_nvidia_driver_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_nvidia_driver_version(run_lambda):$/;" f +get_nvidia_smi adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_nvidia_smi():$/;" f +get_n_axis adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def get_n_axis(sid, axis):$/;" f function:guess_shape file: +get_oauth_params adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def get_oauth_params(self, request):$/;" m class:Client +get_oauth_signature adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def get_oauth_signature(self, request):$/;" m class:Client +get_object_signature adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def get_object_signature(obj):$/;" f +get_obs adpeps/ipeps/evaluation.py /^def get_obs(H, tensors, measure_obs=True, only_gs=False):$/;" f +get_observed_custom_module_class adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def get_observed_custom_module_class(float_custom_module_class):$/;" f +get_observer_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def get_observer_dict(mod, target_dict, prefix=""):$/;" f +get_observer_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def get_observer_state_dict(mod):$/;" f +get_obsoletes adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_obsoletes(self):$/;" m class:DistributionMetadata +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def get_offset(self):$/;" m class:ConciseDateFormatter +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_offset(self):$/;" m class:AuxTransformBox +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_offset(self):$/;" m class:DrawingArea +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_offset(self):$/;" m class:OffsetImage +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_offset(self):$/;" m class:TextArea +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_offset(self, width, height, xdescent, ydescent, renderer):$/;" m class:OffsetBox +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_offset(self):$/;" m class:FixedFormatter +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_offset(self):$/;" m class:Formatter +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_offset(self):$/;" m class:FuncFormatter +get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_offset(self):$/;" m class:ScalarFormatter +get_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_offsets(self):$/;" m class:Collection +get_offset_position adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_offset_position(self):$/;" m class:Collection +get_offset_text adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_offset_text(self):$/;" m class:Axis +get_offset_transform adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_offset_transform(self):$/;" m class:Collection +get_on_pixels adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def get_on_pixels(self, image):$/;" m class:MorphOp +get_on_read_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def get_on_read_restore_ops(var, tensor, aggregation):$/;" f +get_on_read_saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def get_on_read_saveable(var, primary_var, name):$/;" f +get_on_write_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def get_on_write_restore_ops(var, tensor):$/;" f +get_on_write_saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def get_on_write_saveable(var, primary_var, name):$/;" f +get_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^def get_op(input_len, output_len, args):$/;" f +get_op adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def get_op(self):$/;" m class:OpInfo +get_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_operations(self):$/;" m class:Graph +get_operation_by_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_operation_by_name(self, name):$/;" m class:Graph +get_operator_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^def get_operator_type(op_name, conversion_log):$/;" f +get_OPinv_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def get_OPinv_matvec(A, M, sigma, hermitian=False, tol=0):$/;" f +get_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def get_ops():$/;" f member:DatasetSerializationTestBase.gen_outputs file: +get_ops_and_kernels adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^def get_ops_and_kernels(proto_fileformat, proto_files, default_ops_str):$/;" f +get_ops_in_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def get_ops_in_version(version):$/;" f +get_opt adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ def get_opt(self):$/;" m class:SunFCompiler +get_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def get_optimization_parameters(self):$/;" m class:_OptimizerHandler +get_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^ def get_optimizer(self, name):$/;" m class:OptimizerContext +get_optimizer_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_optimizer_experimental_options(self):$/;" m class:Context +get_optimizer_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_optimizer_experimental_options():$/;" f +get_optimizer_instance adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^def get_optimizer_instance(opt, learning_rate=None):$/;" f +get_optimizer_instance_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^def get_optimizer_instance_v2(opt, learning_rate=None):$/;" f +get_optimizer_jit adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_optimizer_jit():$/;" f +get_options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ def get_options():$/;" m class:OpsSet +get_option_dict adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_option_dict(self, command):$/;" m class:Distribution +get_option_order adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def get_option_order(self):$/;" m class:FancyGetopt +get_option_single adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_option_single(self, *options):$/;" m class:system_info +get_op_consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def get_op_consumers(self, src_op_name):$/;" m class:DebuggedGraph +get_op_creation_digest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def get_op_creation_digest(self, op_name):$/;" m class:DebuggedGraph +get_op_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def get_op_def(self, op_name):$/;" m class:ApiDefMap +get_op_ids_in_path adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def get_op_ids_in_path(ssa, blob_versions, inputs, outputs):$/;" f +get_op_sharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def get_op_sharding(op):$/;" f +get_op_supported_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def get_op_supported_version(opname, domain, version):$/;" f +get_op_with_output adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def get_op_with_output(model, output_blob_name):$/;" f member:CopyOpsTest.test_copy_gradient_multiple_gpus file: +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:Big5DistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:CharDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCJPDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCKRDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCTWDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:GB2312DistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:SJISDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:EUCJPContextAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:JapaneseContextAnalysis +get_order adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:SJISContextAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:Big5DistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:CharDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCJPDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCKRDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:EUCTWDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:GB2312DistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def get_order(self, byte_str):$/;" m class:SJISDistributionAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:EUCJPContextAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:JapaneseContextAnalysis +get_order adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def get_order(self, byte_str):$/;" m class:SJISContextAnalysis +get_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_orientation(self):$/;" m class:EventCollection +get_origin adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def get_origin(tp):$/;" f +get_origin adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ get_origin = typing.get_origin$/;" v +get_original_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def get_original_position(self, axes, renderer):$/;" m class:CbarAxesLocator +get_original_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def get_original_scopes(self, refresh_token, request, *args, **kwargs):$/;" m class:RequestValidator +get_origin_req_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_origin_req_host(self):$/;" m class:MockRequest +get_origin_req_host adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_origin_req_host(self):$/;" m class:MockRequest +get_orth_basis adpeps/ipeps/evaluation.py /^def get_orth_basis(tensors):$/;" f +get_or_create adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def get_or_create(self, key):$/;" m class:MessageMap +get_or_create_assets_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_or_create_assets_dir(export_dir):$/;" f +get_or_create_concrete_type adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def get_or_create_concrete_type(self, nn_module):$/;" m class:ConcreteTypeStore +get_or_create_debug_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_or_create_debug_dir(export_dir):$/;" f +get_or_create_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def get_or_create_global_step(graph=None):$/;" f +get_or_create_list adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def get_or_create_list(self, key):$/;" m class:Struct +get_or_create_steps_per_run_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^def get_or_create_steps_per_run_variable():$/;" f +get_or_create_struct adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def get_or_create_struct(self, key):$/;" m class:Struct +get_or_create_variables_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_or_create_variables_dir(export_dir):$/;" f +get_or_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def get_or_default(arg_name, collection_key, default_constructor):$/;" m class:Scaffold +get_os adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_os(run_lambda):$/;" f +get_outdated adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def get_outdated(self, packages, options):$/;" m class:ListCommand +get_output adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def get_output(o, i):$/;" f +get_output adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def get_output(self, body, headers=None, include_dirs=None,$/;" m class:config +get_outputs adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def get_outputs(self):$/;" m class:build_ext +get_outputs adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ def get_outputs(self):$/;" m class:install_clib +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def get_outputs(self):$/;" m class:bdist_egg +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def get_outputs(self):$/;" m class:build_ext +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def get_outputs(self):$/;" m class:install_egg_info +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def get_outputs(self):$/;" m class:install_lib +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_outputs(self):$/;" m class:build_ext +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def get_outputs(self, include_bytecode=1):$/;" m class:build_py +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def get_outputs(self):$/;" m class:install +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ def get_outputs(self):$/;" m class:install_data +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ def get_outputs(self):$/;" m class:install_egg_info +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ def get_outputs(self):$/;" m class:install_headers +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def get_outputs(self):$/;" m class:install_lib +get_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ def get_outputs(self):$/;" m class:install_scripts +get_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def get_outputs(self):$/;" m class:InstallHeaders +get_outputs_with_grads adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def get_outputs_with_grads(self):$/;" m class:LSTMWithAttentionDecoder +get_output_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_output_at(self, node_index):$/;" m class:Layer +get_output_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_output_at(self, node_index):$/;" m class:Layer +get_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import get_legacy_output_classes as get_output_class/;" x +get_output_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def get_output_details(self):$/;" m class:Interpreter +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^ def get_output_dim(self):$/;" m class:GRUCell +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def get_output_dim(self):$/;" m class:LSTMWithAttentionDecoder +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_dim(self):$/;" m class:AttentionCell +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_dim(self):$/;" m class:BasicRNNCell +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_dim(self):$/;" m class:LSTMCell +get_output_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_dim(self):$/;" m class:RNNCell +get_output_dir adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def get_output_dir(self):$/;" m class:SerializedTestCase +get_output_filename adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def get_output_filename(self):$/;" m class:SerializedTestCase +get_output_mask_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_output_mask_at(self, node_index):$/;" m class:Layer +get_output_mask_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_output_mask_at(self, node_index):$/;" m class:Layer +get_output_producers adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def get_output_producers(ssa):$/;" f +get_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import get_legacy_output_shapes as get_output_shapes$/;" x +get_output_shape_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_output_shape_at(self, node_index):$/;" m class:Layer +get_output_shape_at adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_output_shape_at(self, node_index):$/;" m class:Layer +get_output_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def get_output_slot(element_name):$/;" f +get_output_state_index adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_state_index(self):$/;" m class:AttentionCell +get_output_state_index adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_state_index(self):$/;" m class:MultiRNNCell +get_output_state_index adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_output_state_index(self):$/;" m class:RNNCell +get_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import get_legacy_output_types as get_output_types$/;" x +get_out_axis_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def get_out_axis_size(a, b, axis):$/;" f member:TestUFunc.test_binary_ufunc_accumulate_fuzz file: +get_out_axis_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def get_out_axis_size(a, b, axis):$/;" f member:TestUFunc.test_binary_ufunc_reduceat_fuzz file: +get_out_axis_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def get_out_axis_size(a, b, axis):$/;" f member:TestUFunc.test_binary_ufunc_reduce_fuzz file: +get_out_of_order adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def get_out_of_order(list_of_numbers):$/;" f +get_overload_annotations adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def get_overload_annotations(mod):$/;" f +get_overload_name_mapping adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def get_overload_name_mapping(overload_info):$/;" f +get_overridable_functions adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def get_overridable_functions() -> Dict[Any, List[Callable]]:$/;" f +get_overview_page_analysis_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def get_overview_page_analysis_table_args(overview_page_analysis):$/;" f +get_overwrite_module_params_on_conversion adpepsenv/lib/python3.8/site-packages/torch/__future__.py /^def get_overwrite_module_params_on_conversion():$/;" f +get_package_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_package_data(name, version):$/;" f +get_package_dir adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def get_package_dir(self, package):$/;" m class:build_py +get_package_dir adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def get_package_dir(self, package):$/;" m class:build_py +get_package_loader adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def get_package_loader(self, package, package_path):$/;" m class:SharedDataMiddleware +get_package_name adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^def get_package_name(filepath):$/;" f +get_pad adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_pad(self):$/;" m class:Tick +get_pad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def get_pad(self, dpi):$/;" m class:test_agg_filter.BaseFilter +get_pad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def get_pad(self, dpi):$/;" m class:test_agg_filter.DropShadowFilter +get_pad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def get_pad(self, dpi):$/;" m class:test_agg_filter.GaussianFilter +get_pad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def get_pad(self, dpi):$/;" m class:test_agg_filter.OffsetFilter +get_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_pad(self):$/;" m class:AxisLabel +get_pad_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_pad_pixels(self):$/;" m class:Tick +get_page adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_page(self, url):$/;" m class:SimpleScrapingLocator +get_pagecount adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def get_pagecount(self):$/;" m class:PdfPages +get_pagecount adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_pagecount(self):$/;" m class:PdfPages +get_parallels adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def get_parallels(bezier2, width):$/;" f +get_param adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^def get_param(module, attr):$/;" f +get_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_parameters(self):$/;" m class:ModelLayer +get_parameters adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def get_parameters(vars, global_params={}):$/;" f +get_parameter_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def get_parameter_blobs(self):$/;" m class:LayerModelHelper +get_parameter_name adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^ def get_parameter_name(self, name):$/;" m class:ParameterSharingContext +get_parameter_type adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def get_parameter_type(line):$/;" f function:get_type_line file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:adagrad file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:adam file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:adamax file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:momentum file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:nesterov file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:rmsprop file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:rmsprop_momentum file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(state):$/;" f function:sm3 file: +get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def get_params(x):$/;" f function:sgd file: +get_params adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def get_params():$/;" f function:test_iter_buffered_reduce_reuse file: +get_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def get_params(self, **params): # pylint: disable=unused-argument$/;" m class:BaseWrapper +get_param_device adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def get_param_device(param_name, grad, param_to_device=None, default_device=None):$/;" f +get_param_filter adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def get_param_filter(self):$/;" m class:_CDFData +get_param_info adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def get_param_info(self, param):$/;" m class:ModelHelper +get_param_names adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def get_param_names(fn, n_args):$/;" f +get_param_to_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def get_param_to_grad(self, params):$/;" m class:ModelHelper +get_parent_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/module_util.py /^def get_parent_dir(module):$/;" f +get_parent_dir_for_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/module_util.py /^def get_parent_dir_for_name(module_name):$/;" f +get_parser adpeps/__main__.py /^def get_parser():$/;" f +get_parse_func adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def get_parse_func(self, mimetype, options):$/;" m class:FormDataParser +get_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def get_parts(s):$/;" f function:_legacy_key file: +get_part_charset adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def get_part_charset(self, headers):$/;" m class:MultiPartParser +get_part_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def get_part_encoding(self, headers):$/;" m class:MultiPartParser +get_patches adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_patches(self):$/;" m class:Legend +get_patch_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def get_patch_shape(self, patch):$/;" m class:PatchVisualizer +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:Arrow +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:Ellipse +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:Patch +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:Rectangle +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:RegularPolygon +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_patch_transform(self):$/;" m class:Shadow +get_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_patch_transform(self):$/;" m class:Spine +get_path adpepsenv/lib/python3.8/site-packages/certifi/core.py /^ from importlib.resources import path as get_path, read_text$/;" x +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^def get_path(hatchpattern, density=6):$/;" f +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_path(self):$/;" m class:Line2D +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_path(self):$/;" m class:MarkerStyle +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Arrow +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Ellipse +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:FancyArrowPatch +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:FancyBboxPatch +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Patch +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:PathPatch +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Polygon +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Rectangle +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:RegularPolygon +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Shadow +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path(self):$/;" m class:Wedge +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_path(self):$/;" m class:Spine +get_path adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_path(self):$/;" m class:Cell +get_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_path(self):$/;" m class:BboxConnector +get_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_path(self):$/;" m class:BboxConnectorPatch +get_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def get_path(self):$/;" m class:BboxPatch +get_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_path(self):$/;" m class:Patch3D +get_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^ from importlib.resources import path as get_path, read_text$/;" x +get_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_path(name, scheme=_get_default_scheme(), vars=None, expand=True):$/;" f +get_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def get_path(self, node_id):$/;" m class:KerasObjectLoader +get_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_paths(self):$/;" m class:Collection +get_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_paths(self):$/;" m class:PathCollection +get_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_paths(self):$/;" m class:QuadMesh +get_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_paths(self):$/;" m class:TriMesh +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:agg2_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:atlas_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:blas_src_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:boost_python_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:djbfft_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:lapack_src_info +get_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_paths(self, section, key):$/;" m class:system_info +get_paths adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_paths(scheme=_get_default_scheme(), vars=None, expand=True):$/;" f +get_path_collection_extents adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^def get_path_collection_extents($/;" f +get_path_completion_type adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/autocompletion.py /^def get_path_completion_type(cwords, cword, opts):$/;" f +get_path_effects adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_path_effects(self):$/;" m class:Artist +get_path_ends adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def get_path_ends(self):$/;" m class:CbarAxesLocator +get_path_fn adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def get_path_fn(path_type):$/;" f +get_path_for_link adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link(self, link):$/;" m class:Cache +get_path_for_link adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link(self, link):$/;" m class:SimpleWheelCache +get_path_for_link adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link(self, link):$/;" m class:WheelCache +get_path_for_link_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link_legacy(self, link):$/;" m class:Cache +get_path_for_link_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link_legacy(self, link):$/;" m class:SimpleWheelCache +get_path_for_link_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def get_path_for_link_legacy(self, link):$/;" m class:WheelCache +get_path_from_frame adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_path_from_frame(frame, parent_path=None):$/;" f +get_path_info adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_path_info(environ, charset="utf-8", errors="replace"):$/;" f +get_path_in_displaycoord adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path_in_displaycoord(self):$/;" m class:ConnectionPatch +get_path_in_displaycoord adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_path_in_displaycoord(self):$/;" m class:FancyArrowPatch +get_path_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_path_names():$/;" f +get_path_patch adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def get_path_patch(self):$/;" m class:CbarAxesLocator +get_path_to_datafile adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^def get_path_to_datafile(path):$/;" f +get_path_uid adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def get_path_uid(path):$/;" f +get_pending_test_case_result adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def get_pending_test_case_result(self, test):$/;" m class:_TextAndXMLTestResult +get_per_replica_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def get_per_replica_batch_size(self, global_batch_size):$/;" m class:InputContext +get_per_tensor_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def get_per_tensor_qparams(activation_post_process):$/;" f +get_picker adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_picker(self):$/;" m class:Artist +get_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_pickradius(self):$/;" m class:Axis +get_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_pickradius(self):$/;" m class:Collection +get_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_pickradius(self):$/;" m class:Line2D +get_pin_and_cookie_name adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^def get_pin_and_cookie_name(app):$/;" f +get_pip_packages adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_pip_packages(run_lambda):$/;" f +get_pip_version adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def get_pip_version():$/;" f +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccess32_2 +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccess32_3 +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccess32_4 +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccess8 +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessF +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessI16_B +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessI16_L +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessI16_N +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessI32_N +get_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def get_pixel(self, x, y):$/;" m class:_PyAccessI32_Swap +get_pkg_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_pkg_info(pkgname, dirs=None):$/;" f +get_pkg_info_revision adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def get_pkg_info_revision():$/;" f +get_pkg_resources_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/base.py /^ def get_pkg_resources_distribution(self):$/;" m class:AbstractDistribution +get_pkg_resources_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/installed.py /^ def get_pkg_resources_distribution(self):$/;" m class:InstalledDistribution +get_pkg_resources_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^ def get_pkg_resources_distribution(self):$/;" m class:SourceDistribution +get_pkg_resources_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/wheel.py /^ def get_pkg_resources_distribution(self):$/;" m class:WheelDistribution +get_placeholder adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def get_placeholder(self, key):$/;" m class:HtmlStash +get_platform adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_platform():$/;" f +get_platform adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^get_platform = get_build_platform$/;" v +get_platform adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^get_platform = get_build_platform$/;" v +get_platform adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def get_platform():$/;" f +get_platform adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_platform():$/;" f +get_platform adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def get_platform(archive_root):$/;" f +get_platforms adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_platforms(self):$/;" m class:DistributionMetadata +get_plot_commands adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def get_plot_commands():$/;" f +get_plot_formats adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def get_plot_formats(config):$/;" f +get_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^def get_plugins():$/;" f +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def get_plugin_apps(self):$/;" m class:AudioPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def get_plugin_apps(self):$/;" m class:TBPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def get_plugin_apps(self):$/;" m class:CorePlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def get_plugin_apps(self):$/;" m class:CustomScalarsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def get_plugin_apps(self):$/;" m class:DebuggerV2Plugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def get_plugin_apps(self):$/;" m class:DistributionsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def get_plugin_apps(self):$/;" m class:GraphsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def get_plugin_apps(self):$/;" m class:HistogramsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def get_plugin_apps(self):$/;" m class:HParamsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def get_plugin_apps(self):$/;" m class:ImagesPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def get_plugin_apps(self):$/;" m class:MeshPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def get_plugin_apps(self):$/;" m class:MetricsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def get_plugin_apps(self):$/;" m class:NpmiPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^ def get_plugin_apps(self):$/;" m class:_ProfileRedirectPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def get_plugin_apps(self):$/;" m class:ProjectorPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def get_plugin_apps(self):$/;" m class:PrCurvesPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def get_plugin_apps(self):$/;" m class:ScalarsPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def get_plugin_apps(self):$/;" m class:TextPlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def get_plugin_apps(self):$/;" m class:TextV2Plugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def get_plugin_apps(self):$/;" m class:ProfilePlugin +get_plugin_apps adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def get_plugin_apps(self):$/;" m class:WhatIfToolPlugin +get_plugin_asset adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^def get_plugin_asset(plugin_asset_cls, graph=None):$/;" f +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_points(self):$/;" m class:_WedgeBbox +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:LockableBbox +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:TransformedBbox +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:Bbox +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:BboxBase +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:LockableBbox +get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_points(self):$/;" m class:TransformedBbox +get_policy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_policy(self):$/;" m class:RequestsCookieJar +get_policy adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_policy(self):$/;" m class:RequestsCookieJar +get_pool_ceil_padding adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def get_pool_ceil_padding(input, kernel_size, stride, padding):$/;" f +get_pool_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def get_pool_class(use_multiprocessing):$/;" f +get_pos adpeps/tensor/ncon.py /^def get_pos(v, tcon, icon):$/;" f +get_pos adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def get_pos(a):$/;" f function:BaseVisitor.visit_Call_arguments35.arg_compare file: +get_position adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_position(self, original=False):$/;" m class:_AxesBase +get_position adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_position(self, figure, return_all=False):$/;" m class:SubplotSpec +get_position adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_position(self):$/;" m class:Spine +get_position adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_position(self):$/;" m class:Text +get_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_position(self):$/;" m class:AxesDivider +get_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_position(self):$/;" m class:Divider +get_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_position(self):$/;" m class:SubplotDivider +get_position adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def get_position(self, handle):$/;" m class:WinTerm +get_positions adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_positions(self):$/;" m class:EventCollection +get_position_runtime adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_position_runtime(self, ax, renderer):$/;" m class:Divider +get_positive_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def get_positive_axis(axis, ndims, axis_name="axis", ndims_name="ndims"):$/;" f +get_positive_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^get_positive_axis = array_ops.get_positive_axis$/;" v +get_potentially_supported_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/tensorboard/ops_util.py /^def get_potentially_supported_ops():$/;" f +get_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def get_preamble():$/;" f +get_predictor_exporter_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def get_predictor_exporter_helper(submodelNetName):$/;" f +get_predict_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def get_predict_dataset(distribution):$/;" f +get_predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def get_predict_net(self):$/;" m class:LayersTestCase +get_preference adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def get_preference($/;" m class:PipProvider +get_preference adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def get_preference(self, resolution, candidates, information):$/;" m class:AbstractProvider +get_prefix adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def get_prefix(module):$/;" f +get_prefix adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^ def get_prefix(prefix):$/;" f function:get_observer_dict file: +get_prefix adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def get_prefix(prefix):$/;" f function:_get_logger_dict_helper file: +get_pretty_env_info adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_pretty_env_info():$/;" f +get_previous_tokens adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def get_previous_tokens(self):$/;" m class:BeamSearchForwardOnly +get_primality_testing_rounds adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def get_primality_testing_rounds(number: int) -> int:$/;" f +get_primary_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def get_primary_device(cls):$/;" m class:CUDATestBase +get_primary_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def get_primary_device(cls):$/;" m class:DeviceTypeTestBase +get_primitive_batcher adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def get_primitive_batcher(p, axis_name):$/;" f +get_primitive_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def get_primitive_impl(self, p: core.Primitive) -> Tuple[Callable, bool]:$/;" m class:TensorFlowTrace +get_primitive_inverse adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def get_primitive_inverse(p):$/;" f +get_primitive_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def get_primitive_transpose(p):$/;" f +get_printoptions adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def get_printoptions():$/;" f +get_print_tensor_argparser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def get_print_tensor_argparser(description):$/;" f +get_process_exit_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def get_process_exit_code(self, task_type, task_id):$/;" m class:MultiProcessRunner +get_process_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def get_process_id(self, task_type, task_id):$/;" m class:MultiProcessRunner +get_process_umask adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_process_umask():$/;" f +get_prog adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def get_prog():$/;" f +get_progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def get_progbar(model, count_mode, include_metrics=True):$/;" f +get_proj adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_proj(self):$/;" m class:Axes3D +get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def get_project(self, name):$/;" m class:Locator +get_projection_class adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^ def get_projection_class(self, name):$/;" m class:ProjectionRegistry +get_projection_class adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^def get_projection_class(projection=None):$/;" f +get_projection_names adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^ def get_projection_names(self):$/;" m class:ProjectionRegistry +get_projection_names adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^get_projection_names = projection_registry.get_projection_names$/;" v +get_projectors adpeps/ipeps/ctm.py /^def get_projectors(T1: int, T2, chi):$/;" f +get_projectors_bottom adpeps/ipeps/ctm.py /^def get_projectors_bottom(ts: CTMTensors, chi: int$/;" f +get_projectors_left adpeps/ipeps/ctm.py /^def get_projectors_left(ts: CTMTensors, chi: int$/;" f +get_projectors_right adpeps/ipeps/ctm.py /^def get_projectors_right(ts: CTMTensors, chi: int$/;" f +get_projectors_top adpeps/ipeps/ctm.py /^def get_projectors_top(ts: CTMTensors, chi: int$/;" f +get_project_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_project_data(name):$/;" f +get_project_id adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^def get_project_id():$/;" f +get_project_id adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^def get_project_id(request):$/;" f +get_project_id adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def get_project_id(self, request):$/;" m class:Credentials +get_project_id adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^def get_project_id():$/;" f +get_property_stubs adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def get_property_stubs(nn_module):$/;" f +get_prop_tup adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_prop_tup(self, renderer=None):$/;" m class:Text +get_prototypes adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def get_prototypes(self, nptypes_for_h=False):$/;" m class:Func +get_provider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_provider(moduleOrReq):$/;" f +get_provider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_provider(moduleOrReq):$/;" f +get_provides adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_provides(self):$/;" m class:DistributionMetadata +get_proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^ get_proxy = lambda k: os.environ.get(k) or os.environ.get(k.upper())$/;" f function:should_bypass_proxies file: +get_proxy adpepsenv/lib/python3.8/site-packages/requests/utils.py /^ get_proxy = lambda k: os.environ.get(k) or os.environ.get(k.upper())$/;" f function:should_bypass_proxies file: +get_pythonexe adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def get_pythonexe():$/;" f +get_python_flags adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_python_flags():$/;" f +get_python_inc adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_python_inc(plat_specific=0, prefix=None):$/;" f +get_python_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_python_lib(plat_specific=0, standard_lib=0, prefix=None):$/;" f +get_python_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_python_version():$/;" f +get_python_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def get_python_version():$/;" f +get_qat_module_mappings adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_qat_module_mappings():$/;" f +get_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def get_qconfig(module_name):$/;" f member:Quantizer._generate_qconfig_map file: +get_qconfig_propagation_list adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_qconfig_propagation_list():$/;" f +get_qconv adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def get_qconv(cls, mod, activation_post_process, weight_post_process=None):$/;" m class:_ConvNd +get_qualified_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^def get_qualified_name(function):$/;" f +get_quantized_custom_module_class adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def get_quantized_custom_module_class(float_custom_module_class):$/;" f +get_quantized_operator adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_quantized_operator(float_op):$/;" f +get_quantize_op_and_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def get_quantize_op_and_qparams(activation_post_process):$/;" f +get_quant_patterns adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def get_quant_patterns():$/;" f +get_query_string adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_query_string(environ):$/;" f +get_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def get_queue(self, queue_name, max_buffered_batches):$/;" m class:GlobalCoordinator +get_radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_radius(self):$/;" m class:Circle +get_random_transform adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def get_random_transform(self, img_shape, seed=None):$/;" m class:ImageDataGenerator +get_range_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/misc.py /^def get_range_len(start, limit, delta):$/;" f +get_rank adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def get_rank(group=group.WORLD):$/;" f +get_rasterization_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_rasterization_zorder(self):$/;" m class:_AxesBase +get_rasterized adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_rasterized(self):$/;" m class:Artist +get_raw_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def get_raw_handle(self):$/;" m class:TensorHandle +get_reachable_from_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def get_reachable_from_inputs(inputs, targets=None):$/;" f +get_read_only_resource_input_indices_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def get_read_only_resource_input_indices_graph(func_graph):$/;" f +get_read_write_resource_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def get_read_write_resource_inputs(op):$/;" f +get_real adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ get_real = real.fget$/;" v class:MaskedArray +get_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_realms(self, token, request):$/;" m class:RequestValidator +get_realms_and_credentials adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/authorization.py /^ def get_realms_and_credentials(self, uri, http_method='GET', body=None,$/;" m class:AuthorizationEndpoint +get_realpath_and_stat adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def get_realpath_and_stat(path):$/;" f +get_real_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def get_real_batch_size(self, dataset_batch):$/;" m class:PartialBatchPaddingHandler +get_real_dtype adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def get_real_dtype(dtype):$/;" f +get_recommendation_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def get_recommendation_table_args(ipa):$/;" f +get_recommendation_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def get_recommendation_table_args(overview_page_recommendation):$/;" f +get_record adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def get_record(self, name):$/;" m class:MockZipReader +get_record_key adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def get_record_key(record):$/;" f function:parse_event_records file: +get_rect adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def get_rect(self):$/;" m class:LayoutBox +get_recurrent_dropout_mask_for_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_recurrent_dropout_mask_for_cell(self, inputs, training, count=1):$/;" m class:DropoutRNNCellMixin +get_recurrent_params adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_recurrent_params(self):$/;" m class:LSTMCell +get_redirect_location adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def get_redirect_location(self):$/;" m class:HTTPResponse +get_redirect_location adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def get_redirect_location(self):$/;" m class:HTTPResponse +get_redirect_target adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def get_redirect_target(self, resp):$/;" m class:SessionRedirectMixin +get_redirect_target adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def get_redirect_target(self, resp):$/;" m class:SessionRedirectMixin +get_redirect_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_redirect_uri(self, token, request):$/;" m class:RequestValidator +get_reduce_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/reduction.py /^def get_reduce_op(reduction_str):$/;" f +get_reduction adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def get_reduction(m):$/;" f +get_reference_data adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def get_reference_data():$/;" f +get_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_ref_artist(self):$/;" m class:AttributeCopier +get_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_ref_artist(self):$/;" m class:AxisLabel +get_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_ref_artist(self):$/;" m class:TickLabels +get_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_ref_artist(self):$/;" m class:Ticks +get_registered_canvas_class adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def get_registered_canvas_class(format):$/;" f +get_registered_kernels_for_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/kernels.py /^def get_registered_kernels_for_op(name):$/;" f +get_registered_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def get_registered_name(obj):$/;" f +get_registered_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def get_registered_object(name, custom_objects=None, module_objects=None):$/;" f +get_registered_op adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def get_registered_op(opname, domain, version):$/;" f +get_regularization_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def get_regularization_loss(scope=None, name="total_regularization_loss"):$/;" f +get_regularization_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def get_regularization_losses(scope=None):$/;" f +get_regularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_context.py /^ def get_regularizer(self, name):$/;" m class:RegularizerContext +get_rel_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def get_rel_path(root, path):$/;" f function:get_resources_dests file: +get_rel_timestamps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def get_rel_timestamps(self,$/;" m class:DebugDumpDir +get_remaining_size adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def get_remaining_size(fd):$/;" f +get_remapped_str adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_remapped_str(blob):$/;" f member:Net.Clone file: +get_remapped_str adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def get_remapped_str(blob_str):$/;" f function:recurrent_network_op_remap file: +get_remote_call_options adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_remote_call_options(self):$/;" m class:Subversion +get_remote_grads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def get_remote_grads(rref, context_id):$/;" m class:DdpComparisonTest +get_remote_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def get_remote_url(cls, location):$/;" m class:Bazaar +get_remote_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_remote_url(cls, location):$/;" m class:Git +get_remote_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_remote_url(cls, location):$/;" m class:Mercurial +get_remote_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_remote_url(cls, location):$/;" m class:Subversion +get_remote_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_remote_url(cls, location):$/;" m class:VersionControl +get_remove_overlapping_locs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_remove_overlapping_locs(self):$/;" m class:Axis +get_rename_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^def get_rename_v2(name):$/;" f +get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def get_renderer(self, cleared=False):$/;" m class:FigureCanvasAgg +get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_renderer(self):$/;" m class:FigureCanvasPgf +get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def get_renderer(self, cleared=None):$/;" m class:FigureCanvasWebAggCore +get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/tight_layout.py /^def get_renderer(fig):$/;" f +get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def get_renderer(fig):$/;" f +get_renderer_cache adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_renderer_cache(self):$/;" m class:_AxesBase +get_replicated_var_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def get_replicated_var_handle(self, name, vars_, is_mirrored=False,$/;" m class:TPUReplicateContext +get_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def get_replica_context():$/;" f +get_repository_root adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_repository_root(cls, location):$/;" m class:Git +get_repository_root adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_repository_root(cls, location):$/;" m class:Mercurial +get_repository_root adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_repository_root(cls, location):$/;" m class:VersionControl +get_request adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def get_request(self):$/;" m class:BaseWSGIServer +get_request adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def get_request(self, cls=None):$/;" m class:EnvironBuilder +get_request_options adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def get_request_options($/;" m class:RequestSigner +get_request_token_secret adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_request_token_secret(self, client_key, token, request):$/;" m class:RequestValidator +get_required_dists adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^def get_required_dists(dists, dist):$/;" f +get_required_width adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_required_width(self, renderer):$/;" m class:Cell +get_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def get_requirement(self, name):$/;" m class:RequirementSet +get_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def get_requirements($/;" m class:RequirementCommand +get_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def get_requirements(self, reqts, extras=None, env=None):$/;" m class:Metadata +get_requirement_info adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^def get_requirement_info(dist):$/;" f +get_requirement_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_requirement_revision(cls, location):$/;" m class:Mercurial +get_requirement_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_requirement_revision(cls, repo_dir):$/;" m class:VersionControl +get_requirement_tracker adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^def get_requirement_tracker():$/;" f +get_requires adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_requires(self):$/;" m class:DistributionMetadata +get_requires_for_build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def get_requires_for_build_sdist(self, config_settings=None):$/;" m class:Pep517HookCaller +get_requires_for_build_sdist adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def get_requires_for_build_sdist(config_settings):$/;" f +get_requires_for_build_sdist adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def get_requires_for_build_sdist(self, config_settings=None):$/;" m class:_BuildMetaBackend +get_requires_for_build_sdist adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^get_requires_for_build_sdist = _BACKEND.get_requires_for_build_sdist$/;" v +get_requires_for_build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def get_requires_for_build_wheel(self, config_settings=None):$/;" m class:Pep517HookCaller +get_requires_for_build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def get_requires_for_build_wheel(config_settings):$/;" f +get_requires_for_build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def get_requires_for_build_wheel(self, config_settings=None):$/;" m class:_BuildMetaBackend +get_requires_for_build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^get_requires_for_build_wheel = _BACKEND.get_requires_for_build_wheel$/;" v +get_requires_python adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/packaging.py /^def get_requires_python(dist):$/;" f +get_requiring_packages adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^ def get_requiring_packages(package_name):$/;" f function:search_packages_info file: +get_resample adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_resample(self):$/;" m class:_ImageBase +get_residual adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_residual(self):$/;" m class:UnivariateSpline +get_residual adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def get_residual(self):$/;" m class:_BivariateSplineBase +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(A.matvec(x) - b)$/;" f function:qmr file: +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(matvec(x) - b)$/;" f function:bicg file: +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(matvec(x) - b)$/;" f function:bicgstab file: +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(matvec(x) - b)$/;" f function:cg file: +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(matvec(x) - b)$/;" f function:cgs file: +get_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ get_residual = lambda: np.linalg.norm(matvec(x) - b)$/;" f function:gmres file: +get_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_resource(self, feature_column, name):$/;" m class:StateManager +get_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_resource(self, feature_column, resource_name):$/;" m class:_StateManagerImpl +get_resource adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def get_resource(self, request, filename):$/;" m class:DebuggedApplication +get_resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_resources(self, resource):$/;" m class:ResourceFinder +get_resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_resources(self, resource):$/;" m class:ZipResourceFinder +get_resources_dests adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def get_resources_dests(resources_root, rules):$/;" f +get_resource_apps adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def get_resource_apps(self):$/;" m class:CorePlugin +get_resource_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_filename(manager, resource_name):$/;" m class:IResourceProvider +get_resource_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_filename(self, manager, resource_name):$/;" m class:NullProvider +get_resource_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_filename(self, manager, resource_name):$/;" m class:ZipProvider +get_resource_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_filename(manager, resource_name):$/;" m class:IResourceProvider +get_resource_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_filename(self, manager, resource_name):$/;" m class:NullProvider +get_resource_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_filename(self, manager, resource_name):$/;" m class:ZipProvider +get_resource_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/handle_data_util.py /^def get_resource_handle_data(graph_op):$/;" f +get_resource_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^get_resource_handle_data = handle_data_util.get_resource_handle_data$/;" v +get_resource_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def get_resource_path(self, relative_path):$/;" m class:InstalledDistribution +get_resource_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_stream(manager, resource_name):$/;" m class:IResourceProvider +get_resource_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_stream(self, manager, resource_name):$/;" m class:DefaultProvider +get_resource_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_stream(self, manager, resource_name):$/;" m class:NullProvider +get_resource_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_stream(manager, resource_name):$/;" m class:IResourceProvider +get_resource_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_stream(self, manager, resource_name):$/;" m class:DefaultProvider +get_resource_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_stream(self, manager, resource_name):$/;" m class:NullProvider +get_resource_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_string(manager, resource_name):$/;" m class:IResourceProvider +get_resource_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def get_resource_string(self, manager, resource_name):$/;" m class:NullProvider +get_resource_string adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_string(manager, resource_name):$/;" m class:IResourceProvider +get_resource_string adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def get_resource_string(self, manager, resource_name):$/;" m class:NullProvider +get_response adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def get_response(self, environ=None):$/;" m class:HTTPException +get_response adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_response(self, environ=None):$/;" m class:RequestRedirect +get_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def get_restore_ops(self, var, tensor):$/;" m class:AutoPolicy +get_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def get_restore_ops(self, var, tensor):$/;" m class:OnReadPolicy +get_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def get_result(self, full_output=False):$/;" m class:Brent +get_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def get_result(self, x, flag=_ECONVERGED):$/;" m class:TOMS748Solver +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box):$/;" m class:Fonts +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box):$/;" m class:MathtextBackend +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendAgg +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendBitmap +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendCairo +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendPath +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendPdf +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendPs +get_results adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_results(self, box, used_characters):$/;" m class:MathtextBackendSvg +get_results_for adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def get_results_for(self, group):$/;" m class:_Column +get_resume_from_epoch_id adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def get_resume_from_epoch_id(self, user_epoch=None):$/;" m class:CheckpointManager +get_resume_from_epoch_id adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def get_resume_from_epoch_id(self, user_epoch=None):$/;" m class:MultiNodeCheckpointManager +get_retry_after adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def get_retry_after(self, response):$/;" m class:Retry +get_retry_after adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def get_retry_after(self, response):$/;" m class:Retry +get_return_code adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def get_return_code(self):$/;" m class:ode +get_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def get_revision(cls, location):$/;" m class:Bazaar +get_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_revision(cls, location, rev=None):$/;" m class:Git +get_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_revision(cls, location):$/;" m class:Mercurial +get_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_revision(cls, location):$/;" m class:Subversion +get_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_revision(cls, location):$/;" m class:VersionControl +get_revision_sha adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_revision_sha(cls, dest, rev):$/;" m class:Git +get_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def get_rgb(self):$/;" m class:GraphicsContextCairo +get_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_rgb(self):$/;" m class:GraphicsContextBase +get_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_rgba(self, tex, fontsize=None, dpi=None, rgb=(0, 0, 0)):$/;" m class:TexManager +get_right adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def get_right(self):$/;" m class:ClusterNode +get_rlabel_position adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_rlabel_position(self):$/;" m class:PolarAxes +get_rmax adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_rmax(self):$/;" m class:PolarAxes +get_rmin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_rmin(self):$/;" m class:PolarAxes +get_rng_state adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def get_rng_state(device: Union[int, str, torch.device] = 'cuda') -> Tensor:$/;" f +get_rng_state adpepsenv/lib/python3.8/site-packages/torch/random.py /^def get_rng_state() -> torch.Tensor:$/;" f +get_rng_state_all adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def get_rng_state_all() -> List[Tensor]:$/;" f +get_root adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^ def get_root(x, dependency_map):$/;" f function:random_topology_test file: +get_root versioneer.py /^def get_root():$/;" f +get_root_dir_with_all_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^def get_root_dir_with_all_resources():$/;" f +get_root_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def get_root_scope(self):$/;" m class:RootScope +get_root_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def get_root_scope(self):$/;" m class:Scope +get_rorigin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_rorigin(self):$/;" m class:PolarAxes +get_rotate_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def get_rotate_label(self, text):$/;" m class:Axis +get_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_rotation(self):$/;" m class:RegularPolyCollection +get_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_rotation(self):$/;" m class:ClabelText +get_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_rotation(self):$/;" m class:Text +get_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^def get_rotation(rotation):$/;" f +get_rotation_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def get_rotation_matrix(angles, image_height, image_width, name=None):$/;" f +get_rotation_mode adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_rotation_mode(self):$/;" m class:Text +get_rows_columns adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_rows_columns(self):$/;" m class:SubplotSpec +get_rref_debug_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def get_rref_debug_info():$/;" f +get_rref_list adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def get_rref_list(values):$/;" f +get_rsa_key adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def get_rsa_key(self, client_key, request):$/;" m class:RequestValidator +get_rsign adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_rsign(self):$/;" m class:PolarAxes +get_rtol adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def get_rtol(dtype):$/;" f +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:EndpointPrefix +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:Rule +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:RuleFactory +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:RuleTemplateFactory +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:Subdomain +get_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def get_rules(self, map):$/;" m class:Submount +get_running_cuda_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_running_cuda_version(run_lambda):$/;" f +get_runtime_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_runtime_lib_dirs(self, key='runtime_library_dirs'):$/;" m class:system_info +get_run_environment_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def get_run_environment_table_args(run_environment):$/;" f +get_run_events adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^ def get_run_events(self):$/;" m class:LogdirLoader +get_run_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/common.py /^def get_run_key(feed_dict, fetches):$/;" f +get_run_short_description adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def get_run_short_description(run_call_count,$/;" f +get_run_start_intro adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def get_run_start_intro(run_call_count,$/;" f +get_samples adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_samples(self):$/;" m class:DataAdapter +get_sample_data adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def get_sample_data(fname, asfileobj=True, *, np_load=False):$/;" f +get_sample_problem adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def get_sample_problem():$/;" f +get_sample_weights_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def get_sample_weights_model():$/;" f +get_sampling adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def get_sampling(im):$/;" f +get_saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def get_saveable(self, var, primary_var, name):$/;" m class:AutoPolicy +get_saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def get_saveable(self, var, primary_var, name):$/;" m class:OnReadPolicy +get_saved_model_pbtxt_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_saved_model_pbtxt_path(export_dir):$/;" f +get_saved_model_pb_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_saved_model_pb_path(export_dir):$/;" f +get_saved_model_tag_sets adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_utils.py /^def get_saved_model_tag_sets(saved_model_dir):$/;" f +get_save_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_save_format():$/;" f +get_save_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_save_kwargs():$/;" f +get_save_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^def get_save_options():$/;" f +get_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/base_experiment.py /^ def get_scalars($/;" m class:BaseExperiment +get_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^ def get_scalars($/;" m class:ExperimentFromDev +get_scale adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_scale(self):$/;" m class:Axis +get_scale adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def get_scale(self):$/;" m class:GradScaler +get_scaled_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_scaled_loss(self, loss):$/;" m class:LossScaleOptimizer +get_scale_names adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^def get_scale_names():$/;" f +get_scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^def get_scheme($/;" f +get_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def get_scheme(name):$/;" f +get_scheme_names adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def get_scheme_names():$/;" f +get_script_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_script_args(cls, dist, executable=None, wininst=False):$/;" m class:ScriptWriter +get_script_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^get_script_args = ScriptWriter.get_script_args$/;" v +get_script_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def get_script_args(args):$/;" f +get_script_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_script_files(scripts):$/;" f +get_script_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_script_header(cls, script_text, executable=None, wininst=False):$/;" m class:ScriptWriter +get_script_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^get_script_header = ScriptWriter.get_script_header$/;" v +get_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def get_script_module(model, tracing, data):$/;" f +get_script_name adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def get_script_name(environ, charset="utf-8", errors="replace"):$/;" f +get_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/random_seed.py /^def get_seed(seed):$/;" f +get_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^def get_seed(op_seed):$/;" f +get_segments adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_segments(self):$/;" m class:LineCollection +get_separator adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def get_separator(self):$/;" m class:FootnoteExtension +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:EmbeddingColumn +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:IndicatorColumn +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:SequenceDenseColumn +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:SharedEmbeddingColumn +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:SequenceNumericColumn +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_sequence_dense_tensor($/;" m class:_TPUSharedEmbeddingColumnV2 +get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_sequence_dense_tensor(self, transformation_cache, state_manager):$/;" m class:_TPUEmbeddingColumnV2 +get_sequence_length_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_sequence_length_feature_key_name(self):$/;" m class:_TPUBaseEmbeddingColumn +get_sequence_length_feature_key_name_from_feature_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def get_sequence_length_feature_key_name_from_feature_key_name(feature_name):$/;" f +get_server_bind adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_server_bind():$/;" f +get_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_server_def(self):$/;" m class:Context +get_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def get_server_def():$/;" f +get_server_host adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_server_host():$/;" f +get_server_port adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_server_port():$/;" f +get_service adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def get_service():$/;" f +get_service_account_info adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^def get_service_account_info(request, service_account="default"):$/;" f +get_service_account_token adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^def get_service_account_token(request, service_account="default", scopes=None):$/;" f +get_session adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/_cmd.py /^def get_session():$/;" f +get_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_session(op_input_list=()):$/;" f +get_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def get_session():$/;" f +get_session_config_with_timeout adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^def get_session_config_with_timeout(timeout_in_secs, cluster_def):$/;" f +get_session_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_handle(value, name=None):$/;" f +get_session_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def get_session_handle(data, name=None):$/;" f +get_session_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_handle_eager_fallback(value, name, ctx):$/;" f +get_session_handle_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_handle_v2(value, name=None):$/;" f +get_session_handle_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_handle_v2_eager_fallback(value, name, ctx):$/;" f +get_session_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_tensor(handle, dtype, name=None):$/;" f +get_session_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def get_session_tensor(handle, dtype, name=None):$/;" f +get_session_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def get_session_tensor_eager_fallback(handle, dtype, name, ctx):$/;" f +get_setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^def get_setter(proto):$/;" f +get_setters adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_setters(self):$/;" m class:ArtistInspector +get_setup_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^def get_setup_nets(key, steps_or_nets, target):$/;" f +get_shape adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ get_shape = shape.fget$/;" v class:_ctypes +get_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def get_shape(self):$/;" m class:spmatrix +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def get_shape(self):$/;" m class:DistributedVariable +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor_utils.py /^def get_shape(tensor):$/;" f +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_shape(self):$/;" m class:Tensor +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_shape(self):$/;" m class:_EagerTensorBase +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def get_shape(self):$/;" m class:SparseTensor +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def get_shape(self):$/;" m class:KerasTensor +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def get_shape(self):$/;" m class:AutoCastVariable +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def get_shape(self):$/;" m class:RaggedTensor +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def get_shape(self):$/;" m class:PartitionedVariable +get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def get_shape(self):$/;" m class:Variable +get_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def get_shapes(data):$/;" f +get_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def get_shapes(tensors):$/;" f +get_shape_and_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def get_shape_and_type(matrix):$/;" f +get_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def get_shape_tensor(s):$/;" f function:prefer_static_broadcast_shape file: +get_sharded_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def get_sharded_shape(self, shape, shard_index=None):$/;" m class:ShardingPolicy +get_shared_lib_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def get_shared_lib_extension(is_python_ext=False):$/;" f +get_shared_x_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_shared_x_axes(self):$/;" m class:_AxesBase +get_shared_y_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_shared_y_axes(self):$/;" m class:_AxesBase +get_sharing_cache adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def get_sharing_cache():$/;" f +get_sharing_strategy adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^def get_sharing_strategy():$/;" f +get_shutdown_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def get_shutdown_error_regex(self):$/;" m class:FaultyRpcAgentTestFixture +get_shutdown_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^ def get_shutdown_error_regex(self):$/;" m class:ProcessGroupRpcAgentTestFixture +get_shutdown_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def get_shutdown_error_regex(self):$/;" m class:RpcAgentTestFixture +get_shutdown_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^ def get_shutdown_error_regex(self):$/;" m class:TensorPipeRpcAgentTestFixture +get_sibling adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def get_sibling(self, parent, block):$/;" m class:AdmonitionProcessor +get_siblings adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def get_siblings(self, a):$/;" m class:Grouper +get_signature adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def get_signature(fn, rcb, loc, is_method):$/;" f +get_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def get_signature_def(meta_graph, signature_key):$/;" f +get_signature_def_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def get_signature_def_map(saved_model_dir, tag_set):$/;" f +get_signature_to_agg_fn_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def get_signature_to_agg_fn_map(self):$/;" m class:TTParameters +get_sign_command adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def get_sign_command(self, filename, signer, sign_password,$/;" m class:PackageIndex +get_sig_name adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^def get_sig_name(line):$/;" f +get_similar_commands adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/__init__.py /^def get_similar_commands(name):$/;" f +get_single_data adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def get_single_data(self):$/;" m class:BaseConstructor +get_single_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/get_single_element.py /^def get_single_element(dataset):$/;" f +get_single_node adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def get_single_node(self):$/;" m class:Composer +get_single_site_dm adpeps/ipeps/evaluation.py /^ def get_single_site_dm(C1,T1,C2,T2,C3,T3,C4,T4):$/;" f function:get_orth_basis file: +get_single_site_dm adpeps/ipeps/evaluation.py /^ def get_single_site_dm(C1,T1,C2,T2,C3,T3,C4,T4):$/;" f function:_compute_one_site_exci_norm file: +get_site_dirs adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def get_site_dirs():$/;" f +get_size adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_size(self):$/;" m class:FontProperties +get_size adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_size(self):$/;" m class:_ImageBase +get_size adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_size(self):$/;" m class:TextPath +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:Add +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:AddList +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:AxesX +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:AxesY +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:Fixed +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:Fraction +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:MaxExtent +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:Padded +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:Scaled +get_size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def get_size(self, renderer):$/;" m class:SizeFromFunc +get_size adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_size(self, resource):$/;" m class:ResourceFinder +get_size adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_size(self, resource):$/;" m class:ZipResourceFinder +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:CompositeTensorDataAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:DataAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:DatasetAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:GeneratorDataAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:KerasSequenceAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:ListsOfScalarsDataAdapter +get_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def get_size(self):$/;" m class:TensorLikeDataAdapter +get_sized_alternatives_for_symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_sized_alternatives_for_symbol(self, fontname, sym):$/;" m class:BakomaFonts +get_sized_alternatives_for_symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_sized_alternatives_for_symbol(self, fontname, sym):$/;" m class:Fonts +get_sized_alternatives_for_symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_sized_alternatives_for_symbol(self, fontname, sym):$/;" m class:StixFonts +get_sized_alternatives_for_symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_sized_alternatives_for_symbol(self, fontname, sym):$/;" m class:UnicodeFonts +get_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_sizes(self):$/;" m class:_CollectionWithSizes +get_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_sizes(self, legend, orig_handle,$/;" m class:HandlerRegularPolyCollection +get_size_inches adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_size_inches(self):$/;" m class:Figure +get_size_in_points adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_size_in_points(self):$/;" m class:FontProperties +get_sketch_params adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_sketch_params(self):$/;" m class:Artist +get_sketch_params adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_sketch_params(self):$/;" m class:GraphicsContextBase +get_slack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def get_slack(self, z):$/;" m class:BarrierSubproblem +get_slant adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ get_slant = get_style$/;" v class:FontProperties +get_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/multi_gpu_utils.py /^ def get_slice(data, i, parts):$/;" f function:multi_gpu_model file: +get_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_slot(self, var, slot_name):$/;" m class:LossScaleOptimizer +get_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_slot(self, var, slot_name):$/;" m class:OptimizerV2 +get_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def get_slot(self, *args, **kwargs):$/;" m class:CrossShardOptimizer +get_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def get_slot(self, var, name):$/;" m class:Optimizer +get_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def get_slot(self, *args, **kwargs):$/;" m class:SyncReplicasOptimizer +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_slot_names(self):$/;" m class:FakeOptimizerForRestoration +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_slot_names(self):$/;" m class:LossScaleOptimizer +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_slot_names(self):$/;" m class:OptimizerV2 +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def get_slot_names(self, *args, **kwargs):$/;" m class:CrossShardOptimizer +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def get_slot_names(self):$/;" m class:Optimizer +get_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def get_slot_names(self, *args, **kwargs):$/;" m class:SyncReplicasOptimizer +get_small_functional_mlp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_small_functional_mlp(num_hidden, num_classes, input_dim):$/;" f +get_small_mlp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_small_mlp(num_hidden, num_classes, input_dim):$/;" f +get_small_sequential_mlp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_small_sequential_mlp(num_hidden, num_classes, input_dim=None):$/;" f +get_small_subclass_mlp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_small_subclass_mlp(num_hidden, num_classes):$/;" f +get_small_subclass_mlp_with_custom_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_small_subclass_mlp_with_custom_build(num_hidden, num_classes):$/;" f +get_smart_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_smart_bounds(self):$/;" m class:Axis +get_smart_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_smart_bounds(self):$/;" m class:Spine +get_snap adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_snap(self):$/;" m class:Artist +get_snap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_snap(self):$/;" m class:GraphicsContextBase +get_snap_threshold adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_snap_threshold(self):$/;" m class:MarkerStyle +get_snippet adpepsenv/lib/python3.8/site-packages/yaml/error.py /^ def get_snippet(self, indent=4, max_length=75):$/;" m class:Mark +get_sockaddr adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def get_sockaddr(host, port, family):$/;" f +get_soft_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_soft_device_placement():$/;" f +get_solid_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_solid_capstyle(self):$/;" m class:Line2D +get_solid_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_solid_joinstyle(self):$/;" m class:Line2D +get_sorted_names adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def get_sorted_names(vars):$/;" f +get_source adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source adpepsenv/lib/python3.8/site-packages/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def get_source(self, module_name) -> str:$/;" m class:PackageImporter +get_source adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ get_source = get_code # same as get_code$/;" v class:_SixMetaPathImporter +get_source_by_code adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def get_source_by_code(self, code):$/;" m class:_ConsoleLoader +get_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def get_source_files(self):$/;" m class:build_clib +get_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def get_source_files(self):$/;" m class:build_ext +get_source_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_scripts.py /^ def get_source_files(self):$/;" m class:build_scripts +get_source_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def get_source_files(self):$/;" m class:build_clib +get_source_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def get_source_files(self):$/;" m class:build_ext +get_source_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def get_source_files(self):$/;" m class:build_py +get_source_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def get_source_files(self):$/;" m class:build_scripts +get_source_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def get_source_inputs(tensor, layer=None, node_index=None):$/;" f +get_source_lines_and_file adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def get_source_lines_and_file(obj, error_msg=None):$/;" f +get_sparsetools_sources adpepsenv/lib/python3.8/site-packages/scipy/sparse/setup.py /^ def get_sparsetools_sources(ext, build_dir):$/;" f function:configuration file: +get_sparse_lookup_predictor_version adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^def get_sparse_lookup_predictor_version($/;" f +get_sparse_lookup_trainer_version adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^def get_sparse_lookup_trainer_version(version):$/;" f +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:BucketizedColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:CategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:CrossedColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:HashedCategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:IdentityCategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:SequenceCategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:VocabularyFileCategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:VocabularyListCategoricalColumn +get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_sparse_tensors(self, transformation_cache, state_manager):$/;" m class:WeightedCategoricalColumn +get_spine_transform adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_spine_transform(self):$/;" m class:Spine +get_spline_knot_values adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_splines.py /^def get_spline_knot_values(order):$/;" f +get_src_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def get_src_dirs(self, key='src_dirs'):$/;" m class:system_info +get_src_prefix adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^def get_src_prefix():$/;" f +get_src_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_src_requirement(cls, repo_dir, project_name):$/;" m class:VersionControl +get_ssa adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def get_ssa(net, blob_versions=None):$/;" f +get_stamp_token adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def get_stamp_token(self):$/;" m class:TreeEnsemble +get_standard_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def get_standard_file(fname):$/;" f +get_starttag_text adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def get_starttag_text(self):$/;" m class:HTMLExtractor +get_start_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def get_start_state(self):$/;" m class:ARModel +get_start_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def get_start_state(self):$/;" m class:TimeSeriesModel +get_stash adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def get_stash(m):$/;" f member:HtmlInlineProcessor.unescape file: +get_stash adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def get_stash(m):$/;" f member:Pattern.unescape file: +get_state adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def get_state(self,$/;" m class:Channel +get_state adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def get_state(self,$/;" m class:Channel +get_state adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def get_state(self, tag, attrs):$/;" m class:HTMLExtractorExtra +get_state adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_state(self):$/;" m class:Parser +get_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ get_state = lambda: (0,) + prev_get_state()$/;" f function:_tf_if_stmt file: +get_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def get_states(self):$/;" m class:TreeEnsemble +get_state_keys_blacklist adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def get_state_keys_blacklist(self):$/;" m class:FullConstructor +get_state_keys_blacklist_regexp adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def get_state_keys_blacklist_regexp(self):$/;" m class:FullConstructor +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^ def get_state_names(self):$/;" m class:GRUCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def get_state_names(self):$/;" m class:LSTMWithAttentionDecoder +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def get_state_names(self):$/;" m class:MulCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names(self):$/;" m class:AttentionCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names(self):$/;" m class:BasicRNNCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names(self):$/;" m class:LayerNormLSTMCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names(self):$/;" m class:MultiRNNCell +get_state_names adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names(self):$/;" m class:RNNCell +get_state_names_override adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names_override(self):$/;" m class:LSTMCell +get_state_names_override adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def get_state_names_override(self):$/;" m class:RNNCell +get_state_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def get_state_shape(s):$/;" f function:_zero_state_tensors file: +get_static_batch_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def get_static_batch_dim(output_shape):$/;" f function:compute_batch_size file: +get_static_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^def get_static_batch_size(layer):$/;" f +get_static_file_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def get_static_file_path(cls):$/;" m class:FigureManagerWebAgg +get_static_fn adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def get_static_fn(cls, fn):$/;" f +get_static_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^def get_static_plugins():$/;" f +get_static_quant_module_class adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_static_quant_module_class(float_module_class):$/;" f +get_static_quant_module_mappings adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def get_static_quant_module_mappings():$/;" f +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def get_static_value(x):$/;" f +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.tensor_util import constant_value as get_static_value$/;" x +get_stats_for_node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_stats_for_node_def(graph, node, statistic_type):$/;" f +get_status adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def get_status(self):$/;" m class:CheckButtons +get_status adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def get_status(self):$/;" m class:TOMS748Solver +get_step adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def get_step(self):$/;" m class:Task +get_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def get_step():$/;" f +get_steps adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def get_steps(self, final):$/;" m class:Sequencer +get_step_breakdown_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def get_step_breakdown_table_args(ipa):$/;" f +get_step_input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def get_step_input_shape(shape):$/;" f member:RNN.build file: +get_step_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def get_step_model(self):$/;" m class:BeamSearchForwardOnly +get_storage_from_record adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def get_storage_from_record(self, name, numel, dtype):$/;" m class:MockZipReader +get_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def get_strategy():$/;" f +get_strategy_and_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def get_strategy_and_replica_context():$/;" f +get_strategy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^def get_strategy_scope(strategy):$/;" f +get_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_stream(self, resource):$/;" m class:ResourceFinder +get_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def get_stream(self, resource):$/;" m class:ZipResourceFinder +get_stretch adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_stretch(self):$/;" m class:FontProperties +get_stretch adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_stretch(self):$/;" m class:Text +get_stride adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def get_stride(size):$/;" f function:get_numerical_jacobian file: +get_strides adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ get_strides = strides.fget$/;" v class:_ctypes +get_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def get_structure(dataset_or_iterator):$/;" f +get_str_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_str_bbox(self, s):$/;" m class:AFM +get_str_bbox_and_descent adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_str_bbox_and_descent(self, s):$/;" m class:AFM +get_style adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_style(self):$/;" m class:FontProperties +get_styles adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_styles(cls):$/;" m class:_Style +get_subdirectory adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_subdirectory(cls, location):$/;" m class:Git +get_subdirectory adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def get_subdirectory(cls, location):$/;" m class:Mercurial +get_subdirectory adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_subdirectory(cls, location):$/;" m class:VersionControl +get_subj_alt_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def get_subj_alt_name(peer_cert):$/;" f +get_subj_alt_name adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def get_subj_alt_name(peer_cert):$/;" f +get_subpackage adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_subpackage(self,subpackage_name,$/;" m class:Configuration +get_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def get_subplotspec(self):$/;" m class:SubplotBase +get_subplotspec adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_subplotspec(self):$/;" m class:AxesDivider +get_subplotspec adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_subplotspec(self):$/;" m class:AxesLocator +get_subplotspec adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_subplotspec(self):$/;" m class:SubplotDivider +get_subplotspec_list adpepsenv/lib/python3.8/site-packages/matplotlib/tight_layout.py /^def get_subplotspec_list(axes_list, grid_spec=None):$/;" f +get_subplot_params adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_subplot_params(self, figure=None):$/;" m class:GridSpec +get_subplot_params adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_subplot_params(self, figure=None):$/;" m class:GridSpecBase +get_subplot_params adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_subplot_params(self, figure=None):$/;" m class:GridSpecFromSubplotSpec +get_subscribers adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def get_subscribers(self, event):$/;" m class:EventMixin +get_sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def get_sub_commands(self):$/;" m class:Command +get_summarized_data adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def get_summarized_data(self):$/;" f +get_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^ def get_summary(self):$/;" m class:StatsAggregatorV1 +get_summary_description adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def get_summary_description(node_def):$/;" f +get_summary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def get_summary_op():$/;" f +get_summary_table_args adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def get_summary_table_args(combined_tf_data_stats):$/;" f +get_sum_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def get_sum_dtype(dtype):$/;" f +get_supported adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def get_supported():$/;" f +get_supported adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def get_supported($/;" f +get_supported_codecs adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def get_supported_codecs():$/;" f +get_supported_features adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def get_supported_features():$/;" f +get_supported_filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_supported_filetypes(cls):$/;" m class:FigureCanvasBase +get_supported_filetypes_grouped adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_supported_filetypes_grouped(cls):$/;" m class:FigureCanvasBase +get_supported_modules adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def get_supported_modules():$/;" f +get_supported_platform adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def get_supported_platform():$/;" f +get_supported_platform adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def get_supported_platform():$/;" f +get_swig_modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^def get_swig_modulename(source):$/;" f +get_swig_target adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^def get_swig_target(source):$/;" f +get_symbol adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def get_symbol(i):$/;" f +get_symbolic_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def get_symbolic_inputs(self, return_single_as_list=False):$/;" m class:ModelInputs +get_symbol_from_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_symbol_from_name(name):$/;" f +get_synchronous_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_synchronous_execution():$/;" f +get_system_info adpepsenv/lib/python3.8/site-packages/scipy/special/setup.py /^ from scipy._build_utils.system_info import get_info as get_system_info$/;" x function:configuration file: +get_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def get_t(self, x):$/;" m class:DoubleInfiniteFunc +get_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def get_t(self, x):$/;" m class:SemiInfiniteFunc +get_tag adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def get_tag(self):$/;" m class:bdist_wheel +get_tag adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^ def get_tag(self):$/;" m class:_bdist_wheel_tag +get_tags adpepsenv/lib/python3.8/site-packages/pip/_internal/models/target_python.py /^ def get_tags(self):$/;" m class:TargetPython +get_target adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def get_target(self):$/;" m class:Gnu95FCompiler +get_task_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def get_task_index():$/;" f +get_task_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def get_task_info(self):$/;" m class:SlurmClusterResolver +get_task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def get_task_type():$/;" f +get_tcon adpeps/tensor/ncon.py /^def get_tcon(v, index):$/;" f +get_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def get_temp_dir(self):$/;" m class:TensorFlowTestCase +get_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def get_temp_dir():$/;" f +get_temp_export_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def get_temp_export_dir(timestamped_export_dir):$/;" f +get_temp_export_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^get_temp_export_dir = export_utils.get_temp_export_dir$/;" v +get_temp_module_name adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def get_temp_module_name():$/;" f +get_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def get_tensor(self, tensor_index):$/;" m class:Interpreter +get_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def get_tensor(self):$/;" m class:DebugTensorDatum +get_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/py_checkpoint_reader.py /^def get_tensor(self, tensor_str):$/;" f +get_tensorflow_version_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^def get_tensorflow_version_lines(include_dependency_versions=False):$/;" f +get_tensorrt_rewriter_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def get_tensorrt_rewriter_config(conversion_params,$/;" f +get_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def get_tensors(self, node_name, output_slot, debug_op, device_name=None):$/;" m class:DebugDumpDir +get_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def get_tensors(self):$/;" m class:TrackableWeightHandler +get_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def get_tensors(graph):$/;" f +get_tensors_from_tensor_names adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def get_tensors_from_tensor_names(graph, tensor_names):$/;" f +get_tensor_by_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def get_tensor_by_name(self, name):$/;" m class:Graph +get_tensor_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def get_tensor_details(self):$/;" m class:Interpreter +get_tensor_file_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def get_tensor_file_paths(self,$/;" m class:DebugDumpDir +get_tensor_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def get_tensor_filter(self, filter_name):$/;" m class:DebugAnalyzer +get_tensor_from_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_tensor_from_tensor_info(tensor_info, graph=None, import_scope=None):$/;" f +get_tensor_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def get_tensor_id(self, op_name, output_slot):$/;" m class:DebuggedGraph +get_tensor_methods adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def get_tensor_methods() -> Set[Callable]:$/;" f +get_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def get_tensor_name(tensor):$/;" f +get_tensor_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def get_tensor_shape(s):$/;" f function:prefer_static_broadcast_shape file: +get_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def get_tensor_spec(t, dynamic_batch=False, name=None):$/;" f +get_tensor_value adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def get_tensor_value(self):$/;" m class:RecordingObserver +get_terminal_size adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ def get_terminal_size():$/;" f +get_testing_overrides adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def get_testing_overrides() -> Dict[Callable, Callable]:$/;" f +get_tests adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def get_tests(collection='original', smoothness=None):$/;" f +get_test_data adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^def get_test_data(delta=0.05):$/;" f +get_test_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_test_data(train_samples,$/;" f +get_test_names adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def get_test_names(test_cases):$/;" f +get_texmanager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_texmanager(self):$/;" m class:RendererBase +get_texmanager adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_texmanager(self):$/;" m class:TextToPath +get_text adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_text(self, lev, fmt):$/;" m class:ContourLabeler +get_text adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_text(self):$/;" m class:TextArea +get_text adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_text(self):$/;" m class:Cell +get_text adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_text(self):$/;" m class:Text +get_text adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_text(self):$/;" m class:AxisLabel +get_texts adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_texts(self):$/;" m class:Legend +get_texts_widths_heights_descents adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_texts_widths_heights_descents(self, renderer):$/;" m class:TickLabels +get_text_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_text_bounds(self, renderer):$/;" m class:Cell +get_text_heights adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_text_heights(self, renderer):$/;" m class:XAxis +get_text_path adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_text_path(self, prop, s, ismath=False):$/;" m class:TextToPath +get_text_widths adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_text_widths(self, renderer):$/;" m class:YAxis +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererAgg +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererCairo +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererPgf +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererSVG +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererTemplate +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererWx +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererPDFPSBase +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:RendererBase +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def get_text_width_height_descent(self, tex, fontsize, renderer=None):$/;" m class:TexManager +get_text_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def get_text_width_height_descent(self, s, prop, ismath):$/;" m class:TextToPath +get_tfdbg_logo adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def get_tfdbg_logo():$/;" f +get_tf_config_cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def get_tf_config_cluster_spec():$/;" f +get_tf_config_task adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def get_tf_config_task():$/;" f +get_thetamax adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_thetamax(self):$/;" m class:PolarAxes +get_thetamin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_thetamin(self):$/;" m class:PolarAxes +get_theta_direction adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_theta_direction(self):$/;" m class:PolarAxes +get_theta_offset adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_theta_offset(self):$/;" m class:PolarAxes +get_threshold adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^def get_threshold():$/;" f +GET_THUNK_CASE_TEMPLATE adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^GET_THUNK_CASE_TEMPLATE = """$/;" v +get_thunk_type_set adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^def get_thunk_type_set():$/;" f +get_tickdir adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tickdir(self):$/;" m class:Tick +get_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticklabels(self, minor=False, which=None):$/;" m class:Axis +get_ticklabel_extents adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticklabel_extents(self, renderer):$/;" m class:Axis +get_ticklines adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticklines(self, minor=False):$/;" m class:Axis +get_ticklocs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticklocs(self, minor=False):$/;" m class:Axis +get_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def get_ticks(self, minor=False):$/;" m class:ColorbarBase +get_ticksize adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_ticksize(self):$/;" m class:Ticks +get_ticks_direction adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticks_direction(self, minor=False):$/;" m class:Axis +get_ticks_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticks_position(self):$/;" m class:XAxis +get_ticks_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_ticks_position(self):$/;" m class:YAxis +get_tick_iterator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_tick_iterator(self, nth_coord, axis_side, minor=False):$/;" m class:GridHelperCurveLinear +get_tick_iterators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_tick_iterators(self, axes):$/;" m class:AxisArtistHelperRectlinear.Fixed +get_tick_iterators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_tick_iterators(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_tick_iterators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def get_tick_iterators(self, axes):$/;" m class:FixedAxisArtistHelper +get_tick_iterators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_tick_iterators(self, axes):$/;" m class:FixedAxisArtistHelper +get_tick_iterators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_tick_iterators(self, axes):$/;" m class:FloatingAxisArtistHelper +get_tick_out adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_tick_out(self):$/;" m class:Ticks +get_tick_padding adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tick_padding(self):$/;" m class:Axis +get_tick_padding adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tick_padding(self):$/;" m class:Tick +get_tick_space adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tick_space(self):$/;" m class:Axis +get_tick_space adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tick_space(self):$/;" m class:XAxis +get_tick_space adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tick_space(self):$/;" m class:YAxis +get_tick_space adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_tick_space(self):$/;" m class:_AxisWrapper +get_tick_space adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_tick_space(self):$/;" m class:_DummyAxis +get_tick_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_tick_transform(self, axes):$/;" m class:AxisArtistHelper.Fixed +get_tick_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def get_tick_transform(self, axes):$/;" m class:AxisArtistHelperRectlinear.Floating +get_tick_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_tick_transform(self, axes):$/;" m class:FixedAxisArtistHelper +get_tick_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def get_tick_transform(self, axes):$/;" m class:FloatingAxisArtistHelper +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_tightbbox(self, renderer):$/;" m class:Artist +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_tightbbox(self, renderer, call_axes_locator=True,$/;" m class:_AxesBase +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_tightbbox(self, renderer, *, for_layout_only=False):$/;" m class:Axis +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_tightbbox(self, renderer, bbox_extra_artists=None):$/;" m class:Figure +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_tightbbox(self, renderer):$/;" m class:Legend +get_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_tightbbox(self, renderer):$/;" m class:AnnotationBbox +get_tightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def get_tightbbox(self, renderer, call_axes_locator=True,$/;" m class:HostAxesBase +get_tightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_tightbbox(self, renderer):$/;" m class:AxisArtist +get_tightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_tightbbox(self, renderer):$/;" m class:Text3D +get_tightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_tightbbox(self, renderer, call_axes_locator=True,$/;" m class:Axes3D +get_tightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def get_tightbbox(self, renderer, *, for_layout_only=False):$/;" m class:Axis +get_tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_tight_layout(self):$/;" m class:Figure +get_tight_layout_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tight_layout.py /^def get_tight_layout_figure(fig, axes_list, subplotspec_list, renderer,$/;" f +get_timedelta64_value adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline npy_timedelta get_timedelta64_value(object obj) nogil:$/;" f +get_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def get_timeout(test_id):$/;" f +get_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def get_timeout(test_id):$/;" f +get_timeout_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def get_timeout_error_regex(self):$/;" m class:FaultyRpcAgentTestFixture +get_timeout_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^ def get_timeout_error_regex(self):$/;" m class:ProcessGroupRpcAgentTestFixture +get_timeout_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def get_timeout_error_regex(self):$/;" m class:RpcAgentTestFixture +get_timeout_error_regex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^ def get_timeout_error_regex(self):$/;" m class:TensorPipeRpcAgentTestFixture +get_timestamped_export_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def get_timestamped_export_dir(export_dir_base):$/;" f +get_timestamped_export_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^get_timestamped_export_dir = export_utils.get_timestamped_export_dir$/;" v +get_timestep adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def get_timestep(self):$/;" m class:BeamSearchForwardOnly +get_title adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_title(self, loc="center"):$/;" m class:Axes +get_title adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_title(self):$/;" m class:Legend +get_tk_widget adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def get_tk_widget(self):$/;" m class:FigureCanvasTk +get_token adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def get_token(self):$/;" m class:_timelex +get_token adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def get_token(self):$/;" m class:Scanner +get_token_from_header adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def get_token_from_header(request):$/;" f +get_tolerance adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def get_tolerance(save_distribution, restore_distribution):$/;" f +get_tolerances adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def get_tolerances(self, dtype):$/;" m class:FuncData +get_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def get_tool(self, name, warn=True):$/;" m class:ToolManager +get_tool_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def get_tool_keymap(self, name):$/;" m class:ToolManager +get_topmost_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_topmost_subplotspec(self):$/;" m class:GridSpecFromSubplotSpec +get_topmost_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_topmost_subplotspec(self):$/;" m class:SubplotSpec +get_topological_weights adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^def get_topological_weights(graph):$/;" f +get_torchscript_modifier adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def get_torchscript_modifier(fn):$/;" f +get_total_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def get_total_loss(add_regularization_losses=True,$/;" f +get_total_memory_usage adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_total_memory_usage(self, dev):$/;" m class:Context +get_to_proto_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def get_to_proto_function(collection_name):$/;" f +get_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^def get_tpu_context():$/;" f +get_tpu_embedding adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def get_tpu_embedding(self, mode):$/;" m class:EmbeddingConfig +get_tpu_embedding_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def get_tpu_embedding_columns(feature_columns):$/;" f +get_tpu_system_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def get_tpu_system_metadata(self):$/;" m class:TPUClusterResolver +get_traced_op_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def get_traced_op_names(self):$/;" m class:TensorTracer +get_tracing_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def get_tracing_count(self):$/;" m class:_CallCounter +get_trainer_version_based_on_optim adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^def get_trainer_version_based_on_optim(optim_def):$/;" f +get_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def get_training_arg(index, args, kwargs):$/;" f +get_training_arg_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def get_training_arg_index(call_fn):$/;" f +get_training_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def get_training_arg_value(self, args, kwargs):$/;" m class:LayerCallCollection +get_training_examples adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def get_training_examples():$/;" f +get_training_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def get_training_nets(self, add_constants=False):$/;" m class:LayersTestCase +get_training_or_validation_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def get_training_or_validation_split(samples, labels, validation_split, subset):$/;" f +get_train_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def get_train_op(meta_graph_def, import_scope=None):$/;" f +get_train_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def get_train_step(self, state_manager, weight_column_name, loss_type,$/;" m class:LinearSDCA +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_transform(self):$/;" m class:Artist +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_transform(self):$/;" m class:Axis +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def get_transform(self):$/;" m class:ContourSet +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_transform(self):$/;" m class:BboxImage +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_transform(self):$/;" m class:_AxLine +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def get_transform(self):$/;" m class:MarkerStyle +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_transform(self):$/;" m class:AuxTransformBox +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_transform(self):$/;" m class:DrawingArea +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_transform(self):$/;" m class:Patch +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:FuncScale +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:FuncScaleLog +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:LinearScale +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:LogitScale +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:LogScale +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:ScaleBase +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def get_transform(self):$/;" m class:SymmetricalLogScale +get_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^ def get_transform(self):$/;" m class:test_regularpolycollection_scale.SquareCollection +get_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_transform(self):$/;" m class:AxisArtist +get_transformed_clip_path_and_affine adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_transformed_clip_path_and_affine(self):$/;" m class:Artist +get_transformed_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def get_transformed_name(self, node):$/;" m class:PyToTF +get_transformed_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def get_transformed_name(self, node):$/;" m class:GenericTranspiler +get_transformed_path_and_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_transformed_path_and_affine(self):$/;" m class:TransformedPath +get_transformed_points_and_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def get_transformed_points_and_affine(self):$/;" m class:TransformedPath +get_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_transforms(self):$/;" m class:Collection +get_translation_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def get_translation_matrix(translations, name=None):$/;" f +get_traverse_shallow_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def get_traverse_shallow_structure(traverse_fn, structure,$/;" f +get_trifinder adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def get_trifinder(self):$/;" m class:Triangulation +get_trlib_quadratic_subproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trlib/__init__.py /^def get_trlib_quadratic_subproblem(tol_rel_i=-2.0, tol_rel_b=-3.0, disp=False):$/;" f +get_tuple_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def get_tuple_shape(nb_channels):$/;" f member:ConvRNN2D.reset_states file: +get_type adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def get_type(self):$/;" m class:ModelLayer +get_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def get_type(self):$/;" m class:MockRequest +get_type adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def get_type(self):$/;" m class:MockRequest +get_type adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^def get_type(info, arg):$/;" f +get_type_hints adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def get_type_hints(obj, globalns=None, localns=None, include_extras=False):$/;" f +get_type_hints adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ get_type_hints = typing.get_type_hints$/;" v +get_type_line adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def get_type_line(source):$/;" f +get_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/ui_factory.py /^def get_ui(ui_type,$/;" f +get_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_uid(prefix=''):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def get_unbound_function(unbound):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def get_unbound_function(unbound):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def get_unbound_function(unbound):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def get_unbound_function(unbound):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/six.py /^ def get_unbound_function(unbound):$/;" f +get_unbound_function adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def get_unbound_function(unbound):$/;" f +get_undefined_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def get_undefined_blobs(ssa):$/;" f +get_underline_thickness adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_underline_thickness(self):$/;" m class:AFM +get_underline_thickness adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_underline_thickness(self, font, fontsize, dpi):$/;" m class:Fonts +get_underline_thickness adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_underline_thickness(self, font, fontsize, dpi):$/;" m class:StandardPsFonts +get_underline_thickness adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_underline_thickness(self, font, fontsize, dpi):$/;" m class:TruetypeFonts +get_unicode_from_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def get_unicode_from_response(r):$/;" f +get_unicode_from_response adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def get_unicode_from_response(r):$/;" f +get_unicode_index adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^def get_unicode_index(symbol, math=True):$/;" f +get_unique_devices_ adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def get_unique_devices_(module):$/;" f +get_unique_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def get_unique_graph(tops, check_types=None, none_if_empty=False):$/;" f +get_unique_tags adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def get_unique_tags(field_to_obs):$/;" f +get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_unit(self):$/;" m class:OffsetFrom +get_unitless_position adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_unitless_position(self):$/;" m class:Text +get_units adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_units(self):$/;" m class:Axis +get_unit_generic adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def get_unit_generic(freq):$/;" m class:RRuleLocator +get_unpack_formats adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def get_unpack_formats():$/;" f +get_unpartitioned_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def get_unpartitioned_shape(self, shape):$/;" m class:ShardingPolicy +get_unpatched adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def get_unpatched(item):$/;" f +get_unpatched_class adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def get_unpatched_class(cls):$/;" f +get_unpatched_function adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def get_unpatched_function(candidate):$/;" f +get_unscaled_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_unscaled_gradients(self, grads):$/;" m class:LossScaleOptimizer +get_unsharded_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def get_unsharded_shape(self, shapes):$/;" m class:ShardingPolicy +get_unused_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def get_unused_handle(x):$/;" f member:_WrapperFunction._call_flat file: +get_unused_import_aliases adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^def get_unused_import_aliases(tree, sc=None):$/;" f +get_updated_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def get_updated_ranges(ranges, max_live=None):$/;" f +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Adadelta +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Adagrad +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Adam +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Adamax +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Nadam +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:Optimizer +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:RMSprop +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:SGD +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_updates(self, loss, params):$/;" m class:TFOptimizer +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_updates(self, loss, params):$/;" m class:OptimizerV2 +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def get_updates(self, loss, params):$/;" m class:mock_optimizer._Optimizer +get_updates adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def get_updates(self, loss, params):$/;" m class:mock_optimizer._Optimizer +get_updates_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_updates_for(self, inputs):$/;" m class:Layer +get_updates_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_updates_for(self, inputs):$/;" m class:Layer +get_update_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def get_update_replica_id():$/;" f +get_uptodate adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def get_uptodate(self, packages, options):$/;" m class:ListCommand +get_url adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_url(self):$/;" m class:Artist +get_url adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_url(self):$/;" m class:GraphicsContextBase +get_url adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_url(self):$/;" m class:DistributionMetadata +get_url adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def get_url(self):$/;" m class:TensorBoardServer +get_url adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def get_url(self):$/;" m class:WerkzeugServer +get_urls adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_urls(self):$/;" m class:Collection +get_url_rev_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def get_url_rev_and_auth(cls, url):$/;" m class:Bazaar +get_url_rev_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def get_url_rev_and_auth(cls, url):$/;" m class:Git +get_url_rev_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_url_rev_and_auth(cls, url):$/;" m class:Subversion +get_url_rev_and_auth adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_url_rev_and_auth(cls, url):$/;" m class:VersionControl +get_url_rev_options adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def get_url_rev_options(self, url):$/;" m class:VersionControl +get_url_scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/urls.py /^def get_url_scheme(url):$/;" f +get_usedict adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def get_usedict(block):$/;" f +get_used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_used_characters(self):$/;" m class:Fonts +get_useLocale adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_useLocale(self):$/;" m class:ScalarFormatter +get_useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_useMathText(self):$/;" m class:EngFormatter +get_useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_useMathText(self):$/;" m class:ScalarFormatter +get_useOffset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_useOffset(self):$/;" m class:ScalarFormatter +get_useparameters adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def get_useparameters(block, param_map=None):$/;" f +get_userinfo_claims adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def get_userinfo_claims(self, request):$/;" m class:RequestValidator +get_user_config_directory adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def get_user_config_directory():$/;" f +get_user_credentials adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/interactive.py /^def get_user_credentials(scopes, client_id, client_secret):$/;" f +get_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_usetex(self):$/;" m class:Text +get_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_usetex(self):$/;" m class:EngFormatter +get_v1_constants adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_v1_constants(module):$/;" f +get_v1_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_v1_names(symbol):$/;" f +get_v2_constants adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_v2_constants(module):$/;" f +get_v2_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def get_v2_names(symbol):$/;" f +get_v2_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def get_v2_optimizer(name, **kwargs):$/;" f +get_valid_values adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_valid_values(self, attr):$/;" m class:ArtistInspector +get_value adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def get_value():$/;" f member:FlagValues._parse_args file: +get_value adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def get_value(cls, data, offset, expect_indirect=None, max_nesting=-1):$/;" m class:PdfParser +get_value adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def get_value(self, key):$/;" m class:Configuration +get_value adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def get_value(cls, path, key):$/;" m class:Reg +get_value adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ get_value = classmethod(get_value)$/;" v class:Reg +get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def get_value(self, name=None):$/;" m class:Optional +get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def get_value(self, name=None):$/;" m class:_OptionalImpl +get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def get_value(x):$/;" f +get_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def get_value(self) -> int:$/;" m class:MyScriptClass +get_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def get_value(self):$/;" m class:MyClass +get_values_in_config adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def get_values_in_config(self, variant):$/;" m class:Configuration +get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_variable(self, feature_column, name):$/;" m class:StateManager +get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def get_variable(self, feature_column, name):$/;" m class:_StateManagerImpl +get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def get_variable(self,$/;" m class:VariableScope +get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def get_variable(self,$/;" m class:_VariableStore +get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def get_variable(name,$/;" f +get_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def get_variables(self, variable_names=None):$/;" m class:MatFile4Reader +get_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def get_variables(self, variable_names=None):$/;" m class:MatFile5Reader +get_variables adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def get_variables(self, z):$/;" m class:BarrierSubproblem +get_variables_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_variables_dir(export_dir):$/;" f +get_variables_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def get_variables_path(export_dir):$/;" f +get_variable_by_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def get_variable_by_name(var_name):$/;" f +get_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def get_variable_names(self):$/;" m class:Estimator +get_variable_or_local_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^get_variable_or_local_docstring = ("""%s$/;" v +get_variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def get_variable_scope():$/;" f +get_variable_scope_store adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def get_variable_scope_store():$/;" f +get_variable_to_dtype_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/py_checkpoint_reader.py /^def get_variable_to_dtype_map(self):$/;" f +get_variable_value adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def get_variable_value(self, name):$/;" m class:Estimator +get_variant adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_variant(self):$/;" m class:FontProperties +get_variation_axes adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def get_variation_axes(self):$/;" m class:FreeTypeFont +get_variation_names adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def get_variation_names(self):$/;" m class:FreeTypeFont +get_var_on_current_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def get_var_on_current_device(self):$/;" m class:PackedDistributedVariable +get_var_on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def get_var_on_device(self, device):$/;" m class:PackedDistributedVariable +get_vcs_version adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def get_vcs_version(self):$/;" m class:Subversion +get_vector adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def get_vector(self, segments3d):$/;" m class:Poly3DCollection +get_vendor_version_from_module adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def get_vendor_version_from_module(module_name):$/;" f +get_verbosity adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def get_verbosity():$/;" f +get_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def get_verbosity():$/;" f +get_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def get_verbosity():$/;" f +get_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def get_verbosity():$/;" f +get_verify_command adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def get_verify_command(self, signature_filename, data_filename,$/;" m class:PackageIndex +get_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ def get_version(self, force=0, ok_status=[256, 0, 1]):$/;" m class:HPUXFCompiler +get_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ def get_version(self,*args,**kwds):$/;" m class:IBMFCompiler +get_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def get_version(self, force=False, ok_status=[0]):$/;" m class:FCompiler +get_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def get_version(self, version_file=None, version_variable=None):$/;" m class:Configuration +get_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def get_version(path_map, info_dir):$/;" f member:Wheel.update file: +get_version adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def get_version(self, paths=None, default="unknown"):$/;" m class:Require +get_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def get_version(self):$/;" m class:DistributionMetadata +get_version adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^ def get_version(self, name):$/;" m class:ExtensionVersioner +get_version versioneer.py /^def get_version():$/;" f +get_versions adpeps/_version.py /^def get_versions():$/;" f +get_versions adpepsenv/lib/python3.8/site-packages/matplotlib/_version.py /^def get_versions():$/;" f +get_versions adpepsenv/lib/python3.8/site-packages/opt_einsum/_version.py /^def get_versions():$/;" f +get_versions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def get_versions(ver_remaining):$/;" f function:parse_requirement file: +get_versions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^def get_versions():$/;" f +get_versions versioneer.py /^def get_versions(verbose=False):$/;" f +get_version_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ def get_version_cmd(self):$/;" m class:VastFCompiler +get_vertical adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_vertical(self):$/;" m class:Divider +get_verticalalignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_verticalalignment(self):$/;" m class:Text +get_vertical_sizes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_vertical_sizes(self, renderer):$/;" m class:Divider +get_vertical_stem_width adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_vertical_stem_width(self):$/;" m class:AFM +get_verts adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_verts(self):$/;" m class:Patch +get_viewlim_mode adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def get_viewlim_mode(self):$/;" m class:ParasiteAxesAuxTransBase +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_view_interval(self):$/;" m class:Axis +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_view_interval(self):$/;" m class:Tick +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_view_interval(self):$/;" m class:XTick +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def get_view_interval(self):$/;" m class:YTick +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_view_interval, set_view_interval = _make_getset_interval($/;" v class:XAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_view_interval, set_view_interval = _make_getset_interval($/;" v class:YAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_view_interval(self):$/;" m class:_AxisWrapper +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def get_view_interval(self):$/;" m class:SkewXAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def get_view_interval(self):$/;" m class:SkewXTick +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def get_view_interval(self):$/;" m class:FakeAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def get_view_interval(self):$/;" m class:_DummyAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:XAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:YAxis +get_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:ZAxis +get_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/experimental/__init__.py /^from tensorflow.python.framework.config import get_logical_device_configuration as get_virtual_d/;" x +get_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/experimental/__init__.py /^from tensorflow.python.framework.config import get_logical_device_configuration as get_virtual_d/;" x +get_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/experimental/__init__.py /^from tensorflow.python.framework.config import get_logical_device_configuration as get_virtual_d/;" x +get_visible adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_visible(self):$/;" m class:Artist +get_visible_children adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_visible_children(self):$/;" m class:OffsetBox +get_visible_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def get_visible_devices(self, device_type=None):$/;" m class:Context +get_visible_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def get_visible_devices(device_type=None):$/;" f +get_vlen adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .h5t import py_get_vlen as get_vlen$/;" x +get_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def get_vocabulary(self):$/;" m class:IndexLookup +get_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup.py /^ def get_vocabulary(self):$/;" m class:StringLookup +get_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def get_vocabulary(self):$/;" m class:TextVectorization +get_vocabulary_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^def get_vocabulary_from_file(vocabulary_path, encoding="utf-8"):$/;" f +get_vsize_hsize adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def get_vsize_hsize(self):$/;" m class:Divider +get_vsize_hsize adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def get_vsize_hsize(self):$/;" m class:Grid +get_w adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def get_w(self):$/;" m class:MyModule +get_weight adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_weight(self):$/;" m class:AFM +get_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_weight(): # From fontconfig's FcFreeTypeQueryFaceInternal.$/;" f function:ttfFontProperty file: +get_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def get_weight(self):$/;" m class:FontProperties +get_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def get_weight(self):$/;" m class:RNNBase +get_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def get_weight(self):$/;" m class:RNNCellBase +get_weight adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def get_weight(m):$/;" f +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def get_weights(self):$/;" m class:Layer +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def get_weights(self):$/;" m class:Layer +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def get_weights(self):$/;" m class:Model +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def get_weights(self):$/;" m class:Model +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def get_weights(self):$/;" m class:LossScaleOptimizer +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def get_weights(self):$/;" m class:Optimizer +get_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def get_weights(self):$/;" m class:OptimizerV2 +get_weights_and_check_match_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def get_weights_and_check_match_logits(features,$/;" f +get_weight_bias adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def get_weight_bias(ihhh):$/;" f member:QuantizedRNNBase.__init__ file: +get_weight_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_weight_key_name(self):$/;" m class:_TPUBaseEmbeddingColumn +get_weight_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_weight_key_name(self):$/;" m class:_TPUEmbeddingColumn +get_weight_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def get_weight_key_name(self):$/;" m class:_TPUSharedEmbeddingColumn +get_weight_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_weight_key_name(self):$/;" m class:_TPUEmbeddingColumnV2 +get_weight_key_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def get_weight_key_name(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +get_wheel_cache_entry adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def get_wheel_cache_entry(self, link, name):$/;" m class:Factory +get_wheel_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def get_wheel_metadata(self, zf):$/;" m class:Wheel +get_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_width(self):$/;" m class:Ellipse +get_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_width(self):$/;" m class:FancyBboxPatch +get_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_width(self):$/;" m class:Rectangle +get_width_char adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_width_char(self, c, isord=False):$/;" m class:AFM +get_width_from_char_name adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_width_from_char_name(self, name):$/;" m class:AFM +get_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def get_width_height(self):$/;" m class:FigureCanvasQT +get_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_width_height(self):$/;" m class:FigureCanvasBase +get_width_height_descent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def get_width_height_descent(self, text, prop):$/;" m class:LatexManager +get_width_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def get_width_ratios(self):$/;" m class:GridSpecBase +get_win32_calls adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def get_win32_calls(self):$/;" m class:AnsiToWin32 +get_window adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def get_window(window, Nx, fftbins=True):$/;" f +get_windows_version adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def get_windows_version(run_lambda):$/;" f +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_window_extent(self, renderer):$/;" m class:Artist +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_window_extent(self, *args, **kwargs):$/;" m class:_AxesBase +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def get_window_extent(self, renderer):$/;" m class:Collection +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def get_window_extent(self, *args, **kwargs):$/;" m class:Figure +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_window_extent(self, renderer=None):$/;" m class:AxesImage +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def get_window_extent(self, renderer=None):$/;" m class:BboxImage +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def get_window_extent(self, renderer=None):$/;" m class:Legend +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_window_extent(self, renderer):$/;" m class:Line2D +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:AnchoredOffsetbox +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:AnnotationBbox +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:AuxTransformBox +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:DrawingArea +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:OffsetBox +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:OffsetImage +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_window_extent(self, renderer):$/;" m class:TextArea +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_window_extent(self, renderer=None):$/;" m class:Patch +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def get_window_extent(self, renderer=None):$/;" m class:Spine +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def get_window_extent(self, renderer):$/;" m class:Table +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_window_extent(self, renderer=None):$/;" m class:Annotation +get_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_window_extent(self, renderer=None, dpi=None):$/;" m class:Text +get_window_extent adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_window_extent(self, renderer):$/;" m class:AxisLabel +get_window_extent adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_window_extent(self, renderer):$/;" m class:LabelBase +get_window_extents adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def get_window_extents(self, renderer):$/;" m class:TickLabels +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def get_window_title(self):$/;" m class:FigureManagerGTK3 +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def get_window_title(self):$/;" m class:FigureManagerQT +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_window_title(self):$/;" m class:FigureManagerWx +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def get_window_title(self):$/;" m class:FigureManagerTk +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_window_title(self):$/;" m class:FigureCanvasBase +get_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def get_window_title(self):$/;" m class:FigureManagerBase +get_win_certfile adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^def get_win_certfile():$/;" f +get_win_launcher adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def get_win_launcher(type):$/;" f +get_word_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/imdb.py /^def get_word_index(path='imdb_word_index.json'):$/;" f +get_word_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/reuters.py /^def get_word_index(path='reuters_word_index.json'):$/;" f +get_workers adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def get_workers():$/;" f +get_worker_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^def get_worker_ids(num_workers):$/;" f +get_worker_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def get_worker_ids(self):$/;" m class:GlobalWorkerCoordinator +get_worker_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def get_worker_ids(self):$/;" m class:WorkerCoordinator +get_worker_id_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def get_worker_id_queue():$/;" f +get_worker_info adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def get_worker_info(worker_name=None):$/;" f +get_worker_info adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^get_worker_info = _utils.worker.get_worker_info$/;" v +get_worker_info adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^def get_worker_info():$/;" f +get_worker_infos adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def get_worker_infos(self):$/;" m class:StubRpcAgent +get_worker_list adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def get_worker_list(cluster_resolver):$/;" f +get_world_size adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def get_world_size(group=group.WORLD):$/;" f +get_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def get_wrap(self):$/;" m class:Text +get_writable_path adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def get_writable_path(path):$/;" f +get_writer adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_writer(cls):$/;" m class:WindowsScriptWriter +get_writer adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def get_writer(cls, force_windows):$/;" m class:ScriptWriter +get_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def get_writer(self):$/;" m class:_DumpingCallback +get_wsgi_headers adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def get_wsgi_headers(self, environ):$/;" m class:BaseResponse +get_wsgi_response adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def get_wsgi_response(self, environ):$/;" m class:BaseResponse +get_wxcolour adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_wxcolour(self, color):$/;" m class:GraphicsContextWx +get_wx_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def get_wx_font(self, s, prop):$/;" m class:RendererWx +get_w_lims adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_w_lims(self):$/;" m class:Axes3D +get_x adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_x(self):$/;" m class:FancyBboxPatch +get_x adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_x(self):$/;" m class:Rectangle +get_xaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xaxis(self):$/;" m class:_AxesBase +get_xaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xaxis_text1_transform(self, pad_points):$/;" m class:_AxesBase +get_xaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_xaxis_text1_transform(self, pad):$/;" m class:GeoAxes +get_xaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_xaxis_text1_transform(self, pad):$/;" m class:PolarAxes +get_xaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xaxis_text2_transform(self, pad_points):$/;" m class:_AxesBase +get_xaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_xaxis_text2_transform(self, pad):$/;" m class:GeoAxes +get_xaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_xaxis_text2_transform(self, pad):$/;" m class:PolarAxes +get_xaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xaxis_transform(self, which='grid'):$/;" m class:_AxesBase +get_xaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_xaxis_transform(self, which='grid'):$/;" m class:GeoAxes +get_xaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_xaxis_transform(self, which='grid'):$/;" m class:PolarAxes +get_xbound adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xbound(self):$/;" m class:_AxesBase +get_xdata adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_xdata(self, legend, xdescent, ydescent, width, height, fontsize):$/;" m class:HandlerNpoints +get_xdata adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_xdata(self, orig=True):$/;" m class:Line2D +get_xgridlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xgridlines = _axis_method_wrapper("xaxis", "get_gridlines")$/;" v class:_AxesBase +get_xheight adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def get_xheight(self):$/;" m class:AFM +get_xheight adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_xheight(self, font, fontsize, dpi):$/;" m class:Fonts +get_xheight adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_xheight(self, font, fontsize, dpi):$/;" m class:StandardPsFonts +get_xheight adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def get_xheight(self, fontname, fontsize, dpi):$/;" m class:TruetypeFonts +get_xlabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_xlabel(self):$/;" m class:Axes +get_xlim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_xlim(self):$/;" m class:_AxesBase +get_xlim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_xlim3d(self):$/;" m class:Axes3D +get_xmajorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xmajorticklabels = _axis_method_wrapper("xaxis", "get_majorticklabels")$/;" v class:_AxesBase +get_xminorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xminorticklabels = _axis_method_wrapper("xaxis", "get_minorticklabels")$/;" v class:_AxesBase +get_xscale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xscale = _axis_method_wrapper("xaxis", "get_scale")$/;" v class:_AxesBase +get_xticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xticklabels = _axis_method_wrapper("xaxis", "get_ticklabels")$/;" v class:_AxesBase +get_xticklines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xticklines = _axis_method_wrapper("xaxis", "get_ticklines")$/;" v class:_AxesBase +get_xticks adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_xticks = _axis_method_wrapper("xaxis", "get_ticklocs")$/;" v class:_AxesBase +get_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_xy(self):$/;" m class:Polygon +get_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_xy(self):$/;" m class:Rectangle +get_xydata adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_xydata(self):$/;" m class:Line2D +get_y adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_y(self):$/;" m class:FancyBboxPatch +get_y adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def get_y(self):$/;" m class:Rectangle +get_yaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_yaxis(self):$/;" m class:_AxesBase +get_yaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_yaxis_text1_transform(self, pad_points):$/;" m class:_AxesBase +get_yaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_yaxis_text1_transform(self, pad):$/;" m class:GeoAxes +get_yaxis_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_yaxis_text1_transform(self, pad):$/;" m class:PolarAxes +get_yaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_yaxis_text2_transform(self, pad_points):$/;" m class:_AxesBase +get_yaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_yaxis_text2_transform(self, pad):$/;" m class:GeoAxes +get_yaxis_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_yaxis_text2_transform(self, pad):$/;" m class:PolarAxes +get_yaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_yaxis_transform(self, which='grid'):$/;" m class:_AxesBase +get_yaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def get_yaxis_transform(self, which='grid'):$/;" m class:GeoAxes +get_yaxis_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def get_yaxis_transform(self, which='grid'):$/;" m class:PolarAxes +get_ybound adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_ybound(self):$/;" m class:_AxesBase +get_ydata adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_ydata(self, legend, xdescent, ydescent, width, height, fontsize):$/;" m class:HandlerNpointsYoffsets +get_ydata adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def get_ydata(self, legend, xdescent, ydescent, width, height, fontsize):$/;" m class:HandlerStem +get_ydata adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def get_ydata(self, orig=True):$/;" m class:Line2D +get_ygridlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_ygridlines = _axis_method_wrapper("yaxis", "get_gridlines")$/;" v class:_AxesBase +get_yield_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def get_yield_op(self):$/;" m class:RecordInput +get_ylabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def get_ylabel(self):$/;" m class:Axes +get_ylim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def get_ylim(self):$/;" m class:_AxesBase +get_ylim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_ylim3d(self):$/;" m class:Axes3D +get_ymajorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_ymajorticklabels = _axis_method_wrapper("yaxis", "get_majorticklabels")$/;" v class:_AxesBase +get_yminorticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_yminorticklabels = _axis_method_wrapper("yaxis", "get_minorticklabels")$/;" v class:_AxesBase +get_yscale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_yscale = _axis_method_wrapper("yaxis", "get_scale")$/;" v class:_AxesBase +get_yticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_yticklabels = _axis_method_wrapper("yaxis", "get_ticklabels")$/;" v class:_AxesBase +get_yticklines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_yticklines = _axis_method_wrapper("yaxis", "get_ticklines")$/;" v class:_AxesBase +get_yticks adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ get_yticks = _axis_method_wrapper("yaxis", "get_ticklocs")$/;" v class:_AxesBase +get_zaxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_zaxis(self):$/;" m class:Axes3D +get_zbound adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_zbound(self):$/;" m class:Axes3D +get_zeros_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/default_gradient.py /^def get_zeros_dtype(t):$/;" f +get_zgridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zgridlines = _axis_method_wrapper("zaxis", "get_gridlines")$/;" v class:Axes3D +get_zipinfo_datetime adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^def get_zipinfo_datetime(timestamp=None):$/;" f +get_zlabel adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_zlabel(self):$/;" m class:Axes3D +get_zlim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_zlim3d(self):$/;" m class:Axes3D +get_zmajorticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zmajorticklabels = _axis_method_wrapper("zaxis", "get_majorticklabels")$/;" v class:Axes3D +get_zminorticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zminorticklabels = _axis_method_wrapper("zaxis", "get_minorticklabels")$/;" v class:Axes3D +get_zonefile_instance adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^def get_zonefile_instance(new_instance=False):$/;" f +get_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def get_zoom(self):$/;" m class:OffsetImage +get_zoom_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def get_zoom_matrix(zooms, image_height, image_width, name=None):$/;" f +get_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def get_zorder(self):$/;" m class:Artist +get_zscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def get_zscale(self):$/;" m class:Axes3D +get_zticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zticklabels = _axis_method_wrapper("zaxis", "get_ticklabels")$/;" v class:Axes3D +get_zticklines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zticklines = _axis_method_wrapper("zaxis", "get_ticklines")$/;" v class:Axes3D +get_zticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ get_zticks = _axis_method_wrapper("zaxis", "get_ticklocs")$/;" v class:Axes3D +ge_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ge_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'ge')$/;" v +GFile adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^class GFile(object):$/;" c +GFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^class GFile(_FileIO):$/;" c +gfortran_legacy_flag_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def gfortran_legacy_flag_hook(cmd, ext):$/;" f +gfortran_version_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^gfortran_version_strings = [$/;" v +gfs_IN1k adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ gfs_IN1k as gfs_IN1k # noqa$/;" I +GFtrlOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class GFtrlOptimizer(Optimizer):$/;" c +gfunc adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^gfunc = {i: globals()["_g{}".format(i)] for i in range(37)}$/;" v +gg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ gg = normal(size=n)$/;" v +GHMarkdown adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^class GHMarkdown(Markdown):$/;" c +GHOpDocGenerator adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^class GHOpDocGenerator(OpDocGenerator):$/;" c +GHOpDocUploader adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^class GHOpDocUploader(DocUploader):$/;" c +GHOperatorDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^class GHOperatorDoc(OperatorDoc):$/;" c +GHOperatorEngine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^class GHOperatorEngine(OperatorEngine):$/;" c +Ghostscript adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^def Ghostscript(tile, size, fp, scale=1):$/;" f +gibi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^gibi = 2**30$/;" v +GifImageFile adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^class GifImageFile(ImageFile.ImageFile):$/;" c +giga adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^giga = 1e9$/;" v +gilbrat adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gilbrat = gilbrat_gen(a=0.0, name='gilbrat')$/;" v +gilbrat_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gilbrat_gen(rv_continuous):$/;" c +GimpGradientFile adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^class GimpGradientFile(GradientFile):$/;" c +GimpPaletteFile adpepsenv/lib/python3.8/site-packages/PIL/GimpPaletteFile.py /^class GimpPaletteFile:$/;" c +ginput adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def ginput(self, n=1, timeout=30, show_clicks=True,$/;" m class:Figure +ginput adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ginput($/;" f +GIS adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def GIS(op):$/;" f +gisfinite adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def gisfinite(x):$/;" f +gisinf adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def gisinf(x):$/;" f +gisnan adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def gisnan(x):$/;" f +Git adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^class Git(VersionControl):$/;" c +git_get_keywords adpeps/_version.py /^def git_get_keywords(versionfile_abs):$/;" f +git_get_keywords versioneer.py /^def git_get_keywords(versionfile_abs):$/;" f +git_pieces_from_vcs adpeps/_version.py /^def git_pieces_from_vcs(tag_prefix, root, verbose, run_command=run_command):$/;" f +git_pieces_from_vcs versioneer.py /^def git_pieces_from_vcs(tag_prefix, root, verbose, run_command=run_command):$/;" f +git_revision adpepsenv/lib/python3.8/site-packages/numpy/version.py /^git_revision = '8f4b73a0d04f7bebb06a154b43e5ef5b5980052f'$/;" v +git_revision adpepsenv/lib/python3.8/site-packages/scipy/version.py /^git_revision = 'ab1c0907fe9255582397db04592d6066745018d3'$/;" v +GIT_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^GIT_VERSION = __git_version__$/;" v +git_version adpepsenv/lib/python3.8/site-packages/torch/version.py /^git_version = '57bffc3a8e4fee0cce31e1ff1f662ccf7b16db57'$/;" v +git_versions_from_keywords adpeps/_version.py /^def git_versions_from_keywords(keywords, tag_prefix, verbose):$/;" f +git_versions_from_keywords versioneer.py /^def git_versions_from_keywords(keywords, tag_prefix, verbose):$/;" f +given adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^def given(*given_args, **given_kwargs):$/;" f +GlibcVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ GlibcVersion = Tuple[int, int]$/;" v +GlibcVersion adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ GlibcVersion = Tuple[int, int]$/;" v +glibcVersion adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^glibcVersion = collections.namedtuple("Version", ["major", "minor"])$/;" v +glibc_version_string adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/glibc.py /^def glibc_version_string():$/;" f +glibc_version_string_confstr adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/glibc.py /^def glibc_version_string_confstr():$/;" f +glibc_version_string_ctypes adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/glibc.py /^def glibc_version_string_ctypes():$/;" f +glob adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def glob(pathname, recursive=False):$/;" f +glob adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def glob(self, filename):$/;" m class:LocalFileSystem +glob adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def glob(self, filename):$/;" m class:S3FileSystem +glob adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def glob(filename):$/;" f +Glob adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import get_matching_files as Glob$/;" x +Glob adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import get_matching_files as Glob$/;" x +glob adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import get_matching_files_v2 as glob$/;" x +glob adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import get_matching_files_v2 as glob$/;" x +glob adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import get_matching_files_v2 as glob$/;" x +glob0 adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def glob0(dirname, basename):$/;" f +glob1 adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def glob1(dirname, pattern):$/;" f +glob2 adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def glob2(dirname, pattern):$/;" f +GLOBAL adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ GLOBAL = 'global'$/;" v class:WorkspaceType +Global adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^Global = Stat # compatibility$/;" v +GlobalAveragePooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalAveragePooling1D(GlobalPooling1D):$/;" c +GlobalAveragePooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalAveragePooling2D(GlobalPooling2D):$/;" c +GlobalAveragePooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalAveragePooling3D(GlobalPooling3D):$/;" c +GlobalAvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling1D as GlobalAvgPool1D$/;" x +GlobalAvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling1D as GlobalAvgPool1D$/;" x +GlobalAvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling1D as GlobalAvgPool1D$/;" x +GlobalAvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling1D as GlobalAvgPool1D$/;" x +GlobalAvgPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalAvgPool1D = GlobalAveragePooling1D$/;" v +GlobalAvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling2D as GlobalAvgPool2D$/;" x +GlobalAvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling2D as GlobalAvgPool2D$/;" x +GlobalAvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling2D as GlobalAvgPool2D$/;" x +GlobalAvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling2D as GlobalAvgPool2D$/;" x +GlobalAvgPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalAvgPool2D = GlobalAveragePooling2D$/;" v +GlobalAvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling3D as GlobalAvgPool3D$/;" x +GlobalAvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling3D as GlobalAvgPool3D$/;" x +GlobalAvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling3D as GlobalAvgPool3D$/;" x +GlobalAvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalAveragePooling3D as GlobalAvgPool3D$/;" x +GlobalAvgPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalAvgPool3D = GlobalAveragePooling3D$/;" v +GlobalCoordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^class GlobalCoordinator(GlobalWorkerCoordinator):$/;" c +GlobalDataHandle adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^GlobalDataHandle = _reflection.GeneratedProtocolMessageType('GlobalDataHandle', (_message.Messag/;" v +GlobalInit adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def GlobalInit(args):$/;" f +GlobalInit adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^GlobalInit = C.global_init$/;" v +globally_named_object_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def globally_named_object_attributes(self, trackable):$/;" m class:_NameBasedRestoreCoordinator +GlobalMaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling1D as GlobalMaxPool1D$/;" x +GlobalMaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling1D as GlobalMaxPool1D$/;" x +GlobalMaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling1D as GlobalMaxPool1D$/;" x +GlobalMaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling1D as GlobalMaxPool1D$/;" x +GlobalMaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalMaxPool1D = GlobalMaxPooling1D$/;" v +GlobalMaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling2D as GlobalMaxPool2D$/;" x +GlobalMaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling2D as GlobalMaxPool2D$/;" x +GlobalMaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling2D as GlobalMaxPool2D$/;" x +GlobalMaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling2D as GlobalMaxPool2D$/;" x +GlobalMaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalMaxPool2D = GlobalMaxPooling2D$/;" v +GlobalMaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling3D as GlobalMaxPool3D$/;" x +GlobalMaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling3D as GlobalMaxPool3D$/;" x +GlobalMaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling3D as GlobalMaxPool3D$/;" x +GlobalMaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import GlobalMaxPooling3D as GlobalMaxPool3D$/;" x +GlobalMaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^GlobalMaxPool3D = GlobalMaxPooling3D$/;" v +GlobalMaxPooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalMaxPooling1D(GlobalPooling1D):$/;" c +GlobalMaxPooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalMaxPooling2D(GlobalPooling2D):$/;" c +GlobalMaxPooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalMaxPooling3D(GlobalPooling3D):$/;" c +GlobalOpDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^class GlobalOpDispatcher(object):$/;" c +GlobalPooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalPooling1D(Layer):$/;" c +GlobalPooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalPooling2D(Layer):$/;" c +GlobalPooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class GlobalPooling3D(Layer):$/;" c +GlobalStepWaiterHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class GlobalStepWaiterHook(session_run_hook.SessionRunHook):$/;" c +globals_in_original_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def globals_in_original_context(caller_fn_scope):$/;" f +GlobalWorkerCoordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^class GlobalWorkerCoordinator(object):$/;" c +global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def global_batch_size(self):$/;" m class:_InternalTPUContext +global_batch_size_supported adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils.py /^def global_batch_size_supported(distribution_strategy):$/;" f +GLOBAL_BENCHMARK_REGISTRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^GLOBAL_BENCHMARK_REGISTRY = set()$/;" v +global_clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def global_clipnorm(self):$/;" m class:LossScaleOptimizer +global_clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def global_clipnorm(self, val):$/;" m class:LossScaleOptimizer +global_clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def global_clipnorm(self):$/;" m class:OptimizerV2 +global_clipnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def global_clipnorm(self, val):$/;" m class:OptimizerV2 +global_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^global_compiler = None$/;" v +global_coordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^global_coordinator = GlobalCoordinator()$/;" v +global_coordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^global_coordinator = GlobalWorkerCoordinator()$/;" v +global_exclude adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def global_exclude(self, pattern):$/;" m class:FileList +global_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^global_generator = None$/;" v +global_id_in_cluster adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def global_id_in_cluster(self):$/;" m class:RunConfig +global_include adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def global_include(self, pattern):$/;" m class:FileList +global_init_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def global_init_name(self):$/;" m class:PredictorExportMeta +GLOBAL_IP_ILBC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GLOBAL_IP_ILBC = 0xA116$/;" v class:WAVE_FORMAT +GLOBAL_ITERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^GLOBAL_ITERATORS = "iterators"$/;" v +global_learning_phase_is_set adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def global_learning_phase_is_set():$/;" f +global_lock adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ global_lock = Lock()$/;" v class:_LocalOptimizer +global_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^global_names = {}$/;" v +global_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def global_norm(t_list, name=None):$/;" f +global_options adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ global_options = ["caffe2"]$/;" v +global_options adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ global_options = ["caffe2"]$/;" v +global_options adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rowwise_counter_test.py /^ global_options = ["caffe2"]$/;" v +global_options adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ global_options = ["caffe2"]$/;" v +global_options adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^global_options = partial($/;" v +global_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ global_options = [$/;" v class:Distribution +global_palette adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ global_palette = None$/;" v class:GifImageFile +global_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def global_policy():$/;" f +global_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^global_rref = None$/;" v +global_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def global_seed():$/;" f +global_size_dict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^global_size_dict = dict(zip(chars, sizes))$/;" v +GLOBAL_STEP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ GLOBAL_STEP = "global_step"$/;" v class:GraphKeys +global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def global_step(self):$/;" m class:Supervisor +global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def global_step(sess, global_step_tensor):$/;" f +global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def global_step(self):$/;" m class:_EstimatorWrappedGraph +GLOBAL_STEP_READ_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^GLOBAL_STEP_READ_KEY = 'global_step_read_op_cache'$/;" v +global_tempdir_manager adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^def global_tempdir_manager():$/;" f +global_unstructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def global_unstructured(parameters, pruning_method, **kwargs):$/;" f +GLOBAL_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ GLOBAL_VARIABLES = "variables"$/;" v class:GraphKeys +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^global_variables = _variables.global_variables_initializer$/;" v +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def global_variables(self):$/;" m class:EagerTemplate +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def global_variables(self):$/;" m class:Template +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def global_variables(scope=None):$/;" f +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def global_variables(self):$/;" m class:VariableScope +global_variables adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.variables import global_variables_initializer as global_variables$/;" x +global_variables_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def global_variables_initializer():$/;" f +globbed_x11_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ globbed_x11_dir = glob('\/usr\/lib\/*\/libX11.so')$/;" v +glob_to_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^def glob_to_re(pattern):$/;" f +gLogger adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^gLogger = init_logger()$/;" v +GLOO adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ GLOO = "gloo"$/;" v class:Backend +glorot adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^glorot = glorot_normal$/;" v +GlorotNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class GlorotNormal(VarianceScaling):$/;" c +GlorotNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class GlorotNormal(VarianceScaling):$/;" c +GlorotNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class GlorotNormal(VarianceScaling):$/;" c +GlorotUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class GlorotUniform(VarianceScaling):$/;" c +GlorotUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class GlorotUniform(VarianceScaling):$/;" c +GlorotUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class GlorotUniform(VarianceScaling):$/;" c +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import GlorotNormal as glorot_normal$/;" x +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal$/;" x +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal$/;" x +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import GlorotNormal as glorot_normal$/;" x +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^glorot_normal = GlorotNormal$/;" v +glorot_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal$/;" x +glorot_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^glorot_normal_initializer = GlorotNormal$/;" v +glorot_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^glorot_normal_initializer = GlorotNormal$/;" v +glorot_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal_initializer$/;" x +glorot_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal_initializer$/;" x +glorot_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotNormal as glorot_normal_initializer$/;" x +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import GlorotUniform as glorot_uniform$/;" x +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform$/;" x +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform$/;" x +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import GlorotUniform as glorot_uniform$/;" x +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^glorot_uniform = GlorotUniform$/;" v +glorot_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform$/;" x +glorot_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^glorot_uniform_initializer = GlorotUniform$/;" v +glorot_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^glorot_uniform_initializer = GlorotUniform$/;" v +glorot_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform_initializer$/;" x +glorot_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform_initializer$/;" x +glorot_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import GlorotUniform as glorot_uniform_initializer$/;" x +glu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def glu(x: Array, axis: int = -1) -> Array:$/;" f +glu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def glu(input: Tensor, dim: int = -1) -> Tensor:$/;" f +GLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class GLU(Module):$/;" c +glu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def glu(g, input, dim):$/;" f +Glue adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Glue(Node):$/;" c +GlueSpec adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class GlueSpec:$/;" c +glue_subtype adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def glue_subtype(self):$/;" m class:Glue +glu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^ def glu_ref(X):$/;" f member:TestGlu.test_glu_old file: +gmean adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def gmean(a, axis=0, dtype=None):$/;" f +gmres adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def gmres(A, b, x0=None, *, tol=1e-5, atol=0.0, restart=20, maxiter=None,$/;" f +gmres adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def gmres(A, b, x0=None, tol=1e-5, restart=None, maxiter=None, M=None, callback=None,$/;" f +gmres_loose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def gmres_loose(A, b, tol):$/;" f +gnBasis adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^gnBasis = _OID(id_characteristic_two_basis, 1)$/;" v +Gnu95FCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^class Gnu95FCompiler(GnuFCompiler):$/;" c +GnuFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^class GnuFCompiler(FCompiler):$/;" c +GNUTYPE_LONGLINK adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNUTYPE_LONGLINK = b"K" # GNU tar longlink$/;" v +GNUTYPE_LONGNAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNUTYPE_LONGNAME = b"L" # GNU tar longname$/;" v +GNUTYPE_SPARSE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNUTYPE_SPARSE = b"S" # GNU tar sparse file$/;" v +GNU_FORMAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNU_FORMAT = 1 # GNU tar format$/;" v +GNU_MAGIC adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNU_MAGIC = b"ustar \\0" # magic gnu tar string$/;" v +GNU_TYPES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^GNU_TYPES = (GNUTYPE_LONGNAME, GNUTYPE_LONGLINK,$/;" v +gnu_version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def gnu_version_match(self, version_string):$/;" m class:GnuFCompiler +GO adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^GO = ''$/;" v +gold adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_spfuncs.py /^ def gold(A,bs):$/;" f member:TestSparseFunctions.test_count_blocks file: +golden adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^golden = golden_ratio = (1 + _math.sqrt(5)) \/ 2$/;" v +golden adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def golden(func, args=(), brack=None, tol=_epsilon,$/;" f +golden_test_generator adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def golden_test_generator(input_file, golden_file):$/;" f member:PrefixSuffixGoldenTestMeta.__new__ file: +gompertz adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gompertz = gompertz_gen(a=0.0, name='gompertz')$/;" v +gompertz_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gompertz_gen(rv_continuous):$/;" c +Gone adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Gone(HTTPException):$/;" c +good adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^ def good(self, msg, *args):$/;" m class:Log +good adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^good = _global_log.good$/;" v +GOOD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^GOOD = "\\033[34m"$/;" v +google2_log_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def google2_log_prefix(level, timestamp=None, file_and_line=None):$/;" f +google3_dot_google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from google.protobuf import any_pb2 as google3_dot_google_dot_protobuf_dot_any__pb2$/;" x +google3_dot_google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from google.protobuf import any_pb2 as google3_dot_google_dot_protobuf_dot_any__pb2$/;" x +google3_dot_third__party_dot_xprof_dot_plugin_dot_tensorboard__plugin__profile_dot_protobuf_dot_diagnostics__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from tensorboard_plugin_profile.protobuf import diagnostics_pb2 as google3_dot_third__party_dot_/;" x +google3_dot_third__party_dot_xprof_dot_plugin_dot_tensorboard__plugin__profile_dot_protobuf_dot_diagnostics__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from tensorboard_plugin_profile.protobuf import diagnostics_pb2 as google3_dot_third__party_dot_/;" x +google3_dot_third__party_dot_xprof_dot_plugin_dot_tensorboard__plugin__profile_dot_protobuf_dot_input__pipeline__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from tensorboard_plugin_profile.protobuf import input_pipeline_pb2 as google3_dot_third__party_d/;" x +GoogleAuthError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class GoogleAuthError(Exception):$/;" c +GoogleCallCredentials adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^class GoogleCallCredentials(grpc.AuthMetadataPlugin):$/;" c +GOOGLE_API_USE_CLIENT_CERTIFICATE adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^GOOGLE_API_USE_CLIENT_CERTIFICATE = "GOOGLE_API_USE_CLIENT_CERTIFICATE"$/;" v +google_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def google_call_credentials(credentials):$/;" f +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_any__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import any_pb2 as google_dot_protobuf_dot_any__pb2$/;" x +google_dot_protobuf_dot_descriptor__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^from google.protobuf import descriptor_pb2 as google_dot_protobuf_dot_descriptor__pb2$/;" x +google_dot_protobuf_dot_duration__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import duration_pb2 as google_dot_protobuf_dot_duration__pb2$/;" x +google_dot_protobuf_dot_field__mask__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import field_mask_pb2 as google_dot_protobuf_dot_field__mask__pb2$/;" x +google_dot_protobuf_dot_source__context__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import source_context_pb2 as google_dot_protobuf_dot_source__context__pb2$/;" x +google_dot_protobuf_dot_source__context__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import source_context_pb2 as google_dot_protobuf_dot_source__context__pb2$/;" x +google_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import struct_pb2 as google_dot_protobuf_dot_struct__pb2$/;" x +google_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^from google.protobuf import struct_pb2 as google_dot_protobuf_dot_struct__pb2$/;" x +google_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from google.protobuf import struct_pb2 as google_dot_protobuf_dot_struct__pb2$/;" x +google_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from google.protobuf import struct_pb2 as google_dot_protobuf_dot_struct__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_timestamp__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from google.protobuf import timestamp_pb2 as google_dot_protobuf_dot_timestamp__pb2$/;" x +google_dot_protobuf_dot_type__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import type_pb2 as google_dot_protobuf_dot_type__pb2$/;" x +google_dot_protobuf_dot_unittest__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import unittest_pb2 as google_dot_protobuf_dot_unittest__pb2$/;" x +google_dot_protobuf_dot_wrappers__pb2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import wrappers_pb2 as google_dot_protobuf_dot_wrappers__pb2$/;" x +google_dot_protobuf_dot_wrappers__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^from google.protobuf import wrappers_pb2 as google_dot_protobuf_dot_wrappers__pb2$/;" x +google_dot_protobuf_dot_wrappers__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from google.protobuf import wrappers_pb2 as google_dot_protobuf_dot_wrappers__pb2$/;" x +google_dot_protobuf_dot_wrappers__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import wrappers_pb2 as google_dot_protobuf_dot_wrappers__pb2$/;" x +gotnextfile adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^gotnextfile = 1$/;" v +goto adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def goto(self, index):$/;" m class:Progress +GoToColumn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class GoToColumn(_PositionToken):$/;" c +GoToColumn adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class GoToColumn(_PositionToken):$/;" c +GoToColumn adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class GoToColumn(_PositionToken):$/;" c +GoToColumn adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class GoToColumn(_PositionToken):$/;" c +goToEnd adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def goToEnd(self):$/;" m class:AppendingTiffWriter +GotUnsupportedOperation adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^class GotUnsupportedOperation(Exception):$/;" c +got_enough_data adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def got_enough_data(self):$/;" m class:CharDistributionAnalysis +got_enough_data adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def got_enough_data(self):$/;" m class:JapaneseContextAnalysis +got_enough_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def got_enough_data(self):$/;" m class:CharDistributionAnalysis +got_enough_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def got_enough_data(self):$/;" m class:JapaneseContextAnalysis +go_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def go_back(self):$/;" m class:CursesNavigationHistory +go_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def go_forward(self):$/;" m class:CursesNavigationHistory +GO_ID adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^GO_ID = 1$/;" v +gpaths adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def gpaths(paths, local_path='', include_non_existing=True):$/;" f +GPSTAGS adpepsenv/lib/python3.8/site-packages/PIL/ExifTags.py /^GPSTAGS = {$/;" v +GPU adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def GPU(self, gpu_id=0):$/;" m class:CNNModelHelper +gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def gpu(self, gpu_index=0):$/;" m class:_EagerTensorBase +GPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/tag_constants.py /^GPU = "gpu"$/;" v +GPUCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class GPUCombination(combinations_lib.TestCombination):$/;" c +GPUCompatibleFIFOQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class GPUCompatibleFIFOQueue(QueueBase):$/;" c +GpuDeviceType adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GpuDeviceType = caffe2_pb2.CUDA$/;" v +GpuDeviceType adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ GpuDeviceType = caffe2_pb2.HIP$/;" v +GPUInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^GPUInfo = _reflection.GeneratedProtocolMessageType('GPUInfo', (_message.Message,), {$/;" v +GpuInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/gpu_util.py /^GpuInfo = collections.namedtuple('gpu_info', ['name', 'compute_capability'])$/;" v +GPUOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^GPUOptions = _reflection.GeneratedProtocolMessageType('GPUOptions', (_message.Message,), {$/;" v +GPUOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^GPUOptions = _reflection.GeneratedProtocolMessageType('GPUOptions', (_message.Message,), {$/;" v +GpuSupportsHalfMatMulAndConv adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def GpuSupportsHalfMatMulAndConv():$/;" f +gpu_device adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^def gpu_device(i):$/;" f +gpu_device_checker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gpu_device_checker = device_checker.DeviceChecker($/;" v +gpu_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def gpu_device_name():$/;" f +gpu_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gpu_device_option = caffe2_pb2.DeviceOption()$/;" v +gpu_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gpu_device_option = None$/;" v +gpu_do adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^gpu_do = caffe2_pb2.DeviceOption(device_type=workspace.GpuDeviceType) # CUDA or ROCm$/;" v +gpu_do adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^gpu_do = hu.gpu_do$/;" v +gpu_gradient_checkers adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gpu_gradient_checkers = [$/;" v +gpu_gradient_checkers adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gpu_gradient_checkers = []$/;" v +gpu_gru adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def gpu_gru(inputs, init_h, kernel, recurrent_kernel, bias, mask, time_major,$/;" f +gpu_gru_with_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def gpu_gru_with_fallback(inputs, init_h, kernel, recurrent_kernel, bias,$/;" f function:gru_with_backend_selection file: +gpu_lstm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def gpu_lstm(inputs, init_h, init_c, kernel, recurrent_kernel, bias, mask,$/;" f +gpu_lstm_with_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def gpu_lstm_with_fallback(inputs, init_h, init_c, kernel, recurrent_kernel,$/;" f function:lstm_with_backend_selection file: +GPU_TEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ GPU_TEST = re.search(r"(test_gpu|test_xla_gpu)$", sys.argv[0])$/;" v class:GPUCombination +grab adpepsenv/lib/python3.8/site-packages/PIL/ImageGrab.py /^def grab(bbox=None, include_layered_windows=False, all_screens=False, xdisplay=None):$/;" f +grabclipboard adpepsenv/lib/python3.8/site-packages/PIL/ImageGrab.py /^def grabclipboard():$/;" f +GrabStdout adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^class GrabStdout:$/;" c +grab_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def grab_batch(i, data):$/;" f member:TensorLikeDataAdapter.slice_inputs file: +grab_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def grab_batch(indices):$/;" f member:GenericArrayLikeDataAdapter.slice_inputs file: +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:AbstractMovieWriter +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:FileMovieWriter +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:HTMLWriter +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:MovieWriter +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:PillowWriter +grab_frame adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def grab_frame(self, **savefig_kwargs):$/;" m class:NullMovieWriter +grab_method_and_template adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def grab_method_and_template(in_kernel):$/;" f function:processKernelLaunches file: +grab_mouse adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def grab_mouse(self, ax):$/;" m class:FigureCanvasBase +GRACE adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ GRACE = 'grace'$/;" v class:_ServerStage +GracefulShutdownHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class GracefulShutdownHook(session_run_hook.SessionRunHook):$/;" c +grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^def grad(output_grad, ref_outputs, inputs):$/;" f +grad adpepsenv/lib/python3.8/site-packages/jax/api.py /^def grad(fun: Callable, argnums: Union[int, Sequence[int]] = 0,$/;" f +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def grad(self, x):$/;" m class:ExScalarFunction +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def grad(self, x):$/;" m class:Rosenbrock +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self):$/;" m class:MaratosGradInFunc +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self, x):$/;" m class:Elec +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self, x):$/;" m class:HyperbolicIneq +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self, x):$/;" m class:Maratos +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self, x):$/;" m class:Rosenbrock +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def grad(self, x, a, b):$/;" m class:MaratosTestArgs +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def grad(x):$/;" f member:TestOptimizeSimple.test_nan_values file: +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def grad(self, x):$/;" m class:CheckOptimize +grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def grad(self, x):$/;" m class:ScalarFunction +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def grad(*dy_s):$/;" f function:ReplicaContext.all_gather.grad_wrapper file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def grad(dx):$/;" f function:create_identity_with_grad_check_fn._identity_with_grad_check file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def grad(dx):$/;" f function:create_identity_with_nan_gradients_fn._identity_with_nan_gradients file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def grad(grad_loss):$/;" f function:ctc_loss_dense.compute_ctc_loss file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def grad(*args, **kwargs):$/;" f function:grad_pass_through._grad_pass_through_op file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^ def grad(dy):$/;" f function:swish file: +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def grad(self, source, flow=None, name=None):$/;" m class:TensorArray +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def grad(self, source, flow=None, name=None):$/;" m class:_EagerTensorArray +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def grad(self, source, flow=None, name=None):$/;" m class:_GraphTensorArray +grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def grad(self, source, flow=None, name=None):$/;" m class:_GraphTensorArrayV2 +grad adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def grad($/;" f +grad adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def grad(self):$/;" m class:Tensor +grad adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def grad(self, new_grad):$/;" m class:Tensor +gradcheck adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def gradcheck($/;" f +GradGenMeta adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^GradGenMeta = namedtuple('GradGenMeta',$/;" v +gradgradcheck adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def gradgradcheck($/;" f +gradient adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def gradient(f, *varargs, axis: Optional[Union[int, Tuple[int, ...]]] = None,$/;" f +gradient adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def gradient(self, x, y):$/;" m class:CubicTriInterpolator +gradient adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def gradient(self, x, y):$/;" m class:LinearTriInterpolator +gradient adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def gradient(f, *varargs, axis=None, edge_order=1):$/;" f +gradient adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^ gradient = None$/;" v class:GradientFile +gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def gradient(self,$/;" m class:GradientTape +gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def gradient(self, y, x, grad_ys=None):$/;" m class:_fake_gradient_tape_context_manager.FakeGradientTape +Gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def Gradient(inputs, f, name=None):$/;" f +gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def gradient(self,$/;" m class:LossScaleGradientTape +GradientAccumulationStatus adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^GradientAccumulationStatus = _reflection.GeneratedProtocolMessageType('GradientAccumulationStatu/;" v +GradientChecker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^class GradientChecker:$/;" c +GradientClipping adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^class GradientClipping(NetModifier):$/;" c +GradientClippingTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^class GradientClippingTest(unittest.TestCase):$/;" c +GradientDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^GradientDef = _reflection.GeneratedProtocolMessageType('GradientDef', (_message.Message,), {$/;" v +GradientDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^GradientDef = _reflection.GeneratedProtocolMessageType('GradientDef', (_message.Message,), {$/;" v +GradientDescentOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^class GradientDescentOptimizer(optimizer.Optimizer):$/;" c +GradientFile adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^class GradientFile:$/;" c +GradientRegistry adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class GradientRegistry(object):$/;" c +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def gradients(loss, variables):$/;" f +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_impl.py /^def gradients(ys,$/;" f +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import gradients_v2 as gradients$/;" x +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import gradients_v2 as gradients$/;" x +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import gradients_v2 as gradients$/;" x +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gradients_impl import gradients_v2 as gradients$/;" x +gradients adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gradients_impl import gradients_v2 as gradients$/;" x +GradientsDebugger adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^class GradientsDebugger(object):$/;" c +GradientSlice adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^GradientSlice = namedtuple('GradientSlice', ['indices', 'values'])$/;" v +gradients_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def gradients_function(f, params=None):$/;" f +gradients_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.ops import gradients as gradients_module$/;" x +gradients_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_impl.py /^def gradients_v2(ys, # pylint: disable=invalid-name$/;" f +GradientTape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^class GradientTape(object):$/;" c +gradient_along_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def gradient_along_axis(a, h, axis):$/;" f function:_gradient file: +gradient_and_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def gradient_and_jacobian(self, z):$/;" m class:BarrierSubproblem +gradient_checkers adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ gradient_checkers = [$/;" v +gradient_checker_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def gradient_checker_device_option():$/;" f +gradient_checker_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^def gradient_checker_device_option():$/;" f +gradient_checker_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^def gradient_checker_device_option():$/;" f +gradient_clipnorm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^ def gradient_clipnorm_fn(grads_and_vars):$/;" f function:make_global_gradient_clipnorm_fn file: +gradient_clipnorm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^ def gradient_clipnorm_fn(grads_and_vars):$/;" f function:make_gradient_clipnorm_fn file: +gradient_clipvalue_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^ def gradient_clipvalue_fn(grads_and_vars):$/;" f function:make_gradient_clipvalue_fn file: +GRADIENT_DESCENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ GRADIENT_DESCENT = 1$/;" v class:_AutotuneAlgorithm +gradient_descent_keras adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras$/;" x +gradient_descent_keras adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras$/;" x +gradient_descent_keras adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras$/;" x +gradient_descent_keras adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras$/;" x +gradient_descent_keras adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras$/;" x +gradient_descent_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_keras_v2$/;" x +gradient_descent_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^gradient_descent_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +gradient_descent_optimizer_v1_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^gradient_descent_optimizer_v1_fn = combinations.NamedObject($/;" v +gradient_descent_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_v2$/;" x +gradient_descent_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_v2$/;" x +gradient_descent_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import gradient_descent as gradient_descent_v2$/;" x +gradient_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/gradients.py /^from tensorflow.python.ops import gradients_impl as gradient_ops$/;" x +gradient_override_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def gradient_override_map(self, op_type_map):$/;" m class:Graph +gradient_quad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def gradient_quad(x, y):$/;" f function:test_triinterp file: +gradient_registry_ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ gradient_registry_ = {}$/;" v class:GradientRegistry +gradient_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def gradient_tensor(self, x_tensor):$/;" m class:GradientsDebugger +gradient_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def gradient_tensors(self):$/;" m class:GradientsDebugger +gradient_values_from_dump adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def gradient_values_from_dump(grad_debugger, x_tensor, dump):$/;" f +gradnrm adpeps/simulation/run_ipeps_gs.py /^ def gradnrm(self):$/;" m class:Objective +GradScaler adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^class GradScaler(object):$/;" c +grad_and_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def grad_and_jac(x):$/;" f function:_minimize_trustregion_constr file: +GRAD_CLIP_METHODS adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ GRAD_CLIP_METHODS = [BY_NORM, BY_VALUE]$/;" v class:GradientClipping +grad_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def grad_cond(counter, unused_maximum_iterations_arg, forward_loop_iters,$/;" f function:_WhileGrad file: +grad_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def grad_context(self):$/;" m class:_GradLoopState +grad_f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def grad_f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient file: +grad_f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def grad_f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient_multiple file: +grad_f adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def grad_f(inputs, outputs):$/;" f member:PythonOpTest.test_gradient_multiple_with_indices file: +grad_f adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def grad_f(*args, **kwargs):$/;" f function:grad file: +grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def grad_fn(*args, **kwds):$/;" f function:implicit_grad file: +grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def grad_fn(*args, **kwds):$/;" f function:implicit_val_and_grad file: +grad_fun adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def grad_fun(dresult):$/;" f member:_EagerTensorBase._copy file: +grad_fun adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def grad_fun(_):$/;" f function:pack_eager_tensors file: +grad_func_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def grad_func_name(self):$/;" m class:_DefinedFunction +grad_f_aux adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def grad_f_aux(*args, **kwargs):$/;" f function:grad file: +grad_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def grad_index(self):$/;" m class:_GradLoopState +grad_pass_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def grad_pass_through(f):$/;" f +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def grad_state(self):$/;" m class:XLACompileContext +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def grad_state(self):$/;" m class:CondContext +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def grad_state(self):$/;" m class:ControlFlowContext +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def grad_state(self):$/;" m class:WhileContext +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def grad_state(self):$/;" m class:TPUReplicateContext +grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def grad_state(self):$/;" m class:_TPUInferenceContext +grad_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def grad_sync(self):$/;" m class:_GradLoopState +grad_variant_input_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def grad_variant_input_test(self, grad_op_name, X, ref, num_reduce_dim):$/;" m class:TestReduceFrontReductions +grad_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def grad_wrapped(x):$/;" f member:ScalarFunction.__init__ file: +grad_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def grad_wrapper(*xs):$/;" f member:ReplicaContextBase.all_reduce file: +grad_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def grad_wrapper(*xs):$/;" f member:ReplicaContext.all_gather file: +grad_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def grad_wrapper(*wrapper_args, **grad_kwargs):$/;" f function:recompute_grad.inner file: +graft adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def graft(self, dir):$/;" m class:FileList +grain adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^grain = 64.79891e-6$/;" v +gram adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^gram = 1e-3$/;" v +GrantTypeBase adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^class GrantTypeBase(object):$/;" c +GrantTypeBase adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^class GrantTypeBase(object):$/;" c +grant_allows_refresh_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ grant_allows_refresh_token = False$/;" v class:ImplicitGrant +grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/backend_application.py /^ grant_type = 'client_credentials'$/;" v class:BackendApplicationClient +grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/legacy_application.py /^ grant_type = 'password'$/;" v class:LegacyApplicationClient +grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/service_application.py /^ grant_type = 'urn:ietf:params:oauth:grant-type:jwt-bearer'$/;" v class:ServiceApplicationClient +grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^ grant_type = 'authorization_code'$/;" v class:WebApplicationClient +grant_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def grant_types(self):$/;" m class:TokenEndpoint +graph adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^graph = onnx.load("output.onnx")$/;" v +Graph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^Graph = C.Graph$/;" v +Graph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Graph(self):$/;" m class:EventAccumulator +GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^GRAPH = tag_types.GRAPH$/;" v +Graph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Graph(self, run):$/;" m class:EventMultiplexer +Graph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def Graph(self):$/;" m class:EventAccumulator +GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^GRAPH = tag_types.GRAPH$/;" v +Graph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def Graph(self, run):$/;" m class:EventMultiplexer +GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^GRAPH = "graph"$/;" v +Graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class Graph($/;" c +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def graph(self):$/;" m class:BaseSession +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def graph(self):$/;" m class:SessionInterface +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def graph(self):$/;" m class:GradientsDebugger +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def graph(self):$/;" m class:BaseDebugWrapperSession +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def graph(self):$/;" m class:AggregatingVariable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def graph(self):$/;" m class:DistributedVariable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def graph(self):$/;" m class:ConcreteFunction +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def graph(self):$/;" m class:IndexedSlices +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def graph(self):$/;" m class:Operation +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def graph(self):$/;" m class:Tensor +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def graph(self):$/;" m class:_EagerTensorBase +Graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class Graph(object):$/;" c +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def graph(self):$/;" m class:SparseTensor +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def graph(self):$/;" m class:Layer +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def graph(self):$/;" m class:AutoCastVariable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def graph(self):$/;" m class:SparseMatrix +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def graph(self):$/;" m class:BaseResourceVariable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def graph(param, step=None, name=None):$/;" f +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def graph(self):$/;" m class:RefVariable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def graph(self):$/;" m class:Variable +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def graph(self):$/;" m class:_MonitoredSession +graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def graph(self):$/;" m class:_WrappedSession +graph adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def graph(self):$/;" m class:RecursiveScriptModule +graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^def graph(model, args, verbose=False):$/;" f +Graph adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^class Graph:$/;" c +graph adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def graph(self):$/;" m class:GraphModule +graph adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def graph(self, val) -> None:$/;" m class:GraphModule +GraphAppendingTracer adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^class GraphAppendingTracer(TracerBase):$/;" c +GraphBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class GraphBuilder(object):$/;" c +Graphcomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Graphcomm(Topocomm) [$/;" c +GraphDebugInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^GraphDebugInfo = _reflection.GeneratedProtocolMessageType('GraphDebugInfo', (_message.Message,),/;" v +GraphDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^GraphDef = _reflection.GeneratedProtocolMessageType('GraphDef', (_message.Message,), {$/;" v +GraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^GraphDef = _reflection.GeneratedProtocolMessageType('GraphDef', (_message.Message,), {$/;" v +GraphDefVersion adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^GraphDefVersion = tf_export("raw_ops.GraphDefVersion")(_ops.to_raw_op(graph_def_version))$/;" v +GraphExecutionData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def GraphExecutionData(self, run, begin, end, trace_id=None):$/;" m class:DebuggerV2EventMultiplexer +GraphExecutionDigests adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def GraphExecutionDigests(self, run, begin, end, trace_id=None):$/;" m class:DebuggerV2EventMultiplexer +GraphExecutionFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^class GraphExecutionFunction(object):$/;" c +GraphExecutionTrace adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^GraphExecutionTrace = _reflection.GeneratedProtocolMessageType('GraphExecutionTrace', (_message./;" v +GraphExecutionTrace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class GraphExecutionTrace(GraphExecutionTraceDigest):$/;" c +GraphExecutionTraceDigest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class GraphExecutionTraceDigest(BaseDigest):$/;" c +GraphicsContextBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class GraphicsContextBase:$/;" c +GraphicsContextCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^class GraphicsContextCairo(GraphicsContextBase):$/;" c +GraphicsContextPdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class GraphicsContextPdf(GraphicsContextBase):$/;" c +GraphicsContextPgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class GraphicsContextPgf(GraphicsContextBase):$/;" c +GraphicsContextPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class GraphicsContextPS(GraphicsContextBase):$/;" c +GraphicsContextTemplate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^class GraphicsContextTemplate(GraphicsContextBase):$/;" c +GraphicsContextWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class GraphicsContextWx(GraphicsContextBase):$/;" c +GraphicString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class GraphicString(AbstractCharacterString):$/;" c +GraphicStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class GraphicStringDecoder(OctetStringDecoder):$/;" c +GraphInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def GraphInfo(self, run, graph_id):$/;" m class:DebuggerV2EventMultiplexer +GraphKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class GraphKeys(object):$/;" c +GraphModule adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^class GraphModule(torch.nn.Module):$/;" c +GraphModuleImpl adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ class GraphModuleImpl(cls): # type: ignore$/;" c member:GraphModule.__new__ file: +GraphNodeProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^GraphNodeProto = _reflection.GeneratedProtocolMessageType('GraphNodeProto', (_message.Message,),/;" v +GraphOpCreation adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^GraphOpCreation = _reflection.GeneratedProtocolMessageType('GraphOpCreation', (_message.Message,/;" v +GraphOpCreationDigest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^class GraphOpCreationDigest(BaseDigest):$/;" c +GraphOpInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def GraphOpInfo(self, run, graph_id, op_name):$/;" m class:DebuggerV2EventMultiplexer +GraphOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^GraphOptions = _reflection.GeneratedProtocolMessageType('GraphOptions', (_message.Message,), {$/;" v +GraphOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^GraphOptions = _reflection.GeneratedProtocolMessageType('GraphOptions', (_message.Message,), {$/;" v +GraphOrParentsInXlaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def GraphOrParentsInXlaContext(graph):$/;" f +GraphOrParentsInXlaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def GraphOrParentsInXlaContext(graph):$/;" f +GraphPy adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^class GraphPy(object):$/;" c +graphRoundTrip adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def graphRoundTrip(self, dataset, allow_stateful=False):$/;" m class:DatasetTestBase +GraphsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^class GraphsPlugin(base_plugin.TBPlugin):$/;" c +GraphState adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^class GraphState(object):$/;" c +graphs_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graphs_iterator(self):$/;" m class:DebugEventsReader +graphs_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.graph import metadata as graphs_metadata$/;" x +graphs_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^from tensorboard.plugins.graph import metadata as graphs_metadata$/;" x +GraphTracingReachedDestination adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^class GraphTracingReachedDestination(Exception):$/;" c +GraphTransferConstNodeInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferConstNodeInfo = _reflection.GeneratedProtocolMessageType('GraphTransferConstNodeInf/;" v +GraphTransferGraphInputNodeInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferGraphInputNodeInfo = _reflection.GeneratedProtocolMessageType('GraphTransferGraphIn/;" v +GraphTransferGraphOutputNodeInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferGraphOutputNodeInfo = _reflection.GeneratedProtocolMessageType('GraphTransferGraphO/;" v +GraphTransferInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferInfo = _reflection.GeneratedProtocolMessageType('GraphTransferInfo', (_message.Mess/;" v +GraphTransferNodeInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferNodeInfo = _reflection.GeneratedProtocolMessageType('GraphTransferNodeInfo', (_mess/;" v +GraphTransferNodeInput adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferNodeInput = _reflection.GeneratedProtocolMessageType('GraphTransferNodeInput', (_me/;" v +GraphTransferNodeInputInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferNodeInputInfo = _reflection.GeneratedProtocolMessageType('GraphTransferNodeInputInf/;" v +GraphTransferNodeOutputInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^GraphTransferNodeOutputInfo = _reflection.GeneratedProtocolMessageType('GraphTransferNodeOutputI/;" v +GraphVisitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class GraphVisitor(object):$/;" c +GRAPHVIZ_DOT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^GRAPHVIZ_DOT = _toco_flags_pb2.GRAPHVIZ_DOT$/;" v +GRAPHVIZ_DOT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^GRAPHVIZ_DOT = 3$/;" v +graphviz_dump_transform adpepsenv/lib/python3.8/site-packages/matplotlib/_internal_utils.py /^def graphviz_dump_transform(transform, dest, *, highlight=None):$/;" f +graph_and_eager_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^graph_and_eager_modes = ["graph", "eager"]$/;" v +graph_by_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_by_id(self, graph_id):$/;" m class:DebugDataReader +graph_context_for_symbolic_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def graph_context_for_symbolic_tensors(*args, **kwargs):$/;" f +graph_copy adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def graph_copy(self, g : 'Graph'):$/;" m class:Graph +graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def graph_def(self):$/;" m class:BaseSession +graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def graph_def(self):$/;" m class:BaseDebugWrapperSession +graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def graph_def(self):$/;" m class:_ConverterData +graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def graph_def(self):$/;" m class:_GraphDef +graph_def_to_event adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def graph_def_to_event(step, graph_def):$/;" f +GRAPH_DEF_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^GRAPH_DEF_VERSION = get_graph_def_version()$/;" v +graph_def_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def graph_def_version(name=None):$/;" f +GRAPH_DEF_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^GRAPH_DEF_VERSION = pywrap_tf_session.GRAPH_DEF_VERSION$/;" v +graph_def_versions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def graph_def_versions(self):$/;" m class:Graph +graph_def_version_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def graph_def_version_eager_fallback(name, ctx):$/;" f +GRAPH_DEF_VERSION_MIN_CONSUMER adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^GRAPH_DEF_VERSION_MIN_CONSUMER = get_graph_def_version_min_consumer()$/;" v +GRAPH_DEF_VERSION_MIN_CONSUMER adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^GRAPH_DEF_VERSION_MIN_CONSUMER = ($/;" v +GRAPH_DEF_VERSION_MIN_PRODUCER adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^GRAPH_DEF_VERSION_MIN_PRODUCER = get_graph_def_version_min_producer()$/;" v +GRAPH_DEF_VERSION_MIN_PRODUCER adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^GRAPH_DEF_VERSION_MIN_PRODUCER = ($/;" v +graph_diagnostic_info adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def graph_diagnostic_info():$/;" f function:_check_trace file: +GRAPH_EXECUTION_DATA_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^GRAPH_EXECUTION_DATA_BLOB_TAG_PREFIX = "graphexec_data"$/;" v +graph_execution_data_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def graph_execution_data_run_tag_filter(run, begin, end, trace_id=None):$/;" f +GRAPH_EXECUTION_DIGESTS_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^GRAPH_EXECUTION_DIGESTS_BLOB_TAG_PREFIX = "graphexec_digests"$/;" v +graph_execution_digest_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def graph_execution_digest_run_tag_filter(run, begin, end, trace_id=None):$/;" f +graph_execution_traces adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_execution_traces(self, digest=False, begin=None, end=None):$/;" m class:DebugDataReader +graph_execution_traces_iterators adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_execution_traces_iterators(self):$/;" m class:DebugEventsReader +graph_execution_trace_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def graph_execution_trace_index(self):$/;" m class:InfNanAlert +graph_execution_trace_to_tensor_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_execution_trace_to_tensor_id(self, trace):$/;" m class:DebugDataReader +graph_execution_trace_to_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_execution_trace_to_tensor_value(self, trace):$/;" m class:DebugDataReader +GRAPH_EXECUTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ GRAPH_EXECUTOR = ProfilingMode.LEGACY$/;" v +GRAPH_EXECUTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ GRAPH_EXECUTOR = ProfilingMode.PROFILING$/;" v +GRAPH_EXECUTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ GRAPH_EXECUTOR = ProfilingMode.SIMPLE$/;" v +GRAPH_FACTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^ GRAPH_FACTOR = args.depth_factor$/;" v +GRAPH_FACTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^GRAPH_FACTOR = 2$/;" v +GRAPH_FILE_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^GRAPH_FILE_TAG = "graph_"$/;" v +graph_for adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def graph_for(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_id(self):$/;" m class:DebuggedGraph +graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_id(self):$/;" m class:Execution +graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_id(self):$/;" m class:GraphExecutionTrace +graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_id(self):$/;" m class:GraphExecutionTraceDigest +graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_id(self):$/;" m class:GraphOpCreationDigest +graph_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_ids(self):$/;" m class:GraphExecutionTrace +graph_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def graph_impl($/;" m class:GraphsPlugin +GRAPH_INFO_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^GRAPH_INFO_BLOB_TAG_PREFIX = "graph_info"$/;" v +graph_info_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def graph_info_run_tag_filter(run, graph_id):$/;" f +graph_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def graph_inputs(op):$/;" f +graph_map adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def graph_map(self):$/;" m class:Complex +graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def graph_mode():$/;" f +GRAPH_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^GRAPH_MODE = 0$/;" v +graph_mode_test_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def graph_mode_test_configuration():$/;" f +graph_module_from_producer_nodes adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def graph_module_from_producer_nodes(root, producer_nodes):$/;" f +graph_only_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^def graph_only_combinations():$/;" f +graph_op_digests adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def graph_op_digests(self, op_type=None):$/;" m class:DebugDataReader +GRAPH_OP_INFO_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^GRAPH_OP_INFO_BLOB_TAG_PREFIX = "graph_op_info"$/;" v +graph_op_info_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def graph_op_info_run_tag_filter(run, graph_id, op_name):$/;" f +graph_parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def graph_parents(self):$/;" m class:Bijector +graph_parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def graph_parents(self):$/;" m class:LinearOperator +graph_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/graph_only_ops.py /^def graph_placeholder(dtype, shape, name=None):$/;" f +graph_pretty_str adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def graph_pretty_str(g, shorten=True) -> str:$/;" f +graph_rewrites adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^def graph_rewrites():$/;" f +graph_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def graph_route(self, request):$/;" m class:GraphsPlugin +graph_to_function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def graph_to_function_def(graph, operations, inputs, outputs, out_names=None):$/;" f +graph_view_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^from tensorflow.python.training.tracking import graph_view as graph_view_lib$/;" x +grappler_optimize adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/signal/test_util.py /^def grappler_optimize(graph, fetches=None, config_proto=None):$/;" f +gray adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def gray():$/;" f +grayscale adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def grayscale(image):$/;" f +grayscale_to_rgb adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def grayscale_to_rgb(images, name=None):$/;" f +greater adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^greater = _comparison_op(np.greater, lax.gt)$/;" v +greater adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def greater(x1, x2):$/;" f +greater adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^greater = _MaskedBinaryOperation(umath.greater)$/;" v +GREATER adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GREATER = 61$/;" v class:BuiltinOperator +greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def greater(x, y):$/;" f +greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def greater(x, y, name=None):$/;" f +Greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Greater = tf_export("raw_ops.Greater")(_ops.to_raw_op(greater))$/;" v +greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def greater(x1, x2):$/;" f +greater adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def greater(a, b):$/;" f +GreaterEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^GreaterEqual = tf_export("raw_ops.GreaterEqual")(_ops.to_raw_op(greater_equal))$/;" v +GreaterEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GreaterEqualOptions = 45$/;" v class:BuiltinOptions +GreaterEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GreaterEqualOptions(object):$/;" c +GreaterEqualOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GreaterEqualOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:GreaterEqualOptions +GreaterEqualOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GreaterEqualOptionsEnd(builder): return builder.EndObject()$/;" f +GreaterEqualOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GreaterEqualOptionsStart(builder): builder.StartObject(0)$/;" f +GreaterEqualOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GreaterEqualOptionsT(object):$/;" c +GreaterOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GreaterOptions = 44$/;" v class:BuiltinOptions +GreaterOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GreaterOptions(object):$/;" c +GreaterOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def GreaterOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:GreaterOptions +GreaterOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GreaterOptionsEnd(builder): return builder.EndObject()$/;" f +GreaterOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def GreaterOptionsStart(builder): builder.StartObject(0)$/;" f +GreaterOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class GreaterOptionsT(object):$/;" c +greater_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def greater_eager_fallback(x, y, name, ctx):$/;" f +greater_equal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^greater_equal = _comparison_op(np.greater_equal, lax.ge)$/;" v +greater_equal adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def greater_equal(x1, x2):$/;" f +greater_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^greater_equal = _MaskedBinaryOperation(umath.greater_equal)$/;" v +GREATER_EQUAL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ GREATER_EQUAL = 62$/;" v class:BuiltinOperator +greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def greater_equal(x, y):$/;" f +greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def greater_equal(x, y, name=None):$/;" f +greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def greater_equal(x1, x2):$/;" f +greater_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def greater_equal(a, b):$/;" f +greater_equal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def greater_equal_eager_fallback(x, y, name, ctx):$/;" f +greater_than adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^greater_than = _GreaterThan$/;" v +greater_than_eq adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^greater_than_eq = _GreaterThanEq$/;" v +GREEDY adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ GREEDY = 0$/;" v class:AssignmentAlgorithm +greedy adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def greedy(inputs, output, size_dict, memory_limit=None, choose_fn=None, cost_fn='memory-removed/;" f +GreedyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class GreedyArray:$/;" c member:TestSpecialMethods.test_ufunc_override_disabled file: +Greek adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Greek(unicode_set):$/;" c class:pyparsing_unicode +Greek adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Greek(unicode_set):$/;" c class:pyparsing_unicode +GreekLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langgreekmodel.py /^GreekLangModel = ($/;" v +GREEK_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langgreekmodel.py /^GREEK_LANG_MODEL = {$/;" v +GREEN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ GREEN = 32$/;" v class:AnsiFore +GREEN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ GREEN = 42$/;" v class:AnsiBack +GREEN adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ GREEN = 2$/;" v class:WinColor +green_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def green_text(s):$/;" f +grep_dependencies adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^ def grep_dependencies(self, lfile, deps):$/;" m class:FindDependenciesLdd +grep_dependencies adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^ def grep_dependencies(self, file, deps):$/;" m class:FindDependenciesLdd +Grequest adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Grequest(Request) [$/;" c +GREY adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ GREY = 7$/;" v class:WinColor +grey_arrayd adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ grey_arrayd = {}$/;" v class:TexManager +grey_closing adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def grey_closing(input, size=None, footprint=None, structure=None,$/;" f +grey_dilation adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def grey_dilation(input, size=None, footprint=None, structure=None,$/;" f +grey_erosion adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def grey_erosion(input, size=None, footprint=None, structure=None,$/;" f +grey_opening adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def grey_opening(input, size=None, footprint=None, structure=None,$/;" f +GribStubImageFile adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^class GribStubImageFile(ImageFile.StubImageFile):$/;" c +grid adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def grid(self, b=None, which='major', axis='both', **kwargs):$/;" m class:_AxesBase +grid adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def grid(self, b=None, which='major', **kwargs):$/;" m class:Axis +grid adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def grid(b=None, which='major', axis='both', **kwargs):$/;" f +Grid adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class Grid:$/;" c +Grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^class Grid:$/;" c +Grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^class Grid(axes_grid_orig.Grid):$/;" c +grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def grid(self, b=None, which='major', axis="both", **kwargs):$/;" m class:Axes +grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def grid(self, b=True, **kwargs):$/;" m class:Axes3D +grid2data adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def grid2data(self, xg, yg):$/;" m class:DomainMap +grid2mask adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def grid2mask(self, xi, yi):$/;" m class:DomainMap +griddata adpepsenv/lib/python3.8/site-packages/scipy/interpolate/ndgriddata.py /^def griddata(points, values, xi, method='linear', fill_value=np.nan,$/;" f +GridFinder adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class GridFinder:$/;" c +GridFinderBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class GridFinderBase(GridFinder):$/;" c +GridHelperBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class GridHelperBase:$/;" c +GridHelperCurveLinear adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^class GridHelperCurveLinear(grid_helper_curvelinear.GridHelperCurveLinear):$/;" c +GridHelperCurveLinear adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^class GridHelperCurveLinear(GridHelperBase):$/;" c +GridHelperRectlinear adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^class GridHelperRectlinear(GridHelperBase):$/;" c +GridlinesCollection adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class GridlinesCollection(LineCollection):$/;" c +GRIDLINE_INTERPOLATION_STEPS adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^GRIDLINE_INTERPOLATION_STEPS = 180$/;" v +gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.gridspec as gridspec$/;" I +GridSpec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^class GridSpec(GridSpecBase):$/;" c +gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^import matplotlib.gridspec as gridspec$/;" I +gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^import matplotlib.gridspec as gridspec$/;" I +gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_gridspec.py /^import matplotlib.gridspec as gridspec$/;" I +GridSpecBase adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^class GridSpecBase:$/;" c +GridSpecFromSubplotSpec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^class GridSpecFromSubplotSpec(GridSpecBase):$/;" c +grid_sample adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def grid_sample(input, grid, mode='bilinear', padding_mode='zeros', align_corners=None):$/;" f +GRID_SAMPLE_INTERPOLATION_MODES adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^GRID_SAMPLE_INTERPOLATION_MODES = {$/;" v +GRID_SAMPLE_PADDING_MODES adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^GRID_SAMPLE_PADDING_MODES = {$/;" v +grok_environment_error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def grok_environment_error (exc, prefix="error: "):$/;" f +Group adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^class Group(HLObject, MutableMappingHDF5):$/;" c +group adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def group(self, s, loc, toks):$/;" m class:Parser +Group adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Group [$/;" c +Group adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Group(TokenConverter):$/;" c +Group adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Group(TokenConverter):$/;" c +Group adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Group(TokenConverter):$/;" c +Group adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Group(TokenConverter):$/;" c +group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def group(self, value, name=None):$/;" m class:StrategyBase +group adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def group(*inputs, **kwargs):$/;" f +group adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def group(self, group_id):$/;" m class:GroupLock +group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^class group(object):$/;" c +Group adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^class Group(object):$/;" c +groupbegins77 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupbegins77 = r'program|block\\s*data'$/;" v +groupbegins90 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupbegins90 = groupbegins77 + \\$/;" v +GroupByReducerDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^GroupByReducerDataset = tf_export("raw_ops.GroupByReducerDataset")(_ops.to_raw_op(group_by_reduc/;" v +GroupByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def GroupByteSize(field_number, message):$/;" f +GroupByWindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^GroupByWindowDataset = tf_export("raw_ops.GroupByWindowDataset")(_ops.to_raw_op(group_by_window_/;" v +groupcache adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupcache = None$/;" v +GroupConv adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def GroupConv(self, *args, **kwargs):$/;" m class:CNNModelHelper +GroupConv_Deprecated adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def GroupConv_Deprecated(self, *args, **kwargs):$/;" m class:CNNModelHelper +groupcounter adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupcounter = 0$/;" v +GroupDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def GroupDecoder(field_number, is_repeated, is_packed, key, new_default):$/;" f +GroupEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def GroupEncoder(field_number, is_repeated, is_packed):$/;" f +groupends adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupends = (r'end|endprogram|endblockdata|endmodule|endpythonmodule|'$/;" v +Grouper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class Grouper:$/;" c +GroupL1Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class GroupL1Norm(Regularizer):$/;" c +grouplist adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^grouplist = {groupcounter: []}$/;" v +GroupLock adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^class GroupLock(object):$/;" c +GroupMember adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^class GroupMember(object):$/;" c +groupname adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^groupname = ''$/;" v +GroupNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^class GroupNorm(Module):$/;" c +GroupNorm adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^class GroupNorm(torch.nn.GroupNorm):$/;" c +GroupSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def GroupSizer(field_number, is_repeated, is_packed):$/;" f +GroupWiseDNNLowPOpConvAcc16OpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^class GroupWiseDNNLowPOpConvAcc16OpTest(hu.HypothesisTestCase):$/;" c +GroupWiseDNNLowPOpConvTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^class GroupWiseDNNLowPOpConvTest(hu.HypothesisTestCase):$/;" c +group_bottleneck_block adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def group_bottleneck_block($/;" m class:ResNetModelHelper +group_by_reducer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^def group_by_reducer(key_func, reducer):$/;" f +group_by_reducer_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def group_by_reducer_dataset(input_dataset, key_func_other_arguments, init_func_other_arguments,/;" f +group_by_reducer_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def group_by_reducer_dataset_eager_fallback(input_dataset, key_func_other_arguments, init_func_o/;" f +group_by_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def group_by_size(input_tensors, bytes_per_pack):$/;" f +group_by_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^def group_by_window(key_func,$/;" f +group_by_window_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def group_by_window_dataset(input_dataset, key_func_other_arguments, reduce_func_other_arguments/;" f +group_by_window_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def group_by_window_dataset_eager_fallback(input_dataset, key_func_other_arguments, reduce_func_/;" f +group_columns adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def group_columns(A, order=0):$/;" f +group_conv adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def group_conv($/;" f +group_conv_deprecated adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def group_conv_deprecated($/;" f +group_delay adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def group_delay(system, w=512, whole=False, fs=2*pi):$/;" f +group_locations adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def group_locations(locations, expand_dir=False):$/;" f +group_norm adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def group_norm(input, num_groups, weight=None, bias=None, eps=1e-5):$/;" f +group_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def group_norm(g, input, num_groups, weight, bias, eps, cudnn_enabled):$/;" f +group_norm_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def group_norm_nchw_ref(self, X, gamma, beta, group, epsilon):$/;" m class:TestGroupNormOp +group_norm_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def group_norm_nhwc_ref(self, X, gamma, beta, group, epsilon):$/;" m class:TestGroupNormOp +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Accent +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Box +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Char +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Glue +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Kern +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:List +grow adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def grow(self):$/;" m class:Node +growByteBuffer adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def growByteBuffer(self):$/;" m class:Builder +GrowerInitializationHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class GrowerInitializationHook(tf.compat.v1.train.SessionRunHook):$/;" c +GrowingMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^GrowingMetadata = _reflection.GeneratedProtocolMessageType('GrowingMetadata', (_message.Message,/;" v +growth_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def growth_steps(self):$/;" m class:_DynamicLossScaleState +GROW_FACTOR adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^GROW_FACTOR = 1.0 \/ SHRINK_FACTOR$/;" v +grow_tree adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def grow_tree(self, stats_summaries_list, last_layer_nodes_range,$/;" m class:_AccumulatorEnsembleGrower +grow_tree adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def grow_tree(self, stats_summaries_list, last_layer_nodes_range,$/;" m class:_EnsembleGrower +grow_tree adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def grow_tree(self, stats_summaries_list, last_layer_nodes_range,$/;" m class:_InMemoryEnsembleGrower +grow_tree_from_accumulated_summaries_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def grow_tree_from_accumulated_summaries_fn():$/;" f member:_AccumulatorEnsembleGrower.grow_tree file: +grp adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ grp = pwd = None$/;" v +GRPCAuthMetadataContext adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^GRPCAuthMetadataContext = grpc.AuthMetadataContext$/;" v +GRPCAuthMetadataPlugin adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^GRPCAuthMetadataPlugin = grpc.AuthMetadataPlugin$/;" v +GRPCAuthMetadataPluginCallback adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^GRPCAuthMetadataPluginCallback = grpc.AuthMetadataPluginCallback$/;" v +GRPCCallOptions adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^class GRPCCallOptions(object):$/;" c +GrpcDebugHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^class GrpcDebugHook(session_run_hook.SessionRunHook):$/;" c +GrpcDebugWrapperSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^class GrpcDebugWrapperSession(framework.NonInteractiveDebugWrapperSession):$/;" c +GRPCInvocationContext adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^class GRPCInvocationContext(six.with_metaclass(abc.ABCMeta)):$/;" c +GRPCServicerContext adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^class GRPCServicerContext(six.with_metaclass(abc.ABCMeta)):$/;" c +GrpcTimeoutException adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^class GrpcTimeoutException(Exception):$/;" c +grpc_call_options adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^def grpc_call_options(disable_compression=False, credentials=None):$/;" f +GRPC_URL_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/common.py /^GRPC_URL_PREFIX = "grpc:\/\/"$/;" v +GRU adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^GRU = functools.partial(rnn_cell._LSTM, GRUCell)$/;" v +GRU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class GRU(RNN):$/;" c +GRU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^class GRU(recurrent.DropoutRNNCellMixin, recurrent.GRU):$/;" c +GRU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class GRU(RNNBase):$/;" c +gru adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^gru = _one_hidden_rnn('GRU')$/;" v +GRUBlockCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^GRUBlockCell = tf_export("raw_ops.GRUBlockCell")(_ops.to_raw_op(gru_block_cell))$/;" v +GRUBlockCellGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^GRUBlockCellGrad = tf_export("raw_ops.GRUBlockCellGrad")(_ops.to_raw_op(gru_block_cell_grad))$/;" v +GRUCell adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^class GRUCell(rnn_cell.RNNCell):$/;" c +GRUCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class GRUCell(LayerRNNCell):$/;" c +GRUCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class GRUCell(DropoutRNNCellMixin, Layer):$/;" c +GRUCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^class GRUCell(recurrent.GRUCell):$/;" c +GRUCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^GRUCell = rnn_cell_impl.GRUCell$/;" v +GRUCell adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class GRUCell(RNNCellBase):$/;" c +GRUCell adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class GRUCell(RNNCellBase):$/;" c +GRUCellTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^class GRUCellTest(serial.SerializedTestCase):$/;" c +GRUCellV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent import GRUCell as GRUCellV1$/;" x +GRUCellV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ GRUCellV1 = GRUCell$/;" v +GRUCellV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent_v2 import GRUCell as GRUCellV2$/;" x +GRUCellV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ GRUCellV2 = GRUCell$/;" v +GRUV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent import GRU as GRUV1$/;" x +GRUV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ GRUV1 = GRU$/;" v +GRUV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent_v2 import GRU as GRUV2$/;" x +GRUV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ GRUV2 = GRU$/;" v +gru_base adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def gru_base(self, create_rnn, ref, outputs_with_grads,$/;" m class:GRUCellTest +gru_block_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def gru_block_cell(x, h_prev, w_ru, w_c, b_ru, b_c, name=None):$/;" f +gru_block_cell_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def gru_block_cell_eager_fallback(x, h_prev, w_ru, w_c, b_ru, b_c, name, ctx):$/;" f +gru_block_cell_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def gru_block_cell_grad(x, h_prev, w_ru, w_c, b_ru, b_c, r, u, c, d_h, name=None):$/;" f +gru_block_cell_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def gru_block_cell_grad_eager_fallback(x, h_prev, w_ru, w_c, b_ru, b_c, r, u, c, d_h, name, ctx)/;" f +gru_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^def gru_input():$/;" f +gru_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^def gru_reference(input, hidden_input,$/;" f +gru_unit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^def gru_unit(*args, **kwargs):$/;" f +gru_unit_op_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^def gru_unit_op_input():$/;" f +gru_with_backend_selection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def gru_with_backend_selection(inputs, init_h, kernel, recurrent_kernel, bias,$/;" f +GSL_TESTS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^GSL_TESTS = [$/;" v +GSM610 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM610 = 0x0031$/;" v class:WAVE_FORMAT +GSM_610 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_610 = 0xA10D$/;" v class:WAVE_FORMAT +GSM_620 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_620 = 0xA10E$/;" v class:WAVE_FORMAT +GSM_660 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_660 = 0xA10F$/;" v class:WAVE_FORMAT +GSM_690 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_690 = 0xA110$/;" v class:WAVE_FORMAT +GSM_ADAPTIVE_MULTIRATE_WB adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_ADAPTIVE_MULTIRATE_WB = 0xA111$/;" v class:WAVE_FORMAT +GSM_AMR_CBR adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_AMR_CBR = 0x7A21$/;" v class:WAVE_FORMAT +GSM_AMR_VBR_SID adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ GSM_AMR_VBR_SID = 0x7A22$/;" v class:WAVE_FORMAT +gstd adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def gstd(a, axis=0, ddof=1):$/;" f +gstd_array_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ gstd_array_1d = 2.294407613602$/;" v class:TestGeometricStandardDeviation +gs_convert adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def gs_convert(pdffile, pngfile, dpi):$/;" f function:make_pdf_to_png_converter file: +gs_distill adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def gs_distill(tmpfile, eps=False, ptype='letter', bbox=None, rotated=False):$/;" f +gs_windows_binary adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ gs_windows_binary = binary$/;" v +gs_windows_binary adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^gs_windows_binary = None$/;" v +gt adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def gt(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +gt adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^gt = np.greater$/;" v +gt adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def gt(x: Array, y: Array) -> Array:$/;" f +gt adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^gt = _broadcasting_binary_op(math_ops.greater)$/;" v +gt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def gt(g, input, other):$/;" f +gt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def gt(g, input, other):$/;" f +gtkp_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gtkp_2_info(_pkg_config_info):$/;" c +gtkp_x11_2_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class gtkp_x11_2_info(_pkg_config_info):$/;" c +gt_impl adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def gt_impl(g, input, other):$/;" f +gt_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^gt_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'gt')$/;" v +GuaranteeConst adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^GuaranteeConst = tf_export("raw_ops.GuaranteeConst")(_ops.to_raw_op(guarantee_const))$/;" v +guarantee_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def guarantee_const(input, name=None):$/;" f +guarantee_const_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def guarantee_const_eager_fallback(input, name, ctx):$/;" f +guarantee_const_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def guarantee_const_getter(getter, name, *args, **kwargs):$/;" f function:rewrite_for_inference file: +GuardedIterator adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class GuardedIterator(object):$/;" c +GuardedWrite adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class GuardedWrite(object):$/;" c +guess_byte_order adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def guess_byte_order(self):$/;" m class:MatFile4Reader +guess_byte_order adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def guess_byte_order(self):$/;" m class:MatFile5Reader +guess_byte_order adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def guess_byte_order(self):$/;" m class:MatFileReader +guess_chunk adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def guess_chunk(shape, maxshape, typesize):$/;" f +guess_content_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^def guess_content_type(filename, default="application\/octet-stream"):$/;" f +guess_content_type adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^def guess_content_type(filename, default="application\/octet-stream"):$/;" f +guess_dtype adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^def guess_dtype(data):$/;" f +guess_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def guess_filename(obj):$/;" f +guess_filename adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def guess_filename(obj):$/;" f +guess_is_tensorflow_py_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def guess_is_tensorflow_py_library(py_file_path):$/;" f +guess_json_utf adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def guess_json_utf(data):$/;" f +guess_json_utf adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def guess_json_utf(data):$/;" f +guess_shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def guess_shape(sid):$/;" f +gui_repaint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def gui_repaint(self, drawDC=None, origin='WX'):$/;" m class:_FigureCanvasWxBase +gumbel adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def gumbel(key, shape=(), dtype=dtypes.float_):$/;" f +Gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^class Gumbel(TransformedDistribution):$/;" c +gumbel_l adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gumbel_l = gumbel_l_gen(name='gumbel_l')$/;" v +gumbel_l_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gumbel_l_gen(rv_continuous):$/;" c +gumbel_r adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^gumbel_r = gumbel_r_gen(name='gumbel_r')$/;" v +gumbel_r_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class gumbel_r_gen(rv_continuous):$/;" c +gumbel_softmax adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def gumbel_softmax(logits, tau=1, hard=False, eps=1e-10, dim=-1):$/;" f +Gzip adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^Gzip = cygrpc.CompressionAlgorithm.gzip$/;" v +Gzip adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ Gzip = _compression.Gzip$/;" v class:Compression +GZIP adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ GZIP = 2$/;" v class:TFRecordCompressionType +GzipDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^class GzipDecoder(object):$/;" c +GzipDecoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^class GzipDecoder(object):$/;" c +GzipDecoderState adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^class GzipDecoderState(object):$/;" c +GzipDecoderState adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^class GzipDecoderState(object):$/;" c +gzopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def gzopen(cls, name, mode="r", fileobj=None, compresslevel=9, **kwargs):$/;" m class:TarFile +g_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^def g_main(argv):$/;" f +g_topograph adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def g_topograph(self, x_min, X_min):$/;" m class:SHGO +H adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def H(self):$/;" m class:matrix +h adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^h = Planck = _cd('Planck constant')$/;" v +h adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ h = lambda x: 2 * x$/;" f member:TestQuad.test_double_integral2 file: +H adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ H = property(adjoint)$/;" v class:LinearOperator +h adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^ def h(z):$/;" f function:gammaincc file: +h adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^ def h(z):$/;" f function:gammainc file: +H adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ H = property(adjoint, None)$/;" v class:LinearOperator +H0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H0 = np.array([1])$/;" v +H1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H1 = np.array([0, 2])$/;" v +h16 adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^h16 = r"(?: %(HEXDIG)s ){1,4}" % locals()$/;" v +h1vp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def h1vp(v, z, n=1):$/;" f +H2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H2 = np.array([-2, 0, 4])$/;" v +h2vp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def h2vp(v, z, n=1):$/;" f +H3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H3 = np.array([0, -12, 0, 8])$/;" v +H4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H4 = np.array([12, 0, -48, 0, 16])$/;" v +h5 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^import h5py as h5$/;" I +h5 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^import h5py as h5$/;" I +h5 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^import h5py as h5$/;" I +H5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H5 = np.array([0, 120, 0, -160, 0, 32])$/;" v +h5py adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ h5py = None$/;" v +h5py adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ h5py = None$/;" v +h5py adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ h5py = None$/;" v +h5py adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^ h5py = None$/;" v +H5pyDeprecationWarning adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^class H5pyDeprecationWarning(H5pyWarning):$/;" c +H5pyWarning adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^class H5pyWarning(UserWarning):$/;" c +h5py_attr_completer adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^def h5py_attr_completer(context, command):$/;" f +h5py_completer adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^def h5py_completer(self, event):$/;" f +h5py_item_completer adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^def h5py_item_completer(context, command):$/;" f +H6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H6 = np.array([-120, 0, 720, 0, -480, 0, 64])$/;" v +H7 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H7 = np.array([0, -1680, 0, 3360, 0, -1344, 0, 128])$/;" v +H8 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H8 = np.array([1680, 0, -13440, 0, 13440, 0, -3584, 0, 256])$/;" v +H9 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^H9 = np.array([0, 30240, 0, -80640, 0, 48384, 0, -9216, 0, 512])$/;" v +hadamard adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def hadamard(n, dtype=int):$/;" f +half adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^half = float16$/;" v +half adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^half = float16$/;" v +half adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def half(self: T) -> T:$/;" m class:_RemoteModule +half adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def half(self: T) -> T:$/;" m class:Module +half adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def half(self):$/;" m class:PackedSequence +half adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def half(self):$/;" m class:_StorageBase +halfcauchy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^halfcauchy = halfcauchy_gen(a=0.0, name='halfcauchy')$/;" v +HalfCauchy adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^class HalfCauchy(TransformedDistribution):$/;" c +halfcauchy_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class halfcauchy_gen(rv_continuous):$/;" c +halfgennorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^halfgennorm = halfgennorm_gen(a=0, name='halfgennorm')$/;" v +halfgennorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class halfgennorm_gen(rv_continuous):$/;" c +halflogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^halflogistic = halflogistic_gen(a=0.0, name='halflogistic')$/;" v +halflogistic_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class halflogistic_gen(rv_continuous):$/;" c +halfnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^halfnorm = halfnorm_gen(a=0.0, name='halfnorm')$/;" v +HalfNormal adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^class HalfNormal(TransformedDistribution):$/;" c +halfnorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class halfnorm_gen(rv_continuous):$/;" c +HalfPixelCenters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def HalfPixelCenters(self):$/;" m class:ResizeBilinearOptions +HalfPixelCenters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def HalfPixelCenters(self):$/;" m class:ResizeNearestNeighborOptions +HalfStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class HalfStorage(_CudaBase, torch._C.CudaHalfStorageBase, _StorageBase):$/;" c +HalfStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class HalfStorage(_C.HalfStorageBase, _StorageBase):$/;" c +half_floats_to_bytes adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^def half_floats_to_bytes(floats):$/;" f +half_open_interval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^half_open_interval = _HalfOpenInterval$/;" v +half_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^half_ref = float16_ref$/;" v +half_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^half_ref = float16_ref$/;" v +halign adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ halign = {'N': 'center', 'S': 'center', 'E': 'left', 'W': 'right'}$/;" v class:QuiverKey +HammerAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class HammerAxes(GeoAxes):$/;" c +HammerTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class HammerTransform(_GeoTransform):$/;" c class:HammerAxes +hamming adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^hamming = _wrap_numpy_nullary_function(np.hamming)$/;" v +hamming adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def hamming(M):$/;" f +HAMMING adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^HAMMING = 5$/;" v +hamming adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def hamming(M, sym=True):$/;" f +hamming adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def hamming(u, v, w=None):$/;" f +hamming_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def hamming_window(window_length, periodic=True, dtype=dtypes.float32,$/;" f +HAND adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ HAND, POINTER, SELECT_REGION, MOVE, WAIT = range(5)$/;" v class:Cursors +handle adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def handle(self, exc):$/;" m class:ExceptionHandler +handle adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def handle(self, record):$/;" m class:ABSLHandler +handle adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def handle(self, record):$/;" m class:ABSLLogger +handle adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^ def handle(self, record): pass$/;" m class:NullHandler +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def handle(self):$/;" m class:PackedDistributedVariable +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def handle(self):$/;" m class:PackedVarAndDevice +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def handle(self):$/;" m class:TPUVariableMixin +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def handle(self):$/;" m class:DistributedVariable +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def handle(self):$/;" m class:Executor +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def handle(self, args, kwargs):$/;" m class:TFClassMethodDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def handle(self, args, kwargs):$/;" m class:TFSlicingOpDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def handle(self, op, args, kwargs):$/;" m class:KerasOpDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def handle(self, args, kwargs):$/;" m class:BinaryRaggedElementwiseDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def handle(self, args, kwargs):$/;" m class:RaggedDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def handle(self, args, kwargs):$/;" m class:UnaryRaggedElementwiseDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def handle(self):$/;" m class:BaseResourceVariable +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def handle(self):$/;" m class:TensorHandle +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^ def handle(self, args, kwargs):$/;" m class:_UnaryMapValueDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def handle(self):$/;" m class:TensorArray +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def handle(self):$/;" m class:_EagerTensorArray +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def handle(self):$/;" m class:_GraphTensorArray +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def handle(self):$/;" m class:_GraphTensorArrayV2 +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def handle(self, args, kwargs): # pylint: disable=unused-argument$/;" m class:OpDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def handle(self, args, kwargs):$/;" m class:_TypeBasedDispatcher +handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def handle(self, op, args, kwargs):$/;" m class:GlobalOpDispatcher +handle adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def handle(self):$/;" m class:WSGIRequestHandler +handleAttributes adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^ def handleAttributes(self, el, txt):$/;" m class:LegacyAttrs +handleComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handleComment(self):$/;" m class:EncodingParser +handleComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handleComment(self):$/;" m class:EncodingParser +handleError adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def handleError(self, record):$/;" m class:ColorizedStreamHandler +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def handleMatch(self, m, data):$/;" m class:AbbrInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def handleMatch(self, m, data):$/;" m class:FootnoteInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def handleMatch(self, m, data):$/;" m class:SubstituteTextPattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^ def handleMatch(self, m, data):$/;" m class:WikiLinksInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m):$/;" m class:DoubleTagPattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m):$/;" m class:Pattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m):$/;" m class:SimpleTagPattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m):$/;" m class:SimpleTextPattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m):$/;" m class:SubstituteTagPattern +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data): # pragma: no cover$/;" m class:DoubleTagInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data): # pragma: no cover$/;" m class:SimpleTagInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:AsteriskProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:AutolinkInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:AutomailInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:BacktickInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:EscapeInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:HtmlInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:ImageInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:InlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:LinkInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:ReferenceInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:SimpleTextInlineProcessor +handleMatch adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def handleMatch(self, m, data):$/;" m class:SubstituteTagInlineProcessor +handleMeta adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handleMeta(self):$/;" m class:EncodingParser +handleMeta adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handleMeta(self):$/;" m class:EncodingParser +handleOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handleOther(self):$/;" m class:EncodingParser +handleOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handleOther(self):$/;" m class:EncodingParser +handlePossibleEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handlePossibleEndTag(self):$/;" m class:EncodingParser +handlePossibleEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handlePossibleEndTag(self):$/;" m class:EncodingParser +handlePossibleStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handlePossibleStartTag(self):$/;" m class:EncodingParser +handlePossibleStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handlePossibleStartTag(self):$/;" m class:EncodingParser +handlePossibleTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def handlePossibleTag(self, endTag):$/;" m class:EncodingParser +handlePossibleTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def handlePossibleTag(self, endTag):$/;" m class:EncodingParser +handler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def handler(e):$/;" f member:TestLazyDynDepError.test_errorhandler file: +handler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def handler(e):$/;" f member:TestLazyDynDepError.test_importaftererror file: +handler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def handler(e):$/;" f member:TestLazyDynDepError.test_workspacecreatenet file: +handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def handler(out_bufs):$/;" f function:omnistaging_disabler._pvals_to_results_handler file: +handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def handler(out_bufs):$/;" f function:soft_pmap_avals_to_results_handler file: +handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def handler(out_bufs):$/;" f function:omnistaging_disabler._pvals_to_results_handler file: +handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def handler(out_bufs):$/;" f function:_avals_to_results_handler file: +handler adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def handler():$/;" f member:ToolbarQt.add_toolitem file: +handler adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def handler(event):$/;" f member:ToolbarWx.add_toolitem file: +handler adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ from . import _imagingagg as handler$/;" x function:getdraw file: +handler adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ from . import ImageDraw2 as handler$/;" x function:getdraw file: +Handler adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^class Handler(object):$/;" c +Handler adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/get_experiment.py /^class Handler(object):$/;" c +Handler adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_metric_evals.py /^class Handler(object):$/;" c +Handler adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^class Handler(object):$/;" c +handler adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def handler(handled_signal_number, frame):$/;" f member:TensorBoard._install_signal_handler file: +handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def handler(signum, frame):$/;" f member:MultiProcessRunner.start file: +handler adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/signal_handling.py /^ def handler(signum, frame):$/;" f function:_set_SIGCHLD_handler file: +HandlerBase adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerBase:$/;" c +HandlerCallDetails adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class HandlerCallDetails(six.with_metaclass(abc.ABCMeta)):$/;" c +HandlerCircleCollection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerCircleCollection(HandlerRegularPolyCollection):$/;" c +HandleReloadConfigRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^ def HandleReloadConfigRequest(self, request, context):$/;" m class:ModelServiceServicer +HandlerErrorbar adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerErrorbar(HandlerLine2D):$/;" c +HandlerLine2D adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerLine2D(HandlerNpoints):$/;" c +HandlerLineCollection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerLineCollection(HandlerLine2D):$/;" c +handlernoop adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def handlernoop(e):$/;" f member:TestLazyDynDepError.test_importaftererror file: +HandlerNpoints adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerNpoints(HandlerBase):$/;" c +HandlerNpointsYoffsets adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerNpointsYoffsets(HandlerNpoints):$/;" c +HandlerPatch adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerPatch(HandlerBase):$/;" c +HandlerPathCollection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerPathCollection(HandlerRegularPolyCollection):$/;" c +HandlerPolyCollection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerPolyCollection(HandlerBase):$/;" c +HandlerRegularPolyCollection adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerRegularPolyCollection(HandlerNpointsYoffsets):$/;" c +HANDLERS adpeps/_version.py /^HANDLERS = {}$/;" v +HANDLERS versioneer.py /^HANDLERS = {}$/;" v +HandlerStem adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerStem(HandlerNpointsYoffsets):$/;" c +HandlerTuple adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^class HandlerTuple(HandlerBase):$/;" c +handle_401 adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def handle_401(self, resp, **kwargs):$/;" m class:MultiDomainBasicAuth +handle_401 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def handle_401(self, r, **kwargs):$/;" m class:HTTPDigestAuth +handle_401 adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def handle_401(self, r, **kwargs):$/;" m class:HTTPDigestAuth +handle_ack adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_ack(self, event):$/;" m class:FigureCanvasWebAggCore +HANDLE_AS_SPARSE_LAYER adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ HANDLE_AS_SPARSE_LAYER = 'handle_as_sparse_layer'$/;" v class:Tags +handle_attrs adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def handle_attrs(self, attrs):$/;" m class:FencedBlockPreprocessor +handle_a_tag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def handle_a_tag(self, token_buffer):$/;" m class:LinkifyFilter +handle_button_press adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ handle_button_press = handle_button_release = handle_motion_notify = \\$/;" v class:FigureCanvasWebAggCore +handle_charref adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_charref(self, name):$/;" m class:HTMLExtractor +handle_clip_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def handle_clip_rectangle(self, gc):$/;" m class:RendererWx +handle_comment adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_comment(self, data):$/;" m class:HTMLExtractor +handle_data adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def handle_data(self, data):$/;" m class:HTMLExtractorExtra +handle_data adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_data(self, data):$/;" m class:HTMLExtractor +handle_decl adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_decl(self, data):$/;" m class:HTMLExtractor +handle_display_options adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def handle_display_options(self, option_order):$/;" m class:Distribution +handle_display_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def handle_display_options(self, option_order):$/;" m class:Distribution +handle_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_draw(self, event):$/;" m class:FigureCanvasWebAggCore +handle_duplicates adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def handle_duplicates(self, parent):$/;" m class:FootnotePostTreeprocessor +handle_email_addresses adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def handle_email_addresses(self, src_iter):$/;" m class:LinkifyFilter +handle_empty_tag adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def handle_empty_tag(self, data, is_block):$/;" m class:HTMLExtractorExtra +handle_empty_tag adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_empty_tag(self, data, is_block):$/;" m class:HTMLExtractor +handle_endtag adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def handle_endtag(self, tag):$/;" m class:HTMLExtractorExtra +handle_endtag adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_endtag(self, tag):$/;" m class:HTMLExtractor +handle_entityref adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_entityref(self, name):$/;" m class:HTMLExtractor +handle_error adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def handle_error(self, request, client_address):$/;" m class:WerkzeugServer +handle_error adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def handle_error(self, request, client_address):$/;" m class:BaseWSGIServer +handle_error_response adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^def handle_error_response(response_body):$/;" f +handle_event adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def handle_event(event):$/;" f function:_event_handler file: +handle_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_event(self, event):$/;" m class:FigureCanvasWebAggCore +handle_events adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^def handle_events(sol, events, active_events, is_terminal, t_old, t):$/;" f +handle_exception adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def handle_exception(self, e):$/;" m class:Worker +handle_extra_path adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def handle_extra_path(self):$/;" m class:install +handle_extra_path adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def handle_extra_path(self):$/;" m class:install +handle_json adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_json(self, content):$/;" m class:FigureManagerWebAgg +handle_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ handle_key_press = handle_key_release = _handle_key$/;" v class:FigureCanvasWebAggCore +handle_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def handle_line($/;" f +handle_links adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def handle_links(self, src_iter):$/;" m class:LinkifyFilter +handle_match adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def handle_match(m):$/;" f function:unescape file: +handle_mutual_excludes adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def handle_mutual_excludes(value, target, other):$/;" m class:FormatControl +handle_one_request adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def handle_one_request(self):$/;" m class:WSGIRequestHandler +handle_option_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def handle_option_line($/;" f +handle_parameter_server_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def handle_parameter_server_failure():$/;" f +handle_partial_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^def handle_partial_sample_weights(outputs, sample_weights, sample_weight_modes,$/;" f +handle_pi adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_pi(self, data):$/;" m class:HTMLExtractor +handle_pip_version_check adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def handle_pip_version_check(self, options):$/;" m class:Command +handle_pip_version_check adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def handle_pip_version_check(self, options):$/;" m class:IndexGroupCommand +handle_redirect adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def handle_redirect(self, r, **kwargs):$/;" m class:HTTPDigestAuth +handle_redirect adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def handle_redirect(self, r, **kwargs):$/;" m class:HTTPDigestAuth +handle_refresh adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_refresh(self, event):$/;" m class:FigureCanvasWebAggCore +handle_requirement_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def handle_requirement_line($/;" f +handle_resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_resize(self, event):$/;" m class:FigureCanvasWebAggCore +handle_result adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ handle_result = lambda *bufs:\\$/;" f function:xla_primitive_callable file: +handle_send_image_mode adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_send_image_mode(self, event):$/;" m class:FigureCanvasWebAggCore +handle_set_dpi_ratio adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_set_dpi_ratio(self, event):$/;" m class:FigureCanvasWebAggCore +handle_sigint adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def handle_sigint(self, signum, frame): # type: ignore$/;" m class:InterruptibleMixin +handle_single_axis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def handle_single_axis(scale, autoscaleon, shared_axes, interval,$/;" f member:_AxesBase.autoscale_view file: +handle_startendtag adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def handle_startendtag(self, tag, attrs):$/;" m class:HTMLExtractorExtra +handle_startendtag adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_startendtag(self, tag, attrs):$/;" m class:HTMLExtractor +handle_starttag adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def handle_starttag(self, tag, attrs):$/;" m class:HTMLExtractorExtra +handle_starttag adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def handle_starttag(self, tag, attrs):$/;" m class:HTMLExtractor +handle_toolbar_button adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_toolbar_button(self, event):$/;" m class:FigureCanvasWebAggCore +handle_torch_function adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def handle_torch_function($/;" f +handle_unknown_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def handle_unknown_event(self, event):$/;" m class:FigureCanvasWebAggCore +handle_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def handle_value(k):$/;" f member:CSVLogger.on_epoch_end file: +handshake adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def handshake($/;" m class:WrappedSocket +handshake adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def handshake($/;" m class:WrappedSocket +HANG_FINISHED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^HANG_FINISHED = " "$/;" v +HANG_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^HANG_SUFFIX = "|- "$/;" v +HANG_UNFINISHED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^HANG_UNFINISHED = "| " # Used for unfinished recursion depths.$/;" v +hankel adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def hankel(c, r=None):$/;" f +hankel1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex hankel1(double x0, double complex x1) nogil$/;" f +hankel1e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex hankel1e(double x0, double complex x1) nogil$/;" f +hankel2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex hankel2(double x0, double complex x1) nogil$/;" f +hankel2e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex hankel2e(double x0, double complex x1) nogil$/;" f +hann adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def hann(M, sym=True):$/;" f +hanning adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^hanning = _wrap_numpy_nullary_function(np.hanning)$/;" v +hanning adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def hanning(M):$/;" f +hanning adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def hanning(*args, **kwargs):$/;" f +hann_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def hann_window(window_length, periodic=True, dtype=dtypes.float32, name=None):$/;" f +harden_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def harden_mask(self):$/;" m class:MaskedArray +harden_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^harden_mask = _frommethod('harden_mask')$/;" v +harden_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def harden_mask(self):$/;" m class:MaskedRecords +HardLink adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^class HardLink(object):$/;" c +hardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def hardmask(self):$/;" m class:MaskedArray +hardshrink adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def hardshrink(input, lambd=0.5):$/;" f +Hardshrink adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Hardshrink(Module):$/;" c +hardsigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def hardsigmoid(input, inplace=False):$/;" f +Hardsigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Hardsigmoid(Module):$/;" c +hardsigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def hardsigmoid(input: Tensor) -> Tensor:$/;" f +hardswish adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def hardswish(input: Tensor, inplace: bool = False) -> Tensor:$/;" f +Hardswish adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Hardswish(Module):$/;" c +hardswish adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def hardswish(input: Tensor, scale: float, zero_point: int) -> Tensor:$/;" f +Hardswish adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^class Hardswish(torch.nn.Hardswish):$/;" c +HardSwishOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ HardSwishOptions = 91$/;" v class:BuiltinOptions +HardSwishOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class HardSwishOptions(object):$/;" c +HardSwishOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def HardSwishOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:HardSwishOptions +HardSwishOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def HardSwishOptionsEnd(builder): return builder.EndObject()$/;" f +HardSwishOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def HardSwishOptionsStart(builder): builder.StartObject(0)$/;" f +HardSwishOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class HardSwishOptionsT(object):$/;" c +hardtanh adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def hardtanh(input: Tensor, min_val: float = -1., max_val: float = 1., inplace: bool = False) ->/;" f +Hardtanh adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Hardtanh(Module):$/;" c +hardtanh adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def hardtanh(input: Tensor, min_val: float = -1., max_val: float = 1., inplace: bool = False) ->/;" f +hardtanh adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def hardtanh(g, self, min_val, max_val):$/;" f +hardtanh adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def hardtanh(g, self, min_val, max_val):$/;" f +hardtanh_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^hardtanh_ = _add_docstr(torch._C._nn.hardtanh_, r"""$/;" v +HardwareModuleIdentifierList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class HardwareModuleIdentifierList(univ.SequenceOf):$/;" c +HardwareModuleName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class HardwareModuleName(univ.Sequence):$/;" c +HardwareModules adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class HardwareModules(univ.Sequence):$/;" c +HardwareModules adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class HardwareModules(univ.Sequence):$/;" c +HardwareSerialEntry adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class HardwareSerialEntry(univ.Choice):$/;" c +HardwareSerialEntry adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class HardwareSerialEntry(univ.Choice):$/;" c +hard_constraints adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def hard_constraints(self):$/;" m class:LayoutBox +hard_light adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def hard_light(image1, image2):$/;" f +hard_sigmoid adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def hard_sigmoid(x: Array) -> Array:$/;" f +hard_sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def hard_sigmoid(x):$/;" f +hard_sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def hard_sigmoid(x):$/;" f +hard_sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def hard_sigmoid(x):$/;" f +hard_sigmoid_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def hard_sigmoid_ref(X):$/;" f member:TestElementwiseOps.test_hard_sigmoid file: +hard_silu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def hard_silu(x: Array) -> Array:$/;" f +hard_swish adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^hard_swish = hard_silu$/;" v +HARD_SWISH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ HARD_SWISH = 117$/;" v class:BuiltinOperator +hard_swish adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def hard_swish(x):$/;" f +hard_tanh adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def hard_tanh(x: Array) -> Array:$/;" f +Harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^class Harness:$/;" c +harness_groups_no_limitations adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ harness_groups_no_limitations = {$/;" v class:Jax2TfLimitation +has adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def has(self, entity, subkey):$/;" m class:_TransformedFnCache +HasAdjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class HasAdjoint(BaseMatlike):$/;" c function:TestAsLinearOperator.setup_method.make_cases file: +hasanno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def hasanno(node, key, field_name='___pyct_anno'):$/;" f +hasassumedshape adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasassumedshape(rout):$/;" f +HasBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^HasBlob = C.has_blob$/;" v +hasbody adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasbody(rout):$/;" f +hascallstatement adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hascallstatement(rout):$/;" f +hascommon adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hascommon(rout):$/;" f +HasComparisons adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class HasComparisons:$/;" c member:TestUfunc.test_object_comparison file: +hasContent adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def hasContent(self):$/;" m class:Node +hasContent adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def hasContent(self):$/;" m class:getDomBuilder.NodeBuilder +hasContent adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def hasContent(self):$/;" m class:getETreeBuilder.Element +hasContent adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def hasContent(self):$/;" m class:Node +hasContent adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def hasContent(self):$/;" m class:getDomBuilder.NodeBuilder +hasContent adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def hasContent(self):$/;" m class:getETreeBuilder.Element +HasExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def HasExtension(self, extension_handle):$/;" f function:_AddHasExtensionMethod file: +HasExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def HasExtension(self, extension_handle):$/;" m class:Message +hasexternals adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasexternals(rout):$/;" f +HasField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def HasField(self, field_name):$/;" f function:_AddHasFieldMethod file: +HasField adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def HasField(self, field_name):$/;" m class:Message +hash adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^hash = partial($/;" v +hash adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def hash(self):$/;" m class:Link +Hash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class Hash(univ.OctetString):$/;" c +Hash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^Hash = rfc2634.Hash$/;" v +Hashable adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^class Hashable(object):$/;" c +HashableFunction adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^class HashableFunction:$/;" c +hashable_partial adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def hashable_partial(x, *args):$/;" f +HashAlgAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class HashAlgAndValue(univ.Sequence):$/;" c +HashAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^class HashAlgorithm(rfc5280.AlgorithmIdentifier):$/;" c +HashAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class HashAlgorithm(AlgorithmIdentifier):$/;" c +hashAlgs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^hashAlgs = univ.ObjectIdentifier('2.16.840.1.101.3.4.2')$/;" v +hashAlgs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^hashAlgs = univ.ObjectIdentifier('2.16.840.1.101.3.4.2')$/;" v +hashAlg_SHAKE256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^hashAlg_SHAKE256 = rfc5280.AlgorithmIdentifier()$/;" v +hashAlg_SHAKE256_LEN adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^hashAlg_SHAKE256_LEN = rfc5280.AlgorithmIdentifier()$/;" v +hashAlg_SHA_512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^hashAlg_SHA_512 = rfc5280.AlgorithmIdentifier()$/;" v +HashChecker adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^class HashChecker(ContentChecker):$/;" c +hashcmp adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def hashcmp(self):$/;" m class:Distribution +hashcmp adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def hashcmp(self):$/;" m class:Distribution +HashCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^class HashCommand(Command):$/;" c +HashedCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class HashedCategoricalColumn($/;" c +HashedRootKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8649.py /^class HashedRootKey(univ.Sequence):$/;" c +hasher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ hasher = 'sha256'$/;" v class:InstalledDistribution +hasher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ hasher = None$/;" v class:BaseInstalledDistribution +HashError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class HashError(InstallationError):$/;" c +HashErrors adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class HashErrors(InstallationError):$/;" c +HasherSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class HasherSpec(collections.namedtuple("HasherSpec", ["hasher", "key"])):$/;" c +HASHER_HASH adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^HASHER_HASH = re.compile(r'^(\\w+)=([a-f0-9]+)')$/;" v +hashes adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def hashes(self, trust_internet=True):$/;" m class:InstallRequirement +Hashes adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^class Hashes(object):$/;" c +hashfunc adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^ def hashfunc(d=b""):$/;" f function:_create_mac file: +HASHFUNC_MAP adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^HASHFUNC_MAP = {32: md5, 40: sha1, 64: sha256}$/;" v +HASHFUNC_MAP adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^HASHFUNC_MAP = {32: md5, 40: sha1, 64: sha256}$/;" v +HashHeaderProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class HashHeaderProcessor(BlockProcessor):$/;" c +Hashing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^class Hashing(base_preprocessing_layer.PreprocessingLayer):$/;" c +hashing_trick adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^def hashing_trick(text, n,$/;" f +hashing_trick adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text.py /^hashing_trick = text.hashing_trick$/;" v +hashkey adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^def hashkey(*args, **kwargs):$/;" f +HashMismatch adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class HashMismatch(HashError):$/;" c +HashMissing adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class HashMissing(HashError):$/;" c +HashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^HashTable = tf_export("raw_ops.HashTable")(_ops.to_raw_op(hash_table))$/;" v +HashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class HashTable(StaticHashTableV1):$/;" c +HashTableV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^HashTableV2 = tf_export("raw_ops.HashTableV2")(_ops.to_raw_op(hash_table_v2))$/;" v +HASHTABLE_LOOKUP adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ HASHTABLE_LOOKUP = 10$/;" v class:BuiltinOperator +HashUnpinned adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class HashUnpinned(HashError):$/;" c +HASH_ASN1 adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^HASH_ASN1 = {$/;" v +hash_build_arguments adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^def hash_build_arguments(hash_value, build_arguments):$/;" f +hash_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def hash_file(path, blocksize=1 << 20):$/;" f +hash_function adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def hash_function(w):$/;" f function:hashing_trick file: +hash_kind adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ hash_kind = 'sha256'$/;" v class:Wheel +HASH_METHODS adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^HASH_METHODS = sorted(rsa.pkcs1.HASH_METHODS.keys())$/;" v +HASH_METHODS adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^HASH_METHODS = {$/;" v +hash_name adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def hash_name(self):$/;" m class:Link +hash_pin adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^def hash_pin(pin):$/;" f +HASH_REGEX adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^HASH_REGEX = re.compile('^[a-fA-F0-9]{40}$')$/;" v +HASH_REGEX adpepsenv/lib/python3.8/site-packages/torch/hub.py /^HASH_REGEX = re.compile(r'-([a-f0-9]*)\\.')$/;" v +hash_source_files adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^def hash_source_files(hash_value, source_files):$/;" f +hash_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def hash_table(key_dtype, value_dtype, container="", shared_name="", use_node_name_sharing=False/;" f +hash_table_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def hash_table_eager_fallback(key_dtype, value_dtype, container, shared_name, use_node_name_shar/;" f +hash_table_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def hash_table_v2(key_dtype, value_dtype, container="", shared_name="", use_node_name_sharing=Fa/;" f +hash_table_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def hash_table_v2_eager_fallback(key_dtype, value_dtype, container, shared_name, use_node_name_s/;" f +HASH_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^HASH_TAG = "hash"$/;" v +hash_then_or adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def hash_then_or(hash_name):$/;" f member:HashMismatch._hash_comparison file: +hasinitvalue adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasinitvalue(var):$/;" f +hasinitvalueasstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasinitvalueasstring(var):$/;" f +haskeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def haskeys(self):$/;" m class:ParseResults +haskeys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def haskeys( self ):$/;" m class:ParseResults +haskeys adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def haskeys(self):$/;" m class:ParseResults +haskeys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def haskeys( self ):$/;" m class:ParseResults +HasNets adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def HasNets(self):$/;" m class:ExecutionStep +HasNew adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class HasNew:$/;" c +hasnote adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasnote(var):$/;" f +hasOpenTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def hasOpenTypes(self):$/;" m class:NamedTypes +hasOptionalOrDefault adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def hasOptionalOrDefault(self):$/;" m class:NamedTypes +hasresultnote adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasresultnote(rout):$/;" f +HasRmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class HasRmatmat(HasRmatvec):$/;" c function:TestAsLinearOperator.setup_method.make_cases file: +HasRmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class HasRmatvec(BaseMatlike):$/;" c function:TestAsLinearOperator.setup_method.make_cases file: +HasSubsteps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def HasSubsteps(self):$/;" m class:ExecutionStep +HasTrunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class HasTrunc:$/;" c member:TestConversion.test_to_int_scalar file: +hasValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def hasValue(self):$/;" m class:Asn1Type +hasvariables adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def hasvariables(rout):$/;" f +has_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def has_arg(fn, name, accept_all=False):$/;" f +has_atomic_move adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def has_atomic_move(path):$/;" f +has_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def has_attr(self):$/;" m class:QN +has_avx2 adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^def has_avx2():$/;" f +has_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def has_backend(backend):$/;" f +has_bad_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def has_bad_value(_, tensor):$/;" f member:SessionDebugTestBase.testFindNodesWithBadTensorValues file: +has_barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def has_barrier(self):$/;" m class:_WorkerContext +has_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^def has_blob(proto, needle):$/;" f +has_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def has_blob(proto, needle):$/;" f +has_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def has_blobs(self):$/;" m class:Field +has_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def has_blobs(self):$/;" m class:List +has_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def has_blobs(self):$/;" m class:ListWithEvicted +has_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def has_blobs(self):$/;" m class:Scalar +has_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def has_blobs(self):$/;" m class:Struct +HAS_BZ2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ HAS_BZ2 = False$/;" v +HAS_BZ2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ HAS_BZ2 = True$/;" v +has_canonical_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ has_canonical_format = property(fget=__get_has_canonical_format,$/;" v class:_cs_matrix +has_cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ has_cholmod = False$/;" v +has_cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^has_cholmod = True$/;" v +has_cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ has_cholmod = False$/;" v +has_cholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^has_cholmod = True$/;" v +has_column_major_storage adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^ has_column_major_storage = lambda a:0$/;" f +has_column_major_storage adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^def has_column_major_storage(arr):$/;" f +has_content adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ has_content = True$/;" v class:MathDirective +has_content adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ has_content = True$/;" v class:PlotDirective +has_contents_for adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def has_contents_for(self, package):$/;" m class:Distribution +has_cuda_support adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^has_cuda_support = C.has_cuda_support$/;" v +has_cuda_support adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^ has_cuda_support = False$/;" v +has_cudnn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def has_cudnn(self):$/;" m class:CUDATestBase +has_cxx_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^ def has_cxx_sources(self):$/;" m class:Extension +has_cxx_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def has_cxx_sources(sources):$/;" f +has_c_compiler adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def has_c_compiler():$/;" f +has_c_libraries adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def has_c_libraries(self):$/;" m class:build +has_c_libraries adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_c_libraries(self):$/;" m class:Distribution +has_data adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def has_data(self):$/;" m class:_AxesBase +has_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def has_data(self):$/;" m class:install +has_data adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def has_data(self) -> bool:$/;" m class:TensorBoardUploader +has_data adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def has_data(self):$/;" m class:UploadStats +has_data adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def has_data(self):$/;" m class:UploadTracker +has_data_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_data_files(self):$/;" m class:Distribution +has_day adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def has_day(self):$/;" m class:_ymd +has_default_client_cert_source adpepsenv/lib/python3.8/site-packages/google/auth/transport/mtls.py /^def has_default_client_cert_source():$/;" f +has_default_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def has_default_graph():$/;" f +has_deprecation_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^def has_deprecation_decorator(symbol):$/;" f +has_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^has_distribution_strategy = has_strategy$/;" v +has_docstring adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def has_docstring(node):$/;" f +HAS_DOCUTILS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ HAS_DOCUTILS = False$/;" v +HAS_DOCUTILS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ HAS_DOCUTILS = True$/;" v +has_einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def has_einsum(backend):$/;" f +has_embedding_tables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def has_embedding_tables(self):$/;" m class:EmbeddingConfig +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ has_enumerate_support = True$/;" v class:Bernoulli +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ has_enumerate_support = True$/;" v class:Binomial +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ has_enumerate_support = True$/;" v class:Categorical +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ has_enumerate_support = False$/;" v class:Distribution +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def has_enumerate_support(self):$/;" m class:Independent +has_enumerate_support adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ has_enumerate_support = True$/;" v class:OneHotCategorical +has_ext_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def has_ext_modules(self):$/;" m class:build +has_ext_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_ext_modules(self):$/;" m class:Distribution +has_ext_modules adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def has_ext_modules(self):$/;" m class:BinaryDistribution +has_f2py_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^ def has_f2py_sources(self):$/;" m class:Extension +has_f77_compiler adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def has_f77_compiler():$/;" f +has_f90_compiler adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def has_f90_compiler():$/;" f +has_f90_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def has_f90_header(src):$/;" f +has_fclist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^has_fclist = shutil.which('fc-list') is not None$/;" v +has_feedable_training_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def has_feedable_training_target(self):$/;" m class:_TrainingEndpoint +has_fignum adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def has_fignum(cls, num):$/;" m class:Gcf +has_flag adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def has_flag(compiler, flag, ext=None):$/;" f +has_fully_masked_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def has_fully_masked_sequence(mask):$/;" f +has_function adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def has_function(self, funcname, includes=None, include_dirs=None,$/;" m class:CCompiler +has_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def has_function(self, name):$/;" m class:Context +has_f_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def has_f_sources(sources):$/;" f +has_ghostscript adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^def has_ghostscript():$/;" f +has_gpu_support adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^has_gpu_support = C.has_gpu_support$/;" v +has_gpu_support adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^ has_gpu_support = has_cuda_support = True$/;" v +has_gpu_support adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^ has_gpu_support = has_hip_support = True$/;" v +has_gpu_support adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^ has_gpu_support = False$/;" v +has_half adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^has_half: bool = False$/;" v +has_hash adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def has_hash(self):$/;" m class:Link +has_hash_options adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def has_hash_options(self):$/;" m class:InstallRequirement +has_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def has_header(self, name):$/;" m class:MockRequest +has_header adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def has_header(self, name):$/;" m class:MockRequest +has_headers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def has_headers(self):$/;" m class:install +has_headers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_headers(self):$/;" m class:Distribution +has_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ def has_helper(self, helper_or_helper_name):$/;" m class:HelperWrapper +has_hip_support adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^has_hip_support = C.has_hip_support$/;" v +has_hip_support adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^ has_hip_support = False$/;" v +HAS_ILP64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^ HAS_ILP64 = False$/;" v +HAS_ILP64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^ HAS_ILP64 = True$/;" v +HAS_ILP64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^ HAS_ILP64 = False$/;" v +HAS_ILP64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^ HAS_ILP64 = True$/;" v +has_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def has_info(self):$/;" m class:system_info +has_inf_or_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def has_inf_or_nan(datum, tensor):$/;" f +has_inverse adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ has_inverse = False$/;" v class:Transform +has_inverse adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ has_inverse = property($/;" v class:BlendedGenericTransform +has_inverse adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ has_inverse = property($/;" v class:CompositeGenericTransform +has_inverse adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ has_inverse = property(lambda self: self._child.has_inverse)$/;" v class:TransformWrapper +HAS_IPV6 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^HAS_IPV6 = _has_ipv6("::1")$/;" v +HAS_IPV6 adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^HAS_IPV6 = _has_ipv6("::1")$/;" v +has_key adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ has_key = __contains__$/;" v class:CombinedMultiDict +has_key adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ has_key = __contains__$/;" v class:Headers +has_keys_with_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def has_keys_with_prefix(self, prefix):$/;" m class:Trie +has_keys_with_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/_base.py /^ def has_keys_with_prefix(self, prefix):$/;" m class:Trie +has_keys_with_prefix adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def has_keys_with_prefix(self, prefix):$/;" m class:Trie +has_keys_with_prefix adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def has_keys_with_prefix(self, prefix):$/;" m class:Trie +has_keys_with_prefix adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/_base.py /^ def has_keys_with_prefix(self, prefix):$/;" m class:Trie +has_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def has_kwargs(fn):$/;" f +has_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def has_labels(self):$/;" m class:_InputPipeline.InputsStructureRecorder +HAS_LAPACK64 adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^HAS_LAPACK64 = numpy.linalg.lapack_lite._ilp64$/;" v +has_leading_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def has_leading_dir(paths):$/;" f +has_leaky_handle adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ has_leaky_handle = ($/;" v class:sdist +has_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def has_lib(self):$/;" m class:install +has_likely_buggy_unicode_filesystem adpepsenv/lib/python3.8/site-packages/werkzeug/filesystem.py /^has_likely_buggy_unicode_filesystem = ($/;" v +has_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def has_loss(self):$/;" m class:LayerModelHelper +HAS_LZMA adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ HAS_LZMA = False$/;" v +HAS_LZMA adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ HAS_LZMA = True$/;" v +has_magic adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def has_magic(s):$/;" f +has_magma adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^has_magma: bool = False$/;" v +has_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ has_matplotlib = False$/;" v +has_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ has_matplotlib = True$/;" v +has_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def has_metadata(self, name):$/;" m class:DictMetadata +has_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_metadata(name):$/;" m class:IMetadataProvider +has_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_metadata(self, name):$/;" m class:FileMetadata +has_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_metadata(self, name):$/;" m class:NullProvider +has_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_metadata(name):$/;" m class:IMetadataProvider +has_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_metadata(self, name):$/;" m class:FileMetadata +has_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_metadata(self, name):$/;" m class:NullProvider +has_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_modules(self):$/;" m class:Distribution +has_month adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def has_month(self):$/;" m class:_ymd +has_negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^ def has_negative(_, tensor):$/;" f function:main file: +has_nested_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def has_nested_fields(ndtype):$/;" f +has_new_data_since_last_summarize adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def has_new_data_since_last_summarize(self):$/;" m class:UploadStats +has_normalizer adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_context.py /^ def has_normalizer(self, name):$/;" m class:NormalizerContext +has_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^ def has_optimizer(self, name):$/;" m class:OptimizerContext +has_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def has_option(self, long_option):$/;" m class:FancyGetopt +has_output adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ has_output = False$/;" v class:VerifyOperation +has_output adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ has_output = True$/;" v class:CryptoOperation +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ HAS_PANDAS = False$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ HAS_PANDAS = True$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ HAS_PANDAS = False$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ HAS_PANDAS = True$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^ HAS_PANDAS = False$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^ HAS_PANDAS = True$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ HAS_PANDAS = False$/;" v +HAS_PANDAS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ HAS_PANDAS = True$/;" v +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:CompositeTensorDataAdapter +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:DataAdapter +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:DatasetAdapter +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:GeneratorDataAdapter +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:ListsOfScalarsDataAdapter +has_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def has_partial_batch(self):$/;" m class:TensorLikeDataAdapter +has_precomputed_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def has_precomputed_nrows(self):$/;" m class:RowPartition +has_precomputed_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def has_precomputed_row_lengths(self):$/;" m class:RowPartition +has_precomputed_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def has_precomputed_row_splits(self):$/;" m class:RowPartition +has_precomputed_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def has_precomputed_value_rowids(self):$/;" m class:RowPartition +HAS_PSUTIL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ HAS_PSUTIL = False$/;" v +HAS_PSUTIL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ HAS_PSUTIL = True$/;" v +has_pure_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def has_pure_modules(self):$/;" m class:build +has_pure_modules adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_pure_modules(self):$/;" m class:Distribution +HAS_REFCOUNT adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^HAS_REFCOUNT = getattr(sys, 'getrefcount', None) is not None$/;" v +has_regularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_context.py /^ def has_regularizer(self, name):$/;" m class:RegularizerContext +has_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def has_requirement(self, name):$/;" m class:RequirementSet +has_resource adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_resource(resource_name):$/;" m class:IResourceProvider +has_resource adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_resource(self, resource_name):$/;" m class:NullProvider +has_resource adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_resource(resource_name):$/;" m class:IResourceProvider +has_resource adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_resource(self, resource_name):$/;" m class:NullProvider +has_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def has_resource(self, feature_column, name):$/;" m class:StateManager +has_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def has_resource(self, feature_column, resource_name):$/;" m class:_StateManagerImpl +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ has_rsample = True$/;" v class:Beta +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ has_rsample = True$/;" v class:Cauchy +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ has_rsample = True$/;" v class:ContinuousBernoulli +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ has_rsample = True$/;" v class:Dirichlet +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ has_rsample = False$/;" v class:Distribution +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ has_rsample = True$/;" v class:Exponential +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ has_rsample = True$/;" v class:FisherSnedecor +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ has_rsample = True$/;" v class:Gamma +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ has_rsample = True$/;" v class:HalfCauchy +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ has_rsample = True$/;" v class:HalfNormal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def has_rsample(self):$/;" m class:Independent +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ has_rsample = True$/;" v class:Laplace +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ has_rsample = True$/;" v class:LogisticNormal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ has_rsample = True$/;" v class:LogNormal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ has_rsample = True$/;" v class:LowRankMultivariateNormal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ has_rsample = False$/;" v class:MixtureSameFamily +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ has_rsample = True$/;" v class:MultivariateNormal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ has_rsample = True$/;" v class:Normal +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ has_rsample = True$/;" v class:RelaxedBernoulli +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ has_rsample = True$/;" v class:ExpRelaxedCategorical +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ has_rsample = True$/;" v class:RelaxedOneHotCategorical +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ has_rsample = True$/;" v class:StudentT +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def has_rsample(self):$/;" m class:TransformedDistribution +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ has_rsample = True$/;" v class:Uniform +has_rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ has_rsample = False$/;" v class:VonMises +has_samecoef adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def has_samecoef(self, other):$/;" m class:ABCPolyBase +has_samedomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def has_samedomain(self, other):$/;" m class:ABCPolyBase +has_sametype adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def has_sametype(self, other):$/;" m class:ABCPolyBase +has_samewindow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def has_samewindow(self, other):$/;" m class:ABCPolyBase +has_scons_scripts adpepsenv/lib/python3.8/site-packages/numpy/distutils/numpy_distribution.py /^ def has_scons_scripts(self):$/;" m class:NumpyDistribution +has_scopes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def has_scopes(self, scopes):$/;" m class:ReadOnlyScoped +has_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def has_scripts(self):$/;" m class:build +has_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def has_scripts(self):$/;" m class:install +has_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def has_scripts(self):$/;" m class:Distribution +has_shared_memory adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def has_shared_memory(self):$/;" m class:Array +HAS_SNI adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^HAS_SNI = True$/;" v +HAS_SNI adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^HAS_SNI = True$/;" v +HAS_SNI adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^HAS_SNI = False$/;" v +HAS_SNI adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^HAS_SNI = True$/;" v +HAS_SNI adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^HAS_SNI = True$/;" v +HAS_SNI adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^HAS_SNI = False$/;" v +has_sorted_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ has_sorted_indices = property(fget=__get_sorted, fset=__set_sorted)$/;" v class:_cs_matrix +has_sphinx adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def has_sphinx(self):$/;" m class:upload_docs +has_stopped adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def has_stopped(self):$/;" m class:_StopGuard +has_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def has_strategy():$/;" f +has_subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def has_subscript(self):$/;" m class:QN +has_symbolic_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def has_symbolic_tensors(ls):$/;" f +has_symmetry adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def has_symmetry(self):$/;" m class:MMFile +has_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/py_checkpoint_reader.py /^def has_tensor(self, tensor_str):$/;" f +has_tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def has_tensordot(backend):$/;" f +has_tensorlist adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ has_tensorlist = any(arg['type'] == 'TensorList' for arg in o['arguments'])$/;" v +has_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def has_tensors(ls):$/;" f +has_tls adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def has_tls():$/;" f +HAS_TORCHVISION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ HAS_TORCHVISION = False$/;" v +HAS_TORCHVISION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ HAS_TORCHVISION = True$/;" v +HAS_TORCHVISION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ HAS_TORCHVISION = False$/;" v +HAS_TORCHVISION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ HAS_TORCHVISION = True$/;" v +has_torch_function adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def has_torch_function(relevant_args: Iterable[Any]) -> bool:$/;" f +has_training_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def has_training_target(self):$/;" m class:_TrainingEndpoint +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ has_umfpack = False$/;" v +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^has_umfpack = True$/;" v +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ has_umfpack = False$/;" v +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^has_umfpack = True$/;" v +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ has_umfpack = False$/;" v +has_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ has_umfpack = True$/;" v +HAS_USER_SITE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^HAS_USER_SITE = True$/;" v +has_valid_einsum_chars_only adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def has_valid_einsum_chars_only(einsum_str):$/;" f +has_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def has_value(self, name=None):$/;" m class:Optional +has_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def has_value(self, name=None):$/;" m class:_OptionalImpl +HAS_VARSTUFF adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^HAS_VARSTUFF = inspect.CO_VARARGS | inspect.CO_VARKEYWORDS$/;" v +has_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def has_verbosity(level):$/;" f +has_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def has_version(self):$/;" m class:Distribution +has_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def has_version(self):$/;" m class:Distribution +has_warnings adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def has_warnings(self) -> bool:$/;" m class:Measurement +has_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def has_weights(obj):$/;" f +has_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def has_weights(obj):$/;" f +has_worker_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def has_worker_context():$/;" f +has_year adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def has_year(self):$/;" m class:_ymd +hatch adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def hatch(hatchpattern, density=6):$/;" m class:Path +hatchPattern adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def hatchPattern(self, hatch_style):$/;" m class:PdfFile +HatchPatternBase adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class HatchPatternBase:$/;" c +hatch_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def hatch_cmd(self, hatch, hatch_color):$/;" m class:GraphicsContextPdf +have_all_keras_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def have_all_keras_metadata(tensors):$/;" f +HAVE_ANNOTATED adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^HAVE_ANNOTATED = PEP_560 or SUBS_TREE$/;" v +HAVE_CFFI adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ HAVE_CFFI = False$/;" v +HAVE_CFFI adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ HAVE_CFFI = True$/;" v +have_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^def have_compiler():$/;" f +HAVE_COMPILER adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^HAVE_COMPILER = have_compiler()$/;" v +have_cxx_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def have_cxx_sources(self):$/;" m class:build_clib +have_f77c adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def have_f77c(self):$/;" m class:Configuration +have_f90c adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def have_f90c(self):$/;" m class:Configuration +have_f_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def have_f_sources(self):$/;" m class:build_clib +have_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ have_matplotlib = False$/;" v +have_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ have_matplotlib = True$/;" v +have_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ have_matplotlib = False$/;" v +have_matplotlib adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ have_matplotlib = True$/;" v +HAVE_NOSE adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ HAVE_NOSE = False$/;" v +HAVE_PROTOCOLS adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^HAVE_PROTOCOLS = sys.version_info[:3] != (3, 5, 0)$/;" v +have_pyrex adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^have_pyrex = _have_cython$/;" v +have_rtld adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^have_rtld = False$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ have_setuptools = False$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ have_setuptools = True$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_data.py /^have_setuptools = ('setuptools' in sys.modules)$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^ have_setuptools = False$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^ have_setuptools = False$/;" v +have_setuptools adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^ have_setuptools = True$/;" v +have_units adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def have_units(self):$/;" m class:Artist +have_units adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def have_units(self):$/;" m class:Axis +hbar adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^hbar = h \/ (2 * pi)$/;" v +HBFile adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^class HBFile(object):$/;" c +HBInfo adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^class HBInfo(object):$/;" c +HBMatrixType adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^class HBMatrixType(object):$/;" c +Hbox adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Hbox(Box):$/;" c +HBoxDivider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class HBoxDivider(SubplotDivider):$/;" c +hb_read adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def hb_read(path_or_open_file):$/;" f +hb_write adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def hb_write(path_or_open_file, m, hb_info=None):$/;" f +hcat adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^def hcat(ps):$/;" f +HCentered adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class HCentered(Hlist):$/;" c +HDC adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^class HDC:$/;" c +HDF5StubImageFile adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^class HDF5StubImageFile(ImageFile.StubImageFile):$/;" c +hdf5_built_version_tuple adpepsenv/lib/python3.8/site-packages/h5py/version.py /^hdf5_built_version_tuple = _h5.HDF5_VERSION_COMPILED_AGAINST$/;" v +HDF5_OBJECT_HEADER_LIMIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ HDF5_OBJECT_HEADER_LIMIT = 64512$/;" v +hdf5_version adpepsenv/lib/python3.8/site-packages/h5py/version.py /^hdf5_version = "%d.%d.%d" % hdf5_version_tuple$/;" v +hdf5_version adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^hdf5_version = version.hdf5_version_tuple[0:3]$/;" v +hdf5_version adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^hdf5_version = version.hdf5_version_tuple[0:3]$/;" v +hdf5_version_tuple adpepsenv/lib/python3.8/site-packages/h5py/version.py /^hdf5_version_tuple = _h5.get_libversion()$/;" v +hdmedian adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def hdmedian(data, axis=-1, var=False):$/;" f +hdquantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def hdquantiles(data, prob=list([.25,.5,.75]), axis=None, var=False,):$/;" f +hdquantiles_sd adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def hdquantiles_sd(data, prob=list([.25,.5,.75]), axis=None):$/;" f +He0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He0 = np.array([1])$/;" v +He1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He1 = np.array([0, 1])$/;" v +He2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He2 = np.array([-1, 0, 1])$/;" v +He3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He3 = np.array([0, -3, 0, 1])$/;" v +He4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He4 = np.array([3, 0, -6, 0, 1])$/;" v +He5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He5 = np.array([0, 15, 0, -10, 0, 1])$/;" v +He6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He6 = np.array([-15, 0, 45, 0, -15, 0, 1])$/;" v +He7 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He7 = np.array([0, -105, 0, 105, 0, -21, 0, 1])$/;" v +He8 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He8 = np.array([105, 0, -420, 0, 210, 0, -28, 0, 1])$/;" v +He9 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^He9 = np.array([0, 945, 0, -1260, 0, 378, 0, -36, 0, 1])$/;" v +Head adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Head(self):$/;" m class:Builder +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ''$/;" v class:HashError +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ("Can't verify hashes for these file:\/\/ requirements because they "$/;" v class:DirectoryUrlHashUnsupported +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ("Can't verify hashes for these requirements because we don't "$/;" v class:VcsHashUnsupported +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ('Hashes are required in --require-hashes mode, but they are '$/;" v class:HashMissing +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ('In --require-hashes mode, all requirements must have their '$/;" v class:HashUnpinned +head adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ head = ('THESE PACKAGES DO NOT MATCH THE HASHES FROM THE REQUIREMENTS '$/;" v class:HashMismatch +head adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def head(url, **kwargs):$/;" f +head adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def head(self, url, **kwargs):$/;" m class:Session +head adpepsenv/lib/python3.8/site-packages/requests/api.py /^def head(url, **kwargs):$/;" f +head adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def head(self, url, **kwargs):$/;" m class:Session +Head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^class Head(object):$/;" c +head adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def head(self, *args, **kw):$/;" m class:Client +header adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^header =\\$/;" v +header adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^header = \\$/;" v +HEADER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ HEADER = '\\033[95m'$/;" v class:bcolors +HEADER adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^HEADER = u"""\\$/;" v +HeaderData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^class HeaderData(univ.Sequence):$/;" c +HeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class HeaderError(TarError):$/;" c +HeaderField adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class HeaderField(univ.Sequence):$/;" c +HeaderFieldName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class HeaderFieldName(char.VisibleString):$/;" c +HeaderFields adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class HeaderFields(univ.SequenceOf):$/;" c +HeaderFieldStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class HeaderFieldStatus(univ.Integer):$/;" c +HeaderFieldValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class HeaderFieldValue(char.UTF8String):$/;" c +HeaderParsingError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class HeaderParsingError(HTTPError):$/;" c +HeaderParsingError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class HeaderParsingError(HTTPError):$/;" c +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def headers(self):$/;" m class:_Response +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def headers(self):$/;" m class:AuthorizedHttp +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def headers(self):$/;" m class:_Response +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def headers(self, value):$/;" m class:AuthorizedHttp +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def headers(self):$/;" m class:_CombinedResponse +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def headers(self):$/;" m class:_Response +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^ def headers(self):$/;" m class:Response +headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^ def headers(self):$/;" m class:Response +headers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ headers = [("Action", "Shortcuts", "Description")]$/;" v class:_HelpDialog +headers adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def headers(self):$/;" m class:OAuth2Error +HEADERS adpepsenv/lib/python3.8/site-packages/pip/_internal/network/utils.py /^HEADERS = {'Accept-Encoding': 'identity'} # type: Dict[str, str]$/;" v +headers adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^headers = ($/;" v +Headers adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class Headers(object):$/;" c +headers adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def headers(self):$/;" m class:BaseRequest +HeaderSet adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class HeaderSet(collections_abc.MutableSet):$/;" c +HEADERSIZE adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^HEADERSIZE = 8$/;" v +header_data_from_array_1_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def header_data_from_array_1_0(array):$/;" f +header_property adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class header_property(_DictAccessorProperty):$/;" c +HEADER_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ HEADER_RE = re.compile(r'[ ]+{}[ ]*$'.format(BASE_RE))$/;" v class:AttrListTreeprocessor +headingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^headingElements = ($/;" v +headingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^headingElements = ($/;" v +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^from tensorflow_estimator.python.estimator.canned import head as head_lib$/;" x +health adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def health(self):$/;" m class:Client +HeapSimulatorTrace adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HeapSimulatorTrace = _reflection.GeneratedProtocolMessageType('HeapSimulatorTrace', (_message.Me/;" v +heap_profile adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def heap_profile(client: Client) -> str:$/;" f +heatmaps_to_keypoints adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/detectron_keypoints.py /^def heatmaps_to_keypoints(maps, rois):$/;" f +heatmap_approx_keypoint_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^def heatmap_approx_keypoint_ref(maps, rois):$/;" f +heatmap_FAIR_keypoint_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^def heatmap_FAIR_keypoint_ref(maps, rois):$/;" f +HEATMAP_SIZE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^HEATMAP_SIZE = 56$/;" v +heaviside adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def heaviside(x1, x2):$/;" f +heaviside adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def heaviside(x1, x2): # pylint: disable=missing-function-docstring$/;" f +heavy_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def heavy_rpc(tensor):$/;" f +heavy_rpc_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def heavy_rpc_torchscript(tensor):$/;" f +Hebrew adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Hebrew(unicode_set):$/;" c class:pyparsing_unicode +Hebrew adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Hebrew(unicode_set):$/;" c class:pyparsing_unicode +HebrewProber adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^class HebrewProber(CharSetProber):$/;" c +HebrewProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^class HebrewProber(CharSetProber):$/;" c +HEBREW_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langhebrewmodel.py /^HEBREW_LANG_MODEL = {$/;" v +HEBREW_LANG_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhebrewmodel.py /^HEBREW_LANG_MODEL = ($/;" v +hectare adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^hectare = 1e4$/;" v +hecto adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^hecto = 1e2$/;" v +HEIGHT adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ HEIGHT = 600$/;" v class:Config +height adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ height = 0$/;" v class:Kern +height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ height = property(get_height, set_height)$/;" v class:Ellipse +height adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def height(self):$/;" m class:BboxBase +height adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def height(self):$/;" m class:Image +height adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def height(self):$/;" m class:BitmapImage +height adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def height(self):$/;" m class:PhotoImage +HEIGHT_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^HEIGHT_WEIGHT_NAME = 'linear\/linear_model\/height\/weights'$/;" v +HEIGHT_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^HEIGHT_WEIGHT_NAME = 'linear\/linear_model\/height\/weights'$/;" v +Helist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^Helist = [He0, He1, He2, He3, He4, He5, He6, He7, He8, He9]$/;" v +helloworld adpepsenv/lib/python3.8/site-packages/mpi4py/bench.py /^def helloworld(comm, args=None, verbose=True):$/;" f +helmert adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def helmert(n, full=False):$/;" f +help adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def help(self):$/;" m class:TensorBoardSubcommand +help adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def help(self):$/;" m class:UploaderSubcommand +help adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def help(github, model, force_reload=False):$/;" f +HelpCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/help.py /^class HelpCommand(Command):$/;" c +helper adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def helper(name):$/;" f member:MultiRNNCell.layer_scoper file: +helper adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def helper(*args, **kwds):$/;" f function:contextmanager file: +helper adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^helper = _Helper()$/;" v +HelperWrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^class HelperWrapper(object):$/;" c +helper_get_trig_custom_limitation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def helper_get_trig_custom_limitation(cls, np_inverse):$/;" m class:Jax2TfLimitation +HelpFlag adpepsenv/lib/python3.8/site-packages/absl/app.py /^class HelpFlag(flags.BooleanFlag):$/;" c +HelpfullFlag adpepsenv/lib/python3.8/site-packages/absl/app.py /^class HelpfullFlag(flags.BooleanFlag):$/;" c +HelpGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class HelpGTK3(backend_tools.ToolHelpBase):$/;" c +HelpQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class HelpQt(backend_tools.ToolHelpBase):$/;" c +HelpshortFlag adpepsenv/lib/python3.8/site-packages/absl/app.py /^class HelpshortFlag(HelpFlag):$/;" c +HelpTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class HelpTk(backend_tools.ToolHelpBase):$/;" c +HelpWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class HelpWx(backend_tools.ToolHelpBase):$/;" c +HelpXMLFlag adpepsenv/lib/python3.8/site-packages/absl/app.py /^class HelpXMLFlag(flags.BooleanFlag):$/;" c +help_ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^help_ = partial($/;" v +HELP_COMMAND adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ HELP_COMMAND = "help"$/;" v class:CommandHandlerRegistry +HELP_COMMAND_ALIASES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ HELP_COMMAND_ALIASES = ["h"]$/;" v class:CommandHandlerRegistry +HELP_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^HELP_HTML = """\\$/;" v +HELP_INDENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^HELP_INDENT = " "$/;" v +help_is_isomorphic_randperm adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def help_is_isomorphic_randperm(self, nobs, nclusters, noniso=False, nerrors=0):$/;" m class:TestIsIsomorphic +help_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ help_options = old_build.help_options + [$/;" v class:build +help_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ help_options = old_build_ext.help_options + [$/;" v class:build_ext +help_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ help_options = []$/;" v class:build_src +help_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ help_options = [$/;" v class:config_fc +help_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ help_options = [$/;" v class:bdist +help_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ help_options = [$/;" v class:build +help_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ help_options = [$/;" v class:build_clib +help_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ help_options = [$/;" v class:build_ext +help_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ help_options = [$/;" v class:sdist +HeNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class HeNormal(init_ops.VarianceScaling):$/;" c +HeNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class HeNormal(VarianceScaling):$/;" c +herm adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^import numpy.polynomial.hermite as herm$/;" I +herm2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def herm2poly(c):$/;" f +hermadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermadd(c1, c2):$/;" f +hermcompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermcompanion(c):$/;" f +hermder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermder(c, m=1, scl=1, axis=0):$/;" f +hermdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermdiv(c1, c2):$/;" f +hermdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^hermdomain = np.array([-1, 1])$/;" v +herme adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^import numpy.polynomial.hermite_e as herme$/;" I +herme2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def herme2poly(c):$/;" f +hermeadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeadd(c1, c2):$/;" f +hermecompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermecompanion(c):$/;" f +hermeder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeder(c, m=1, scl=1, axis=0):$/;" f +hermediv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermediv(c1, c2):$/;" f +hermedomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^hermedomain = np.array([-1, 1])$/;" v +hermefit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermefit(x, y, deg, rcond=None, full=False, w=None):$/;" f +hermefromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermefromroots(roots):$/;" f +hermegauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermegauss(deg):$/;" f +hermegrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermegrid2d(x, y, c):$/;" f +hermegrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermegrid3d(x, y, z, c):$/;" f +hermeint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +hermeline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeline(off, scl):$/;" f +hermemul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermemul(c1, c2):$/;" f +hermemulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermemulx(c):$/;" f +hermeone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^hermeone = np.array([1])$/;" v +hermepow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermepow(c, pow, maxpower=16):$/;" f +hermeroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeroots(c):$/;" f +hermesub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermesub(c1, c2):$/;" f +hermetrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^hermetrim = pu.trimcoef$/;" v +hermeval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeval(x, c, tensor=True):$/;" f +hermeval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeval2d(x, y, c):$/;" f +hermeval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeval3d(x, y, z, c):$/;" f +hermevander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermevander(x, deg):$/;" f +hermevander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermevander2d(x, y, deg):$/;" f +hermevander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermevander3d(x, y, z, deg):$/;" f +hermeweight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def hermeweight(x):$/;" f +hermex adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^hermex = np.array([0, 1])$/;" v +hermezero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^hermezero = np.array([0])$/;" v +hermfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermfit(x, y, deg, rcond=None, full=False, w=None):$/;" f +hermfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermfromroots(roots):$/;" f +hermgauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermgauss(deg):$/;" f +hermgrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermgrid2d(x, y, c):$/;" f +hermgrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermgrid3d(x, y, z, c):$/;" f +hermint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +Hermite adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^class Hermite(ABCPolyBase):$/;" c +hermite adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def hermite(n, monic=False):$/;" f +HermiteE adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^class HermiteE(ABCPolyBase):$/;" c +hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def hermitenorm(n, monic=False):$/;" f +hermite_recursion adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def hermite_recursion(n, nodes):$/;" f function:test_roots_hermite_asy file: +hermitian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def hermitian(mat):$/;" f member:SVDHermitianCases.do file: +hermitian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ hermitian = False$/;" v class:SVDBaseTests +hermitian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ hermitian = True$/;" v class:TestSVDHermitian +HermitianGeneralizedTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class HermitianGeneralizedTestCase(LinalgTestCase):$/;" c +HermitianTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class HermitianTestCase(LinalgTestCase):$/;" c +hermitian_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def hermitian_transpose(self):$/;" m class:SparseMatrix +hermline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermline(off, scl):$/;" f +hermmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermmul(c1, c2):$/;" f +hermmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermmulx(c):$/;" f +hermone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^hermone = np.array([1])$/;" v +hermpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermpow(c, pow, maxpower=16):$/;" f +hermroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermroots(c):$/;" f +hermsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermsub(c1, c2):$/;" f +hermtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^hermtrim = pu.trimcoef$/;" v +hermval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermval(x, c, tensor=True):$/;" f +hermval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermval2d(x, y, c):$/;" f +hermval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermval3d(x, y, z, c):$/;" f +hermvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermvander(x, deg):$/;" f +hermvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermvander2d(x, y, deg):$/;" f +hermvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermvander3d(x, y, z, deg):$/;" f +hermweight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def hermweight(x):$/;" f +hermx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^hermx = np.array([0, 1\/2])$/;" v +hermzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^hermzero = np.array([0])$/;" v +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def hess(x, *args):$/;" f function:_prepare_scalar_function file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def hess(x, v):$/;" f function:test_prepare_constraint_infeasible_x0 file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def hess(self, x):$/;" m class:ExScalarFunction +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def hess(self, x, v):$/;" m class:ExVectorialFunction +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def hess(self, x):$/;" m class:Rosenbrock +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(x, v):$/;" f member:Elec.constr file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(x, v):$/;" f member:HyperbolicIneq.constr file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(x, v):$/;" f member:Maratos.constr file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(x, v):$/;" f member:MaratosGradInFunc.constr file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(x, v):$/;" f member:MaratosTestArgs.constr file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x):$/;" m class:Elec +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x):$/;" m class:HyperbolicIneq +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x):$/;" m class:Maratos +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x):$/;" m class:MaratosGradInFunc +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x):$/;" m class:Rosenbrock +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hess(self, x, a, b):$/;" m class:MaratosTestArgs +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def hess(x):$/;" f member:TestOptimizeSimple.test_nan_values file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def hess(self, x):$/;" m class:CheckOptimize +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def hess(x):$/;" f function:test_result_x_shape_when_len_x_is_one file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ hess = lambda x: -np.sin(x)$/;" f member:TestApproxDerivativesDense.test_fp file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def hess(x):$/;" f member:TestShgoArguments.test_7_3_minkwargs file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def hess(self, x):$/;" m class:ScalarFunction +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def hess(self, x, v):$/;" m class:LinearVectorFunction +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def hess(self, x, v):$/;" m class:VectorFunction +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def hess(self):$/;" m class:BaseQuadraticSubproblem +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint._greater_to_canonical file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint._less_to_canonical file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint.concatenate file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint.empty file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint._equal_to_canonical file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def hess(x, v_eq, v_ineq):$/;" f member:CanonicalConstraint._interval_to_canonical file: +hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^ def hess(x, v):$/;" f function:create_quadratic_function file: +hessenberg adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def hessenberg(a, calc_q=False, overwrite_a=False, check_finite=True):$/;" f +hessian adpepsenv/lib/python3.8/site-packages/jax/api.py /^def hessian(fun: Callable, argnums: Union[int, Sequence[int]] = 0,$/;" f +hessian adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def hessian(func, inputs, create_graph=False, strict=False):$/;" f +HessianLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^class HessianLinearOperator(object):$/;" c +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_impl.py /^def hessians(ys,$/;" f +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import HessiansV2 as hessians$/;" x +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import HessiansV2 as hessians$/;" x +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gradients_impl import HessiansV2 as hessians$/;" x +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gradients_impl import HessiansV2 as hessians$/;" x +hessians adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gradients_impl import HessiansV2 as hessians$/;" x +HessiansV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_impl.py /^def HessiansV2(ys,$/;" f +HessianUpdateStrategy adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^class HessianUpdateStrategy(object):$/;" c +hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def hessp(x, p):$/;" f member:TestTrustRegionConstr.test_hessp file: +hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def hessp(self, x, p):$/;" m class:CheckOptimize +hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def hessp(self, p):$/;" m class:BaseQuadraticSubproblem +hess_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def hess_wrapped(x):$/;" f member:ScalarFunction.__init__ file: +hess_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def hess_wrapped(x, v):$/;" f member:VectorFunction.__init__ file: +HeUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class HeUniform(init_ops.VarianceScaling):$/;" c +HeUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class HeUniform(VarianceScaling):$/;" c +HEURISTIC_USED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ SUCCESS, HEURISTIC_USED, FAILURE = (0, 1, 2)$/;" v class:TraceableObject +hex2color adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^hex2color = to_rgb$/;" v +hexbin adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def hexbin(self, x, y, C=None, gridsize=100, bins=None,$/;" m class:Axes +hexbin adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hexbin($/;" f +hexColorPattern adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^hexColorPattern = re.compile(r"\\A#[a-fA-F0-9]{6}\\Z")$/;" v +HEXDIG adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^HEXDIG = r"[\\x30-\\x39A-Fa-f]"$/;" v +hexDigits adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^hexDigits = frozenset(string.hexdigits)$/;" v +hexDigits adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^hexDigits = frozenset(string.hexdigits)$/;" v +hexdump adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^def hexdump(octets):$/;" f +hexify adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def hexify(match):$/;" m class:Name +hexnums adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^hexnums = nums + "ABCDEFabcdef"$/;" v +hexnums adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^hexnums = nums + "ABCDEFabcdef"$/;" v +hexnums adpepsenv/lib/python3.8/site-packages/pyparsing.py /^hexnums = nums + "ABCDEFabcdef"$/;" v +hexnums adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^hexnums = nums + "ABCDEFabcdef"$/;" v +hexToInt adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^def hexToInt(hex_str):$/;" f +hexToInt adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^def hexToInt(hex_str):$/;" f +hex_integer adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ hex_integer = Word(hexnums).setName("hex integer").setParseAction(tokenMap(int, 16))$/;" v class:pyparsing_common +hex_integer adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ hex_integer = Word(hexnums).setName("hex integer").setParseAction(tokenMap(int,16))$/;" v class:pyparsing_common +hex_integer adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ hex_integer = Word(hexnums).setName("hex integer").setParseAction(tokenMap(int, 16))$/;" v class:pyparsing_common +hex_integer adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ hex_integer = Word(hexnums).setName("hex integer").setParseAction(tokenMap(int,16))$/;" v class:pyparsing_common +HEX_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^HEX_PAT = "[0-9A-Fa-f]{1,4}"$/;" v +HEX_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^HEX_PAT = "[0-9A-Fa-f]{1,4}"$/;" v +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import HeNormal as he_normal$/;" x +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import HeNormal as he_normal$/;" x +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import HeNormal as he_normal$/;" x +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import HeNormal as he_normal$/;" x +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def he_normal(seed=None):$/;" f +he_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^def he_normal(seed=None):$/;" f +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import HeUniform as he_uniform$/;" x +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import HeUniform as he_uniform$/;" x +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import HeUniform as he_uniform$/;" x +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import HeUniform as he_uniform$/;" x +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def he_uniform(seed=None):$/;" f +he_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^def he_uniform(seed=None):$/;" f +hfft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def hfft(a, n=None, axis=-1, norm=None):$/;" f +hfft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def hfft(a, n=None, axis=-1, norm=None):$/;" f +hfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^hfft = _MockFunction(np.random.random(10))$/;" v +hfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def hfft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +hfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^hfft = functools.partial(c2r, True)$/;" v +hfft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^hfft = _add_docstr(_fft.fft_hfft, r"""$/;" v +hfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^hfft2 = _MockFunction(np.random.random(10))$/;" v +hfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def hfft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +hfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def hfft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +hfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^hfftn = _MockFunction(np.random.random(10))$/;" v +hfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def hfftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +hfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^hfftn = functools.partial(c2rn, True)$/;" v +hh adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ hh = gg * gg.T$/;" v +HiddenText adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^class HiddenText(object):$/;" c +HiddenTfApiAttribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^class HiddenTfApiAttribute(property):$/;" c +HIDDEN_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^HIDDEN_ATTRIBUTE = HiddenTfApiAttribute('This attribute has been deprecated.')$/;" v +HIDDEN_BIASES_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^HIDDEN_BIASES_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/bias'$/;" v +HIDDEN_BIASES_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^HIDDEN_BIASES_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/bias'$/;" v +hidden_cursor adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^def hidden_cursor(file):$/;" f +HIDDEN_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^HIDDEN_SIZE = 500$/;" v +HIDDEN_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^HIDDEN_SIZE = 500$/;" v +HIDDEN_WEIGHTS_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^HIDDEN_WEIGHTS_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/kernel'$/;" v +HIDDEN_WEIGHTS_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^HIDDEN_WEIGHTS_NAME_PATTERN = 'dnn\/hiddenlayer_%d\/kernel'$/;" v +hidetip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def hidetip(self):$/;" m class:ToolTip +hide_attribute_from_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^hide_attribute_from_api = HiddenTfApiAttribute # pylint: disable=invalid-name$/;" v +hide_cursor adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ hide_cursor = True$/;" v class:Spinner +hide_cursor adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ hide_cursor = True$/;" v class:Infinite +HIDE_CURSOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^HIDE_CURSOR = '\\x1b[?25l'$/;" v +hide_file adpepsenv/lib/python3.8/site-packages/setuptools/windows_support.py /^def hide_file(path):$/;" f +hide_mapped_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def hide_mapped_axes(flat_in_axes, flat_out_axes, *flat_args):$/;" f +hide_packed_params_repr adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/utils.py /^def hide_packed_params_repr(self, params):$/;" f +hide_setuptools adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def hide_setuptools():$/;" f +hide_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def hide_url(url):$/;" f +hide_value adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def hide_value(value):$/;" f +HierarchicalCopyAllReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class HierarchicalCopyAllReduce(AllReduceCrossDeviceOps):$/;" c +HierarchyProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^HierarchyProto = _reflection.GeneratedProtocolMessageType('HierarchyProto', (_message.Message,),/;" v +hierarchy_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def hierarchy_distance(cls_combination):$/;" f function:_registered_function file: +hierarchy_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^hierarchy_proto = hsmu.create_hierarchy(tree)$/;" v +hier_part adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^hier_part = r"""(?: (?: \/\/ %(authority)s %(path_abempty)s ) |$/;" v +high adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def high(self):$/;" m class:Uniform +highest_version adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^def highest_version(versions):$/;" f +highlevel adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^highlevel = _ModuleWrapper("h5py.highlevel")$/;" v +HighlightOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^class HighlightOptions(object):$/;" c +HIGHLIGHTS adpepsenv/lib/python3.8/site-packages/termcolor.py /^HIGHLIGHTS = dict($/;" v +highlight_warnings adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def highlight_warnings(self):$/;" m class:Compare +HIGHS_SIMPLEX_DUAL_EDGE_WEIGHT_STEEP2DVX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_highs.py /^ as HIGHS_SIMPLEX_DUAL_EDGE_WEIGHT_STEEP2DVX,$/;" x +HIGH_BYTE adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ HIGH_BYTE = 2$/;" v class:InputState +HIGH_BYTE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ HIGH_BYTE = 2$/;" v class:InputState +HIGH_BYTE_DETECTOR adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ HIGH_BYTE_DETECTOR = re.compile(b'[\\x80-\\xFF]')$/;" v class:UniversalDetector +HIGH_BYTE_DETECTOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ HIGH_BYTE_DETECTOR = re.compile(b'[\\x80-\\xFF]')$/;" v class:UniversalDetector +hilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def hilbert(x, _cache=_cache):$/;" f +hilbert adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def hilbert(n):$/;" f +hilbert adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def hilbert(x, N=None, axis=-1):$/;" f +hilbert2 adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def hilbert2(x, N=None):$/;" f +hilite adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def hilite(self):$/;" m class:CodeHilite +HiliteTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^class HiliteTreeprocessor(Treeprocessor):$/;" c +hillshade adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def hillshade(self, elevation, vert_exag=1, dx=1, dy=1, fraction=1.):$/;" m class:LightSource +HILL_CLIMB adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ HILL_CLIMB = 0$/;" v class:_AutotuneAlgorithm +himmelblau adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def himmelblau(p):$/;" f +himmelblau_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def himmelblau_grad(p):$/;" f +himmelblau_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def himmelblau_hess(p):$/;" f +himmelblau_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^himmelblau_min = 0.0$/;" v +himmelblau_x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^himmelblau_x0 = [-0.27, -0.9]$/;" v +himmelblau_xopt adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^himmelblau_xopt = [3, 2]$/;" v +Hinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class Hinge(LossFunctionWrapper):$/;" c +hinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def hinge(y_true, y_pred):$/;" f +Hinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Hinge(MeanMetricWrapper):$/;" c +HingeEmbeddingLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class HingeEmbeddingLoss(_Loss):$/;" c +hingeembeddingloss_margin_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def hingeembeddingloss_margin_no_reduce_test():$/;" f +hingeembeddingloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def hingeembeddingloss_no_reduce_test():$/;" f +hingeembeddingloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def hingeembeddingloss_reference(input, target, margin=1.0, reduction='mean'):$/;" f +hinge_embedding_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def hinge_embedding_loss(input, target, margin=1.0, size_average=None,$/;" f +hinge_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def hinge_loss(labels, logits, weights=1.0, scope=None,$/;" f +Hints adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^class Hints(object):$/;" c +hint_closed adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def hint_closed(self):$/;" m class:TokenGenerator +hint_open adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def hint_open(self):$/;" m class:TokenGenerator +hip adpepsenv/lib/python3.8/site-packages/torch/version.py /^hip = None$/;" v +hipify adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def hipify($/;" f +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_conv_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hiputl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^import caffe2.python.hip_test_util as hiputl$/;" I +hip_do adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^hip_do = caffe2_pb2.DeviceOption(device_type=caffe2_pb2.HIP)$/;" v +hip_header_magic adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def hip_header_magic(input_string):$/;" f +HIP_UNSUPPORTED adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/constants.py /^HIP_UNSUPPORTED = 46$/;" v +Hiragana adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Hiragana(unicode_set):$/;" c class:pyparsing_unicode.Japanese +Hiragana adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Hiragana(unicode_set):$/;" c class:pyparsing_unicode.Japanese +hist adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def hist(jaxpr, reads):$/;" f function:vars_by_fanout file: +hist adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def hist(self, x, bins=None, range=None, density=False, weights=None,$/;" m class:Axes +hist adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hist($/;" f +hist2d adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def hist2d(self, x, y, bins=10, range=None, density=False, weights=None,$/;" m class:Axes +hist2d adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hist2d($/;" f +histogram adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def histogram(X):$/;" f member:TestOperators.test_accumulate_histogram_op file: +histogram adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs_test.py /^ def histogram(self, X, lower_bound=0.0, upper_bound=1.0, num_buckets=20):$/;" m class:ComputeHistogramForBlobsTest +histogram adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def histogram(jaxpr: core.Jaxpr, key: Callable,$/;" f +histogram adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def histogram(a, bins=10, range=None, weights=None, density=None):$/;" f +histogram adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def histogram(a, bins=10, range=None, normed=None, weights=None,$/;" f +histogram adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def histogram(self, mask=None, extrema=None):$/;" m class:Image +histogram adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def histogram(input, min, max, bins, labels=None, index=None):$/;" f +histogram adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^histogram = summary_v2.histogram$/;" v +histogram adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^def histogram(name, data, step=None, buckets=None, description=None):$/;" f +histogram adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^histogram = _histogram_summary.op$/;" v +histogram adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def histogram(name, tensor, family=None, step=None):$/;" f +histogram adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def histogram(name, values, collections=None, family=None):$/;" f +histogram adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def histogram(name, values, bins, max_bins=None):$/;" f +histogram2d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def histogram2d(x, y, bins=10, range=None, weights=None, density=None):$/;" f +histogram2d adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def histogram2d(x, y, bins=10, range=None, normed=None, weights=None,$/;" f +histogramdd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def histogramdd(sample, bins=10, range=None, weights=None, density=None):$/;" f +histogramdd adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def histogramdd(sample, bins=10, range=None, normed=None, weights=None,$/;" f +HistogramEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^HistogramEvent = namedtuple($/;" v +HistogramFixedWidth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^HistogramFixedWidth = tf_export("raw_ops.HistogramFixedWidth")(_ops.to_raw_op(_histogram_fixed_w/;" v +HistogramObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class HistogramObserver(_ObserverBase):$/;" c +HistogramPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^HistogramPluginData = _reflection.GeneratedProtocolMessageType('HistogramPluginData', (_message./;" v +HistogramProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^HistogramProto = _reflection.GeneratedProtocolMessageType('HistogramProto', (_message.Message,),/;" v +HistogramProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^HistogramProto = _reflection.GeneratedProtocolMessageType('HistogramProto', (_message.Message,),/;" v +HistogramResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^HistogramResult = namedtuple('HistogramResult',$/;" v +Histograms adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Histograms(self, tag):$/;" m class:EventAccumulator +HISTOGRAMS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^HISTOGRAMS = tag_types.HISTOGRAMS$/;" v +Histograms adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Histograms(self, run, tag):$/;" m class:EventMultiplexer +HISTOGRAMS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^HISTOGRAMS = "histograms"$/;" v +HistogramsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^class HistogramsPlugin(base_plugin.TBPlugin):$/;" c +HistogramSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^HistogramSummary = tf_export("raw_ops.HistogramSummary")(_ops.to_raw_op(histogram_summary))$/;" v +histograms_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def histograms_impl(self, ctx, tag, run, experiment, downsample_to=None):$/;" m class:HistogramsPlugin +histograms_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.histogram import metadata as histograms_metadata$/;" x +histograms_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def histograms_route(self, request):$/;" m class:HistogramsPlugin +HistogramValue adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^HistogramValue = namedtuple($/;" v +histogram_bin_edges adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def histogram_bin_edges(a, bins=10, range=None, weights=None):$/;" f +histogram_bin_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def histogram_bin_edges(a, bins=10, range=None, weights=None):$/;" f +histogram_fixed_width adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/histogram_ops.py /^def histogram_fixed_width(values,$/;" f +histogram_fixed_width_bins adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/histogram_ops.py /^def histogram_fixed_width_bins(values,$/;" f +histogram_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^from tensorboard.plugins.histogram import metadata as histogram_metadata$/;" x +histogram_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^from tensorboard.plugins.histogram import metadata as histogram_metadata$/;" x +histogram_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^from tensorboard.plugins.histogram import metadata as histogram_metadata$/;" x +histogram_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^histogram_pb = summary_v2.histogram_pb$/;" v +histogram_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^def histogram_pb(tag, data, buckets=None, description=None):$/;" f +histogram_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^histogram_pb = _histogram_summary.pb$/;" v +histogram_raw adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def histogram_raw(name, min, max, num, sum, sum_squares, bucket_limits, bucket_counts):$/;" f +histogram_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def histogram_summary(data, buckets, histogram_metadata, step):$/;" f function:histogram file: +histogram_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def histogram_summary(tag, values, name=None):$/;" f +histogram_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def histogram_summary(tag, values, collections=None, name=None):$/;" f +histogram_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def histogram_summary_eager_fallback(tag, values, name, ctx):$/;" f +histogram_test_instance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^histogram_test_instance = stats.rv_histogram(_h)$/;" v +History adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class History(Callback):$/;" c +history_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def history_map(self):$/;" m class:_GradLoopState +HKEYS adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ HKEYS = (winreg.HKEY_USERS,$/;" v class:RegistryInfo +HKEYS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^HKEYS = (winreg.HKEY_USERS,$/;" v +HKEYS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ HKEYS = (hkey_mod.HKEY_USERS,$/;" v +HKEY_CLASSES_ROOT adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ HKEY_CLASSES_ROOT = None$/;" v class:winreg +HKEY_CURRENT_USER adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ HKEY_CURRENT_USER = None$/;" v class:winreg +HKEY_LOCAL_MACHINE adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ HKEY_LOCAL_MACHINE = None$/;" v class:winreg +hkey_mod adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ hkey_mod = win32con$/;" v +hkey_mod adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ hkey_mod = winreg$/;" v +HKEY_USERS adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ HKEY_USERS = None$/;" v class:winreg +hlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def hlines(self, y, xmin, xmax, colors=None, linestyles='solid',$/;" m class:Axes +hlines adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hlines($/;" f +Hlist adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Hlist(List):$/;" c +Hlist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^Hlist = [H0, H1, H2, H3, H4, H5, H6, H7, H8, H9]$/;" v +hlist_out adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def hlist_out(self, box):$/;" m class:Ship +HLObject adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class HLObject(CommonStateObject):$/;" c +HloComputationProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloComputationProto = _reflection.GeneratedProtocolMessageType('HloComputationProto', (_message./;" v +HloInputOutputAliasProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloInputOutputAliasProto = _reflection.GeneratedProtocolMessageType('HloInputOutputAliasProto', /;" v +HloInstructionProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloInstructionProto = _reflection.GeneratedProtocolMessageType('HloInstructionProto', (_message./;" v +HloModuleGroupProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloModuleGroupProto = _reflection.GeneratedProtocolMessageType('HloModuleGroupProto', (_message./;" v +HloModuleProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloModuleProto = _reflection.GeneratedProtocolMessageType('HloModuleProto', (_message.Message,),/;" v +HloProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloProto = _reflection.GeneratedProtocolMessageType('HloProto', (_message.Message,), {$/;" v +HloScheduleProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloScheduleProto = _reflection.GeneratedProtocolMessageType('HloScheduleProto', (_message.Messag/;" v +HloSnapshot adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^HloSnapshot = _reflection.GeneratedProtocolMessageType('HloSnapshot', (_message.Message,), {$/;" v +hmean adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def hmean(a, axis=0, dtype=None):$/;" f +hms adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def hms(self, name):$/;" m class:parserinfo +HMS adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ HMS = [("h", "hour", "hours"),$/;" v class:parserinfo +hms0d adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ hms0d = {'byhour': 0, 'byminute': 0, 'bysecond': 0}$/;" v class:DateLocator +HMS_RE adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^HMS_RE = re.compile(_MS_RE.format(degree=re.escape(FormatterHMS.deg_mark),$/;" v +hnp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^import hypothesis.extra.numpy as hnp$/;" I +hnp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^import hypothesis.extra.numpy as hnp$/;" I +hnp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^import hypothesis.extra.numpy as hnp$/;" I +HOGWILD_DENSE adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ HOGWILD_DENSE = "hogwild_dense"$/;" v class:Tags +hoist_random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ hoist_random_uniform = options.create_option($/;" v class:OptimizationOptions +hold adpeps/utils/ctmtensors.py /^ def hold(self, *fields):$/;" m class:CTMTensors +Holder adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class Holder(univ.Sequence):$/;" c +Holder adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class Holder(univ.Sequence):$/;" c +holdInstruction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^holdInstruction = univ.ObjectIdentifier('2.2.840.10040.2')$/;" v +holdInstruction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^holdInstruction = _OID(2, 2, 840, 10040, 2)$/;" v +holdInstruction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^holdInstruction = _buildOid(2, 2, 840, 10040, 2)$/;" v +HoldInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class HoldInstructionCode(univ.ObjectIdentifier):$/;" c +HoldInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class HoldInstructionCode(univ.ObjectIdentifier):$/;" c +HoldInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class HoldInstructionCode(univ.ObjectIdentifier):$/;" c +hold_write adpeps/utils/tlist.py /^def hold_write(*lists: 'TList'):$/;" f +home adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def home(self, *args):$/;" m class:NavigationToolbar2 +home adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def home(self):$/;" m class:ToolViewsPositions +home adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def home(self):$/;" m class:Stack +homology_group_differential adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def homology_group_differential(self):$/;" m class:VertexGroup +homology_group_rank adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def homology_group_rank(self):$/;" m class:VertexGroup +HomotopyWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^class HomotopyWeight(ModelLayer):$/;" c +hook adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def hook(module, grad_input, grad_output):$/;" f member:RemoteModuleTest.test_unsupported_methods file: +HookMissing adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class HookMissing(Exception):$/;" c +HookMissing adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^class HookMissing(Exception):$/;" c +HOOKS adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/hooks.py /^HOOKS = ['response']$/;" v +HOOKS adpepsenv/lib/python3.8/site-packages/requests/hooks.py /^HOOKS = ['response']$/;" v +hooks adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^import torch.utils.hooks as hooks$/;" I +hooks adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^import torch.utils.hooks as hooks$/;" I +hooks adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^import torch.utils.hooks as hooks$/;" I +hook_dummy_table_variables_to_activations adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_gradient.py /^def hook_dummy_table_variables_to_activations(tpu_embedding, activations,$/;" f +HOOK_NAMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^HOOK_NAMES = {$/;" v +HORIZONTAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^HORIZONTAL = 'horizontal'$/;" v +HorizontalHatch adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class HorizontalHatch(HatchPatternBase):$/;" c +HORIZONTAL_AND_VERTICAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^HORIZONTAL_AND_VERTICAL = 'horizontal_and_vertical'$/;" v +host adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^host = r"(?: %(IP_literal)s | %(IPv4address)s | %(reg_name)s )" % locals()$/;" v +host adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def host(self):$/;" m class:MockRequest +host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def host(self):$/;" m class:HTTPConnection +host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def host(self, value):$/;" m class:HTTPConnection +host adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def host(self):$/;" m class:MockRequest +host adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def host(self):$/;" m class:HTTPConnection +host adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def host(self, value):$/;" m class:HTTPConnection +host adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def host(self):$/;" m class:BaseURL +host adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def host(self):$/;" m class:BaseRequest +HostAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid/parasite_axes.py /^HostAxes = host_axes_class_factory(Axes)$/;" v +HostAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^HostAxes = host_axes_class_factory(Axes)$/;" v +HostAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/parasite_axes.py /^HostAxes = host_axes_class_factory(Axes)$/;" v +HostAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/__init__.py /^HostAxes = host_axes_class_factory(Axes)$/;" v +HostAxesBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^class HostAxesBase:$/;" c +HostBufferSemantics adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^HostBufferSemantics = _xla.HostBufferSemantics$/;" v +HostChangedError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class HostChangedError(RequestError):$/;" c +HostChangedError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class HostChangedError(RequestError):$/;" c +HostComputeCore adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def HostComputeCore(self):$/;" m class:TPUReplicateContext +hostmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def hostmask(self):$/;" m class:_BaseNetwork +hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def hostname(self):$/;" m class:Url +hostname adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def hostname(self):$/;" m class:Url +hosts adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def hosts(self):$/;" m class:IPv6Network +hosts adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def hosts(self):$/;" m class:_BaseNetwork +hosts adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def hosts(self):$/;" m class:TPUEmbedding +hosts_route adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def hosts_route(self, request):$/;" m class:ProfilePlugin +HOSTS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^HOSTS_ROUTE = '\/hosts'$/;" v +hosttype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^hosttype = sysconfig.get_config_var('HOST_GNU_TYPE')$/;" v +host_address_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def host_address_space(self):$/;" m class:Context +host_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def host_axes(*args, axes_class=Axes, figure=None, **kwargs):$/;" f +host_axes_class_factory adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def host_axes_class_factory(axes_class=None):$/;" f +host_call_deps_and_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def host_call_deps_and_fn(self):$/;" m class:TensorTracer +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumn._get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumn._get_sequence_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def host_computation():$/;" f member:_TPUSharedEmbeddingColumn._get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def host_computation():$/;" f member:_TPUSharedEmbeddingColumn._get_sequence_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUDeviceSpecificEmbeddingColumnV2.get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUDeviceSpecificEmbeddingColumnV2._get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumnV2.get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumnV2.get_sequence_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumnV2._get_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUEmbeddingColumnV2._get_sequence_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUSharedDeviceSpecificEmbeddingColumnV2._get_dense_tensor_internal file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUSharedEmbeddingColumnV2.get_sequence_dense_tensor file: +host_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def host_computation():$/;" f member:_TPUSharedEmbeddingColumnV2._get_dense_tensor_internal file: +host_count adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def host_count(backend: Optional[str] = None) -> int:$/;" f +host_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def host_device(self, replica=0, logical_core=0, job=None):$/;" m class:DeviceAssignment +host_from_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def host_from_uri(uri):$/;" f +host_id adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def host_id(backend: Optional[str] = None) -> int:$/;" f +host_ids adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def host_ids(backend: Optional[str] = None) -> List[int]:$/;" f +host_impl adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def host_impl(self, run, tool):$/;" m class:ProfilePlugin +host_is_trusted adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def host_is_trusted(hostname, trusted_list):$/;" f +host_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def host_name(self):$/;" m class:Execution +host_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def host_name(self):$/;" m class:GraphOpCreationDigest +host_subplot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def host_subplot(*args, axes_class=Axes, figure=None, **kwargs):$/;" f +host_subplot_class_factory adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def host_subplot_class_factory(axes_class):$/;" f +host_url adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def host_url(self):$/;" m class:BaseRequest +hot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hot():$/;" f +HotIdReplicationConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^HotIdReplicationConfiguration = _reflection.GeneratedProtocolMessageType('HotIdReplicationConfig/;" v +hour adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^hour = 60 * minute$/;" v +HourLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class HourLocator(RRuleLocator):$/;" c +HOURS_PER_DAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^HOURS_PER_DAY = 24.$/;" v +hp adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^hp = horsepower = 550 * foot * pound * g$/;" v +hpack adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def hpack(self, w=0., m='additional'):$/;" m class:Hlist +hpack adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def hpack(boxes, padding=0, strength='strong'):$/;" f +HPacker adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class HPacker(PackerBase):$/;" c +HParam adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^HParam = summary_v2.HParam$/;" v +HParam adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class HParam(object):$/;" c +HParamInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^HParamInfo = _reflection.GeneratedProtocolMessageType('HParamInfo', (_message.Message,), {$/;" v +HParamInfosList adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^HParamInfosList = _reflection.GeneratedProtocolMessageType('HParamInfosList', (_message.Message,/;" v +hparams adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^hparams = summary_v2.hparams$/;" v +HParams adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^HParams = _reflection.GeneratedProtocolMessageType('HParams', (_message.Message,), {$/;" v +hparams adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def hparams(hparams, trial_id=None, start_time_secs=None):$/;" f +hparams adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def hparams(hparam_dict=None, metric_dict=None, hparam_domain_discrete=None):$/;" f +HParamsError adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/error.py /^class HParamsError(Exception):$/;" c +HParamsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^class HParamsPlugin(base_plugin.TBPlugin):$/;" c +HParamsPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^HParamsPluginData = _reflection.GeneratedProtocolMessageType('HParamsPluginData', (_message.Mess/;" v +hparams_config adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^hparams_config = summary_v2.hparams_config$/;" v +hparams_config adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def hparams_config(hparams, metrics, time_created_secs=None):$/;" f +hparams_config_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^hparams_config_pb = summary_v2.hparams_config_pb$/;" v +hparams_config_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def hparams_config_pb(hparams, metrics, time_created_secs=None):$/;" f +hparams_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.hparams import metadata as hparams_metadata$/;" x +hparams_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def hparams_metadata(self, ctx, experiment_id, run_tag_filter=None):$/;" m class:Context +hparams_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^hparams_pb = summary_v2.hparams_pb$/;" v +hparams_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def hparams_pb(hparams, trial_id=None, start_time_secs=None):$/;" f +HPUXFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^class HPUXFCompiler(FCompiler):$/;" c +HP_DYN_VOICE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ HP_DYN_VOICE = 0x001A$/;" v class:WAVE_FORMAT +HP_IN_CKPT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^HP_IN_CKPT = {$/;" v +HP_IN_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^HP_IN_GRAPH = {$/;" v +hr adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^hr = UnitDbl(1.0, "hour")$/;" v +HREF adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^HREF = re.compile(r"""href\\s*=\\s*['"]?([^'"> ]+)""", re.I)$/;" v +Href adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^class Href(object):$/;" c +HRProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class HRProcessor(BlockProcessor):$/;" c +Hrule adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Hrule(Rule):$/;" c +hsmu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^import caffe2.python.hsm_util as hsmu$/;" I +hsplit adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^hsplit = _split_on_axis(np.hsplit, axis=1)$/;" v +hsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def hsplit(ary, indices_or_sections):$/;" f +hsplit adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^hsplit = _fromnxfunction_single('hsplit')$/;" v +hsplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^hsplit = _split_on_axis('hsplit', axis=1)$/;" v +hstack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def hstack(tup):$/;" f +hstack adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def hstack(boxes, padding=0, strength='strong'):$/;" f +hstack adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def hstack(tup):$/;" f +hstack adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^hstack = _fromnxfunction_seq('hstack')$/;" v +hstack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^ def hstack(blocks):$/;" f function:_get_Abc file: +hstack adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def hstack(blocks, format=None, dtype=None):$/;" f +hstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def hstack(tup):$/;" f +hstackeq adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def hstackeq(boxes, padding=0, width_ratios=None):$/;" f +hsv adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def hsv():$/;" f +HSVToRGB adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^HSVToRGB = tf_export("raw_ops.HSVToRGB")(_ops.to_raw_op(hsv_to_rgb))$/;" v +hsv_to_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def hsv_to_rgb(hsv):$/;" f +hsv_to_rgb adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def hsv_to_rgb(images, name=None):$/;" f +hsv_to_rgb_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def hsv_to_rgb_eager_fallback(images, name, ctx):$/;" f +htimeset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def htimeset(self, hour, minute, second):$/;" m class:_iterinfo +html adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ import cgi as html # Only used for .escape()$/;" I +html adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^ html = None$/;" v +html adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^html = HTMLBuilder("html")$/;" v +HTMLBinaryInputStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class HTMLBinaryInputStream(HTMLUnicodeInputStream):$/;" c +HTMLBinaryInputStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class HTMLBinaryInputStream(HTMLUnicodeInputStream):$/;" c +HtmlBlockPreprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^class HtmlBlockPreprocessor(Preprocessor):$/;" c +HtmlBlockPreprocessor adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^class HtmlBlockPreprocessor(Preprocessor):$/;" c +HTMLBuilder adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class HTMLBuilder(object):$/;" c +htmlComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^htmlComment = Regex(r"").setName("HTML comment")$/;" v +htmlComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^htmlComment = Regex(r"").setName("HTML comment")$/;" v +htmlComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^htmlComment = Regex(r"").setName("HTML comment")$/;" v +htmlComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^htmlComment = Regex(r"").setName("HTML comment")$/;" v +htmldecode adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def htmldecode(text):$/;" f +HTMLElement adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ HTMLElement = xml.etree.ElementTree.Element$/;" v +htmlentitydefs adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import html.entities as htmlentitydefs$/;" I +htmlentityreplace_errors adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^def htmlentityreplace_errors(exc):$/;" f +htmlentityreplace_errors adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^def htmlentityreplace_errors(exc):$/;" f +HTMLExtractor adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^class HTMLExtractor(htmlparser.HTMLParser):$/;" c +HTMLExtractorExtra adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^class HTMLExtractorExtra(HTMLExtractor):$/;" c +HTMLGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^class HTMLGenerator(object):$/;" c +HTMLHelpWorkshop adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def HTMLHelpWorkshop(self):$/;" m class:EnvironmentInfo +HtmlInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class HtmlInlineProcessor(InlineProcessor):$/;" c +HTMLInputStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^def HTMLInputStream(source, **kwargs):$/;" f +HTMLInputStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^def HTMLInputStream(source, **kwargs):$/;" f +htmlIntegrationPointElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^htmlIntegrationPointElements = frozenset([$/;" v +htmlIntegrationPointElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^htmlIntegrationPointElements = frozenset([$/;" v +HTMLPage adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class HTMLPage(object):$/;" c +htmlparser adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^htmlparser = importlib.util.module_from_spec(spec)$/;" v +HTMLParser adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^class HTMLParser(object):$/;" c +HTMLParser adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^class HTMLParser(object):$/;" c +HTMLSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^class HTMLSerializer(object):$/;" c +HTMLSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^class HTMLSerializer(object):$/;" c +HtmlStash adpepsenv/lib/python3.8/site-packages/markdown/util.py /^class HtmlStash:$/;" c +HTMLStringO adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^class HTMLStringO(object):$/;" c +HTMLTokenizer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^class HTMLTokenizer(object):$/;" c +HTMLTokenizer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^class HTMLTokenizer(object):$/;" c +HTMLUnicodeInputStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^class HTMLUnicodeInputStream(object):$/;" c +HTMLUnicodeInputStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^class HTMLUnicodeInputStream(object):$/;" c +HTMLWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class HTMLWriter(FileMovieWriter):$/;" c +HTML_CONTENT_TYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^HTML_CONTENT_TYPE = re.compile('text\/html|application\/x(ht)?ml')$/;" v +HTML_EMPTY adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^HTML_EMPTY = ("area", "base", "basefont", "br", "col", "frame", "hr",$/;" v +html_escape adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^ html_escape = cgi.escape$/;" v +html_escape adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^ html_escape = html.escape$/;" v +html_escape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^def html_escape(text):$/;" f +html_escape_table adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^html_escape_table = {$/;" v +HTML_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/markdown/util.py /^HTML_PLACEHOLDER = STX + "wzxhzdk:%s" + ETX$/;" v +HTML_PLACEHOLDER_RE adpepsenv/lib/python3.8/site-packages/markdown/util.py /^HTML_PLACEHOLDER_RE = re.compile(HTML_PLACEHOLDER % r'([0-9]+)')$/;" v +HTML_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^HTML_RE = r'(<([a-zA-Z\/][^<>]*|!--(?:(?!).)*--)>)'$/;" v +html_static_path docs/source/conf.py /^html_static_path = ['_static']$/;" v +HTML_STRICT_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^HTML_STRICT_RE = HTML_RE + r'(?!\\>)'$/;" v +html_theme docs/source/conf.py /^html_theme = "pydata_sphinx_theme"$/;" v +html_theme_options docs/source/conf.py /^html_theme_options = {$/;" v +HTTP adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class HTTP(httplib.HTTP):$/;" c +HTTPAdapter adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^class HTTPAdapter(BaseAdapter):$/;" c +HTTPAdapter adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^class HTTPAdapter(BaseAdapter):$/;" c +HTTPBasicAuth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^class HTTPBasicAuth(AuthBase):$/;" c +HTTPBasicAuth adpepsenv/lib/python3.8/site-packages/requests/auth.py /^class HTTPBasicAuth(AuthBase):$/;" c +HTTPConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^class HTTPConnection(_HTTPConnection, object):$/;" c +HTTPConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^class HTTPConnection(_HTTPConnection, object):$/;" c +HTTPConnectionPool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^class HTTPConnectionPool(ConnectionPool, RequestMethods):$/;" c +HTTPConnectionPool adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^class HTTPConnectionPool(ConnectionPool, RequestMethods):$/;" c +HTTPDigestAuth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^class HTTPDigestAuth(AuthBase):$/;" c +HTTPDigestAuth adpepsenv/lib/python3.8/site-packages/requests/auth.py /^class HTTPDigestAuth(AuthBase):$/;" c +HTTPError adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ HTTPError = urllib.HTTPError$/;" v +HTTPError adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ HTTPError = urlliberror.HTTPError$/;" v +HTTPError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class HTTPError(RequestException):$/;" c +HTTPError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class HTTPError(Exception):$/;" c +HTTPError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class HTTPError(RequestException):$/;" c +HTTPError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class HTTPError(Exception):$/;" c +HTTPException adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class HTTPException(Exception):$/;" c +HTTPHeaderDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^class HTTPHeaderDict(MutableMapping):$/;" c +HTTPHeaderDict adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^class HTTPHeaderDict(MutableMapping):$/;" c +httplib adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import http.client as httplib$/;" I +httplib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^from .packages.six.moves import http_client as httplib$/;" x +httplib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/response.py /^from ..packages.six.moves import http_client as httplib$/;" x +httplib adpepsenv/lib/python3.8/site-packages/urllib3/util/response.py /^from ..packages.six.moves import http_client as httplib$/;" x +httplib_IncompleteRead adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^from .packages.six.moves.http_client import IncompleteRead as httplib_IncompleteRead$/;" x +httplib_IncompleteRead adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^from .packages.six.moves.http_client import IncompleteRead as httplib_IncompleteRead$/;" x +HTTPProxyAuth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^class HTTPProxyAuth(HTTPBasicAuth):$/;" c +HTTPProxyAuth adpepsenv/lib/python3.8/site-packages/requests/auth.py /^class HTTPProxyAuth(HTTPBasicAuth):$/;" c +HTTPRangeRequestUnsupported adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^class HTTPRangeRequestUnsupported(Exception):$/;" c +HTTPResponse adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^class HTTPResponse(io.IOBase):$/;" c +HTTPResponse adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^class HTTPResponse(io.IOBase):$/;" c +HTTPS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class HTTPS(httplib.HTTPS):$/;" c +HTTPSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class HTTPSConnection(httplib.HTTPSConnection):$/;" c +HTTPSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ HTTPSConnection = DummyConnection # noqa: F811$/;" v +HTTPSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^class HTTPSConnection(HTTPConnection):$/;" c +HTTPSConnection adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ HTTPSConnection = http_client.HTTPSConnection$/;" v +HTTPSConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ HTTPSConnection = DummyConnection # noqa: F811$/;" v +HTTPSConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^class HTTPSConnection(HTTPConnection):$/;" c +HTTPSConnectionPool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^class HTTPSConnectionPool(HTTPConnectionPool):$/;" c +HTTPSConnectionPool adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^class HTTPSConnectionPool(HTTPConnectionPool):$/;" c +HTTPSHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class HTTPSHandler(BaseHTTPSHandler):$/;" c +HTTPSHandler adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ HTTPSHandler = HTTPSConnection = object$/;" v +HTTPSOnlyHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class HTTPSOnlyHandler(HTTPSHandler, HTTPHandler):$/;" c +https_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def https_open(self, req):$/;" m class:HTTPSHandler +https_open adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def https_open(self, req):$/;" m class:VerifyingHTTPSHandler +HTTPVersionNotSupported adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class HTTPVersionNotSupported(HTTPException):$/;" c +HTTPWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class HTTPWarning(Warning):$/;" c +HTTPWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class HTTPWarning(Warning):$/;" c +HTTPWarning adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class HTTPWarning(Warning):$/;" c +http_code adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ http_code = 400$/;" v class:InvalidArgumentError +http_code adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ http_code = 401$/;" v class:UnauthenticatedError +http_code adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ http_code = 403$/;" v class:PermissionDeniedError +http_code adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ http_code = 404$/;" v class:NotFoundError +http_code adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ http_code = 500 # default; subclasses should override$/;" v class:PublicError +http_date adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def http_date(timestamp=None):$/;" f +http_error_301 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ http_error_301 = http_error_303 = http_error_307 = http_error_302$/;" v class:RedirectHandler +http_error_302 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def http_error_302(self, req, fp, code, msg, headers):$/;" m class:RedirectHandler +http_fakefile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^http_fakefile = 'fake.txt'$/;" v +http_fakepath adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^http_fakepath = 'http:\/\/fake.abc.web\/site\/'$/;" v +http_file adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^http_file = 'index.html'$/;" v +http_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def http_open(self, req):$/;" m class:HTTPSOnlyHandler +http_path adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^http_path = 'http:\/\/www.google.com\/'$/;" v +HTTP_STATUS_CODES adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^HTTP_STATUS_CODES = {$/;" v +htu adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^import caffe2.python.hypothesis_test_util as htu$/;" I +ht_settings adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^from hypothesis import settings as ht_settings$/;" x +ht_settings adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^from hypothesis import settings as ht_settings$/;" x +ht_settings adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^from hypothesis import settings as ht_settings$/;" x +hu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/funhash_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_funhash_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_contraction_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_pad_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/channel_shuffle_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_transpose_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/LRN_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/moment_sgd_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/operator_fallback_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/sigmoid_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/softmax_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^from caffe2.python import hypothesis_test_util as hu$/;" x +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_concat_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_conv_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_add_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_sum_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fill_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_relu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sigmoid_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_squeeze_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^from caffe2.python import hypothesis_test_util as hu$/;" x +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test_helper.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/alias_with_name_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/assert_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bucketize_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/depthwise_3x3_conv_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_clipped_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_cpu_output_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/erf_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flatten_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_fill_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_conv_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/im2col_col2im_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/key_split_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lars_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lpnorm_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/map_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_conv_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ngram_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_speed_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rmac_regions_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rms_norm_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rowwise_counter_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/scale_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softplus_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_dropout_with_replacement_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_gradient_checker_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_uniform_fill_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_multi_sample_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sample_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weight_scale_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^from caffe2.python import hypothesis_test_util as hu$/;" x +hu adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_permutation_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/concat_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dequantize_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_linear_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_fp16_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_rowwise_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/gather_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/group_norm_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_gen_quant_params_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_quant_scheme_blob_fill_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/lstm_unit_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/pool_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/quantize_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/relu_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_3d_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/sigmoid_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/spatial_batch_norm_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +hu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/tanh_dnnlowp_op_test.py /^import caffe2.python.hypothesis_test_util as hu$/;" I +huber adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def huber(z, rho, cost_only):$/;" f +huber adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double huber(double x0, double x1) nogil$/;" f +Huber adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class Huber(LossFunctionWrapper):$/;" c +huber adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def huber(y_true, y_pred, delta=1.0):$/;" f +huber_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^huber_loss = huber$/;" v +huber_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def huber_loss(labels, predictions, weights=1.0, delta=1.0, scope=None,$/;" f +HUFFMAN_ONLY adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ HUFFMAN_ONLY = core.HUFFMAN_ONLY$/;" v +HUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^HUGE = array([1e+12,2e+12,3e+12,4e+12,5e+12,6e+12,7e+12,8e+12,9e+12], float)$/;" v +HungarianLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhungarianmodel.py /^HungarianLangModel = ($/;" v +HUNGARIAN_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langhungarianmodel.py /^HUNGARIAN_LANG_MODEL = {$/;" v +HU_CONFIG adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^HU_CONFIG = {$/;" v +hvp adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def hvp(func, inputs, v=None, create_graph=False, strict=False):$/;" f +HWND adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^class HWND:$/;" c +hy adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^import hypothesis as hy$/;" I +HybridGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/hybrid.py /^class HybridGrant(GrantTypeBase):$/;" c +HybridModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class HybridModel(nn.Module):$/;" c +hynp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^from hypothesis.extra import numpy as hynp$/;" x +hynp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^from hypothesis.extra import numpy as hynp$/;" x +hyp0f1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t hyp0f1(double x0, Dd_number_t x1) nogil$/;" f +hyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t hyp1f1(double x0, double x1, Dd_number_t x2) nogil$/;" f +hyp2f1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t hyp2f1(double x0, double x1, double x2, Dd_number_t x3) nogil$/;" f +hyperbola adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def hyperbola(x, s_1, s_2, o_x, o_y, c):$/;" f member:TestCurveFit.test_dtypes2 file: +HyperbolicIneq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class HyperbolicIneq:$/;" c +hypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^hypergeom = hypergeom_gen(name='hypergeom')$/;" v +hypergeom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class hypergeom_gen(rv_discrete):$/;" c +HYPERKW adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^HYPERKW = dict(maxprec=200, maxterms=200)$/;" v +hyperu adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double hyperu(double x0, double x1, double x2) nogil$/;" f +hypot adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def hypot(x1, x2):$/;" f +hypot adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^hypot = _MaskedBinaryOperation(umath.hypot)$/;" v +hypot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def hypot(x1, x2):$/;" f +HypothesisTestCase adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^class HypothesisTestCase(test_util.TestCase):$/;" c +hypothesis_version adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^hypothesis_version = hypothesis.version.__version_info__$/;" v +hypsecant adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^hypsecant = hypsecant_gen(name='hypsecant')$/;" v +hypsecant_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class hypsecant_gen(rv_continuous):$/;" c +HZ_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^HZ_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0)$/;" v +HZ_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^HZ_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0)$/;" v +HZ_CLS adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^HZ_CLS = ($/;" v +HZ_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^HZ_CLS = ($/;" v +HZ_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^HZ_SM_MODEL = {'class_table': HZ_CLS,$/;" v +HZ_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^HZ_SM_MODEL = {'class_table': HZ_CLS,$/;" v +HZ_ST adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^HZ_ST = ($/;" v +HZ_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^HZ_ST = ($/;" v +H_AXIS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^H_AXIS = 1$/;" v +h_template adpepsenv/lib/python3.8/site-packages/numpy/core/generate_numpy_api.py /^h_template = r"""$/;" v +I adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def I(self):$/;" m class:matrix +i adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^def i(c):$/;" f +i0 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def i0(x):$/;" f +i0 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def i0(x):$/;" f +i0 adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def i0(x):$/;" f +i0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double i0(double x0) nogil$/;" f +i0e adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def i0e(x):$/;" f +i0e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double i0e(double x0) nogil$/;" f +i1 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def i1(x):$/;" f +i1 adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^i1 = iinfo(int8)$/;" v +i1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double i1(double x0) nogil$/;" f +I1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def I1(h2, k2, s):$/;" f function:test_ellip_harm_2 file: +i16 adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^from ._binary import i16be as i16$/;" x +i16 adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^from ._binary import i16le as i16$/;" x +i16be adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def i16be(c, o=0):$/;" f +i16le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def i16le(c, o=0):$/;" f +i1e adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def i1e(x):$/;" f +i1e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double i1e(double x0) nogil$/;" f +i2 adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^i2 = iinfo(int16)$/;" v +i32 adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^from ._binary import i32le as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^from ._binary import i32be as i32$/;" x +i32 adpepsenv/lib/python3.8/site-packages/PIL/WalImageFile.py /^from ._binary import i32le as i32$/;" x +i32be adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def i32be(c, o=0):$/;" f +i32le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def i32le(c, o=0):$/;" f +i4 adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^i4 = iinfo(int32)$/;" v +i4_bit_hi1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^ def i4_bit_hi1(self, n):$/;" m class:Sobol +i4_bit_lo0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^ def i4_bit_lo0(self, n):$/;" m class:Sobol +i4_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^ def i4_sobol(self, dim_num, seed):$/;" m class:Sobol +i4_sobol_generate adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^ def i4_sobol_generate(self, dim_num, n, skip=1):$/;" m class:Sobol +i8 adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def i8(c):$/;" f +ia adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def ia(x, s, v):$/;" f member:TestRegression.test_bool_flat_indexing_invalid_nr_elements file: +IA5String adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class IA5String(AbstractCharacterString):$/;" c +IA5StringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class IA5StringDecoder(OctetStringDecoder):$/;" c +iad adpepsenv/lib/python3.8/site-packages/jax/api.py /^from .interpreters import invertible_ad as iad$/;" x +iad adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^from jax.interpreters import invertible_ad as iad$/;" x +iadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^ def iadd(line, s=ihooks):$/;" f function:buildhooks file: +iadd adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^ def iadd(line, s=ihooks):$/;" f function:buildhooks file: +Ibm855Model adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^Ibm855Model = {$/;" v +IBM855_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^IBM855_char_to_order_map = ($/;" v +IBM855_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^IBM855_RUSSIAN_CHAR_TO_ORDER = {$/;" v +IBM855_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^IBM855_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='IBM855',$/;" v +Ibm866Model adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^Ibm866Model = {$/;" v +IBM866_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^IBM866_char_to_order_map = ($/;" v +IBM866_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^IBM866_RUSSIAN_CHAR_TO_ORDER = {$/;" v +IBM866_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^IBM866_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='IBM866',$/;" v +IBMFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^class IBMFCompiler(FCompiler):$/;" c +IBM_CVSD adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IBM_CVSD = 0x0005$/;" v class:WAVE_FORMAT +icamax adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef int icamax(int *n, c *cx, int *incx) nogil$/;" f +ICCPROFILE adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ICCPROFILE = 34675$/;" v +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def icdf(self, value):$/;" m class:Cauchy +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def icdf(self, value):$/;" m class:ContinuousBernoulli +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def icdf(self, value):$/;" m class:Distribution +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def icdf(self, value):$/;" m class:Exponential +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def icdf(self, prob):$/;" m class:HalfCauchy +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def icdf(self, prob):$/;" m class:HalfNormal +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def icdf(self, value):$/;" m class:Laplace +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def icdf(self, value):$/;" m class:Normal +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def icdf(self, value):$/;" m class:TransformedDistribution +icdf adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def icdf(self, value):$/;" m class:Uniform +icmax1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int icmax1(int *n, c *cx, int *incx) nogil$/;" f +IcnsFile adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^class IcnsFile:$/;" c +IcnsImageFile adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^class IcnsImageFile(ImageFile.ImageFile):$/;" c +IcoFile adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^class IcoFile:$/;" c +IcoImageFile adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^class IcoImageFile(ImageFile.ImageFile):$/;" c +icon_filename adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ icon_filename = 'matplotlib.png'$/;" v +icosahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def icosahedral(cls):$/;" f +id adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def id(self):$/;" m class:TestCase +id adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def id(self):$/;" m class:_SubTest +id adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def id(self):$/;" m class:Scalar +id adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def id(self):$/;" m class:HLObject +id adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def id(self):$/;" m class:Selection +ID adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ID = []$/;" v +id adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def id(self):$/;" m class:LinuxDistribution +id adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def id():$/;" f +id adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def id(b):$/;" f function:qmr file: +id adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^def id(x):$/;" f +id adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def id(self):$/;" m class:Frame +id adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def id(self):$/;" m class:Traceback +id adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ id = 'mapping'$/;" v class:MappingNode +id adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ id = 'scalar'$/;" v class:ScalarNode +id adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ id = 'sequence'$/;" v class:SequenceNode +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ','$/;" v class:FlowEntryToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = '-'$/;" v class:BlockEntryToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ':'$/;" v class:ValueToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:AliasToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:AnchorToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:BlockEndToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:BlockMappingStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:BlockSequenceStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:DirectiveToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:DocumentEndToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:DocumentStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:ScalarToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:StreamEndToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:StreamStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ''$/;" v class:TagToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = '?'$/;" v class:KeyToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = '['$/;" v class:FlowSequenceStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = ']'$/;" v class:FlowSequenceEndToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = '{'$/;" v class:FlowMappingStartToken +id adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ id = '}'$/;" v class:FlowMappingEndToken +id2 adpeps/ipeps/models/common.py /^id2 = np.array([[1,0],[0,1]])$/;" v +id4 adpeps/ipeps/models/common.py /^id4 = np.eye(4)$/;" v +idamax adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef int idamax(int *n, d *dx, int *incx) nogil$/;" f +IDCOUNT_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^IDCOUNT_RE = re.compile(r'^(.*)_([0-9]+)$')$/;" v +idct adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^idct = _MockFunction(np.random.random(10))$/;" v +idct adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^idct = functools.partial(_r2r, False, pfft.dct)$/;" v +idct adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def idct(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False,$/;" f +idct adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def idct(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False):$/;" f +idct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^def idct(input, type=2, n=None, axis=-1, norm=None, name=None): # pylint: disable=redefined-bui/;" f +idctn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^idctn = _MockFunction(np.random.random(10))$/;" v +idctn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^idctn = functools.partial(_r2rn, False, pfft.dct)$/;" v +idctn adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def idctn(x, type=2, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +idctn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def idctn(x, type=2, shape=None, axes=None, norm=None, overwrite_x=False):$/;" f +idct_2d_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def idct_2d_ref(x, **kwargs):$/;" f +iddp_aid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_aid(eps, A):$/;" f +iddp_asvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_asvd(eps, A):$/;" f +iddp_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_id(eps, A):$/;" f +iddp_rid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_rid(eps, m, n, matvect):$/;" f +iddp_rsvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_rsvd(eps, m, n, matvect, matvec):$/;" f +iddp_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddp_svd(eps, A):$/;" f +iddr_aid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_aid(A, k):$/;" f +iddr_aidi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_aidi(m, n, k):$/;" f +iddr_asvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_asvd(A, k):$/;" f +iddr_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_id(A, k):$/;" f +iddr_rid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_rid(m, n, matvect, k):$/;" f +iddr_rsvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_rsvd(m, n, matvect, matvec, k):$/;" f +iddr_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def iddr_svd(A, k):$/;" f +idd_copycols adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_copycols(A, k, idx):$/;" f +idd_diffsnorm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_diffsnorm(m, n, matvect, matvect2, matvec, matvec2, its=20):$/;" f +idd_estrank adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_estrank(eps, A):$/;" f +idd_findrank adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_findrank(eps, m, n, matvect):$/;" f +idd_frm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_frm(n, w, x):$/;" f +idd_frmi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_frmi(m):$/;" f +idd_id2svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_id2svd(B, idx, proj):$/;" f +idd_reconid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_reconid(B, idx, proj):$/;" f +idd_reconint adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_reconint(idx, proj):$/;" f +idd_sfrm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_sfrm(l, n, w, x):$/;" f +idd_sfrmi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_sfrmi(l, m):$/;" f +idd_snorm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idd_snorm(m, n, matvect, matvec, its=20):$/;" f +idealfourths adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def idealfourths(data, axis=None):$/;" f +ideal_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def ideal_ticks(x):$/;" f member:LogitLocator.tick_values file: +ideep_do adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^ideep_do = caffe2_pb2.DeviceOption(device_type=caffe2_pb2.IDEEP)$/;" v +ident adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ ident = Word(alphas, alphanums + "_$")$/;" v +ident adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ ident = Word(alphas, alphanums + "_$")$/;" v +ident adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ ident = Word(alphas, alphanums + "_$")$/;" v +ident adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ ident = Word(alphas, alphanums + "_$")$/;" v +ident adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def ident(x):$/;" f +IDENTIFIER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ IDENTIFIER = re.compile('^[a-z_][a-z0-9_]*$', re.I)$/;" v +IDENTIFIER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^IDENTIFIER = re.compile(r'^([\\w\\.-]+)\\s*')$/;" v +IDENTIFIER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^IDENTIFIER = Combine(ALPHANUM + ZeroOrMore(IDENTIFIER_END))$/;" v +identifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ identifier = Word(alphas + '_', alphanums + '_').setName("identifier")$/;" v class:pyparsing_common +IDENTIFIER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^IDENTIFIER = Combine(ALPHANUM + ZeroOrMore(IDENTIFIER_END))$/;" v +identifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ identifier = Word(alphas+'_', alphanums+'_').setName("identifier")$/;" v class:pyparsing_common +identifier adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ identifier = Word(alphas + '_', alphanums + '_').setName("identifier")$/;" v class:pyparsing_common +IDENTIFIER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^IDENTIFIER = Combine(ALPHANUM + ZeroOrMore(IDENTIFIER_END))$/;" v +identifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ identifier = Word(alphas+'_', alphanums+'_').setName("identifier")$/;" v class:pyparsing_common +IDENTIFIER_END adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^IDENTIFIER_END = ALPHANUM | (ZeroOrMore(PUNCTUATION) + ALPHANUM)$/;" v +IDENTIFIER_END adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^IDENTIFIER_END = ALPHANUM | (ZeroOrMore(PUNCTUATION) + ALPHANUM)$/;" v +IDENTIFIER_END adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^IDENTIFIER_END = ALPHANUM | (ZeroOrMore(PUNCTUATION) + ALPHANUM)$/;" v +identify adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def identify(self, dependency):$/;" m class:PipProvider +identify adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def identify(self, dependency):$/;" m class:AbstractProvider +IdentifyImportantOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def IdentifyImportantOps(self, sort_topologically=False):$/;" m class:Item +IdentifyProofV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class IdentifyProofV2(univ.Sequence):$/;" c +IdentifyProofV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class IdentifyProofV2(univ.Sequence):$/;" c +identify_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def identify_gradient(self, input_tensor):$/;" m class:GradientsDebugger +Identity adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def Identity():$/;" f +Identity adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Identity = Identity()$/;" v +identity adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def identity(x): return x$/;" f +identity adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def identity(x): return x$/;" f +identity adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def identity(x): return x$/;" f +identity adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def identity(x): return x$/;" f +identity adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def identity(n, dtype=None):$/;" f +identity adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def identity():$/;" m class:Affine2D +identity adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def identity(n, dtype=None):$/;" f +identity adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^identity = _convert2ma($/;" v +identity adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def identity(n,dtype=None):$/;" f +identity adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def identity(cls, domain=None, window=None):$/;" m class:ABCPolyBase +identity adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def identity(n, dtype='d', format=None):$/;" f +identity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def identity(b,which=None):$/;" f function:check_precond_dummy file: +Identity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class Identity(interface.LinearOperator):$/;" c function:test_inheritance file: +identity adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Identity as identity$/;" x +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Identity as identity$/;" x +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Identity as identity$/;" x +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Identity as identity$/;" x +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def identity(x, name=None):$/;" f +Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Identity(init_ops_v2.Identity, Initializer):$/;" c +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def identity(input, name=None): # pylint: disable=redefined-builtin$/;" f +Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^class Identity(bijector.Bijector):$/;" c +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def identity(input, name=None):$/;" f +Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Identity = tf_export("raw_ops.Identity")(_ops.to_raw_op(identity))$/;" v +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^identity = init_ops.identity_initializer$/;" v +Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Identity(Initializer):$/;" c +Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Identity(Initializer):$/;" c +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^identity = Identity$/;" v +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^ def identity(x):$/;" f function:_reshape_for_efficiency file: +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def identity(n, dtype=float):$/;" f +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def identity(self):$/;" m class:TensorArray +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def identity(self):$/;" m class:_EagerTensorArray +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def identity(self):$/;" m class:_GraphTensorArray +identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def identity(self):$/;" m class:_GraphTensorArrayV2 +identity adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Identity as identity$/;" x +Identity adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^class Identity(Module):$/;" c +Identity adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class Identity(BasePruningMethod):$/;" c +identity adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def identity(module, name):$/;" f +IdentityCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class IdentityCategoricalColumn($/;" c +IdentityN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^IdentityN = tf_export("raw_ops.IdentityN")(_ops.to_raw_op(identity_n))$/;" v +IdentityOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class IdentityOperator(LinearOperator):$/;" c +IdentityReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^IdentityReader = tf_export("raw_ops.IdentityReader")(_ops.to_raw_op(identity_reader))$/;" v +IdentityReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class IdentityReader(ReaderBase):$/;" c +IdentityReaderV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^IdentityReaderV2 = tf_export("raw_ops.IdentityReaderV2")(_ops.to_raw_op(identity_reader_v2))$/;" v +IdentityRegularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class IdentityRegularizer(keras.regularizers.Regularizer):$/;" c class:TestRegularizerLoss +IdentityRegularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^class IdentityRegularizer(regularizers.Regularizer):$/;" c +IdentityTransform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class IdentityTransform(Affine2DBase):$/;" c +IdentityVectorFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^class IdentityVectorFunction(LinearVectorFunction):$/;" c +identity_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def identity_eager_fallback(input, name, ctx):$/;" f +identity_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ identity_fn = lambda **kwargs: kwargs$/;" f function:_ModelFnWrapper.convert_to_single_tpu_train_step.train_step file: +identity_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ identity_fn = lambda **kwargs: kwargs$/;" f function:_ModelFnWrapper.convert_to_single_tpu_predict_step.predict_step file: +identity_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^identity_initializer = Identity$/;" v +identity_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^identity_initializer = Identity$/;" v +identity_like_generalized adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def identity_like_generalized(a):$/;" f +identity_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def identity_n(input, name=None):$/;" f +identity_n_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def identity_n_eager_fallback(input, name, ctx):$/;" f +identity_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def identity_reader(container="", shared_name="", name=None):$/;" f +identity_reader_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def identity_reader_eager_fallback(container, shared_name, name, ctx):$/;" f +identity_reader_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def identity_reader_v2(container="", shared_name="", name=None):$/;" f +identity_reader_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def identity_reader_v2_eager_fallback(container, shared_name, name, ctx):$/;" f +identity_transform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^identity_transform = ComposeTransform([])$/;" v +identity_with_grad_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def identity_with_grad_check(x):$/;" f function:create_identity_with_grad_check_fn file: +identity_with_nan_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def identity_with_nan_gradients(x):$/;" f function:create_identity_with_nan_gradients_fn file: +ideographic adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ideographic = """[#x4E00-#x9FA5] | #x3007 | [#x3021-#x3029]"""$/;" v +ideographic adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ideographic = """[#x4E00-#x9FA5] | #x3007 | [#x3021-#x3029]"""$/;" v +IDLE adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ IDLE = (_cygrpc.ConnectivityState.idle, 'idle')$/;" v class:ChannelConnectivity +IDLE_DELAY adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^IDLE_DELAY = 5 # Documented as deprecated as of Matplotlib 3.1.$/;" v +idle_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def idle_draw(*args):$/;" f member:FigureCanvasGTK3.draw_idle file: +idle_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def idle_draw(*args):$/;" f member:FigureCanvasTk.draw_idle file: +IdList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^IdList = schema.List(np.int64)$/;" v +IdListWithEvicted adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^IdListWithEvicted = schema.ListWithEvicted(np.int64)$/;" v +idmap adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def idmap(self, *args):$/;" m class:_CDFData +IDNABidiError adpepsenv/lib/python3.8/site-packages/idna/core.py /^class IDNABidiError(IDNAError):$/;" c +IDNABidiError adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^class IDNABidiError(IDNAError):$/;" c +IDNAError adpepsenv/lib/python3.8/site-packages/idna/core.py /^class IDNAError(UnicodeError):$/;" c +IDNAError adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^class IDNAError(UnicodeError):$/;" c +idna_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def idna_encode(name):$/;" f function:_dnsname_to_stdlib file: +idna_encode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def idna_encode(name):$/;" f function:_dnsname_to_stdlib file: +ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, cases = zip(*test_cases)$/;" v class:TestStrCategoryFormatter +ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, data = zip(*test_cases)$/;" v class:TestUnitData +ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, values = zip(*test_cases)$/;" v class:TestStrCategoryConverter +ids adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def ids(self):$/;" m class:MaskedArray +ids adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ids = _frommethod('ids')$/;" v +IDS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^IDS = [x[0].__name__ for x in PARAMS]$/;" v +IdScoreList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^IdScoreList = schema.Map(np.int64, np.float32)$/;" v +IdScoreListWithEvicted adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^IdScoreListWithEvicted = schema.MapWithEvicted(np.int64, np.float32)$/;" v +idst adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^idst = _MockFunction(np.random.random(10))$/;" v +idst adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^idst = functools.partial(_r2r, False, pfft.dst)$/;" v +idst adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def idst(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False,$/;" f +idst adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def idst(x, type=2, n=None, axis=-1, norm=None, overwrite_x=False):$/;" f +idstn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^idstn = _MockFunction(np.random.random(10))$/;" v +idstn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^idstn = functools.partial(_r2rn, False, pfft.dst)$/;" v +idstn adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^def idstn(x, type=2, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +idstn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^def idstn(x, type=2, shape=None, axes=None, norm=None, overwrite_x=False):$/;" f +idst_2d_ref adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def idst_2d_ref(x, **kwargs):$/;" f +ids_to_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ids_to_lengths(ids):$/;" f member:TestOperators.test_segment_ids_to_lengths file: +IdTableWithHashBuckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class IdTableWithHashBuckets(LookupInterface):$/;" c +IdTokenAuthMetadataPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^class IdTokenAuthMetadataPlugin(grpc.AuthMetadataPlugin):$/;" c +IDTokenCredentials adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^class IDTokenCredentials(credentials.CredentialsWithQuotaProject, credentials.Signing):$/;" c +IDTokenCredentials adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^class IDTokenCredentials(credentials.CredentialsWithQuotaProject):$/;" c +IDTokenCredentials adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^class IDTokenCredentials(credentials.Signing, credentials.CredentialsWithQuotaProject):$/;" c +IDTokenCredentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_service_account_async.py /^class IDTokenCredentials($/;" c +IdWeightPair adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ IdWeightPair = collections.namedtuple( # pylint: disable=invalid-name$/;" v class:_CategoricalColumn +IdWeightPair adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ IdWeightPair = collections.namedtuple( # pylint: disable=invalid-name$/;" v class:CategoricalColumn +idx adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def idx(self):$/;" m class:KDTree.leafnode +IdxOutType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IdxOutType(self):$/;" m class:UniqueOptions +idzp_aid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_aid(eps, A):$/;" f +idzp_asvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_asvd(eps, A):$/;" f +idzp_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_id(eps, A):$/;" f +idzp_rid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_rid(eps, m, n, matveca):$/;" f +idzp_rsvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_rsvd(eps, m, n, matveca, matvec):$/;" f +idzp_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzp_svd(eps, A):$/;" f +idzr_aid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_aid(A, k):$/;" f +idzr_aidi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_aidi(m, n, k):$/;" f +idzr_asvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_asvd(A, k):$/;" f +idzr_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_id(A, k):$/;" f +idzr_rid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_rid(m, n, matveca, k):$/;" f +idzr_rsvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_rsvd(m, n, matveca, matvec, k):$/;" f +idzr_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idzr_svd(A, k):$/;" f +idz_copycols adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_copycols(A, k, idx):$/;" f +idz_diffsnorm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_diffsnorm(m, n, matveca, matveca2, matvec, matvec2, its=20):$/;" f +idz_estrank adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_estrank(eps, A):$/;" f +idz_findrank adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_findrank(eps, m, n, matveca):$/;" f +idz_frm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_frm(n, w, x):$/;" f +idz_frmi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_frmi(m):$/;" f +idz_id2svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_id2svd(B, idx, proj):$/;" f +idz_reconid adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_reconid(B, idx, proj):$/;" f +idz_reconint adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_reconint(idx, proj):$/;" f +idz_sfrm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_sfrm(l, n, w, x):$/;" f +idz_sfrmi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_sfrmi(l, m):$/;" f +idz_snorm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def idz_snorm(m, n, matveca, matvec, its=20):$/;" f +id_aa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^id_aa = _OID(id_smime, 2)$/;" v +id_aa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_aa = _buildOid(1, 2, 840, 113549, 1, 9, 16, 2)$/;" v +id_aa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_aa = _buildOid(1, 2, 840, 113549, 1, 9, 16, 2)$/;" v +id_aa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^id_aa = univ.ObjectIdentifier((1, 2, 840, 113549, 1, 9, 16, 2, ))$/;" v +id_aa_asymmDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^id_aa_asymmDecryptKeyID = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.54')$/;" v +id_aa_binarySigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6019.py /^id_aa_binarySigningTime = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.46')$/;" v +id_aa_binarySigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_binarySigningTime = rfc6019.id_aa_binarySigningTime$/;" v +id_aa_cmc_unsignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_aa_cmc_unsignedData = _buildOid(id_aa, 34)$/;" v +id_aa_cmc_unsignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_aa_cmc_unsignedData = _buildOid(id_aa, 34)$/;" v +id_aa_cmsAlgorithmProtect adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^id_aa_cmsAlgorithmProtect = univ.ObjectIdentifier('1.2.840.113549.1.9.52')$/;" v +id_aa_communityIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_communityIdentifiers = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.40')$/;" v +id_aa_communityIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_communityIdentifiers = rfc4108.id_aa_communityIdentifiers$/;" v +id_aa_contentHint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_contentHint = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.4')$/;" v +id_aa_contentHint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_contentHint = rfc2634.id_aa_contentHint$/;" v +id_aa_contentHint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_contentHint = rfc2634.id_aa_contentHint$/;" v +id_aa_contentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_contentIdentifier = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.7')$/;" v +id_aa_contentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_contentIdentifier = rfc2634.id_aa_contentIdentifier$/;" v +id_aa_contentReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_contentReference = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.10')$/;" v +id_aa_contentReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_contentReference = rfc2634.id_aa_contentReference$/;" v +id_aa_decryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_decryptKeyID = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.37')$/;" v +id_aa_encrypKeyPref adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^id_aa_encrypKeyPref = _OID(id_aa, 11)$/;" v +id_aa_equivalentLabels adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_equivalentLabels = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.9')$/;" v +id_aa_equivalentLabels adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_equivalentLabels = rfc2634.id_aa_equivalentLabels$/;" v +id_aa_estIdentityLinking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^id_aa_estIdentityLinking = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.58')$/;" v +id_aa_estIdentityLinking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^id_aa_estIdentityLinking = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.58')$/;" v +id_aa_firmwarePackageID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_firmwarePackageID = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.35')$/;" v +id_aa_firmwarePackageInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_firmwarePackageInfo = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.42')$/;" v +id_aa_fwPkgMessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_fwPkgMessageDigest = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.41')$/;" v +id_aa_implCompressAlgs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_implCompressAlgs = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.43')$/;" v +id_aa_implCryptoAlgs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_implCryptoAlgs = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.38')$/;" v +id_aa_KP_classification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_classification = univ.ObjectIdentifier(id_aa_securityLabel)$/;" v +id_aa_KP_contentDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^id_aa_KP_contentDecryptKeyID = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.66')$/;" v +id_aa_KP_contentDecryptKeyID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_contentDecryptKeyID = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.66')$/;" v +id_aa_KP_crlPointers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_crlPointers = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.70')$/;" v +id_aa_KP_keyPkgIdAndReceiptReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^id_aa_KP_keyPkgIdAndReceiptReq = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.65')$/;" v +id_aa_KP_keyPkgIdAndReceiptReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_keyPkgIdAndReceiptReq = rfc7191.id_aa_KP_keyPkgIdAndReceiptReq$/;" v +id_aa_KP_keyProvinceV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_keyProvinceV2 = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.71')$/;" v +id_aa_KP_manifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_KP_manifest = univ.ObjectIdentifier('2.16.840.1.101.2.1.5.72')$/;" v +id_aa_mlExpandHistory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_mlExpandHistory = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.3')$/;" v +id_aa_mlExpandHistory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_mlExpandHistory = rfc2634.id_aa_mlExpandHistory$/;" v +id_aa_msgSigDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_msgSigDigest = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.5')$/;" v +id_aa_msgSigDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_msgSigDigest = rfc2634.id_aa_msgSigDigest$/;" v +id_aa_otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^id_aa_otpChallenge = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.56')$/;" v +id_aa_otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^id_aa_otpChallenge = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.56')$/;" v +id_aa_receiptRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_receiptRequest = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.1')$/;" v +id_aa_receiptRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_receiptRequest = rfc2634.id_aa_receiptRequest$/;" v +id_aa_revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^id_aa_revocationChallenge = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.57')$/;" v +id_aa_revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^id_aa_revocationChallenge = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.57')$/;" v +id_aa_secureHeaderFieldsIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^id_aa_secureHeaderFieldsIdentifier = id_aa + (55, )$/;" v +id_aa_securityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_securityLabel = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.2')$/;" v +id_aa_securityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_securityLabel = rfc2634.id_aa_securityLabel$/;" v +id_aa_securityLabel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_aa_securityLabel = rfc2634.id_aa_securityLabel$/;" v +id_aa_signingCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_aa_signingCertificate = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.12')$/;" v +id_aa_signingCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_signingCertificate = rfc2634.id_aa_signingCertificate$/;" v +id_aa_signingCertificateV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_aa_signingCertificateV2 = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.47')$/;" v +id_aa_TAMP_contingencyPublicKeyDecryptKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_aa_TAMP_contingencyPublicKeyDecryptKey = _OID(id_attributes, 63)$/;" v +id_aa_targetHardwareIDs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_targetHardwareIDs = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.36')$/;" v +id_aa_wrappedFirmwareKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_aa_wrappedFirmwareKey = univ.ObjectIdentifier('1.2.840.113549.1.9.16.2.39')$/;" v +id_aca adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca = _buildOid(rfc3280.id_pkix, 10)$/;" v +id_aca adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_aca = univ.ObjectIdentifier('1.3.6.1.5.5.7.10')$/;" v +id_aca adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca = id_pkix + (10, )$/;" v +id_aca_accessIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca_accessIdentity = _buildOid(id_aca, 2)$/;" v +id_aca_accessIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca_accessIdentity = id_aca + (2, )$/;" v +id_aca_authenticationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca_authenticationInfo = _buildOid(id_aca, 1)$/;" v +id_aca_authenticationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca_authenticationInfo = id_aca + (1, )$/;" v +id_aca_chargingIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca_chargingIdentity = _buildOid(id_aca, 3)$/;" v +id_aca_chargingIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca_chargingIdentity = id_aca + (3, )$/;" v +id_aca_encAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca_encAttrs = _buildOid(id_aca, 6)$/;" v +id_aca_encAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca_encAttrs = id_aca + (6, )$/;" v +id_aca_group adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_aca_group = _buildOid(id_aca, 4)$/;" v +id_aca_group adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_aca_group = id_aca + (4, )$/;" v +id_aca_wlanSSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^id_aca_wlanSSID = univ.ObjectIdentifier('1.3.6.1.5.5.7.10.7')$/;" v +id_aca_wlanSSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_aca_wlanSSID = id_aca + (7, )$/;" v +id_ad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ad = univ.ObjectIdentifier('1.3.6.1.5.5.7.48')$/;" v +id_ad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ad = _OID(id_pkix, 48)$/;" v +id_ad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ad = _buildOid(id_pkix, 48)$/;" v +id_ad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_ad = id_pkix + (48, )$/;" v +id_ad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6487.py /^id_ad = id_pkix + (48, )$/;" v +id_ad_caIssuers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ad_caIssuers = univ.ObjectIdentifier('1.3.6.1.5.5.7.48.2')$/;" v +id_ad_caIssuers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ad_caIssuers = _OID(id_ad, 2)$/;" v +id_ad_caIssuers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ad_caIssuers = _buildOid(id_ad, 2)$/;" v +id_ad_caRepository adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ad_caRepository = _OID(id_ad, 5)$/;" v +id_ad_caRepository adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ad_caRepository = _buildOid(id_ad, 5)$/;" v +id_ad_cmc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_ad_cmc = _buildOid(rfc5280.id_ad, 12)$/;" v +id_ad_cmc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_ad_cmc = _buildOid(rfc5280.id_ad, 12)$/;" v +id_ad_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ad_ocsp = univ.ObjectIdentifier('1.3.6.1.5.5.7.48.1')$/;" v +id_ad_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ad_ocsp = _OID(id_ad, 1)$/;" v +id_ad_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ad_ocsp = _buildOid(id_ad, 1)$/;" v +id_ad_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_ad_ocsp = rfc5280.id_ad_ocsp$/;" v +id_ad_rpkiManifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6487.py /^id_ad_rpkiManifest = id_ad + (10, )$/;" v +id_ad_signedObject adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6487.py /^id_ad_signedObject = id_ad + (11, )$/;" v +id_ad_stirTNList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^id_ad_stirTNList = _OID(1, 3, 6, 1, 5, 5, 7, 48, 14)$/;" v +id_ad_timeStamping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ad_timeStamping = _OID(id_ad, 3)$/;" v +id_ad_timeStamping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ad_timeStamping = _buildOid(id_ad, 3)$/;" v +id_aes128_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes128_CBC = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.2')$/;" v +id_aes128_CCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes128_CCM = _OID(aes, 7)$/;" v +id_aes128_GCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes128_GCM = _OID(aes, 6)$/;" v +id_aes128_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes128_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.5')$/;" v +id_aes128_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes128_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.5')$/;" v +id_aes128_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_aes128_Wrap = _OID(nistAlgorithm, 1, 5)$/;" v +id_aes128_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_aes128_wrap = rfc3565.id_aes128_wrap$/;" v +id_aes128_wrap_pad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes128_wrap_pad = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.8')$/;" v +id_aes192_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes192_CBC = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.22')$/;" v +id_aes192_CCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes192_CCM = _OID(aes, 27)$/;" v +id_aes192_GCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes192_GCM = _OID(aes, 26)$/;" v +id_aes192_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes192_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.25')$/;" v +id_aes192_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes192_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.25')$/;" v +id_aes192_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_aes192_Wrap = _OID(nistAlgorithm, 1, 25)$/;" v +id_aes192_wrap_pad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes192_wrap_pad = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.28')$/;" v +id_aes256_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes256_CBC = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.42')$/;" v +id_aes256_CCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes256_CCM = _OID(aes, 47)$/;" v +id_aes256_GCM adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^id_aes256_GCM = _OID(aes, 46)$/;" v +id_aes256_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^id_aes256_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.45')$/;" v +id_aes256_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes256_wrap = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.45')$/;" v +id_aes256_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_aes256_Wrap = _OID(nistAlgorithm, 1, 45)$/;" v +id_aes256_wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_aes256_wrap = rfc3565.id_aes256_wrap$/;" v +id_aes256_wrap_pad adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5649.py /^id_aes256_wrap_pad = univ.ObjectIdentifier('2.16.840.1.101.3.4.1.48')$/;" v +id_alg_AEADChaCha20Poly1305 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8103.py /^id_alg_AEADChaCha20Poly1305 = _OID(1, 2, 840, 113549, 1, 9, 16, 3, 18)$/;" v +id_alg_CMS3DESwrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_alg_CMS3DESwrap = _OID(1, 2, 840, 113549, 1, 9, 16, 3, 6)$/;" v +id_alg_dhPop_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_sha1 = univ.ObjectIdentifier(id_alg_dh_pop)$/;" v +id_alg_dhPop_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_sha224 = id_pkix + (6, 5, )$/;" v +id_alg_dhPop_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_sha256 = id_pkix + (6, 6, )$/;" v +id_alg_dhPop_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_sha384 = id_pkix + (6, 7, )$/;" v +id_alg_dhPop_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_sha512 = id_pkix + (6, 8, )$/;" v +id_alg_dhPop_static_sha224_hmac_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_static_sha224_hmac_sha224 = id_pkix + (6, 15, )$/;" v +id_alg_dhPop_static_sha256_hmac_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_static_sha256_hmac_sha256 = id_pkix + (6, 16, )$/;" v +id_alg_dhPop_static_sha384_hmac_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_static_sha384_hmac_sha384 = id_pkix + (6, 17, )$/;" v +id_alg_dhPop_static_sha512_hmac_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dhPop_static_sha512_hmac_sha512 = id_pkix + (6, 18, )$/;" v +id_alg_dh_pop adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_dh_pop = id_pkix + (6, 4, )$/;" v +id_alg_ecdhPop_static_sha224_hmac_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_ecdhPop_static_sha224_hmac_sha224 = id_pkix + (6, 25, )$/;" v +id_alg_ecdhPop_static_sha256_hmac_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_ecdhPop_static_sha256_hmac_sha256 = id_pkix + (6, 26, )$/;" v +id_alg_ecdhPop_static_sha384_hmac_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_ecdhPop_static_sha384_hmac_sha384 = id_pkix + (6, 27, )$/;" v +id_alg_ecdhPop_static_sha512_hmac_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_alg_ecdhPop_static_sha512_hmac_sha512 = id_pkix + (6, 28, )$/;" v +id_alg_hkdf_with_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^id_alg_hkdf_with_sha256 = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.28')$/;" v +id_alg_hkdf_with_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^id_alg_hkdf_with_sha384 = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.29')$/;" v +id_alg_hkdf_with_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^id_alg_hkdf_with_sha512 = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.30')$/;" v +id_alg_MD5_XOR_EXPERIMENT adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6210.py /^id_alg_MD5_XOR_EXPERIMENT = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.13')$/;" v +id_alg_noSignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_alg_noSignature = _buildOid(id_pkix, 6, 2)$/;" v +id_alg_noSignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_alg_noSignature = _buildOid(id_pkix, 6, 2)$/;" v +id_alg_zlibCompress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^id_alg_zlibCompress = univ.ObjectIdentifier('1.2.840.113549.1.9.16.3.8')$/;" v +id_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at = univ.ObjectIdentifier('2.5.4')$/;" v +id_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^id_at = _OID(2, 5, 4)$/;" v +id_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at = _OID(2, 5, 4)$/;" v +id_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at = _buildOid(2, 5, 4)$/;" v +id_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_at = univ.ObjectIdentifier((2, 5, 4, ))$/;" v +id_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_attributes = univ.ObjectIdentifier('2.16.840.1.101.2.1.5')$/;" v +id_attr_validation_parameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8479.py /^id_attr_validation_parameters = univ.ObjectIdentifier('1.3.6.1.4.1.2312.18.8.1')$/;" v +id_at_clearance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_at_clearance = _buildOid(2, 5, 1, 5, 55)$/;" v +id_at_clearance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_at_clearance = univ.ObjectIdentifier((2, 5, 4, 55, ))$/;" v +id_at_clearance_rfc3281 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_at_clearance_rfc3281 = univ.ObjectIdentifier((2, 5, 1, 5, 55, ))$/;" v +id_at_commonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_commonName = univ.ObjectIdentifier('2.5.4.3')$/;" v +id_at_commonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_commonName = _OID(id_at, 3)$/;" v +id_at_commonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_commonName = _buildOid(id_at, 3)$/;" v +id_at_countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_countryName = univ.ObjectIdentifier('2.5.4.6')$/;" v +id_at_countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_countryName = _OID(id_at, 6)$/;" v +id_at_countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_countryName = _buildOid(id_at, 6)$/;" v +id_at_dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_dnQualifier = univ.ObjectIdentifier('2.5.4.46')$/;" v +id_at_dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_dnQualifier = _OID(id_at, 46)$/;" v +id_at_dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_dnQualifier = _buildOid(id_at, 46)$/;" v +id_at_generationQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_generationQualifier = univ.ObjectIdentifier('2.5.4.44')$/;" v +id_at_generationQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_generationQualifier = _OID(id_at, 44)$/;" v +id_at_generationQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_generationQualifier = _buildOid(id_at, 44)$/;" v +id_at_givenName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_givenName = univ.ObjectIdentifier('2.5.4.42')$/;" v +id_at_givenName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_givenName = _OID(id_at, 42)$/;" v +id_at_givenName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_givenName = _buildOid(id_at, 42)$/;" v +id_at_initials adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_initials = univ.ObjectIdentifier('2.5.4.43')$/;" v +id_at_initials adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_initials = _OID(id_at, 43)$/;" v +id_at_initials adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_initials = _buildOid(id_at, 43)$/;" v +id_at_localityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_localityName = univ.ObjectIdentifier('2.5.4.7')$/;" v +id_at_localityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_localityName = _OID(id_at, 7)$/;" v +id_at_localityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_localityName = _buildOid(id_at, 7)$/;" v +id_at_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_name = univ.ObjectIdentifier('2.5.4.41')$/;" v +id_at_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_name = _OID(id_at, 41)$/;" v +id_at_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_name = _buildOid(id_at, 41)$/;" v +id_at_organizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_organizationalUnitName = univ.ObjectIdentifier('2.5.4.11')$/;" v +id_at_organizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_organizationalUnitName = _OID(id_at, 11)$/;" v +id_at_organizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_organizationalUnitName = _buildOid(id_at, 11)$/;" v +id_at_organizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_organizationName = univ.ObjectIdentifier('2.5.4.10')$/;" v +id_at_organizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_organizationName = _OID(id_at, 10)$/;" v +id_at_organizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_organizationName = _buildOid(id_at, 10)$/;" v +id_at_pkiPath adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_at_pkiPath = univ.ObjectIdentifier('2.5.4.70')$/;" v +id_at_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^id_at_pseudonym = _OID(2, 5, 4, 65)$/;" v +id_at_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_pseudonym = _OID(id_at, 65)$/;" v +id_at_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_pseudonym = _buildOid(id_at, 65)$/;" v +id_at_role adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_at_role = _buildOid(rfc3280.id_at, 72)$/;" v +id_at_role adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_at_role = id_at + (72,)$/;" v +id_at_serialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^id_at_serialNumber = rfc5280.id_at_serialNumber$/;" v +id_at_serialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_serialNumber = _OID(id_at, 5)$/;" v +id_at_serialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_serialNumber = _buildOid(id_at, 5)$/;" v +id_at_stateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_stateOrProvinceName = univ.ObjectIdentifier('2.5.4.8')$/;" v +id_at_stateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_stateOrProvinceName = _OID(id_at, 8)$/;" v +id_at_stateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_stateOrProvinceName = _buildOid(id_at, 8)$/;" v +id_at_surname adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_surname = _OID(id_at, 4)$/;" v +id_at_surname adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_surname = _buildOid(id_at, 4)$/;" v +id_at_sutname adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_sutname = id_at_surname = univ.ObjectIdentifier('2.5.4.4')$/;" v +id_at_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_at_title = univ.ObjectIdentifier('2.5.4.12')$/;" v +id_at_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_at_title = _OID(id_at, 12)$/;" v +id_at_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_at_title = _buildOid(id_at, 12)$/;" v +id_at_userCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_at_userCertificate = univ.ObjectIdentifier('2.5.4.36')$/;" v +id_camellia128_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_camellia128_Wrap = _OID(1, 2, 392, 200011, 61, 1, 1, 3, 2)$/;" v +id_camellia192_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_camellia192_Wrap = _OID(1, 2, 392, 200011, 61, 1, 1, 3, 3)$/;" v +id_camellia256_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_camellia256_Wrap = _OID(1, 2, 392, 200011, 61, 1, 1, 3, 4)$/;" v +id_cap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^id_cap = _OID(id_smime, 11)$/;" v +id_cap_preferBinaryInside adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^id_cap_preferBinaryInside = _OID(id_cap, 1)$/;" v +id_cct adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cct = _buildOid(id_pkix, 12)$/;" v +id_cct adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cct = _buildOid(id_pkix, 12)$/;" v +id_cct_PKIData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cct_PKIData = _buildOid(id_cct, 2)$/;" v +id_cct_PKIData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cct_PKIData = _buildOid(id_cct, 2)$/;" v +id_cct_PKIResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cct_PKIResponse = _buildOid(id_cct, 3)$/;" v +id_cct_PKIResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cct_PKIResponse = _buildOid(id_cct, 3)$/;" v +id_ce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce = univ.ObjectIdentifier('2.5.29')$/;" v +id_ce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce = _OID(2, 5, 29)$/;" v +id_ce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce = _buildOid(2, 5, 29)$/;" v +id_ce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_ce = univ.ObjectIdentifier((2, 5, 29, ))$/;" v +id_certBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_certBag = _OID(bagtypes, 3)$/;" v +id_ce_authContext adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^id_ce_authContext = id_eleg_ce + (1, )$/;" v +id_ce_authorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_authorityKeyIdentifier = univ.ObjectIdentifier('2.5.29.35')$/;" v +id_ce_authorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_authorityKeyIdentifier = _OID(id_ce, 35)$/;" v +id_ce_authorityKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_authorityKeyIdentifier = _buildOid(id_ce, 35)$/;" v +id_ce_basicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_basicConstraints = univ.ObjectIdentifier('2.5.29.19')$/;" v +id_ce_basicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_basicConstraints = _OID(id_ce, 19)$/;" v +id_ce_basicConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_basicConstraints = _buildOid(id_ce, 19)$/;" v +id_ce_certificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_certificateIssuer = univ.ObjectIdentifier('2.5.29.29')$/;" v +id_ce_certificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_certificateIssuer = _OID(id_ce, 29)$/;" v +id_ce_certificateIssuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_certificateIssuer = _buildOid(id_ce, 29)$/;" v +id_ce_certificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_certificatePolicies = univ.ObjectIdentifier('2.5.29.32')$/;" v +id_ce_certificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_certificatePolicies = _OID(id_ce, 32)$/;" v +id_ce_certificatePolicies adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_certificatePolicies = _buildOid(id_ce, 32)$/;" v +id_ce_cRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_cRLDistributionPoints = univ.ObjectIdentifier('2.5.29.31')$/;" v +id_ce_cRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_cRLDistributionPoints = _OID(id_ce, 31)$/;" v +id_ce_cRLDistributionPoints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_cRLDistributionPoints = _buildOid(id_ce, 31)$/;" v +id_ce_cRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_cRLNumber = univ.ObjectIdentifier('2.5.29.20')$/;" v +id_ce_cRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_cRLNumber = _OID(id_ce, 20)$/;" v +id_ce_cRLNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_cRLNumber = _buildOid(id_ce, 20)$/;" v +id_ce_cRLReasons adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_cRLReasons = univ.ObjectIdentifier('2.5.29.21')$/;" v +id_ce_cRLReasons adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_cRLReasons = _OID(id_ce, 21)$/;" v +id_ce_cRLReasons adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_cRLReasons = _buildOid(id_ce, 21)$/;" v +id_ce_deltaCRLIndicator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_deltaCRLIndicator = univ.ObjectIdentifier('2.5.29.27')$/;" v +id_ce_deltaCRLIndicator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_deltaCRLIndicator = _OID(id_ce, 27)$/;" v +id_ce_deltaCRLIndicator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_deltaCRLIndicator = _buildOid(id_ce, 27)$/;" v +id_ce_extKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_extKeyUsage = univ.ObjectIdentifier('2.5.29.37')$/;" v +id_ce_extKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_extKeyUsage = _OID(id_ce, 37)$/;" v +id_ce_extKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_extKeyUsage = _buildOid(id_ce, 37)$/;" v +id_ce_freshestCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_freshestCRL = _OID(id_ce, 46)$/;" v +id_ce_freshestCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_freshestCRL = _buildOid(id_ce, 46)$/;" v +id_ce_hashOfRootKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8649.py /^id_ce_hashOfRootKey = univ.ObjectIdentifier('1.3.6.1.4.1.51483.2.1')$/;" v +id_ce_holdInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_holdInstructionCode = univ.ObjectIdentifier('2.5.29.23')$/;" v +id_ce_holdInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_holdInstructionCode = _OID(id_ce, 23)$/;" v +id_ce_holdInstructionCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_holdInstructionCode = _buildOid(id_ce, 23)$/;" v +id_ce_inhibitAnyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_inhibitAnyPolicy = _OID(id_ce, 54)$/;" v +id_ce_inhibitAnyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_inhibitAnyPolicy = _buildOid(id_ce, 54)$/;" v +id_ce_invalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_invalidityDate = univ.ObjectIdentifier('2.5.29.24')$/;" v +id_ce_invalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_invalidityDate = _OID(id_ce, 24)$/;" v +id_ce_invalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_invalidityDate = _buildOid(id_ce, 24)$/;" v +id_ce_issuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_issuerAltName = univ.ObjectIdentifier('2.5.29.18')$/;" v +id_ce_issuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_issuerAltName = _OID(id_ce, 18)$/;" v +id_ce_issuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_issuerAltName = _buildOid(id_ce, 18)$/;" v +id_ce_issuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_issuingDistributionPoint = univ.ObjectIdentifier('2.5.29.28')$/;" v +id_ce_issuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_issuingDistributionPoint = _OID(id_ce, 28)$/;" v +id_ce_issuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_issuingDistributionPoint = _buildOid(id_ce, 28)$/;" v +id_ce_keyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_keyUsage = univ.ObjectIdentifier('2.5.29.15')$/;" v +id_ce_keyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_keyUsage = _OID(id_ce, 15)$/;" v +id_ce_keyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_keyUsage = _buildOid(id_ce, 15)$/;" v +id_ce_nameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_nameConstraints = univ.ObjectIdentifier('2.5.29.30')$/;" v +id_ce_nameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_nameConstraints = _OID(id_ce, 30)$/;" v +id_ce_nameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_nameConstraints = _buildOid(id_ce, 30)$/;" v +id_ce_noRevAvail adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_ce_noRevAvail = id_ce + (56, )$/;" v +id_ce_policyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_policyConstraints = univ.ObjectIdentifier('2.5.29.36')$/;" v +id_ce_policyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_policyConstraints = _OID(id_ce, 36)$/;" v +id_ce_policyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_policyConstraints = _buildOid(id_ce, 36)$/;" v +id_ce_policyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_policyMappings = univ.ObjectIdentifier('2.5.29.33')$/;" v +id_ce_policyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_policyMappings = _OID(id_ce, 33)$/;" v +id_ce_policyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_policyMappings = _buildOid(id_ce, 33)$/;" v +id_ce_privateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_privateKeyUsagePeriod = univ.ObjectIdentifier('2.5.29.16')$/;" v +id_ce_privateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_privateKeyUsagePeriod = _OID(id_ce, 16)$/;" v +id_ce_privateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_privateKeyUsagePeriod = _buildOid(id_ce, 16)$/;" v +id_ce_subjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_subjectAltName = univ.ObjectIdentifier('2.5.29.17')$/;" v +id_ce_subjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_subjectAltName = _OID(id_ce, 17)$/;" v +id_ce_subjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_subjectAltName = _buildOid(id_ce, 17)$/;" v +id_ce_subjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_subjectDirectoryAttributes = univ.ObjectIdentifier('2.5.29.9')$/;" v +id_ce_subjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_subjectDirectoryAttributes = _OID(id_ce, 9)$/;" v +id_ce_subjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_subjectDirectoryAttributes = _buildOid(id_ce, 9)$/;" v +id_ce_subjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_ce_subjectKeyIdentifier = univ.ObjectIdentifier('2.5.29.14')$/;" v +id_ce_subjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_ce_subjectKeyIdentifier = _OID(id_ce, 14)$/;" v +id_ce_subjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_ce_subjectKeyIdentifier = _buildOid(id_ce, 14)$/;" v +id_ce_targetInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_ce_targetInformation = _buildOid(rfc3280.id_ce, 55)$/;" v +id_ce_targetInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_ce_targetInformation = id_ce + (55, )$/;" v +id_characteristic_two_basis adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_characteristic_two_basis = _OID(characteristic_two_field, 3)$/;" v +id_clearanceSponsor adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^id_clearanceSponsor = univ.ObjectIdentifier((2, 16, 840, 1, 101, 2, 1, 5, 68))$/;" v +id_cmc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc = _buildOid(id_pkix, 7)$/;" v +id_cmc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc = _buildOid(id_pkix, 7)$/;" v +id_cmc_addExtensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_addExtensions = _buildOid(id_cmc, 8)$/;" v +id_cmc_addExtensions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_addExtensions = _buildOid(id_cmc, 8)$/;" v +id_cmc_authData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_authData = _buildOid(id_cmc, 27)$/;" v +id_cmc_authData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_authData = _buildOid(id_cmc, 27)$/;" v +id_cmc_batchRequests adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_batchRequests = _buildOid(id_cmc, 28)$/;" v +id_cmc_batchRequests adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_batchRequests = _buildOid(id_cmc, 28)$/;" v +id_cmc_batchResponses adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_batchResponses = _buildOid(id_cmc, 29)$/;" v +id_cmc_batchResponses adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_batchResponses = _buildOid(id_cmc, 29)$/;" v +id_cmc_changeSubjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_changeSubjectName = _buildOid(id_cmc, 36)$/;" v +id_cmc_changeSubjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_changeSubjectName = _buildOid(id_cmc, 36)$/;" v +id_cmc_confirmCertAcceptance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_confirmCertAcceptance = _buildOid(id_cmc, 24)$/;" v +id_cmc_confirmCertAcceptance adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_confirmCertAcceptance = _buildOid(id_cmc, 24)$/;" v +id_cmc_controlProcessed adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_controlProcessed = _buildOid(id_cmc, 32)$/;" v +id_cmc_controlProcessed adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_controlProcessed = _buildOid(id_cmc, 32)$/;" v +id_cmc_dataReturn adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_dataReturn = _buildOid(id_cmc, 4)$/;" v +id_cmc_dataReturn adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_dataReturn = _buildOid(id_cmc, 4)$/;" v +id_cmc_decryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_decryptedPOP = _buildOid(id_cmc, 10)$/;" v +id_cmc_decryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_decryptedPOP = _buildOid(id_cmc, 10)$/;" v +id_cmc_encryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_encryptedPOP = _buildOid(id_cmc, 9)$/;" v +id_cmc_encryptedPOP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_encryptedPOP = _buildOid(id_cmc, 9)$/;" v +id_cmc_getCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_getCert = _buildOid(id_cmc, 15)$/;" v +id_cmc_getCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_getCert = _buildOid(id_cmc, 15)$/;" v +id_cmc_getCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_getCRL = _buildOid(id_cmc, 16)$/;" v +id_cmc_getCRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_getCRL = _buildOid(id_cmc, 16)$/;" v +id_cmc_identification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_identification = _buildOid(id_cmc, 2)$/;" v +id_cmc_identification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_identification = _buildOid(id_cmc, 2)$/;" v +id_cmc_identityProof adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_identityProof = _buildOid(id_cmc, 3)$/;" v +id_cmc_identityProof adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_identityProof = _buildOid(id_cmc, 3)$/;" v +id_cmc_identityProofV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_identityProofV2 = _buildOid(id_cmc, 34)$/;" v +id_cmc_identityProofV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_identityProofV2 = _buildOid(id_cmc, 34)$/;" v +id_cmc_lraPOPWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_lraPOPWitness = _buildOid(id_cmc, 11)$/;" v +id_cmc_lraPOPWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_lraPOPWitness = _buildOid(id_cmc, 11)$/;" v +id_cmc_modCertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_modCertTemplate = _buildOid(id_cmc, 31)$/;" v +id_cmc_modCertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_modCertTemplate = _buildOid(id_cmc, 31)$/;" v +id_cmc_popLinkRandom adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_popLinkRandom = _buildOid(id_cmc, 22)$/;" v +id_cmc_popLinkRandom adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_popLinkRandom = _buildOid(id_cmc, 22)$/;" v +id_cmc_popLinkWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_popLinkWitness = _buildOid(id_cmc, 23)$/;" v +id_cmc_popLinkWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_popLinkWitness = _buildOid(id_cmc, 23)$/;" v +id_cmc_popLinkWitnessV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_popLinkWitnessV2 = _buildOid(id_cmc, 33)$/;" v +id_cmc_popLinkWitnessV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_popLinkWitnessV2 = _buildOid(id_cmc, 33)$/;" v +id_cmc_publishCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_publishCert = _buildOid(id_cmc, 30)$/;" v +id_cmc_publishCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_publishCert = _buildOid(id_cmc, 30)$/;" v +id_cmc_queryPending adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_queryPending = _buildOid(id_cmc, 21)$/;" v +id_cmc_queryPending adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_queryPending = _buildOid(id_cmc, 21)$/;" v +id_cmc_raIdentityWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_raIdentityWitness = _buildOid(id_cmc, 35)$/;" v +id_cmc_raIdentityWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_raIdentityWitness = _buildOid(id_cmc, 35)$/;" v +id_cmc_recipientNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_recipientNonce = _buildOid(id_cmc, 7)$/;" v +id_cmc_recipientNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_recipientNonce = _buildOid(id_cmc, 7)$/;" v +id_cmc_regInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_regInfo = _buildOid(id_cmc, 18)$/;" v +id_cmc_regInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_regInfo = _buildOid(id_cmc, 18)$/;" v +id_cmc_responseBody adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_responseBody = _buildOid(id_cmc, 37)$/;" v +id_cmc_responseBody adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_responseBody = _buildOid(id_cmc, 37)$/;" v +id_cmc_responseInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_responseInfo = _buildOid(id_cmc, 19)$/;" v +id_cmc_responseInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_responseInfo = _buildOid(id_cmc, 19)$/;" v +id_cmc_revokeRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_revokeRequest = _buildOid(id_cmc, 17)$/;" v +id_cmc_revokeRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_revokeRequest = _buildOid(id_cmc, 17)$/;" v +id_cmc_senderNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_senderNonce = _buildOid(id_cmc, 6)$/;" v +id_cmc_senderNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_senderNonce = _buildOid(id_cmc, 6)$/;" v +id_cmc_statusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_statusInfo = _buildOid(id_cmc, 1)$/;" v +id_cmc_statusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_statusInfo = _buildOid(id_cmc, 1)$/;" v +id_cmc_statusInfoV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_statusInfoV2 = _buildOid(id_cmc, 25)$/;" v +id_cmc_statusInfoV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_statusInfoV2 = _buildOid(id_cmc, 25)$/;" v +id_cmc_transactionId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_transactionId = _buildOid(id_cmc, 5)$/;" v +id_cmc_transactionId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_transactionId = _buildOid(id_cmc, 5)$/;" v +id_cmc_trustedAnchors adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_cmc_trustedAnchors = _buildOid(id_cmc, 26)$/;" v +id_cmc_trustedAnchors adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_cmc_trustedAnchors = _buildOid(id_cmc, 26)$/;" v +id_contentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_contentType = _buildOid(1, 2, 840, 113549, 1, 9, 3)$/;" v +id_contentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_contentType = _buildOid(1, 2, 840, 113549, 1, 9, 3)$/;" v +id_contentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_contentType = rfc5652.id_contentType$/;" v +id_countersignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_countersignature = _buildOid(1, 2, 840, 113549, 1, 9, 6)$/;" v +id_countersignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_countersignature = _buildOid(1, 2, 840, 113549, 1, 9, 6)$/;" v +id_CRLBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_CRLBag = _OID(bagtypes, 4)$/;" v +id_ct adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_ct = _buildOid(id_smime, 1)$/;" v +id_ct adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^id_ct = id_smime + (1, )$/;" v +id_ct adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1')$/;" v +id_ct_anyContentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^id_ct_anyContentType = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.0')$/;" v +id_ct_asciiTextWithCRLF adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_asciiTextWithCRLF = id_ct + (27, )$/;" v +id_ct_authData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_ct_authData = _buildOid(1, 2, 840, 113549, 1, 9, 16, 1, 2)$/;" v +id_ct_authData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_ct_authData = _buildOid(1, 2, 840, 113549, 1, 9, 16, 1, 2)$/;" v +id_ct_authEnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5083.py /^id_ct_authEnvelopedData = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.23')$/;" v +id_ct_compressedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^id_ct_compressedData = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.9')$/;" v +id_ct_contentCollection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^id_ct_contentCollection = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.19')$/;" v +id_ct_contentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_ct_contentInfo = _buildOid(1, 2, 840, 113549, 1, 9, 16, 1, 6)$/;" v +id_ct_contentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_ct_contentInfo = _buildOid(1, 2, 840, 113549, 1, 9, 16, 1, 6)$/;" v +id_ct_contentWithAttrs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^id_ct_contentWithAttrs = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.20')$/;" v +id_ct_encKeyWithID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_ct_encKeyWithID = _buildOid(id_ct, 21)$/;" v +id_ct_epub adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_epub = id_ct + (39, )$/;" v +id_ct_firmwareLoadError adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_ct_firmwareLoadError = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.18')$/;" v +id_ct_firmwareLoadReceipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_ct_firmwareLoadReceipt = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.17')$/;" v +id_ct_firmwarePackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_ct_firmwarePackage = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.16')$/;" v +id_ct_htmlWithCRLF adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_htmlWithCRLF = id_ct + (38, )$/;" v +id_ct_KP_aKeyPackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^id_ct_KP_aKeyPackage = univ.ObjectIdentifier('2.16.840.1.101.2.1.2.78.5')$/;" v +id_ct_KP_encryptedKeyPkg adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^id_ct_KP_encryptedKeyPkg = univ.ObjectIdentifier('2.16.840.1.101.2.1.2.78.2')$/;" v +id_ct_KP_keyPackageError adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^id_ct_KP_keyPackageError = univ.ObjectIdentifier('2.16.840.1.101.2.1.2.78.6')$/;" v +id_ct_KP_keyPackageReceipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^id_ct_KP_keyPackageReceipt = univ.ObjectIdentifier('2.16.840.1.101.2.1.2.78.3')$/;" v +id_ct_KP_sKeyPackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_ct_KP_sKeyPackage = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.25')$/;" v +id_ct_mudtype adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^id_ct_mudtype = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.41')$/;" v +id_ct_pdf adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_pdf = id_ct + (29, )$/;" v +id_ct_postscript adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_postscript = id_ct + (30, )$/;" v +id_ct_receipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^id_ct_receipt = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.1')$/;" v +id_ct_receipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^id_ct_receipt = rfc2634.id_ct_receipt$/;" v +id_ct_routeOriginAuthz adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^id_ct_routeOriginAuthz = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.24')$/;" v +id_ct_rpkiManifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^id_ct_rpkiManifest = id_ct + (26, )$/;" v +id_ct_TAMP_apexUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_apexUpdate = _OID(id_tamp, 5)$/;" v +id_ct_TAMP_apexUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_apexUpdateConfirm = _OID(id_tamp, 6)$/;" v +id_ct_TAMP_communityUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_communityUpdate = _OID(id_tamp, 7)$/;" v +id_ct_TAMP_communityUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_communityUpdateConfirm = _OID(id_tamp, 8)$/;" v +id_ct_TAMP_error adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_error = _OID(id_tamp, 9)$/;" v +id_ct_TAMP_seqNumAdjust adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_seqNumAdjust = _OID(id_tamp, 10)$/;" v +id_ct_TAMP_seqNumAdjustConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_seqNumAdjustConfirm = _OID(id_tamp, 11)$/;" v +id_ct_TAMP_statusQuery adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_statusQuery = _OID(id_tamp, 1)$/;" v +id_ct_TAMP_statusResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_statusResponse = _OID(id_tamp, 2)$/;" v +id_ct_TAMP_update adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_update = _OID(id_tamp, 3)$/;" v +id_ct_TAMP_updateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_ct_TAMP_updateConfirm = _OID(id_tamp, 4)$/;" v +id_ct_trustAnchorList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^id_ct_trustAnchorList = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.34')$/;" v +id_ct_TSTInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^id_ct_TSTInfo = univ.ObjectIdentifier('1.2.840.113549.1.9.16.1.4')$/;" v +id_ct_utf8TextWithCRLF adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_utf8TextWithCRLF = id_ct + (37, )$/;" v +id_ct_xml adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^id_ct_xml = id_ct + (28, )$/;" v +id_data adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_data = _buildOid(1, 2, 840, 113549, 1, 7, 1)$/;" v +id_data adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_data = _buildOid(1, 2, 840, 113549, 1, 7, 1)$/;" v +id_deviceOwner adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5916.py /^id_deviceOwner = univ.ObjectIdentifier((2, 16, 840, 1, 101, 2, 1, 5, 69))$/;" v +id_DHBasedMac adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^id_DHBasedMac = univ.ObjectIdentifier('1.2.840.113533.7.66.30')$/;" v +id_dhPop_static_sha1_hmac_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_dhPop_static_sha1_hmac_sha1 = univ.ObjectIdentifier(id_dh_sig_hmac_sha1)$/;" v +id_dh_sig_hmac_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_dh_sig_hmac_sha1 = id_pkix + (6, 3, )$/;" v +id_digestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_digestedData = _buildOid(1, 2, 840, 113549, 1, 7, 5)$/;" v +id_digestedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_digestedData = _buildOid(1, 2, 840, 113549, 1, 7, 5)$/;" v +id_dn adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^id_dn = univ.ObjectIdentifier('2.16.840.1.101.2.1.16.0')$/;" v +id_domainComponent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_domainComponent = _OID(0, 9, 2342, 19200300, 100, 1, 25)$/;" v +id_domainComponent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_domainComponent = _buildOid(0, 9, 2342, 19200300, 100, 1, 25)$/;" v +id_dsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_dsa = univ.ObjectIdentifier('1.2.840.10040.4.1')$/;" v +id_dsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_dsa = _OID(1, 2, 840, 10040, 4, 1)$/;" v +id_dsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_dsa = univ.ObjectIdentifier('1.2.840.10040.4.1')$/;" v +id_dsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_dsa = rfc3279.id_dsa$/;" v +id_dsa_with_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_dsa_with_sha1 = univ.ObjectIdentifier('1.2.840.10040.4.3')$/;" v +id_dsa_with_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_dsa_with_sha1 = _OID(1, 2, 840, 10040, 4, 3)$/;" v +id_dsa_with_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_dsa_with_sha1 = univ.ObjectIdentifier('1.2.840.10040.4.3')$/;" v +id_dsa_with_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_dsa_with_sha224 = univ.ObjectIdentifier('2.16.840.1.101.3.4.3.1')$/;" v +id_dsa_with_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_dsa_with_sha256 = univ.ObjectIdentifier('2.16.840.1.101.3.4.3.2')$/;" v +id_ecDH adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_ecDH = univ.ObjectIdentifier('1.3.132.1.12')$/;" v +id_ecDH adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_ecDH = rfc5480.id_ecDH$/;" v +id_ecMQV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_ecMQV = univ.ObjectIdentifier('1.3.132.1.13')$/;" v +id_ecMQV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_ecMQV = rfc5480.id_ecMQV$/;" v +id_ecPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_ecPublicKey = _OID(id_publicKeyType, 1)$/;" v +id_ecPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_ecPublicKey = univ.ObjectIdentifier('1.2.840.10045.2.1')$/;" v +id_ecPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_ecPublicKey = rfc3279.id_ecPublicKey$/;" v +id_ecSigType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_ecSigType = _OID(ansi_X9_62, 4)$/;" v +id_Ed25519 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_Ed25519 = univ.ObjectIdentifier('1.3.101.112')$/;" v +id_Ed25519 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^id_Ed25519 = univ.ObjectIdentifier('1.3.101.112')$/;" v +id_Ed448 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_Ed448 = univ.ObjectIdentifier('1.3.101.113')$/;" v +id_Ed448 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^id_Ed448 = univ.ObjectIdentifier('1.3.101.113')$/;" v +id_eleg_ce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^id_eleg_ce = e_legnamnden + (5, )$/;" v +id_emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_emailAddress = _OID(pkcs_9, 1)$/;" v +id_emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_emailAddress = _buildOid(pkcs_9, 1)$/;" v +id_encryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_encryptedData = _buildOid(1, 2, 840, 113549, 1, 7, 6)$/;" v +id_encryptedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_encryptedData = _buildOid(1, 2, 840, 113549, 1, 7, 6)$/;" v +id_enumeratedPermissiveAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_enumeratedPermissiveAttributes = univ.ObjectIdentifier('2.16.840.1.101.2.1.8.3.1')$/;" v +id_enumeratedRestrictiveAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_enumeratedRestrictiveAttributes = univ.ObjectIdentifier('2.16.840.1.101.2.1.8.3.4')$/;" v +id_envelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_envelopedData = _buildOid(1, 2, 840, 113549, 1, 7, 3)$/;" v +id_envelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_envelopedData = _buildOid(1, 2, 840, 113549, 1, 7, 3)$/;" v +id_errorCodes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_errorCodes = univ.ObjectIdentifier('2.16.840.1.101.2.1.22')$/;" v +id_ExtensionReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_ExtensionReq = _buildOid(1, 2, 840, 113549, 1, 9, 14)$/;" v +id_ExtensionReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_ExtensionReq = _buildOid(1, 2, 840, 113549, 1, 9, 14)$/;" v +id_fieldType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_fieldType = _OID(ansi_X9_62, 1)$/;" v +id_hmacWithSHA1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA1 = _OID(digestAlgorithm, 7)$/;" v +id_hmacWithSHA224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA224 = _OID(digestAlgorithm, 8)$/;" v +id_hmacWithSHA256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA256 = _OID(digestAlgorithm, 9)$/;" v +id_hmacWithSHA384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA384 = _OID(digestAlgorithm, 10)$/;" v +id_hmacWithSHA512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA512 = _OID(digestAlgorithm, 11)$/;" v +id_hmacWithSHA512_224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA512_224 = _OID(digestAlgorithm, 12)$/;" v +id_hmacWithSHA512_256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_hmacWithSHA512_256 = _OID(digestAlgorithm, 13)$/;" v +id_holdinstruction_callissuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_holdinstruction_callissuer = univ.ObjectIdentifier('2.2.840.10040.2.2')$/;" v +id_holdinstruction_callissuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_holdinstruction_callissuer = _OID(holdInstruction, 2)$/;" v +id_holdinstruction_callissuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_holdinstruction_callissuer = _buildOid(holdInstruction, 2)$/;" v +id_holdinstruction_none adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_holdinstruction_none = univ.ObjectIdentifier('2.2.840.10040.2.1')$/;" v +id_holdinstruction_none adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_holdinstruction_none = _OID(holdInstruction, 1)$/;" v +id_holdinstruction_none adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_holdinstruction_none = _buildOid(holdInstruction, 1)$/;" v +id_holdinstruction_reject adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_holdinstruction_reject = univ.ObjectIdentifier('2.2.840.10040.2.3')$/;" v +id_holdinstruction_reject adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_holdinstruction_reject = _OID(holdInstruction, 3)$/;" v +id_holdinstruction_reject adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_holdinstruction_reject = _buildOid(holdInstruction, 3)$/;" v +id_informativeAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_informativeAttributes = univ.ObjectIdentifier('2.16.840.1.101.2.1.8.3.3')$/;" v +id_in_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def id_in_cluster(cluster_spec, task_type, task_id):$/;" f +id_kdf_kdf2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_kdf_kdf2 = _OID(x9_44_components, 1)$/;" v +id_kdf_kdf3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_kdf_kdf3 = _OID(x9_44_components, 2)$/;" v +id_kem_rsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_kem_rsa = _OID(is18033_2, 2, 4)$/;" v +id_keyBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_keyBag = _OID(bagtypes, 1)$/;" v +id_keyExchangeAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_keyExchangeAlgorithm = _OID(2, 16, 840, 1, 101, 2, 1, 1, 22)$/;" v +id_keyExchangeAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_keyExchangeAlgorithm = univ.ObjectIdentifier('2.16.840.1.101.2.1.1.22')$/;" v +id_kma_keyAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyAlgorithm = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.1')$/;" v +id_kma_keyDistPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyDistPeriod = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.5')$/;" v +id_kma_keyDuration adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyDuration = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.7')$/;" v +id_kma_keyPkgReceiversV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyPkgReceiversV2 = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.16')$/;" v +id_kma_keyPkgType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyPkgType = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.12')$/;" v +id_kma_keyPurpose adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyPurpose = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.13')$/;" v +id_kma_keyUse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyUse = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.14')$/;" v +id_kma_keyValidityPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyValidityPeriod = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.6')$/;" v +id_kma_keyWrapAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_keyWrapAlgorithm = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.21')$/;" v +id_kma_otherCertFormats adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_otherCertFormats = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.19')$/;" v +id_kma_sigUsageV3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_sigUsageV3 = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.22')$/;" v +id_kma_splitID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_splitID = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.11')$/;" v +id_kma_transportKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_transportKey = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.15')$/;" v +id_kma_TSECNomenclature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_TSECNomenclature = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.3')$/;" v +id_kma_usefulCerts adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_kma_usefulCerts = univ.ObjectIdentifier('2.16.840.1.101.2.1.13.20')$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp = univ.ObjectIdentifier('1.3.6.1.5.5.7.3')$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp = _OID(id_pkix, 3)$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_kp = univ.ObjectIdentifier('1.3.6.1.5.5.7.3')$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp = _buildOid(id_pkix, 3)$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_kp = id_pkix + (3, )$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5924.py /^id_kp = univ.ObjectIdentifier('1.3.6.1.5.5.7.3')$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6187.py /^id_kp = id_pkix + (3, )$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_kp = rfc5280.id_kp$/;" v +id_kp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8209.py /^id_kp = univ.ObjectIdentifier('1.3.6.1.5.5.7.3')$/;" v +id_kp_bgpsec_router adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8209.py /^id_kp_bgpsec_router = id_kp + (30, )$/;" v +id_kp_clientAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_clientAuth = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.2')$/;" v +id_kp_clientAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_clientAuth = _OID(id_kp, 2)$/;" v +id_kp_clientAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_clientAuth = _buildOid(id_kp, 2)$/;" v +id_kp_cmcArchive adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_kp_cmcArchive = _buildOid(rfc5280.id_kp, 28)$/;" v +id_kp_cmcArchive adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_kp_cmcArchive = _buildOid(rfc5280.id_kp, 28)$/;" v +id_kp_cmcCA adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_kp_cmcCA = _buildOid(rfc5280.id_kp, 27)$/;" v +id_kp_cmcCA adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_kp_cmcCA = _buildOid(rfc5280.id_kp, 27)$/;" v +id_kp_cmcRA adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_kp_cmcRA = _buildOid(rfc5280.id_kp, 28)$/;" v +id_kp_cmcRA adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_kp_cmcRA = _buildOid(rfc5280.id_kp, 28)$/;" v +id_kp_codeSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_codeSigning = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.3')$/;" v +id_kp_codeSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_codeSigning = _OID(id_kp, 3)$/;" v +id_kp_codeSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_codeSigning = _buildOid(id_kp, 3)$/;" v +id_kp_eapOverLAN adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^id_kp_eapOverLAN = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.14')$/;" v +id_kp_eapOverLAN adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_kp_eapOverLAN = id_kp + (14, )$/;" v +id_kp_eapOverPPP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^id_kp_eapOverPPP = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.13')$/;" v +id_kp_eapOverPPP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_kp_eapOverPPP = id_kp + (13, )$/;" v +id_kp_emailProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_emailProtection = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.4')$/;" v +id_kp_emailProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_emailProtection = _OID(id_kp, 4)$/;" v +id_kp_emailProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_emailProtection = _buildOid(id_kp, 4)$/;" v +id_kp_ipsecEndSystem adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_ipsecEndSystem = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.5')$/;" v +id_kp_ipsecTunnel adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_ipsecTunnel = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.6')$/;" v +id_kp_ipsecUser adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_ipsecUser = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.7')$/;" v +id_kp_OCSPSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_kp_OCSPSigning = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 3, 9))$/;" v +id_kp_OCSPSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_OCSPSigning = _OID(id_kp, 9)$/;" v +id_kp_OCSPSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_OCSPSigning = _buildOid(id_kp, 9)$/;" v +id_kp_OCSPSigning adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_kp_OCSPSigning = rfc2560.id_kp_OCSPSigning$/;" v +id_kp_secureShellClient adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6187.py /^id_kp_secureShellClient = id_kp + (21, )$/;" v +id_kp_secureShellServer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6187.py /^id_kp_secureShellServer = id_kp + (22, )$/;" v +id_kp_serverAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_serverAuth = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.1')$/;" v +id_kp_serverAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_serverAuth = _OID(id_kp, 1)$/;" v +id_kp_serverAuth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_serverAuth = _buildOid(id_kp, 1)$/;" v +id_kp_sipDomain adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5924.py /^id_kp_sipDomain = id_kp + (20, )$/;" v +id_kp_timeStamping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_kp_timeStamping = univ.ObjectIdentifier('1.3.6.1.5.5.7.3.8')$/;" v +id_kp_timeStamping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_kp_timeStamping = _OID(id_kp, 8)$/;" v +id_kp_timeStamping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_kp_timeStamping = _buildOid(id_kp, 8)$/;" v +id_list_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^def id_list_batch(draw):$/;" f +id_list_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^def id_list_batch(draw):$/;" f +id_logo_background adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^id_logo_background = univ.ObjectIdentifier('1.3.6.1.5.5.7.20.2')$/;" v +id_logo_certImage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^id_logo_certImage = rfc6170.id_logo_certImage$/;" v +id_logo_certImage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6170.py /^id_logo_certImage = univ.ObjectIdentifier('1.3.6.1.5.5.7.20.3')$/;" v +id_logo_loyalty adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^id_logo_loyalty = univ.ObjectIdentifier('1.3.6.1.5.5.7.20.1')$/;" v +id_md2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_md2 = univ.ObjectIdentifier('1.2.840.113549.2.2')$/;" v +id_md2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_md2 = univ.ObjectIdentifier('1.2.840.113549.2.2')$/;" v +id_md5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_md5 = univ.ObjectIdentifier('1.2.840.113549.2.5')$/;" v +id_md5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_md5 = univ.ObjectIdentifier('1.2.840.113549.2.5')$/;" v +id_messageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_messageDigest = _buildOid(1, 2, 840, 113549, 1, 9, 4)$/;" v +id_messageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_messageDigest = _buildOid(1, 2, 840, 113549, 1, 9, 4)$/;" v +id_messageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_messageDigest = rfc5652.id_messageDigest$/;" v +id_mgf1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^id_mgf1 = univ.ObjectIdentifier('1.2.840.113549.1.1.8')$/;" v +id_mgf1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_mgf1 = rfc4055.id_mgf1$/;" v +id_mgf1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_mgf1 = _OID(1, 2, 840, 113549, 1, 1, 8)$/;" v +id_mgf1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_mgf1 = rfc4055.id_mgf1$/;" v +id_mgf1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_mgf1 = rfc2437.id_mgf1$/;" v +id_missingKeyType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_missingKeyType = univ.ObjectIdentifier('2.16.840.1.101.2.1.22.1')$/;" v +id_mmhs_CDT adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8494.py /^id_mmhs_CDT = univ.ObjectIdentifier('1.3.26.0.4406.0.4.2')$/;" v +id_mod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_mod = _buildOid(rfc3280.id_pkix, 0)$/;" v +id_mod_attribute_cert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_mod_attribute_cert = _buildOid(id_mod, 12)$/;" v +id_on adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^id_on = id_pkix + (8, )$/;" v +id_on adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^id_on = id_pkix + (8, )$/;" v +id_on adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^id_on = id_pkix + (8, )$/;" v +id_on adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^id_on = id_pkix + (8, )$/;" v +id_on adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^id_on = id_pkix + (8, )$/;" v +id_on_dnsSRV adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^id_on_dnsSRV = id_on + (7, )$/;" v +id_on_hardwareModuleName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^id_on_hardwareModuleName = univ.ObjectIdentifier('1.3.6.1.5.5.7.8.4')$/;" v +id_on_naiRealm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^id_on_naiRealm = id_on + (8, )$/;" v +id_on_permanentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^id_on_permanentIdentifier = id_on + (3, )$/;" v +id_on_SmtpUTF8Mailbox adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^id_on_SmtpUTF8Mailbox = id_on + (9, )$/;" v +id_on_xmppAddr adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^id_on_xmppAddr = id_on + (5, )$/;" v +id_p adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^id_p = core.Primitive("id")$/;" v +id_PasswordBasedMac adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^id_PasswordBasedMac = univ.ObjectIdentifier('1.2.840.113533.7.66.13')$/;" v +id_PBES2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_PBES2 = _OID(pkcs_5, 13)$/;" v +id_PBKDF2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_PBKDF2 = _OID(pkcs_5, 12)$/;" v +id_PBMAC1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^id_PBMAC1 = _OID(pkcs_5, 14)$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_pe = univ.ObjectIdentifier('1.3.6.1.5.5.7.1')$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_pe = _OID(id_pkix, 1)$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_pe = univ.ObjectIdentifier('1.3.6.1.5.5.7.1')$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_pe = _buildOid(id_pkix, 1)$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_pe = id_pkix + (1, )$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7633.py /^id_pe = univ.ObjectIdentifier('1.3.6.1.5.5.7.1')$/;" v +id_pe adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_pe = rfc5280.id_pe$/;" v +id_pe_aaControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_pe_aaControls = _buildOid(rfc3280.id_pe, 6)$/;" v +id_pe_aaControls adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_pe_aaControls = id_pe + (6, )$/;" v +id_pe_ac_auditIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_pe_ac_auditIdentity = _buildOid(rfc3280.id_pe, 4)$/;" v +id_pe_ac_auditIdentity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_pe_ac_auditIdentity = id_pe + (4, )$/;" v +id_pe_ac_proxying adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^id_pe_ac_proxying = _buildOid(rfc3280.id_pe, 10)$/;" v +id_pe_ac_proxying adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_pe_ac_proxying = id_pe + (10, )$/;" v +id_pe_authorityClearanceConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^id_pe_authorityClearanceConstraints = id_pe_clearanceConstraints$/;" v +id_pe_authorityInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_pe_authorityInfoAccess = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.1')$/;" v +id_pe_authorityInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_pe_authorityInfoAccess = _OID(id_pe, 1)$/;" v +id_pe_authorityInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_pe_authorityInfoAccess = _buildOid(id_pe, 1)$/;" v +id_pe_autonomousSysIds adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^id_pe_autonomousSysIds = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.8')$/;" v +id_pe_autonomousSysIds_v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8360.py /^id_pe_autonomousSysIds_v2 = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.29')$/;" v +id_pe_clearanceConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^id_pe_clearanceConstraints = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.21')$/;" v +id_pe_cmsContentConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^id_pe_cmsContentConstraints = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.18')$/;" v +id_pe_ipAddrBlocks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^id_pe_ipAddrBlocks = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.7')$/;" v +id_pe_ipAddrBlocks_v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8360.py /^id_pe_ipAddrBlocks_v2 = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.28')$/;" v +id_pe_JWTClaimConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^id_pe_JWTClaimConstraints = _OID(1, 3, 6, 1, 5, 5, 7, 1, 27)$/;" v +id_pe_logotype adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^id_pe_logotype = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.12')$/;" v +id_pe_mudsigner adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^id_pe_mudsigner = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.30')$/;" v +id_pe_mud_url adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^id_pe_mud_url = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.25')$/;" v +id_pe_subjectInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_pe_subjectInfoAccess = _OID(id_pe, 11)$/;" v +id_pe_subjectInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_pe_subjectInfoAccess = _buildOid(id_pe, 11)$/;" v +id_pe_subjectInfoAccess adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_pe_subjectInfoAccess = rfc5280.id_pe_subjectInfoAccess$/;" v +id_pe_tlsfeature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7633.py /^id_pe_tlsfeature = id_pe + (24, )$/;" v +id_pe_TNAuthList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^id_pe_TNAuthList = _OID(1, 3, 6, 1, 5, 5, 7, 1, 26)$/;" v +id_pe_wlanSSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^id_pe_wlanSSID = univ.ObjectIdentifier('1.3.6.1.5.5.7.1.13')$/;" v +id_pe_wlanSSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^id_pe_wlanSSID = id_pe + (13, )$/;" v +id_pe_wrappedApexContinKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_pe_wrappedApexContinKey =univ.ObjectIdentifier('1.3.6.1.5.5.7.1.20')$/;" v +id_pkcs8ShroudedKeyBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_pkcs8ShroudedKeyBag = _OID(bagtypes, 2)$/;" v +id_pkip adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_pkip = univ.ObjectIdentifier('1.3.6.1.5.5.7.5')$/;" v +id_pkip adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_pkip = _buildOid(id_pkix, 5)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_pkix = _OID(1, 3, 6, 1, 5, 5, 7)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^id_pkix = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, ))$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_pkix = _buildOid(1, 3, 6, 1, 5, 5, 7)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^id_pkix = rfc5280.id_pkix$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_pkix = _buildOid(1, 3, 6, 1, 5, 5, 7)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^id_pkix = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, ))$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^id_pkix = rfc5280.id_pkix$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6187.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^id_pkix = _buildOid(1, 3, 6, 1, 5, 5, 7)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^id_pkix = _buildOid(1, 3, 6, 1, 5, 5, 7)$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6487.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^id_pkix = rfc5280.id_pkix$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^id_pkix = univ.ObjectIdentifier('1.3.6.1.5.5.7')$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_pkix = rfc5280.id_pkix$/;" v +id_pkix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^id_pkix = rfc5280.id_pkix$/;" v +id_pkix_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1))$/;" v +id_pkix_ocsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp = rfc2560.id_pkix_ocsp$/;" v +id_pkix_ocsp_archive_cutoff adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_archive_cutoff = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 6))$/;" v +id_pkix_ocsp_archive_cutoff adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_archive_cutoff = rfc2560.id_pkix_ocsp_archive_cutoff$/;" v +id_pkix_ocsp_basic adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_basic = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 1))$/;" v +id_pkix_ocsp_basic adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_basic = rfc2560.id_pkix_ocsp_basic$/;" v +id_pkix_ocsp_crl adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_crl = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 3))$/;" v +id_pkix_ocsp_crl adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_crl = rfc2560.id_pkix_ocsp_crl$/;" v +id_pkix_ocsp_extended_revoke adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_extended_revoke = id_pkix_ocsp + (9, )$/;" v +id_pkix_ocsp_nocheck adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_nocheck = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 5))$/;" v +id_pkix_ocsp_nocheck adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_nocheck = rfc2560.id_pkix_ocsp_nocheck$/;" v +id_pkix_ocsp_nonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_nonce = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 2))$/;" v +id_pkix_ocsp_nonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_nonce = rfc2560.id_pkix_ocsp_nonce$/;" v +id_pkix_ocsp_pref_sig_algs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_pref_sig_algs = id_pkix_ocsp + (8, )$/;" v +id_pkix_ocsp_response adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_response = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 4))$/;" v +id_pkix_ocsp_response adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_response = rfc2560.id_pkix_ocsp_response$/;" v +id_pkix_ocsp_service_locator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^id_pkix_ocsp_service_locator = univ.ObjectIdentifier((1, 3, 6, 1, 5, 5, 7, 48, 1, 7))$/;" v +id_pkix_ocsp_service_locator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^id_pkix_ocsp_service_locator = rfc2560.id_pkix_ocsp_service_locator$/;" v +id_print adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def id_print(arg, *, result=None, tap_with_device=False,$/;" f +id_privacyMarkTooLong adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_privacyMarkTooLong = univ.ObjectIdentifier('2.16.840.1.101.2.1.22.2')$/;" v +id_pskc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc = univ.ObjectIdentifier('1.2.840.113549.1.9.16.12')$/;" v +id_pskc_algorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_algorithm = _OID(id_pskc, 10)$/;" v +id_pskc_algorithmParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_algorithmParameters = _OID(id_pskc, 15)$/;" v +id_pskc_counter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_counter = _OID(id_pskc, 16)$/;" v +id_pskc_deviceBinding adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_deviceBinding = _OID(id_pskc, 5)$/;" v +id_pskc_deviceExpiryDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_deviceExpiryDate = _OID(id_pskc, 7)$/;" v +id_pskc_deviceStartDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_deviceStartDate = _OID(id_pskc, 6)$/;" v +id_pskc_deviceUserId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_deviceUserId = _OID(id_pskc, 26)$/;" v +id_pskc_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_friendlyName = _OID(id_pskc, 14)$/;" v +id_pskc_issueNo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_issueNo = _OID(id_pskc, 4)$/;" v +id_pskc_issuer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_issuer = _OID(id_pskc, 11)$/;" v +id_pskc_keyExpiryDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyExpiryDate = _OID(id_pskc, 22)$/;" v +id_pskc_keyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyId = _OID(id_pskc, 9)$/;" v +id_pskc_keyProfileId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyProfileId = _OID(id_pskc, 12)$/;" v +id_pskc_keyReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyReference = _OID(id_pskc, 13)$/;" v +id_pskc_keyStartDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyStartDate = _OID(id_pskc, 21)$/;" v +id_pskc_keyUsages adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyUsages = _OID(id_pskc, 24)$/;" v +id_pskc_keyUserId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_keyUserId = _OID(id_pskc, 27)$/;" v +id_pskc_manufacturer adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_manufacturer = _OID(id_pskc, 1)$/;" v +id_pskc_model adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_model = _OID(id_pskc, 3)$/;" v +id_pskc_moduleId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_moduleId = _OID(id_pskc, 8)$/;" v +id_pskc_numberOfTransactions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_numberOfTransactions = _OID(id_pskc, 23)$/;" v +id_pskc_pinPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_pinPolicy = _OID(id_pskc, 25)$/;" v +id_pskc_serialNo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_serialNo = _OID(id_pskc, 2)$/;" v +id_pskc_time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_time = _OID(id_pskc, 17)$/;" v +id_pskc_timeDrift adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_timeDrift = _OID(id_pskc, 19)$/;" v +id_pskc_timeInterval adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_timeInterval = _OID(id_pskc, 18)$/;" v +id_pskc_valueMAC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^id_pskc_valueMAC = _OID(id_pskc, 20)$/;" v +id_pSpecified adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^id_pSpecified = univ.ObjectIdentifier('1.2.840.113549.1.1.9')$/;" v +id_pSpecified adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_pSpecified = rfc4055.id_pSpecified$/;" v +id_pSpecified adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_pSpecified = _OID(1, 2, 840, 113549, 1, 1, 9)$/;" v +id_pSpecified adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_pSpecified = rfc2437.id_pSpecified$/;" v +id_publicKeyType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_publicKeyType = _OID(ansi_X9_62, 2)$/;" v +id_qt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_qt = univ.ObjectIdentifier('1.3.6.1.5.5.7.2')$/;" v +id_qt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_qt = _OID(id_pkix, 2)$/;" v +id_qt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_qt = _buildOid(id_pkix, 2)$/;" v +id_qt_cps adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_qt_cps = univ.ObjectIdentifier('1.3.6.1.5.5.7.2.1')$/;" v +id_qt_cps adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_qt_cps = _OID(id_qt, 1)$/;" v +id_qt_cps adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_qt_cps = _buildOid(id_qt, 1)$/;" v +id_qt_unotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^id_qt_unotice = univ.ObjectIdentifier('1.3.6.1.5.5.7.2.2')$/;" v +id_qt_unotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^id_qt_unotice = _OID(id_qt, 2)$/;" v +id_qt_unotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^id_qt_unotice = _buildOid(id_qt, 2)$/;" v +id_regCtrl adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1')$/;" v +id_regCtrl adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl = _buildOid(id_pkip, 1)$/;" v +id_regCtrl_authenticator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_authenticator = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.2')$/;" v +id_regCtrl_authenticator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_authenticator = _buildOid(id_regCtrl, 2)$/;" v +id_regCtrl_oldCertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_oldCertID = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.5')$/;" v +id_regCtrl_oldCertID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_oldCertID = _buildOid(id_regCtrl, 5)$/;" v +id_regCtrl_pkiArchiveOptions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_pkiArchiveOptions = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.4')$/;" v +id_regCtrl_pkiArchiveOptions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_pkiArchiveOptions = _buildOid(id_regCtrl, 4)$/;" v +id_regCtrl_pkiPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_pkiPublicationInfo = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.3')$/;" v +id_regCtrl_pkiPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_pkiPublicationInfo = _buildOid(id_regCtrl, 3)$/;" v +id_regCtrl_protocolEncrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_protocolEncrKey = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.6')$/;" v +id_regCtrl_protocolEncrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_protocolEncrKey = _buildOid(id_regCtrl, 6)$/;" v +id_regCtrl_regToken adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regCtrl_regToken = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.1.1')$/;" v +id_regCtrl_regToken adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regCtrl_regToken = _buildOid(id_regCtrl, 1)$/;" v +id_regInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regInfo = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.2')$/;" v +id_regInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regInfo = _buildOid(id_pkip, 2)$/;" v +id_regInfo_certReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regInfo_certReq = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.2.2')$/;" v +id_regInfo_certReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regInfo_certReq = _buildOid(id_regInfo, 2)$/;" v +id_regInfo_utf8Pairs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^id_regInfo_utf8Pairs = univ.ObjectIdentifier('1.3.6.1.5.5.7.5.2.1')$/;" v +id_regInfo_utf8Pairs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_regInfo_utf8Pairs = _buildOid(id_regInfo, 1)$/;" v +id_ri_ocsp_response adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^id_ri_ocsp_response = univ.ObjectIdentifier('1.3.6.1.5.5.7.16.2')$/;" v +id_ri_scvp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^id_ri_scvp = univ.ObjectIdentifier('1.3.6.1.5.5.7.16.4')$/;" v +id_RSAES_OAEP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^id_RSAES_OAEP = univ.ObjectIdentifier('1.2.840.113549.1.1.7')$/;" v +id_RSAES_OAEP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_RSAES_OAEP = rfc4055.id_RSAES_OAEP$/;" v +id_RSAES_OAEP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_RSAES_OAEP = _OID(1, 2, 840, 113549, 1, 1, 7)$/;" v +id_RSAES_OAEP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_RSAES_OAEP = rfc4055.id_RSAES_OAEP$/;" v +id_RSAES_OAEP adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_RSAES_OAEP = rfc2437.id_RSAES_OAEP$/;" v +id_RSASSA_PSS adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_RSASSA_PSS = _OID(1, 2, 840, 113549, 1, 1, 10)$/;" v +id_RSASSA_PSS adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^id_RSASSA_PSS = rfc4055.id_RSASSA_PSS$/;" v +id_RSASSA_PSS adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_RSASSA_PSS = rfc4055.id_RSASSA_PSS$/;" v +id_rsa_kem adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_rsa_kem = _OID(1, 2, 840, 113549, 1, 9, 16, 3, 14)$/;" v +id_safeContentsBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_safeContentsBag = _OID(bagtypes, 6)$/;" v +id_scrypt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7914.py /^id_scrypt = univ.ObjectIdentifier('1.3.6.1.4.1.11591.4.11')$/;" v +id_secretBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^id_secretBag = _OID(bagtypes, 5)$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^id_sha1 = univ.ObjectIdentifier('1.3.14.3.2.26')$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^id_sha1 = _OID(1, 3, 14, 3, 2, 26)$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_sha1 = rfc4055.id_sha1$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_sha1 = _OID(1, 3, 14, 3, 2, 26)$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_sha1 = univ.ObjectIdentifier('1.3.14.3.2.26')$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_sha1 = _OID(1, 3, 14, 3, 2, 26)$/;" v +id_sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha1 = rfc2437.id_sha1$/;" v +id_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_sha224 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 4)$/;" v +id_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_sha224 = univ.ObjectIdentifier('2.16.840.1.101.3.4.2.4')$/;" v +id_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_sha224 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 4)$/;" v +id_sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha224 = rfc4055.id_sha224$/;" v +id_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_sha256 = rfc4055.id_sha256$/;" v +id_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_sha256 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 1)$/;" v +id_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_sha256 = univ.ObjectIdentifier('2.16.840.1.101.3.4.2.1')$/;" v +id_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_sha256 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 1)$/;" v +id_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha256 = rfc4055.id_sha256$/;" v +id_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_sha384 = rfc4055.id_sha384$/;" v +id_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_sha384 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 2)$/;" v +id_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_sha384 = univ.ObjectIdentifier('2.16.840.1.101.3.4.2.2')$/;" v +id_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_sha384 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 2)$/;" v +id_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha384 = rfc4055.id_sha384$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^id_sha512 = rfc4055.id_sha512$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^id_sha512 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 3)$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^id_sha512 = univ.ObjectIdentifier('2.16.840.1.101.3.4.2.3')$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^id_sha512 = _OID(2, 16, 840, 1, 101, 3, 4, 2, 3)$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha512 = rfc4055.id_sha512$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_sha512 = rfc4055.id_sha512$/;" v +id_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^id_sha512 = hashAlgs + (3, )$/;" v +id_sha512_224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha512_224 = hashAlgs + (5, )$/;" v +id_sha512_256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^id_sha512_256 = hashAlgs + (6, )$/;" v +id_shake256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^id_shake256 = hashAlgs + (12, )$/;" v +id_shake256_len adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^id_shake256_len = hashAlgs + (18, )$/;" v +id_signedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_signedData = _buildOid(1, 2, 840, 113549, 1, 7, 2)$/;" v +id_signedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_signedData = _buildOid(1, 2, 840, 113549, 1, 7, 2)$/;" v +id_signingTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^id_signingTime = _buildOid(1, 2, 840, 113549, 1, 9, 5)$/;" v +id_signingTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^id_signingTime = _buildOid(1, 2, 840, 113549, 1, 9, 5)$/;" v +id_smime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_smime = univ.ObjectIdentifier((1, 2, 840, 113549, 1, 9, 16, ))$/;" v +id_smime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^id_smime = _buildOid(1, 2, 840, 113549, 1, 9, 16)$/;" v +id_smime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^id_smime = univ.ObjectIdentifier('1.2.840.113549.1.9.16')$/;" v +id_smime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^id_smime = univ.ObjectIdentifier('1.2.840.113549.1.9.16')$/;" v +id_srand adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def id_srand(n):$/;" f +id_srandi adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def id_srandi(t):$/;" f +id_srando adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def id_srando():$/;" f +id_tamp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^id_tamp = univ.ObjectIdentifier('2.16.840.1.101.2.1.2.77')$/;" v +id_tap adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def id_tap(tap_func, arg, *, result=None, tap_with_device=False, **kwargs):$/;" f +id_tap adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def id_tap(tap_func: _TapFunc, arg: T) -> T:$/;" f +id_tap adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def id_tap(tap_func: _TapFunc, arg: T, *, result: U) -> U:$/;" f +id_tap adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def id_tap(tap_func: _TapFunc, arg: T, *, result: U, tap_with_device: bool) -> U:$/;" f +id_tap_dep_p adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^id_tap_dep_p = core.Primitive("id_tap_dep")$/;" v +id_TEST adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST = id_pkix + (13, )$/;" v +id_TEST_certPolicyEight adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyEight = id_TEST + (8, )$/;" v +id_TEST_certPolicyFive adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyFive = id_TEST + (5, )$/;" v +id_TEST_certPolicyFour adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyFour = id_TEST + (4, )$/;" v +id_TEST_certPolicyOne adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyOne = id_TEST + (1, )$/;" v +id_TEST_certPolicySeven adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicySeven = id_TEST + (7, )$/;" v +id_TEST_certPolicySix adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicySix = id_TEST + (6, )$/;" v +id_TEST_certPolicyThree adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyThree = id_TEST + (3, )$/;" v +id_TEST_certPolicyTwo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7229.py /^id_TEST_certPolicyTwo = id_TEST + (2, )$/;" v +id_token adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def id_token(self):$/;" m class:Credentials +id_token_call_credentials adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^def id_token_call_credentials(credentials):$/;" f +id_token_hash adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def id_token_hash(self, value, hashfunc=hashlib.sha256):$/;" m class:GrantTypeBase +id_token_jwt_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def id_token_jwt_grant(request, token_uri, assertion):$/;" f +id_token_jwt_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^async def id_token_jwt_grant(request, token_uri, assertion):$/;" f +id_to_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def id_to_svd(B, idx, proj):$/;" f +id_tsp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_tsp = id_smime + (7, )$/;" v +id_tsp_TEST_Amoco adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_tsp_TEST_Amoco = id_tsp + (1, )$/;" v +id_tsp_TEST_Caterpillar adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_tsp_TEST_Caterpillar = id_tsp + (2, )$/;" v +id_tsp_TEST_Whirlpool adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_tsp_TEST_Whirlpool = id_tsp + (3, )$/;" v +id_tsp_TEST_Whirlpool_Categories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^id_tsp_TEST_Whirlpool_Categories = id_tsp + (4, )$/;" v +id_unrecognizedSecurityPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^id_unrecognizedSecurityPolicy = univ.ObjectIdentifier('2.16.840.1.101.2.1.22.3')$/;" v +id_X25519 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_X25519 = univ.ObjectIdentifier('1.3.101.110')$/;" v +id_X448 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^id_X448 = univ.ObjectIdentifier('1.3.101.111')$/;" v +ieeeck adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ieeeck(int *ispec, s *zero, s *one) nogil$/;" f +IEEE_FLOAT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IEEE_FLOAT = 0x0003$/;" v class:WAVE_FORMAT +IetfAttrSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class IetfAttrSyntax(univ.Sequence):$/;" c +IetfAttrSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class IetfAttrSyntax(univ.Sequence):$/;" c +ietf_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^ietf_at = _OID(1, 3, 6, 1, 5, 5, 7, 9)$/;" v +If adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def If(name, condition_blob_or_net,$/;" f +If adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def If(self, cond, name=None):$/;" m class:Operations +IF adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ IF = 118$/;" v class:BuiltinOperator +If adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def If(cond, inputs, then_branch, else_branch, name=None):$/;" f +If adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^If = tf_export("raw_ops.If")(_ops.to_raw_op(_if))$/;" v +IfCondTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def IfCondTest(self, cond_net, expect, cond_on_blob):$/;" m class:TestControl +IFD adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^IFD = 13$/;" v +IFDRational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^class IFDRational(Rational):$/;" c +IFD_LEGACY_API adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IFD_LEGACY_API = True$/;" v +IfElseCondTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def IfElseCondTest(self, cond_net, cond_value, expect, cond_on_blob):$/;" m class:TestControl +ifft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def ifft(a, n=None, axis=-1, norm=None):$/;" f +ifft adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^ifft = fftpkg.ifft$/;" v +ifft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def ifft(a, n=None, axis=-1, norm=None):$/;" f +ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ifft = _MockFunction(np.random.random(10))$/;" v +ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ifft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^ifft = functools.partial(c2c, False)$/;" v +ifft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def ifft(x, n=None, axis=-1, overwrite_x=False):$/;" f +ifft adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ifft = _deprecated('scipy.ifft is deprecated and will be removed in SciPy '$/;" v +IFFT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^IFFT = 1$/;" v +ifft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft(input, name=None):$/;" f +IFFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IFFT = tf_export("raw_ops.IFFT")(_ops.to_raw_op(ifft))$/;" v +ifft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ifft = gen_spectral_ops.ifft$/;" v +ifft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^ifft = _add_docstr(_fft.fft_ifft, r"""$/;" v +ifft2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def ifft2(a, s=None, axes=(-2,-1), norm=None):$/;" f +ifft2 adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^ifft2 = fftpkg.ifft2$/;" v +ifft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def ifft2(a, s=None, axes=(-2, -1), norm=None):$/;" f +ifft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ifft2 = _MockFunction(np.random.random(10))$/;" v +ifft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ifft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +ifft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def ifft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +ifft2 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def ifft2(x, shape=None, axes=(-2,-1), overwrite_x=False):$/;" f +ifft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft2d(input, name=None):$/;" f +IFFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IFFT2D = tf_export("raw_ops.IFFT2D")(_ops.to_raw_op(ifft2d))$/;" v +ifft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ifft2d = gen_spectral_ops.ifft2d$/;" v +ifft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft2d_eager_fallback(input, name, ctx):$/;" f +ifft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft3d(input, name=None):$/;" f +IFFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IFFT3D = tf_export("raw_ops.IFFT3D")(_ops.to_raw_op(ifft3d))$/;" v +ifft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ifft3d = gen_spectral_ops.ifft3d$/;" v +ifft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft3d_eager_fallback(input, name, ctx):$/;" f +ifftn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def ifftn(a, s=None, axes=None, norm=None):$/;" f +ifftn adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^ifftn = fftpkg.ifftn$/;" v +ifftn adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def ifftn(a, s=None, axes=None, norm=None):$/;" f +ifftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ifftn = _MockFunction(np.random.random(10))$/;" v +ifftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ifftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +ifftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^ifftn = functools.partial(c2cn, False)$/;" v +ifftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def ifftn(x, shape=None, axes=None, overwrite_x=False):$/;" f +ifftn adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^ifftn = _add_docstr(_fft.fft_ifftn, r"""$/;" v +ifftshift adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def ifftshift(x, axes=None):$/;" f +ifftshift adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^def ifftshift(x, axes=None):$/;" f +ifftshift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def ifftshift(x, axes=None, name=None):$/;" f +ifft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def ifft_eager_fallback(input, name, ctx):$/;" f +ifilter adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ ifilter = filter$/;" v +IfNet adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def IfNet(self, cond, name=None):$/;" m class:Operations +IfNot adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def IfNot(name, condition_blob_or_net,$/;" f +IfNotCondTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def IfNotCondTest(self, cond_net, expect, cond_on_blob):$/;" m class:TestControl +IfNotElseCondTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def IfNotElseCondTest(self, cond_net, cond_value, expect, cond_on_blob):$/;" m class:TestControl +IfOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ IfOptions = 92$/;" v class:BuiltinOptions +IfOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class IfOptions(object):$/;" c +IfOptionsAddElseSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def IfOptionsAddElseSubgraphIndex(builder, elseSubgraphIndex): builder.PrependInt32Slot(1, elseS/;" f +IfOptionsAddThenSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def IfOptionsAddThenSubgraphIndex(builder, thenSubgraphIndex): builder.PrependInt32Slot(0, thenS/;" f +IfOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IfOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:IfOptions +IfOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def IfOptionsEnd(builder): return builder.EndObject()$/;" f +IfOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def IfOptionsStart(builder): builder.StartObject(2)$/;" f +IfOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class IfOptionsT(object):$/;" c +iforms adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^iforms = [1, 3, -11, -12, -21, -22]$/;" v +IFRAME_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^IFRAME_TEMPLATE = """$/;" v +IfRange adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class IfRange(object):$/;" c +if_device_under_test adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def if_device_under_test(device_type: Union[str, Sequence[str]],$/;" f +if_dl adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^def if_dl(s):$/;" f +if_exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/conditional_expressions.py /^def if_exp(cond, if_true, if_false, expr_repr):$/;" f +if_match adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def if_match(self):$/;" m class:ETagRequestMixin +if_modified_since adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def if_modified_since(self):$/;" m class:ETagRequestMixin +if_none_match adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def if_none_match(self):$/;" m class:ETagRequestMixin +if_range adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def if_range(self):$/;" m class:ETagRequestMixin +if_step adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^ def if_step(control_name):$/;" f function:_RunOnceIf file: +if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def if_stmt(cond, body, orelse, get_state, set_state, symbol_names, nouts):$/;" f +if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def if_stmt(cond,$/;" f +if_unmodified_since adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def if_unmodified_since(self):$/;" m class:ETagRequestMixin +igamma adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def igamma(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +igamma adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^igamma = scipy.special.gammainc$/;" v +igamma adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igamma(a: Array, x: Array) -> Array:$/;" f +igamma adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^igamma = _broadcasting_binary_op(math_ops.igamma)$/;" v +igamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igamma(a, x, name=None):$/;" f +Igamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Igamma = tf_export("raw_ops.Igamma")(_ops.to_raw_op(igamma))$/;" v +igammac adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def igammac(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +igammac adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^igammac = scipy.special.gammaincc$/;" v +igammac adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igammac(a: Array, x: Array) -> Array:$/;" f +igammac adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^igammac = _broadcasting_binary_op(math_ops.igammac)$/;" v +igammac adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igammac(a, x, name=None):$/;" f +Igammac adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Igammac = tf_export("raw_ops.Igammac")(_ops.to_raw_op(igammac))$/;" v +igammac_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igammac_eager_fallback(a, x, name, ctx):$/;" f +igammac_grada adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igammac_grada(g, a, x):$/;" f +igammac_gradx adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igammac_gradx(g, a, x):$/;" f +igammac_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^igammac_p = standard_naryop($/;" v +IgammaGradA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^IgammaGradA = tf_export("raw_ops.IgammaGradA")(_ops.to_raw_op(igamma_grad_a))$/;" v +igamma_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igamma_eager_fallback(a, x, name, ctx):$/;" f +igamma_grada adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igamma_grada(g, a, x):$/;" f +igamma_gradx adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igamma_gradx(g, a, x):$/;" f +igamma_grad_a adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def igamma_grad_a(a: Array, x: Array) -> Array:$/;" f +igamma_grad_a adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^igamma_grad_a = _broadcasting_binary_op(gen_math_ops.igamma_grad_a)$/;" v +igamma_grad_a adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igamma_grad_a(a, x, name=None):$/;" f +igamma_grad_a_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def igamma_grad_a_eager_fallback(a, x, name, ctx):$/;" f +igamma_grad_a_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^igamma_grad_a_p = standard_naryop([_float, _float], 'igamma_grad_a',$/;" v +igamma_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^igamma_p = standard_naryop($/;" v +iget adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ iget = getlist$/;" v class:HTTPHeaderDict +iget adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ iget = getlist$/;" v class:HTTPHeaderDict +iglob adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def iglob(path_glob):$/;" f +iglob adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def iglob(pathname, recursive=False):$/;" f +ignore adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def ignore(self, value):$/;" m class:Bbox +ignore adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def ignore(self, event):$/;" m class:SpanSelector +ignore adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def ignore(self, event):$/;" m class:Widget +ignore adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def ignore(self, event):$/;" m class:_SelectorWidget +ignore adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def ignore(d, names):$/;" f function:_copy_source_tree file: +ignore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def ignore(self, other):$/;" m class:Combine +ignore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def ignore(self, other):$/;" m class:ParseElementEnhance +ignore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def ignore(self, other):$/;" m class:ParseExpression +ignore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def ignore(self, other):$/;" m class:ParserElement +ignore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:Combine +ignore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParseElementEnhance +ignore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParseExpression +ignore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParserElement +ignore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def ignore(self, other):$/;" m class:Combine +ignore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def ignore(self, other):$/;" m class:ParseElementEnhance +ignore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def ignore(self, other):$/;" m class:ParseExpression +ignore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def ignore(self, other):$/;" m class:ParserElement +ignore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:Combine +ignore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParseElementEnhance +ignore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParseExpression +ignore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def ignore( self, other ):$/;" m class:ParserElement +IGNORE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ IGNORE = 1$/;" v class:ExternalStatePolicy +IGNORE adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ IGNORE = "ignore (leave as a call to Python, cannot be torch.jit.save'd)"$/;" v class:FunctionModifiers +ignore adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def ignore(drop=False, **kwargs):$/;" f +ignorecontains adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ ignorecontains = 1$/;" v +ignorecontains adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ignorecontains = 1$/;" v +IgnoredKeywordWarning adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class IgnoredKeywordWarning(UserWarning):$/;" c +ignored_attributes adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ignored_attributes = [$/;" v +ignoreEndTagCaption adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def ignoreEndTagCaption(self):$/;" m class:getPhases.InCaptionPhase +ignoreEndTagCaption adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def ignoreEndTagCaption(self):$/;" m class:getPhases.InCaptionPhase +ignoreEndTagColgroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def ignoreEndTagColgroup(self):$/;" m class:getPhases.InColumnGroupPhase +ignoreEndTagColgroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def ignoreEndTagColgroup(self):$/;" m class:getPhases.InColumnGroupPhase +ignoreEndTagTr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def ignoreEndTagTr(self):$/;" m class:getPhases.InRowPhase +ignoreEndTagTr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def ignoreEndTagTr(self):$/;" m class:getPhases.InRowPhase +IgnoreErrorsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^IgnoreErrorsDataset = tf_export("raw_ops.IgnoreErrorsDataset")(_ops.to_raw_op(ignore_errors_data/;" v +IgnoreException adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^class IgnoreException(Exception):$/;" c +ignore_aliases adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def ignore_aliases(self, data):$/;" m class:BaseRepresenter +ignore_aliases adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def ignore_aliases(self, data):$/;" m class:SafeRepresenter +ignore_comments adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def ignore_comments(lines_enum):$/;" f +ignore_consts adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def ignore_consts(ct, pval):$/;" f +ignore_errors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/error_ops.py /^def ignore_errors(log_warning=False):$/;" f +ignore_errors_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def ignore_errors_dataset(input_dataset, output_types, output_shapes, log_warning=False, name=No/;" f +ignore_errors_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def ignore_errors_dataset_eager_fallback(input_dataset, output_types, output_shapes, log_warning/;" f +ignore_known_hidden_frame adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def ignore_known_hidden_frame(f):$/;" f +ignore_lib_warnings adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def ignore_lib_warnings():$/;" m class:TracerWarning +IGNORE_OP_OUTPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^IGNORE_OP_OUTPUTS = ($/;" v +ignore_overloaded adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def ignore_overloaded(method_name):$/;" f function:infer_methods_to_compile file: +ignore_patterns adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def ignore_patterns(*patterns):$/;" f +ignore_requires_python adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^ignore_requires_python = partial($/;" v +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ ignore_require_venv = False # type: bool$/;" v class:Command +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ ignore_require_venv = True$/;" v class:CacheCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^ ignore_require_venv = True$/;" v class:CompletionCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ ignore_require_venv = True$/;" v class:ConfigurationCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^ ignore_require_venv = True$/;" v class:DebugCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^ ignore_require_venv = True$/;" v class:HashCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/help.py /^ ignore_require_venv = True$/;" v class:HelpCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ ignore_require_venv = True$/;" v class:ListCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ ignore_require_venv = True$/;" v class:SearchCommand +ignore_require_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^ ignore_require_venv = True$/;" v class:ShowCommand +ignore_warning adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def ignore_warning(**kw):$/;" f +ignore_zeros adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ ignore_zeros = False # If true, skips empty or invalid blocks and$/;" v class:TarFile +ihfft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def ihfft(a, n=None, axis=-1, norm=None):$/;" f +ihfft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def ihfft(a, n=None, axis=-1, norm=None):$/;" f +ihfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ihfft = _MockFunction(np.random.random(10))$/;" v +ihfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ihfft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +ihfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^ihfft = functools.partial(r2c, False)$/;" v +ihfft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^ihfft = _add_docstr(_fft.fft_ihfft, r"""$/;" v +ihfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ihfft2 = _MockFunction(np.random.random(10))$/;" v +ihfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ihfft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +ihfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def ihfft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +ihfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ihfftn = _MockFunction(np.random.random(10))$/;" v +ihfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def ihfftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +ihfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^ihfftn = functools.partial(r2cn, False)$/;" v +ihilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def ihilbert(x):$/;" f +II adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^II = b"II" # little-endian (Intel style)$/;" v +iinfo adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^iinfo = np.iinfo$/;" v +iinfo adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^iinfo = dtypes.iinfo$/;" v +iinfo adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^class iinfo:$/;" c +iinfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^iinfo = np_export.np_export_constant(__name__, 'iinfo', np.iinfo)$/;" v +iircomb adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def iircomb(w0, Q, ftype='notch', fs=2.0):$/;" f +iirdesign adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def iirdesign(wp, ws, gpass, gstop, analog=False, ftype='ellip', output='ba',$/;" f +iirfilter adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def iirfilter(N, Wn, rp=None, rs=None, btype='band', analog=False,$/;" f +iirnotch adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def iirnotch(w0, Q, fs=2.0):$/;" f +iirpeak adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def iirpeak(w0, Q, fs=2.0):$/;" f +ilaclc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilaclc(int *m, int *n, c *a, int *lda) nogil$/;" f +ilaclr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilaclr(int *m, int *n, c *a, int *lda) nogil$/;" f +iladiag adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int iladiag(char *diag) nogil$/;" f +iladlc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int iladlc(int *m, int *n, d *a, int *lda) nogil$/;" f +iladlr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int iladlr(int *m, int *n, d *a, int *lda) nogil$/;" f +ilaprec adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilaprec(char *prec) nogil$/;" f +ilaslc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilaslc(int *m, int *n, s *a, int *lda) nogil$/;" f +ilaslr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilaslr(int *m, int *n, s *a, int *lda) nogil$/;" f +ilatrans adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilatrans(char *trans) nogil$/;" f +ilauplo adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilauplo(char *uplo) nogil$/;" f +ilaver adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ilaver(int *vers_major, int *vers_minor, int *vers_patch) nogil$/;" f +ilazlc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilazlc(int *m, int *n, z *a, int *lda) nogil$/;" f +ilazlr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int ilazlr(int *m, int *n, z *a, int *lda) nogil$/;" f +ILINK_VC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ILINK_VC = 0x0230$/;" v class:WAVE_FORMAT +ilist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ ilist = [1, 2, 3, 4, 5]$/;" v class:TestMRecords +IllegalFlagValueError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class IllegalFlagValueError(Error):$/;" c +IllegalFlagValueError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^IllegalFlagValueError = _exceptions.IllegalFlagValueError$/;" v +illegal_s adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def illegal_s(self):$/;" m class:DateFormatter +ilp64_pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def ilp64_pre_build_hook(cmd, ext):$/;" f +im adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ im = im.transpose(Image.FLIP_LEFT_RIGHT)$/;" v +im2col adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def im2col(g, input, kernel_size, dilation, padding, stride):$/;" f +imag adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^imag = np.imag$/;" v +imag adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def imag(x: Array) -> Array:$/;" f +imag adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def imag(val):$/;" f +imag adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def imag(val):$/;" f +imag adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def imag(self):$/;" m class:MaskedArray +imag adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^imag = _unary_op(math_ops.imag)$/;" v +imag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def imag(input, Tout=_dtypes.float32, name=None):$/;" f +Imag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Imag = tf_export("raw_ops.Imag")(_ops.to_raw_op(imag))$/;" v +imag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def imag(input, name=None):$/;" f +imag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def imag(val):$/;" f +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'back'$/;" v class:ToolBack +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'filesave'$/;" v class:SaveFigureBase +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'forward'$/;" v class:ToolForward +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'help'$/;" v class:ToolHelpBase +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'home'$/;" v class:ToolHome +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'move'$/;" v class:ToolPan +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'subplots'$/;" v class:ConfigureSubplotsBase +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = 'zoom_to_rect'$/;" v class:ToolZoom +image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ image = None$/;" v class:ToolBase +Image adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^class Image:$/;" c +image adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ image = None$/;" v class:Parser +image adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def image(self, box, im, dpi=None):$/;" m class:PSDraw +image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary.py /^image = summary_v2.image$/;" v +image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary_v2.py /^def image(name, data, step=None, max_outputs=3, description=None):$/;" f +image adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^image = _image_summary.op$/;" v +image adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def image(name, tensor, bad_color=None, max_images=3, family=None, step=None):$/;" f +image adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def image(name, tensor, max_outputs=3, collections=None, family=None):$/;" f +image adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.ops import image_ops as image$/;" x +image adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def image(tag, tensor, rescale=1, dataformats='NCHW'):$/;" f +ImageCmsProfile adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^class ImageCmsProfile:$/;" c +ImageCmsTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^class ImageCmsTransform(Image.ImagePointHandler):$/;" c +ImageComparisonFailure adpepsenv/lib/python3.8/site-packages/matplotlib/testing/exceptions.py /^class ImageComparisonFailure(AssertionError):$/;" c +ImageDataGenerator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^class ImageDataGenerator(object):$/;" c +ImageDataGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^class ImageDataGenerator(image.ImageDataGenerator):$/;" c +IMAGEDESCRIPTION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IMAGEDESCRIPTION = 270$/;" v +ImageDraw adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^class ImageDraw:$/;" c +ImageEnhance adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^ ImageEnhance = None$/;" v +ImageEnhance adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^ ImageEnhance = None$/;" v +ImageEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ImageEvent = namedtuple($/;" v +ImageFile adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^class ImageFile:$/;" c +ImageFile adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^class ImageFile(Image.Image):$/;" c +ImageFileDirectory adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ImageFileDirectory = ImageFileDirectory_v1$/;" v +ImageFileDirectory_v1 adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^class ImageFileDirectory_v1(ImageFileDirectory_v2):$/;" c +ImageFileDirectory_v2 adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^class ImageFileDirectory_v2(MutableMapping):$/;" c +ImageFont adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^class ImageFont:$/;" c +ImageGrid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^class ImageGrid(Grid):$/;" c +ImageGrid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^class ImageGrid(axes_grid_orig.ImageGrid):$/;" c +ImageInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class ImageInlineProcessor(LinkInlineProcessor):$/;" c +ImageInput adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def ImageInput(self, blob_in, blob_out, use_gpu_transform=False, **kwargs):$/;" m class:CNNModelHelper +IMAGEJ_META_DATA adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IMAGEJ_META_DATA = 50839$/;" v +IMAGEJ_META_DATA_BYTE_COUNTS adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IMAGEJ_META_DATA_BYTE_COUNTS = 50838$/;" v +IMAGELENGTH adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IMAGELENGTH = 257$/;" v +ImageMagickBase adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class ImageMagickBase:$/;" c +ImageMagickFileWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class ImageMagickFileWriter(ImageMagickBase, FileMovieWriter):$/;" c +ImageMagickWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class ImageMagickWriter(ImageMagickBase, MovieWriter):$/;" c +imagemath_convert adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_convert(self, mode):$/;" f +imagemath_equal adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_equal(self, other):$/;" f +imagemath_float adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_float(self):$/;" f +imagemath_int adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_int(self):$/;" f +imagemath_max adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_max(self, other):$/;" f +imagemath_min adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_min(self, other):$/;" f +imagemath_notequal adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def imagemath_notequal(self, other):$/;" f +imageObject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def imageObject(self, image):$/;" m class:PdfFile +ImagePalette adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^class ImagePalette:$/;" c +ImagePluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^ImagePluginData = _reflection.GeneratedProtocolMessageType('ImagePluginData', (_message.Message,/;" v +ImagePointHandler adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^class ImagePointHandler:$/;" c +ImageProjectiveTransformV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ImageProjectiveTransformV2 = tf_export("raw_ops.ImageProjectiveTransformV2")(_ops.to_raw_op(imag/;" v +ImageProjectiveTransformV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ImageProjectiveTransformV3 = tf_export("raw_ops.ImageProjectiveTransformV3")(_ops.to_raw_op(imag/;" v +ImageQt adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^ class ImageQt(QImage):$/;" c +ImageReferenceInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class ImageReferenceInlineProcessor(ReferenceInlineProcessor):$/;" c +Images adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Images(self, tag):$/;" m class:EventAccumulator +IMAGES adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^IMAGES = tag_types.IMAGES$/;" v +Images adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Images(self, run, tag):$/;" m class:EventMultiplexer +IMAGES adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^IMAGES = "images"$/;" v +ImagesPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^class ImagesPlugin(base_plugin.TBPlugin):$/;" c +ImageSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^ImageSummary = tf_export("raw_ops.ImageSummary")(_ops.to_raw_op(image_summary))$/;" v +images_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.image import metadata as images_metadata$/;" x +ImageTransformHandler adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^class ImageTransformHandler:$/;" c +IMAGEWIDTH adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IMAGEWIDTH = 256$/;" v +ImageWindow adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^class ImageWindow(Window):$/;" c +image_boxes adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def image_boxes(tag, tensor_image, tensor_boxes, rescale=1, dataformats='CHW', labels=None):$/;" f +image_comparison adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def image_comparison(baseline_images, extensions=None, tol=0,$/;" f +image_dataset_from_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image_dataset.py /^def image_dataset_from_directory(directory,$/;" f +image_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^image_data_format = backend_config.image_data_format$/;" v +image_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^def image_data_format():$/;" f +image_feature_name adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ image_feature_name = 'image\/encoded'$/;" v class:WhatIfToolPlugin +image_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def image_gradients(image):$/;" f +image_input adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/tools.py /^def image_input($/;" f +IMAGE_LINK_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^IMAGE_LINK_RE = r'\\!\\['$/;" v +image_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^from tensorboard.plugins.image import metadata as image_metadata$/;" x +image_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^from tensorboard.plugins.image import metadata as image_metadata$/;" x +image_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^from tensorboard.plugins.image import metadata as image_metadata$/;" x +image_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^image_pb = _image_summary.pb$/;" v +image_projective_transform_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def image_projective_transform_v2(images, transforms, output_shape, interpolation, fill_mode="CO/;" f +image_projective_transform_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def image_projective_transform_v2_eager_fallback(images, transforms, output_shape, interpolation/;" f +image_projective_transform_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def image_projective_transform_v3(images, transforms, output_shape, fill_value, interpolation, f/;" f +image_projective_transform_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def image_projective_transform_v3_eager_fallback(images, transforms, output_shape, fill_value, i/;" f +IMAGE_REFERENCE_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^IMAGE_REFERENCE_RE = IMAGE_LINK_RE$/;" v +IMAGE_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^IMAGE_SIZE = 28$/;" v +IMAGE_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^IMAGE_SIZE = 28$/;" v +image_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def image_summary(tag, tensor, max_images=3, bad_color=_execute.make_tensor("""dtype: DT_UINT8 t/;" f +image_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def image_summary(tag, tensor, max_images=3, collections=None, name=None):$/;" f +image_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def image_summary_eager_fallback(tag, tensor, max_images, bad_color, name, ctx):$/;" f +imag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def imag_eager_fallback(input, Tout, name, ctx):$/;" f +imag_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^imag_p = unop(_complex_basetype, _complex, 'imag')$/;" v +imap adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ imap = map$/;" v +ImATeapot adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class ImATeapot(HTTPException):$/;" c +IMA_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IMA_ADPCM = 0x0011 # Duplicate$/;" v class:WAVE_FORMAT +IMetadataProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class IMetadataProvider:$/;" c +IMetadataProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class IMetadataProvider:$/;" c +imf adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ imf = IcnsImageFile(fp)$/;" v +img_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ img_src = csp_property("img-src")$/;" v class:ContentSecurityPolicy +img_to_array adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def img_to_array(img, data_format='channels_last', dtype='float32'):$/;" f +img_to_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^def img_to_array(img, data_format=None, dtype=None):$/;" f +ImImageFile adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^class ImImageFile(ImageFile.ImageFile):$/;" c +ImmediateBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def ImmediateBlobs():$/;" f +immutable adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ immutable = cache_property("immutable", None, bool)$/;" v class:ResponseCacheControl +ImmutableConst adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ImmutableConst = tf_export("raw_ops.ImmutableConst")(_ops.to_raw_op(immutable_const))$/;" v +ImmutableDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableDict(ImmutableDictMixin, dict):$/;" c +ImmutableDictMixin adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableDictMixin(object):$/;" c +ImmutableHeadersMixin adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableHeadersMixin(object):$/;" c +ImmutableList adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableList(ImmutableListMixin, list):$/;" c +ImmutableListMixin adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableListMixin(object):$/;" c +ImmutableMultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableMultiDict(ImmutableMultiDictMixin, MultiDict):$/;" c +ImmutableMultiDictMixin adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableMultiDictMixin(ImmutableDictMixin):$/;" c +ImmutableOrderedMultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableOrderedMultiDict(ImmutableMultiDictMixin, OrderedMultiDict):$/;" c +ImmutableTypeConversionDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ImmutableTypeConversionDict(ImmutableDictMixin, TypeConversionDict):$/;" c +immutable_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def immutable_const(dtype, shape, memory_region_name, name=None):$/;" f +immutable_const_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def immutable_const_eager_fallback(dtype, shape, memory_region_name, name, ctx):$/;" f +imopen adpepsenv/lib/python3.8/site-packages/PIL/WalImageFile.py /^ def imopen(fp):$/;" f function:open file: +ImperativeEngine adpepsenv/lib/python3.8/site-packages/torch/autograd/variable.py /^from torch._C import _ImperativeEngine as ImperativeEngine$/;" x +imperative_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/imperative_grad.py /^def imperative_grad(tape,$/;" f +impl adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def impl(self, *args, **params):$/;" m class:Primitive +impl adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def impl(self, fun, fwd, bwd, *args, out_trees):$/;" m class:CustomVJPCallPrimitive +impl adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def impl(self, fun, _, *args):$/;" m class:CustomJVPCallPrimitive +impl adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def impl(args, regex, min_ver=None, ignore_exit_code=False):$/;" f function:_get_executable_info file: +impl adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def impl(*args, **kwargs):$/;" f function:scope file: +impl adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def impl(self, rhs):$/;" f function:_define_reflectable file: +implementation adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^implementation = partial($/;" v +implementation adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ implementation = DomImplementation$/;" v class:getDomBuilder.TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ implementation = ElementTreeImplementation$/;" v class:getETreeBuilder.TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ implementation = etree$/;" v class:TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ implementation = 'CPython'$/;" v +implementation adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ implementation = platform.python_implementation()$/;" v +implementation adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ implementation = DomImplementation$/;" v class:getDomBuilder.TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ implementation = ElementTreeImplementation$/;" v class:getETreeBuilder.TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ implementation = etree$/;" v class:TreeBuilder +implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def implementation(self):$/;" m class:GRU +implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def implementation(self):$/;" m class:LSTM +IMPLEMENTATION_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^IMPLEMENTATION_TEMPLATE = CT("""\\$/;" v +ImplementedCompressAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class ImplementedCompressAlgorithms(univ.SequenceOf):$/;" c +ImplementedCryptoAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class ImplementedCryptoAlgorithms(univ.SequenceOf):$/;" c +IMPLEMENTED_LOSSES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^IMPLEMENTED_LOSSES = dict(linear=None, huber=huber, soft_l1=soft_l1,$/;" v +IMPLEMENTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^IMPLEMENTED_OPS = set([$/;" v +implements adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def implements(numpy_function):$/;" f function:_new_duck_type_and_implements file: +IMPLEMENTS_ATTRIBUTE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^IMPLEMENTS_ATTRIBUTE_NAME = "_implements"$/;" v +implements_bool adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def implements_bool(cls):$/;" f +implements_bool adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ implements_bool = _identity$/;" v +implements_iterator adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def implements_iterator(cls):$/;" f +implements_iterator adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ implements_iterator = _identity$/;" v +implements_to_string adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def implements_to_string(cls):$/;" f +implements_to_string adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ implements_to_string = _identity$/;" v +ImplicitGrant adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^class ImplicitGrant(GrantTypeBase):$/;" c +ImplicitGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^class ImplicitGrant(GrantTypeBase):$/;" c +implicitpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^implicitpattern = re.compile($/;" v +ImplicitTokenGrantDispatcher adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^class ImplicitTokenGrantDispatcher(Dispatcher):$/;" c +implicit_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def implicit_fcn(self, B, x):$/;" m class:TestODR +implicit_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def implicit_grad(f):$/;" f +implicit_sequence_conversion adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ implicit_sequence_conversion = True$/;" v class:BaseResponse +implicit_val_and_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def implicit_val_and_grad(f):$/;" f +impliedTagToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def impliedTagToken(name, type="EndTag", attributes=None,$/;" f +impliedTagToken adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def impliedTagToken(name, type="EndTag", attributes=None,$/;" f +importer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ importer = staticmethod(__import__)$/;" v class:BaseConfigurator +ImportEvent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^ImportEvent = tf_export("raw_ops.ImportEvent")(_ops.to_raw_op(import_event))$/;" v +ImportHookFinder adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^class ImportHookFinder:$/;" c +importlib_machinery adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ import importlib.machinery as importlib_machinery$/;" I +importlib_machinery adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ importlib_machinery = None$/;" v +importlib_machinery adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ import importlib.machinery as importlib_machinery$/;" I +importlib_machinery adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ importlib_machinery = None$/;" v +ImportRename adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ImportRename = collections.namedtuple($/;" v +ImportStringError adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^class ImportStringError(ImportError):$/;" c +import_array adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline int import_array() except -1:$/;" f +import_array adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline int import_array() except -1:$/;" f +import_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def import_event(writer, event, name=None):$/;" f +import_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def import_event(tensor, name=None):$/;" f +import_event_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def import_event_eager_fallback(writer, event, name, ctx):$/;" f +import_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_mlir.py /^def import_function(concrete_function, pass_pipeline):$/;" f +import_graphdef adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_mlir.py /^def import_graphdef(graphdef, pass_pipeline):$/;" f +import_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def import_graph_def(graph_def,$/;" f +import_graph_def_for_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def import_graph_def_for_function( # pylint: disable=invalid-name$/;" f +import_hook adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def import_hook(module):$/;" f function:_create_import_hook_from_entrypoint file: +import_hook adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def import_hook(module):$/;" f function:_create_import_hook_from_string file: +import_main adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def import_main(mod_name, mod_path, init_globals, run_name):$/;" f +import_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def import_meta_graph(meta_graph_or_file,$/;" f +import_module adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^ import_module = __import__$/;" v +import_module adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def import_module(name, path):$/;" f +import_module adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def import_module(self, name: str, package=None):$/;" m class:PackageImporter +import_name adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ import_name = None$/;" v class:ImportStringError +import_nose adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def import_nose():$/;" f +import_numpy adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^def import_numpy():$/;" f +import_pandas adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^def import_pandas():$/;" f +import_recursive adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/__init__.py /^def import_recursive(package):$/;" f +import_scoped_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def import_scoped_meta_graph(meta_graph_or_file,$/;" f +import_scoped_meta_graph_with_return_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def import_scoped_meta_graph_with_return_elements($/;" f +import_string adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def import_string(import_name, silent=False):$/;" f +import_to_tensorboard adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/import_pb_to_tensorboard.py /^def import_to_tensorboard(model_dir, log_dir, tag_set):$/;" f +import_ufunc adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline int import_ufunc() except -1:$/;" f +import_ufunc adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline int import_ufunc() except -1:$/;" f +import_umath adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline int import_umath() except -1:$/;" f +import_umath adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline int import_umath() except -1:$/;" f +impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def impulse(self, x0=None, t=None, n=None):$/;" m class:dlti +impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def impulse(self, X0=None, T=None, N=None):$/;" m class:lti +impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def impulse(system, X0=None, T=None, N=None):$/;" f +impulse2 adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def impulse2(system, X0=None, T=None, N=None, **kwargs):$/;" f +IMPVER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^IMPVER = IMP_PREFIX + VER_SUFFIX$/;" v +imp_meta adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^ import importlib.metadata as imp_meta$/;" I +imp_meta adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^ import importlib_metadata as imp_meta$/;" I +IMP_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ IMP_PREFIX = 'ip'$/;" v +IMP_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ IMP_PREFIX = 'jy'$/;" v +IMP_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ IMP_PREFIX = 'pp'$/;" v +imread adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def imread(fname, format=None):$/;" f +imread adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def imread(fname, format=None):$/;" f +imsave adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def imsave(fname, arr, vmin=None, vmax=None, cmap=None, format=None,$/;" f +imsave adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def imsave(fname, arr, **kwargs):$/;" f +imshow adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def imshow(self, X, cmap=None, norm=None, aspect=None,$/;" m class:Axes +imshow adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def imshow($/;" f +imshow_rgb adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^ def imshow_rgb(self, r, g, b, **kwargs):$/;" m class:RGBAxes +imshow_rgb adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^def imshow_rgb(ax, r, g, b, **kwargs):$/;" f +ImtImageFile adpepsenv/lib/python3.8/site-packages/PIL/ImtImagePlugin.py /^class ImtImageFile(ImageFile.ImageFile):$/;" c +im_func adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ im_func = __func__$/;" v class:_BoundAdapterWrapper +in1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def in1d(ar1, ar2, assume_unique=False, invert=False):$/;" f +in1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def in1d(ar1, ar2, assume_unique=False, invert=False):$/;" f +in1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def in1d(ar1, ar2, assume_unique=False, invert=False):$/;" f +IN1k_resnet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ IN1k_resnet as IN1k_resnet # noqa$/;" I +IN1k_resnet_no_test_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ IN1k_resnet_no_test_model as IN1k_resnet_no_test_model # noqa$/;" I +InaccessibleTensorError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class InaccessibleTensorError(ValueError):$/;" c +inaxes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def inaxes(self, xy):$/;" m class:FigureCanvasBase +InBodyPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InBodyPhase(Phase):$/;" c function:getPhases file: +InBodyPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InBodyPhase(Phase):$/;" c function:getPhases file: +inbound_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def inbound_layers(self):$/;" m class:Node +inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def inbound_nodes(self):$/;" m class:Layer +inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def inbound_nodes(self):$/;" m class:Layer +InCaptionPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InCaptionPhase(Phase):$/;" c function:getPhases file: +InCaptionPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InCaptionPhase(Phase):$/;" c function:getPhases file: +incarnation adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def incarnation(self):$/;" m class:_DeviceAttributes +InCellPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InCellPhase(Phase):$/;" c function:getPhases file: +InCellPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InCellPhase(Phase):$/;" c function:getPhases file: +Inception adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def Inception(order):$/;" f +Inception adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def Inception(order, cudnn_ws):$/;" f +InceptionResNetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^def InceptionResNetV2(include_top=True,$/;" f +InceptionV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^def InceptionV3($/;" f +inception_resnet_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^def inception_resnet_block(x, scale, block_type, block_idx, activation='relu'):$/;" f +inch adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^inch = 0.0254$/;" v +incidence adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def incidence(self):$/;" m class:Complex +include adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^ def include(gid, obj):$/;" f function:test_gid file: +include adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def include(self, pattern):$/;" m class:FileList +include adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def include(self, **attrs):$/;" m class:Distribution +IncludeAllNgrams adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IncludeAllNgrams(self):$/;" m class:SkipGramOptions +INCLUDED_FRAMES adpepsenv/lib/python3.8/site-packages/matplotlib/_animation_data.py /^INCLUDED_FRAMES = """$/;" v +includes adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^includes = {'includes': '\/*need_includes*\/'}$/;" v +includes0 adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^includes0 = {'includes0': '\/*need_includes0*\/'}$/;" v +include_frame adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def include_frame(f):$/;" f +include_paths adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^include_paths = []$/;" v +include_paths adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def include_paths(cuda: bool = False) -> List[str]:$/;" f +include_pattern adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def include_pattern(self, pattern, anchor=1, prefix=None, is_regex=0):$/;" m class:FileList +include_src_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^include_src_re = re.compile(r"(\\n|\\A)#include\\s*['\\"]"$/;" v +include_src_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^include_src_re = re.compile(r"(\\n|\\A)\\s*include\\s*['\\"](?P[\\w\\d.\/\\\\]+[.]src)['\\/;" v +InColumnGroupPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InColumnGroupPhase(Phase):$/;" c function:getPhases file: +InColumnGroupPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InColumnGroupPhase(Phase):$/;" c function:getPhases file: +IncompleteRead adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class IncompleteRead(HTTPError, httplib_IncompleteRead):$/;" c +IncompleteRead adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class IncompleteRead(HTTPError, httplib_IncompleteRead):$/;" c +incomplete_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def incomplete_size(self, name=None):$/;" m class:Barrier +incomplete_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def incomplete_size(self, name=None):$/;" m class:MapStagingArea +inconsistent adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def inconsistent(Z, d=2):$/;" f +InconsistentCandidate adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class InconsistentCandidate(ResolverException):$/;" c +inconsistent_ytdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^inconsistent_ytdist = {$/;" v +InconvertibleTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^class InconvertibleTensorProto(object):$/;" c +increase_by adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def increase_by(self, value):$/;" m class:CounterCell +increase_indent adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def increase_indent(self, flow=False, indentless=False):$/;" m class:Emitter +increment adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def increment(self, incr):$/;" m class:Progress +increment adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def increment($/;" m class:Retry +increment adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^ def increment(stat, event, tag=""):$/;" f function:get_field_to_observations_map file: +increment adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def increment($/;" m class:Retry +incremental adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ incremental = None$/;" v class:Parser +IncrementalBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class IncrementalBar(Bar):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/idna/codec.py /^class IncrementalDecoder(codecs.BufferedIncrementalDecoder):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^class IncrementalDecoder(codecs.BufferedIncrementalDecoder):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^class IncrementalDecoder(codecs.IncrementalDecoder):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^class IncrementalDecoder(object):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^class IncrementalDecoder(codecs.IncrementalDecoder):$/;" c +IncrementalDecoder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^class IncrementalDecoder(object):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/idna/codec.py /^class IncrementalEncoder(codecs.BufferedIncrementalEncoder):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^class IncrementalEncoder(codecs.BufferedIncrementalEncoder):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^class IncrementalEncoder(codecs.IncrementalEncoder):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^class IncrementalEncoder(object):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^class IncrementalEncoder(codecs.IncrementalEncoder):$/;" c +IncrementalEncoder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^class IncrementalEncoder(object):$/;" c +INCREMENTAL_DATASETS adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^INCREMENTAL_DATASETS = {$/;" v +incrementing_sleep adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def incrementing_sleep(self, previous_attempt_number, delay_since_first_attempt_ms):$/;" m class:Retrying +increment_and_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def increment_and_get(self):$/;" m class:_AtomicCounter +increment_lineno adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def increment_lineno(node, n=1):$/;" f +increment_period adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def increment_period(self):$/;" m class:DynamicLossScale +increment_run_call_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def increment_run_call_count(self):$/;" m class:BaseDebugWrapperSession +increment_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def increment_value(self, increment):$/;" m class:MyClass +incr_loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def incr_loss_scale():$/;" f function:_DynamicLossScaleState.update.update_if_finite_grads file: +incr_loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def incr_loss_scale():$/;" f function:DynamicLossScale.update.update_if_finite_grads file: +inc_and_set adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def inc_and_set(fut):$/;" f function:async_add_multi_fanout file: +inc_convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def inc_convert(self, value):$/;" m class:Configurator +inc_current_step adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def inc_current_step(self):$/;" m class:Seq2SeqModelCaffe2 +inc_dir adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^inc_dir = os.path.join(np.get_include(), 'numpy')$/;" v +inc_job adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def inc_job(index):$/;" f member:DebugConcurrentRunCallsTest.testDebugConcurrentVariableUpdates file: +inc_path adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^inc_path = np.get_include()$/;" v +inc_total adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def inc_total(rec):$/;" f function:build_pipeline file: +InDataType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InDataType(self):$/;" m class:CastOptions +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:AbstractDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:AnyDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:BitStringDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:ChoiceDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:ExplicitTagDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:OctetStringDecoder +indefLenValueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def indefLenValueDecoder(self, substrate, asn1Spec,$/;" m class:UniversalConstructedTypeDecoder +indent adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def indent(self, indent):$/;" m class:PrettyPrint +indent adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def indent(s):$/;" f +IndentationTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class IndentationTest(test_utils.TestCase):$/;" c +indentcount_lines adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def indentcount_lines(lines):$/;" f +indentcount_lines adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def indentcount_lines(lines):$/;" f +indented adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def indented(self, node, children_attr):$/;" m class:AstAnnotator +indented adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def indented(self, node, children_attr):$/;" m class:BaseVisitor +indentedBlock adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def indentedBlock(blockStatementExpr, indentStack, indent=True):$/;" f +indentedBlock adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def indentedBlock(blockStatementExpr, indentStack, indent=True):$/;" f +indentedBlock adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def indentedBlock(blockStatementExpr, indentStack, indent=True):$/;" f +indentedBlock adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def indentedBlock(blockStatementExpr, indentStack, indent=True):$/;" f +IndentingFormatter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class IndentingFormatter(logging.Formatter):$/;" c +INDENT_BLOCK_REMAINDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ INDENT_BLOCK_REMAINDER = ($/;" v class:Basic +indent_lines adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def indent_lines(self, text, indent):$/;" m class:PrettyHelpFormatter +indent_log adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^def indent_log(num=2):$/;" f +INDEO_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INDEO_AUDIO = 0x0402$/;" v class:WAVE_FORMAT +Independent adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^class Independent(Distribution):$/;" c +IndependentWorkerTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class IndependentWorkerTestBase(test.TestCase):$/;" c +independent_buffers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^def independent_buffers(parallel_device):$/;" f +INDEPENDENT_WORKER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ INDEPENDENT_WORKER = "independent_worker"$/;" v class:CoordinatorMode +index adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def index():$/;" f +Index adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^Index = Union[int, slice, Tuple[Union[int, slice], ...]]$/;" v +index adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^index = _Indexable()$/;" v +index adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def index(self, sub, start=0, end=None):$/;" m class:chararray +index adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def index(a, sub, start=0, end=None):$/;" f +index adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def index(self, suboid):$/;" m class:ObjectIdentifier +index adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def index(self, value, start=0, stop=None):$/;" m class:SequenceOfAndSetOfBase +index adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def index(self, key):$/;" m class:OrderedSet +index adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def index(g, self, index):$/;" f +index adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def index(self, header):$/;" m class:HeaderSet +index adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def index(self, key):$/;" m class:Accept +Indexable adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^Indexable = typing.Union[typing.Tuple, typing.List[str]]$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ indexbytes = operator.getitem$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ indexbytes = operator.getitem$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ indexbytes = operator.getitem$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ indexbytes = operator.getitem$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/six.py /^ indexbytes = operator.getitem$/;" v +indexbytes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def indexbytes(buf, i):$/;" f +indexbytes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ indexbytes = operator.getitem$/;" v +IndexDateFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class IndexDateFormatter(ticker.Formatter):$/;" c +IndexedSlices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^class IndexedSlices(internal.NativeObject, composite_tensor.CompositeTensor):$/;" c +IndexedSlices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^IndexedSlices = indexed_slices.IndexedSlices$/;" v +IndexedSlicesSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^class IndexedSlicesSpec(type_spec.TypeSpec):$/;" c +IndexedSlicesValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^IndexedSlicesValue = collections.namedtuple($/;" v +IndexedSlicesValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^IndexedSlicesValue = indexed_slices.IndexedSlicesValue$/;" v +indexed_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def indexed_case(branch_index,$/;" f +IndexExpression adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class IndexExpression:$/;" c +IndexFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class IndexFormatter(Formatter):$/;" c +IndexGroupCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^class IndexGroupCommand(Command, SessionCommandMixin):$/;" c +IndexingTestCase adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class IndexingTestCase(ut.TestCase):$/;" c +IndexLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class IndexLocator(Locator):$/;" c +IndexLookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^class IndexLookup(base_preprocessing_layer.CombinerPreprocessingLayer):$/;" c +IndexLookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup_v1.py /^class IndexLookup(index_lookup.IndexLookup,$/;" c +IndexMixin adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^class IndexMixin(object):$/;" c +index_add adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def index_add(x, idx, y, indices_are_sorted=False, unique_indices=False):$/;" f +index_copy adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def index_copy(g, self, dim, index, source):$/;" f +index_copy adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def index_copy(g, self, dim, index, source):$/;" f +index_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def index_directory(directory,$/;" f +index_exp adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^index_exp = IndexExpression(maketuple=True)$/;" v +index_fill adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def index_fill(g, self, dim, index, value):$/;" f +index_fill adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def index_fill(g, self, dim, index, value):$/;" f +index_group adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^index_group = {$/;" v +index_hash adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^ def index_hash(indices):$/;" f member:TestIndexHashOps.test_index_hash_ops file: +INDEX_HTML_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^INDEX_HTML_ROUTE = '\/index.html'$/;" v +index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def index_impl(self, ctx, experiment):$/;" m class:DistributionsPlugin +index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def index_impl(self, ctx, experiment):$/;" m class:HistogramsPlugin +index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def index_impl(self, ctx, experiment=None):$/;" m class:ScalarsPlugin +index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def index_impl(self, ctx, experiment):$/;" m class:TextPlugin +index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def index_impl(self, ctx, experiment):$/;" m class:TextV2Plugin +index_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def index_in_dim(operand: Array, index: int, axis: int = 0,$/;" f +INDEX_JS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^INDEX_JS_ROUTE = '\/index.js'$/;" v +INDEX_KEYS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ INDEX_KEYS = ('name version license summary description author '$/;" v class:Metadata +index_max adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def index_max(x, idx, y, indices_are_sorted=False, unique_indices=False):$/;" f +index_min adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def index_min(x, idx, y, indices_are_sorted=False, unique_indices=False):$/;" f +index_mul adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def index_mul(x, idx, y, indices_are_sorted=False, unique_indices=False):$/;" f +index_of adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def index_of(y):$/;" f +INDEX_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ INDEX_PATTERN = re.compile(r'^\\[\\s*(\\w+)\\s*\\]\\s*')$/;" v class:BaseConfigurator +index_perm_variable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def index_perm_variable(shape, max_indices):$/;" f +index_put adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def index_put(g, self, indices_list_value, values, accumulate=False):$/;" f +index_put adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def index_put(g, self, indices_list_value, values, accumulate):$/;" f +index_select adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def index_select(g, self, dim, index):$/;" f +index_subdirectory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def index_subdirectory(directory, class_indices, follow_links, formats):$/;" f +index_table_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def index_table_from_file(vocabulary_file=None,$/;" f +index_table_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def index_table_from_tensor(vocabulary_list,$/;" f +index_take adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def index_take(src: Array, idxs: Array, axes: Sequence[int]) -> Array:$/;" f +index_tmp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def index_tmp():$/;" f member:TestRegression.test_zero_sized_array_indexing file: +index_to_string_table_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def index_to_string_table_from_file(vocabulary_file,$/;" f +index_to_string_table_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def index_to_string_table_from_tensor(vocabulary_list,$/;" f +index_update adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def index_update(x, idx, y, indices_are_sorted=False, unique_indices=False):$/;" f +index_url adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^index_url = partial($/;" v +index_urls adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def index_urls(self):$/;" m class:PackageFinder +index_variable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def index_variable(shape, max_indices):$/;" f +indicate_inset adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def indicate_inset(self, bounds, inset_ax=None, *, transform=None,$/;" m class:Axes +indicate_inset_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def indicate_inset_zoom(self, inset_ax, **kwargs):$/;" m class:Axes +IndicatorColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class IndicatorColumn($/;" c +indicator_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def indicator_column(categorical_column):$/;" f +indices adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ indices = np.array(2, dtype=np.int32)$/;" v +indices adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def indices(self, shape: Tuple[int, ...]) -> np.ndarray:$/;" m class:ShardingSpec +indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def indices(dimensions, dtype=int32, sparse=False):$/;" f +indices adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def indices(dimensions, dtype=int, sparse=False):$/;" f +indices adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^indices = np.indices$/;" v +indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def indices(self):$/;" m class:IndexedSlices +indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def indices(self):$/;" m class:SparseTensor +Indirect adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def Indirect(self, off):$/;" m class:Table +indirect adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def indirect(x):$/;" f function:_get_formatdict file: +IndirectObjectDef adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class IndirectObjectDef(IndirectReference):$/;" c +IndirectReference adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class IndirectReference($/;" c +inds_to_hits adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def inds_to_hits(point, neighbors):$/;" f member:KDTree.query file: +INEFFICIENT_UNROLL_MIN_ITERATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^INEFFICIENT_UNROLL_MIN_ITERATIONS = 3000$/;" v +INEFFICIENT_UNROLL_MIN_ITERATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^INEFFICIENT_UNROLL_MIN_ITERATIONS = 3000$/;" v +INEFFICIENT_UNROLL_MIN_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^INEFFICIENT_UNROLL_MIN_OPS = 1$/;" v +INEFFICIENT_UNROLL_MIN_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^INEFFICIENT_UNROLL_MIN_OPS = 1$/;" v +ineqcons1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def ineqcons1(x):$/;" f member:TestSLSQP.test_inconsistent_inequalities file: +ineqcons2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def ineqcons2(x):$/;" f member:TestSLSQP.test_inconsistent_inequalities file: +IneqRosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class IneqRosenbrock(Rosenbrock):$/;" c +INEQUALITY_DEFAULT_LEFT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^INEQUALITY_DEFAULT_LEFT = 0$/;" v +INEQUALITY_DEFAULT_RIGHT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^INEQUALITY_DEFAULT_RIGHT = 1$/;" v +inexact adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def inexact(self):$/;" m class:_LazyDtypes +inexact adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^inexact = np.inexact$/;" v +inexact adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^inexact = np_export.np_export_constant(__name__, 'inexact', np.inexact)$/;" v +inf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^inf = np.inf$/;" v +Inf adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^Inf = inf = infty = Infinity = PINF$/;" v +inf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^inf = np_export.np_export_constant(__name__, 'inf', np.inf)$/;" v +inf adpepsenv/lib/python3.8/site-packages/torch/_six.py /^inf = math.inf$/;" v +INFEASIBLE adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^INFEASIBLE = -1 # Infeasible (lower bound > upper bound)$/;" v +infeed adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def infeed(token, shape=None, partitions=None):$/;" f +InfeedDequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^InfeedDequeue = tf_export("raw_ops.InfeedDequeue")(_ops.to_raw_op(infeed_dequeue))$/;" v +InfeedDequeueTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^InfeedDequeueTuple = tf_export("raw_ops.InfeedDequeueTuple")(_ops.to_raw_op(infeed_dequeue_tuple/;" v +InfeedEnqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^InfeedEnqueue = tf_export("raw_ops.InfeedEnqueue")(_ops.to_raw_op(infeed_enqueue))$/;" v +InfeedEnqueuePrelinearizedBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^InfeedEnqueuePrelinearizedBuffer = tf_export("raw_ops.InfeedEnqueuePrelinearizedBuffer")(_ops.to/;" v +InfeedEnqueueTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^InfeedEnqueueTuple = tf_export("raw_ops.InfeedEnqueueTuple")(_ops.to_raw_op(infeed_enqueue_tuple/;" v +InfeedQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^class InfeedQueue(object):$/;" c +infeed_dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_dequeue(dtype, shape, name=None):$/;" f +infeed_dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def infeed_dequeue(dtype, shape, name=None):$/;" f +infeed_dequeue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_dequeue_eager_fallback(dtype, shape, name, ctx):$/;" f +infeed_dequeue_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_dequeue_tuple(dtypes, shapes, name=None):$/;" f +infeed_dequeue_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def infeed_dequeue_tuple(dtypes, shapes, name=None):$/;" f +infeed_dequeue_tuple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_dequeue_tuple_eager_fallback(dtypes, shapes, name, ctx):$/;" f +infeed_enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue(input, shape=[], layout=[], device_ordinal=-1, name=None):$/;" f +infeed_enqueue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue_eager_fallback(input, shape, layout, device_ordinal, name, ctx):$/;" f +infeed_enqueue_prelinearized_buffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue_prelinearized_buffer(input, device_ordinal=-1, name=None):$/;" f +infeed_enqueue_prelinearized_buffer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue_prelinearized_buffer_eager_fallback(input, device_ordinal, name, ctx):$/;" f +infeed_enqueue_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue_tuple(inputs, shapes, layouts=[], device_ordinal=-1, name=None):$/;" f +infeed_enqueue_tuple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def infeed_enqueue_tuple_eager_fallback(inputs, shapes, layouts, device_ordinal, name, ctx):$/;" f +infeed_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^infeed_p = Primitive("infeed")$/;" v +InferBlobDevices adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InferBlobDevices(net):$/;" f +INFERENCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ INFERENCE = 2$/;" v class:GraphState +INFERENCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^INFERENCE = elc.TPUEmbeddingConfiguration.INFERENCE$/;" v +InferenceResult adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^InferenceResult = _reflection.GeneratedProtocolMessageType('InferenceResult', (_message.Message,/;" v +InferenceTask adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^InferenceTask = _reflection.GeneratedProtocolMessageType('InferenceTask', (_message.Message,), d/;" v +inference_lstm_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def inference_lstm_ref():$/;" f member:TorchIntegration.test_inference_lstm file: +inference_on_tpu adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def inference_on_tpu(computation,$/;" f +inferno adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def inferno():$/;" f +InferOpBlobDevices adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InferOpBlobDevices(op):$/;" f +InferOpBlobDevicesAsDict adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InferOpBlobDevicesAsDict(op):$/;" f +InferOpDeviceAsBlobDevices adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InferOpDeviceAsBlobDevices(op):$/;" f +inferred_histo adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def inferred_histo(summary, samples=1000):$/;" f function:tensorboard_events file: +inferred_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def inferred_steps(self):$/;" m class:DataHandler +InferShapesAndTypes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def InferShapesAndTypes(nets, blob_dimensions=None, nets_proto=False,$/;" f +InferTensorRunAndCompare adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def InferTensorRunAndCompare(self, model, expected_uninferred_blobs=None):$/;" m class:TestShapeInference +infer_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def infer_backend(x):$/;" f +infer_blob_device adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def infer_blob_device(blob_name):$/;" f member:TestMultiOptimizers.test_multiple_optimizers file: +infer_concrete_type_builder adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def infer_concrete_type_builder(nn_module, share_types=True):$/;" f +infer_inputs_from_restored_call_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def infer_inputs_from_restored_call_function(fn):$/;" f +infer_interface_methods_to_compile adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def infer_interface_methods_to_compile(nn_module):$/;" f function:interface_script file: +infer_methods_to_compile adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def infer_methods_to_compile(nn_module):$/;" f +infer_steps_for_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def infer_steps_for_dataset(model,$/;" f +infer_type adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def infer_type(name, item):$/;" f function:infer_concrete_type_builder file: +Infinite adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^class Infinite(object):$/;" c +INFINITE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^INFINITE = -1$/;" v +INFINITE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^INFINITE = -1$/;" v +InfiniteTypes adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ InfiniteTypes = Union[InfinityType, NegativeInfinityType]$/;" v +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import INFINITE as INFINITE_CARDINALITY$/;" x +INFINITE_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import INFINITE as INFINITE_CARDINALITY$/;" x +Infinity adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^Infinity = InfinityType()$/;" v +Infinity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^class Infinity(object):$/;" c +Infinity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^Infinity = Infinity()$/;" v +Infinity adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class Infinity(object):$/;" c +Infinity adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^Infinity = Infinity()$/;" v +Infinity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^class Infinity(object):$/;" c +Infinity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^Infinity = Infinity()$/;" v +InfinityType adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^class InfinityType(object):$/;" c +infixNotation adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def infixNotation(baseExpr, opList, lpar=Suppress('('), rpar=Suppress(')')):$/;" f +infixNotation adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def infixNotation( baseExpr, opList, lpar=Suppress('('), rpar=Suppress(')') ):$/;" f +infixNotation adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def infixNotation(baseExpr, opList, lpar=Suppress('('), rpar=Suppress(')')):$/;" f +infixNotation adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def infixNotation( baseExpr, opList, lpar=Suppress('('), rpar=Suppress(')') ):$/;" f +InflatableArg adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^class InflatableArg(NamedTuple):$/;" c +InfNanAlert adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^class InfNanAlert(object):$/;" c +InfNanMonitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^class InfNanMonitor(BaseMonitor):$/;" c +info adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def info(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +info adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def info(msg, *args, **kwargs):$/;" f +INFO adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^INFO = converter.ABSL_INFO$/;" v +info adpepsenv/lib/python3.8/site-packages/h5py/version.py /^info = """\\$/;" v +Info adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Info [$/;" c +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ info = None$/;" v class:DarwinCPUInfo +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ info = None$/;" v class:IRIXCPUInfo +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ info = None$/;" v class:LinuxCPUInfo +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ info = None$/;" v class:SunOSCPUInfo +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ info = None$/;" v class:Win32CPUInfo +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def info(self, message):$/;" m class:Configuration +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ info = read_config(f)$/;" v +info adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ info = read_config(pkg_name, ['numpy\/core\/lib\/npy-pkg-config', '.', d])$/;" v +info adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def info(object=None, maxwidth=76, output=sys.stdout, toplevel='numpy'):$/;" f +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def info(self):$/;" m class:Wheel +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def info(self, pretty=False, best=False):$/;" m class:LinuxDistribution +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def info(pretty=False, best=False):$/;" f +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def info(self):$/;" m class:MockResponse +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^def info():$/;" f +info adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def info(self):$/;" m class:HTTPResponse +info adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def info(self):$/;" m class:MockResponse +info adpepsenv/lib/python3.8/site-packages/requests/help.py /^def info():$/;" f +info adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def info(self, source):$/;" m class:MMFile +info adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x0, info = la.gmres(A, b, restrt=M, tol=1e-14)$/;" v +info adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x1, info = la.lgmres(A, b, inner_m=M-6*2, outer_k=6, tol=1e-14)$/;" v +info adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x2, info = la.lgmres(A, b, inner_m=M-6, outer_k=6, tol=1e-14)$/;" v +info adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def info(self, msg, *args):$/;" m class:PackageIndex +info adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def info(self, msg, *args):$/;" m class:Log +INFO adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^INFO = 2$/;" v +info adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^info = _global_log.info$/;" v +info adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def info(msg, *args, **kwargs):$/;" f +info adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def info(message, *args):$/;" f +INFO adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^INFO = 'INFO'$/;" v +info adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def info(self):$/;" m class:_PastaEditVisitor +INFO adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^INFO = "INFO"$/;" v +info adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def info(self):$/;" m class:HTTPResponse +info adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def info(self):$/;" m class:_TestCookieResponse +InfoCommon adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^class InfoCommon:$/;" c +INFOCOM_ITS_G721_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INFOCOM_ITS_G721_ADPCM = 0x008B$/;" v class:WAVE_FORMAT +infodict adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def infodict(self):$/;" m class:PdfPages +InForeignContentPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InForeignContentPhase(Phase):$/;" c function:getPhases file: +InForeignContentPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InForeignContentPhase(Phase):$/;" c function:getPhases file: +InformativeTag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class InformativeTag(univ.Sequence):$/;" c +InformRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class InformRequestPDU(PDU):$/;" c +InfosetFilter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^class InfosetFilter(object):$/;" c +InfosetFilter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^class InfosetFilter(object):$/;" c +InfoType adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ InfoType = Union[ArchiveInfo, DirInfo, VcsInfo]$/;" v +InfoTypeAndValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class InfoTypeAndValue(univ.Sequence):$/;" c +info_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def info_impl(self, ctx, experiment=None):$/;" m class:GraphsPlugin +INFO_MESSAGE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ INFO_MESSAGE_PREFIX = "INFO: "$/;" v class:BaseUI +info_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def info_route(self, request):$/;" m class:GraphsPlugin +InFramesetPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InFramesetPhase(Phase):$/;" c function:getPhases file: +InFramesetPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InFramesetPhase(Phase):$/;" c function:getPhases file: +INFSTR adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^INFSTR = "1e" + repr(sys.float_info.max_10_exp + 1)$/;" v +inf_to_nan adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def inf_to_nan(func):$/;" f +inf_value adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ inf_value = 1e300$/;" v class:SafeConstructor +inf_value adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ inf_value = 1e300$/;" v class:SafeRepresenter +INGENIENT_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INGENIENT_G726 = 0xA105$/;" v class:WAVE_FORMAT +InHeadNoscriptPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InHeadNoscriptPhase(Phase):$/;" c function:getPhases file: +InHeadNoscriptPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InHeadNoscriptPhase(Phase):$/;" c function:getPhases file: +InHeadPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InHeadPhase(Phase):$/;" c function:getPhases file: +InHeadPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InHeadPhase(Phase):$/;" c function:getPhases file: +inherit_docstring_from adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def inherit_docstring_from(cls):$/;" f +inherit_docstring_from adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def inherit_docstring_from(cls):$/;" f +InhibitAnyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class InhibitAnyPolicy(SkipCerts):$/;" c +InhibitAnyPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class InhibitAnyPolicy(SkipCerts):$/;" c +init adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def init($/;" m class:CheckpointManager +init adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def init($/;" m class:MultiNodeCheckpointManager +INIT adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ INIT = 'init'$/;" v class:_SetupBuilder +init adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def init(self, global_coordinator):$/;" m class:WorkerCoordinator +init adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def init(layer, pname, input_type):$/;" f function:cudnn_LSTM file: +init adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def init(self, **kwargs):$/;" f function:_AddInitMethod file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:adagrad file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:adam file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:adamax file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:momentum file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:nesterov file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:rmsprop file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:rmsprop_momentum file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:sgd file: +init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def init(x0):$/;" f function:sm3 file: +init adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^ def init(key, shape, dtype=dtype):$/;" f function:delta_orthogonal file: +init adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^ def init(key, shape, dtype=dtype):$/;" f function:normal file: +init adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^ def init(key, shape, dtype=dtype):$/;" f function:orthogonal file: +init adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^ def init(key, shape, dtype=dtype):$/;" f function:uniform file: +init adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^ def init(key, shape, dtype=dtype):$/;" f function:variance_scaling file: +Init adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Init(self, buf, pos):$/;" m class:PocketFftDescriptor +init adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def init():$/;" f function:make_animation file: +init adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def init():$/;" f function:test_funcanimation_cache_frame_data file: +init adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def init():$/;" f function:test_save_animation_smoketest file: +init adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def init():$/;" f +init adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def init(self, args):$/;" m class:PyDecoder +init adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def init(autoreset=False, convert=None, strip=None, wrap=True):$/;" f +init adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def init(self):$/;" m class:_BZ2Proxy +init adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^init = getfullargspec(_GeneratorContextManager.__init__)$/;" v +init adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def init(wsgi_app, flags):$/;" f function:with_port_scanning file: +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:AbsOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:AddNOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:AddOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ArgMaxOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ArgMinOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:BatchMatMulOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:BatchToSpaceNDOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:BidirectionalSequenceLSTMOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:BidirectionalSequenceRNNOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Buffer +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:CallOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:CastOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ConcatEmbeddingsOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ConcatenationOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Conv2DOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:CosOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:CumsumOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:CustomQuantization +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DensifyOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DepthToSpaceOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DepthwiseConv2DOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DequantizeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DimensionMetadata +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:DivOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:EmbeddingLookupSparseOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:EqualOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ExpandDimsOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ExpOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:FakeQuantOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:FillOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:FloorDivOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:FloorModOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:FullyConnectedOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:GatherNdOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:GatherOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:GreaterEqualOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:GreaterOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:HardSwishOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:IfOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Int32Vector +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:L2NormOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LeakyReluOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LessEqualOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LessOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LocalResponseNormalizationOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LogicalAndOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LogicalNotOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LogicalOrOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LogSoftmaxOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LSHProjectionOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:LSTMOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:MatrixDiagOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:MatrixSetDiagOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:MaximumMinimumOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Metadata +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:MirrorPadOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Model +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:MulOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:NegOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:NonMaxSuppressionV4Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:NonMaxSuppressionV5Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:NotEqualOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:OneHotOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Operator +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:OperatorCode +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:PackOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:PadOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:PadV2Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Pool2DOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:PowOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:QuantizationParameters +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:QuantizeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:RangeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:RankOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ReducerOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ReshapeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ResizeBilinearOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ResizeNearestNeighborOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ReverseSequenceOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ReverseV2Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:RNNOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ScatterNdOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SegmentSumOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SelectOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SelectV2Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SequenceRNNOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ShapeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SignatureDef +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SkipGramOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SliceOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SoftmaxOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SpaceToBatchNDOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SpaceToDepthOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SparseToDenseOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SparsityParameters +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SplitOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SplitVOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SquaredDifferenceOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SquareOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SqueezeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:StridedSliceOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SubGraph +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SubOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:SVDFOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Tensor +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:TensorMap +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:TileOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:TopKV2Options +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:TransposeConvOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:TransposeOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Uint16Vector +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:Uint8Vector +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:UnidirectionalSequenceLSTMOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:UniqueOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:UnpackOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:WhereOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:WhileOptions +Init adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Init(self, buf, pos):$/;" m class:ZerosLikeOptions +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def init(self):$/;" m class:HashTable +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def init(self):$/;" m class:IdTableWithHashBuckets +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def init(self):$/;" m class:NoopSummaryWriter +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def init(self):$/;" m class:ResourceSummaryWriter +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def init(self):$/;" m class:SummaryWriter +init adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def init():$/;" f member:AdagradOptimizer._init_constant_op file: +init adpepsenv/lib/python3.8/site-packages/torch/cuda/profiler.py /^def init(output_file, flags=None, output_mode='key_value'):$/;" f +init adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def init():$/;" f +init adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def init(cls):$/;" m class:Barrier +init3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def init3d(self):$/;" m class:Axis +initDefaultModuleMap adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def initDefaultModuleMap():$/;" f +InitEmptyRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def InitEmptyRecord(net, schema_or_record, enforce_types=False):$/;" f +InitFn adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^InitFn = Callable[[Params], OptimizerState]$/;" v +InitFromBuf adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:PocketFftDescriptorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:AbsOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:AddNOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:AddOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ArgMaxOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ArgMinOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:BatchMatMulOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:BatchToSpaceNDOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:BidirectionalSequenceLSTMOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:BidirectionalSequenceRNNOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:BufferT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:CallOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:CastOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ConcatEmbeddingsOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ConcatenationOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:Conv2DOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:CosOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:CumsumOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:CustomQuantizationT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DensifyOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DepthToSpaceOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DepthwiseConv2DOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DequantizeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DimensionMetadataT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:DivOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:EmbeddingLookupSparseOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:EqualOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ExpandDimsOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ExpOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:FakeQuantOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:FillOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:FloorDivOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:FloorModOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:FullyConnectedOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:GatherNdOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:GatherOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:GreaterEqualOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:GreaterOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:HardSwishOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:IfOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:Int32VectorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:L2NormOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LeakyReluOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LessEqualOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LessOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LocalResponseNormalizationOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LogicalAndOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LogicalNotOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LogicalOrOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LogSoftmaxOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LSHProjectionOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:LSTMOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MatrixDiagOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MatrixSetDiagOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MaximumMinimumOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MetadataT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MirrorPadOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ModelT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:MulOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:NegOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:NonMaxSuppressionV4OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:NonMaxSuppressionV5OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:NotEqualOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:OneHotOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:OperatorCodeT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:OperatorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:PackOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:PadOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:PadV2OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:Pool2DOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:PowOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:QuantizationParametersT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:QuantizeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:RangeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:RankOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ReducerOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ReshapeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ResizeBilinearOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ResizeNearestNeighborOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ReverseSequenceOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ReverseV2OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:RNNOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ScatterNdOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SegmentSumOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SelectOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SelectV2OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SequenceRNNOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ShapeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SignatureDefT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SkipGramOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SliceOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SoftmaxOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SpaceToBatchNDOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SpaceToDepthOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SparseToDenseOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SparsityParametersT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SplitOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SplitVOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SquaredDifferenceOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SquareOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SqueezeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:StridedSliceOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SubGraphT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SubOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:SVDFOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TensorMapT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TensorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TileOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TopKV2OptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TransposeConvOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:TransposeOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:Uint16VectorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:Uint8VectorT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:UnidirectionalSequenceLSTMOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:UniqueOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:UnpackOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:WhereOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:WhileOptionsT +InitFromBuf adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromBuf(cls, buf, pos):$/;" m class:ZerosLikeOptionsT +InitFromLSTMParams adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def InitFromLSTMParams(lstm_pblobs, param_values):$/;" f +InitFromObj adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def InitFromObj(cls, pocketFftDescriptor):$/;" m class:PocketFftDescriptorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, absOptions):$/;" m class:AbsOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, addNOptions):$/;" m class:AddNOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, addOptions):$/;" m class:AddOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, argMaxOptions):$/;" m class:ArgMaxOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, argMinOptions):$/;" m class:ArgMinOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, batchMatMulOptions):$/;" m class:BatchMatMulOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, batchToSpaceNDOptions):$/;" m class:BatchToSpaceNDOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, bidirectionalSequenceLSTMOptions):$/;" m class:BidirectionalSequenceLSTMOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, bidirectionalSequenceRNNOptions):$/;" m class:BidirectionalSequenceRNNOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, buffer):$/;" m class:BufferT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, callOptions):$/;" m class:CallOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, castOptions):$/;" m class:CastOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, concatEmbeddingsOptions):$/;" m class:ConcatEmbeddingsOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, concatenationOptions):$/;" m class:ConcatenationOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, conv2DOptions):$/;" m class:Conv2DOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, cosOptions):$/;" m class:CosOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, cumsumOptions):$/;" m class:CumsumOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, customQuantization):$/;" m class:CustomQuantizationT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, densifyOptions):$/;" m class:DensifyOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, depthToSpaceOptions):$/;" m class:DepthToSpaceOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, depthwiseConv2DOptions):$/;" m class:DepthwiseConv2DOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, dequantizeOptions):$/;" m class:DequantizeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, dimensionMetadata):$/;" m class:DimensionMetadataT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, divOptions):$/;" m class:DivOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, embeddingLookupSparseOptions):$/;" m class:EmbeddingLookupSparseOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, equalOptions):$/;" m class:EqualOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, expandDimsOptions):$/;" m class:ExpandDimsOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, expOptions):$/;" m class:ExpOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, fakeQuantOptions):$/;" m class:FakeQuantOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, fillOptions):$/;" m class:FillOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, floorDivOptions):$/;" m class:FloorDivOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, floorModOptions):$/;" m class:FloorModOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, fullyConnectedOptions):$/;" m class:FullyConnectedOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, gatherNdOptions):$/;" m class:GatherNdOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, gatherOptions):$/;" m class:GatherOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, greaterEqualOptions):$/;" m class:GreaterEqualOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, greaterOptions):$/;" m class:GreaterOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, hardSwishOptions):$/;" m class:HardSwishOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, ifOptions):$/;" m class:IfOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, int32Vector):$/;" m class:Int32VectorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, l2NormOptions):$/;" m class:L2NormOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, leakyReluOptions):$/;" m class:LeakyReluOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, lessEqualOptions):$/;" m class:LessEqualOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, lessOptions):$/;" m class:LessOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, localResponseNormalizationOptions):$/;" m class:LocalResponseNormalizationOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, logicalAndOptions):$/;" m class:LogicalAndOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, logicalNotOptions):$/;" m class:LogicalNotOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, logicalOrOptions):$/;" m class:LogicalOrOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, logSoftmaxOptions):$/;" m class:LogSoftmaxOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, lSHProjectionOptions):$/;" m class:LSHProjectionOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, lSTMOptions):$/;" m class:LSTMOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, matrixDiagOptions):$/;" m class:MatrixDiagOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, matrixSetDiagOptions):$/;" m class:MatrixSetDiagOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, maximumMinimumOptions):$/;" m class:MaximumMinimumOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, metadata):$/;" m class:MetadataT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, mirrorPadOptions):$/;" m class:MirrorPadOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, model):$/;" m class:ModelT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, mulOptions):$/;" m class:MulOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, negOptions):$/;" m class:NegOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, nonMaxSuppressionV4Options):$/;" m class:NonMaxSuppressionV4OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, nonMaxSuppressionV5Options):$/;" m class:NonMaxSuppressionV5OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, notEqualOptions):$/;" m class:NotEqualOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, oneHotOptions):$/;" m class:OneHotOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, operator):$/;" m class:OperatorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, operatorCode):$/;" m class:OperatorCodeT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, packOptions):$/;" m class:PackOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, padOptions):$/;" m class:PadOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, padV2Options):$/;" m class:PadV2OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, pool2DOptions):$/;" m class:Pool2DOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, powOptions):$/;" m class:PowOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, quantizationParameters):$/;" m class:QuantizationParametersT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, quantizeOptions):$/;" m class:QuantizeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, rangeOptions):$/;" m class:RangeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, rankOptions):$/;" m class:RankOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, reducerOptions):$/;" m class:ReducerOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, reshapeOptions):$/;" m class:ReshapeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, resizeBilinearOptions):$/;" m class:ResizeBilinearOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, resizeNearestNeighborOptions):$/;" m class:ResizeNearestNeighborOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, reverseSequenceOptions):$/;" m class:ReverseSequenceOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, reverseV2Options):$/;" m class:ReverseV2OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, rNNOptions):$/;" m class:RNNOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, scatterNdOptions):$/;" m class:ScatterNdOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, segmentSumOptions):$/;" m class:SegmentSumOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, selectOptions):$/;" m class:SelectOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, selectV2Options):$/;" m class:SelectV2OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, sequenceRNNOptions):$/;" m class:SequenceRNNOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, shapeOptions):$/;" m class:ShapeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, signatureDef):$/;" m class:SignatureDefT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, skipGramOptions):$/;" m class:SkipGramOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, sliceOptions):$/;" m class:SliceOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, softmaxOptions):$/;" m class:SoftmaxOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, spaceToBatchNDOptions):$/;" m class:SpaceToBatchNDOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, spaceToDepthOptions):$/;" m class:SpaceToDepthOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, sparseToDenseOptions):$/;" m class:SparseToDenseOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, sparsityParameters):$/;" m class:SparsityParametersT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, splitOptions):$/;" m class:SplitOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, splitVOptions):$/;" m class:SplitVOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, squaredDifferenceOptions):$/;" m class:SquaredDifferenceOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, squareOptions):$/;" m class:SquareOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, squeezeOptions):$/;" m class:SqueezeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, stridedSliceOptions):$/;" m class:StridedSliceOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, subGraph):$/;" m class:SubGraphT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, subOptions):$/;" m class:SubOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, sVDFOptions):$/;" m class:SVDFOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, tensor):$/;" m class:TensorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, tensorMap):$/;" m class:TensorMapT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, tileOptions):$/;" m class:TileOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, topKV2Options):$/;" m class:TopKV2OptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, transposeConvOptions):$/;" m class:TransposeConvOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, transposeOptions):$/;" m class:TransposeOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, uint16Vector):$/;" m class:Uint16VectorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, uint8Vector):$/;" m class:Uint8VectorT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, unidirectionalSequenceLSTMOptions):$/;" m class:UnidirectionalSequenceLSTMOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, uniqueOptions):$/;" m class:UniqueOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, unpackOptions):$/;" m class:UnpackOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, whereOptions):$/;" m class:WhereOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, whileOptions):$/;" m class:WhileOptionsT +InitFromObj adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InitFromObj(cls, zerosLikeOptions):$/;" m class:ZerosLikeOptionsT +InitializableLookupTableBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class InitializableLookupTableBase(LookupInterface):$/;" c +InitializableLookupTableBaseV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class InitializableLookupTableBaseV1(InitializableLookupTableBase):$/;" c +InitializationOnlyStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class InitializationOnlyStatus(_LoadStatus):$/;" c +initialize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def initialize(cls, url_prefix='', port=None, address=None):$/;" m class:WebAggApplication +initialize adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ def initialize(self, plat_name=None):$/;" m class:IntelCCompilerW +initialize adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^ def initialize(self, plat_name=None):$/;" m class:MSVCCompiler +initialize adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvccompiler.py /^ def initialize(self):$/;" m class:MSVCCompiler +initialize adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def initialize(self, n, approx_type):$/;" m class:FullHessianUpdateStrategy +initialize adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def initialize(self, n, approx_type):$/;" m class:HessianUpdateStrategy +initialize adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def initialize(self, plat_name=None):$/;" m class:MSVCCompiler +initialize adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def initialize(self):$/;" m class:MSVCCompiler +initialize adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def initialize(self, plat_name=None):$/;" m class:MSVCCompiler +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def initialize(self):$/;" m class:_DefaultDistributionExtended.DefaultInputIterator +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def initialize(self):$/;" m class:DistributedIteratorV1 +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def initialize(self):$/;" m class:_SingleWorkerCallableIterator +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def initialize(self):$/;" m class:_SingleWorkerDatasetIterator +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def initialize(self):$/;" m class:StandardInputStep +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def initialize(self):$/;" m class:Step +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initialize(self, table):$/;" m class:DatasetInitializer +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initialize(self, table):$/;" m class:KeyValueTensorInitializer +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initialize(self, table):$/;" m class:TableInitializerBase +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initialize(self, table):$/;" m class:TextFileInitializer +initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def initialize($/;" f +initialized adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ initialized = False$/;" v class:WebAggApplication +initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def initialized(self):$/;" m class:InconvertibleTensorProto +initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def initialized():$/;" f +initialized_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def initialized_value(self):$/;" m class:PackedVarAndDevice +initialized_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def initialized_value(self):$/;" m class:AggregatingVariable +initialized_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def initialized_value(self):$/;" m class:DistributedVariable +initialized_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def initialized_value(self):$/;" m class:AutoCastVariable +initialized_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def initialized_value(self):$/;" m class:Variable +initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def initializer(self):$/;" m class:LayerParameter +initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def initializer(self, op):$/;" m class:LayerParameter +initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def initializer(blob_name):$/;" f member:LayerModelHelper._get_global_constant_initializer_op file: +Initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^class Initializer(object):$/;" c +initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def initializer(self):$/;" m class:RNNCell +initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def initializer(self, value):$/;" m class:RNNCell +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def initializer(self):$/;" m class:Iterator +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def initializer(self):$/;" m class:MultiDeviceIterator +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def initializer(self):$/;" m class:_DefaultDistributionExtended.DefaultInputIterator +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def initializer(self):$/;" m class:DistributedIteratorV1 +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def initializer(self):$/;" m class:AggregatingVariable +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def initializer(self):$/;" m class:DistributedVariable +Initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Initializer(object):$/;" c +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ initializer = lambda shape, dtype: [0]$/;" f member:CategoryEncoding.__init__ file: +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def initializer(self):$/;" m class:AutoCastVariable +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def initializer(self):$/;" m class:QuantileAccumulator +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def initializer(self):$/;" m class:TreeEnsemble +Initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Initializer(object):$/;" c +Initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Initializer(object):$/;" c +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initializer(self):$/;" m class:IdTableWithHashBuckets +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initializer(self):$/;" m class:InitializableLookupTableBaseV1 +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initializer(self):$/;" m class:StaticHashTableV1 +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def initializer(self):$/;" m class:StaticVocabularyTableV1 +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def initializer(self):$/;" m class:BaseResourceVariable +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def initializer(self):$/;" m class:RefVariable +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def initializer(self):$/;" m class:Variable +initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def initializer(self):$/;" m class:VariableScope +initializers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^ initializers = keras.initializers$/;" v +initializers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^ initializers = keras.initializers$/;" v +initializers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^ initializers = keras.initializers$/;" v +initializers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ initializers = keras.initializers$/;" v +initializers adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ initializers = keras.initializers$/;" v +InitializerTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers_test.py /^class InitializerTest(unittest.TestCase):$/;" c +InitializeTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^InitializeTable = tf_export("raw_ops.InitializeTable")(_ops.to_raw_op(initialize_table))$/;" v +InitializeTableFromDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^InitializeTableFromDataset = tf_export("raw_ops.InitializeTableFromDataset")(_ops.to_raw_op(init/;" v +InitializeTableFromTextFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^InitializeTableFromTextFile = tf_export("raw_ops.InitializeTableFromTextFile")(_ops.to_raw_op(in/;" v +InitializeTableFromTextFileV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^InitializeTableFromTextFileV2 = tf_export("raw_ops.InitializeTableFromTextFileV2")(_ops.to_raw_o/;" v +InitializeTableV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^InitializeTableV2 = tf_export("raw_ops.InitializeTableV2")(_ops.to_raw_op(initialize_table_v2))$/;" v +initialize_all_tables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def initialize_all_tables(name="init_all_tables"):$/;" f +initialize_all_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def initialize_all_variables():$/;" f +initialize_cuda_context_rng adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def initialize_cuda_context_rng():$/;" f +initialize_from_scratch adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def initialize_from_scratch(self):$/;" m class:Seq2SeqModelCaffe2 +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def initialize_graph(self):$/;" m class:_TensorFlowPngEncoder +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def initialize_graph(self):$/;" m class:_TensorFlowWavEncoder +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ def initialize_graph(self):$/;" m class:PersistentOpEvaluator +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def initialize_graph(self, input_statistics=None):$/;" m class:ARModel +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def initialize_graph(self, features, update_statistics=True):$/;" m class:InputStatisticsFromMiniBatch +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def initialize_graph(self, input_statistics=None):$/;" m class:TimeSeriesModel +initialize_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def initialize_graph(self, model, input_statistics=None):$/;" m class:PassthroughStateManager +initialize_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def initialize_iterator(iterator, distribution_strategy):$/;" f +initialize_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def initialize_iterator(iterator):$/;" f +initialize_label_smoothing_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_softmax_loss.py /^ def initialize_label_smoothing_constants(self):$/;" m class:BatchSoftmaxLoss +initialize_local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def initialize_local_variables():$/;" f +initialize_master_xpu_model_params adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def initialize_master_xpu_model_params(model, weights_file, opts, reset_epoch):$/;" f +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ def initialize_options(self):$/;" m class:build +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def initialize_options(self):$/;" m class:build_clib +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def initialize_options(self):$/;" m class:build_ext +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def initialize_options(self):$/;" m class:build_src +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def initialize_options(self):$/;" m class:config +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def initialize_options(self):$/;" m class:config_cc +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def initialize_options(self):$/;" m class:config_fc +initialize_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ def initialize_options(self):$/;" m class:install_clib +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ def initialize_options(self):$/;" m class:alias +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def initialize_options(self):$/;" m class:bdist_egg +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def initialize_options(self):$/;" m class:build_ext +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def initialize_options(self):$/;" m class:build_py +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def initialize_options(self):$/;" m class:develop +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^ def initialize_options(self):$/;" m class:dist_info +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def initialize_options(self):$/;" m class:easy_install +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def initialize_options(self):$/;" m class:egg_info +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def initialize_options(self):$/;" m class:manifest_maker +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def initialize_options(self):$/;" m class:install +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def initialize_options(self):$/;" m class:install_egg_info +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^ def initialize_options(self):$/;" m class:install_scripts +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ def initialize_options(self):$/;" m class:rotate +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def initialize_options(self):$/;" m class:sdist +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ def initialize_options(self):$/;" m class:option_base +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ def initialize_options(self):$/;" m class:setopt +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def initialize_options(self):$/;" m class:test +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def initialize_options(self):$/;" m class:upload_docs +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def initialize_options(self):$/;" m class:Command +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ def initialize_options(self):$/;" m class:bdist +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ def initialize_options(self):$/;" m class:bdist_dumb +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def initialize_options(self):$/;" m class:bdist_msi +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def initialize_options(self):$/;" m class:bdist_rpm +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def initialize_options(self):$/;" m class:bdist_wininst +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def initialize_options(self):$/;" m class:build +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def initialize_options(self):$/;" m class:build_clib +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def initialize_options(self):$/;" m class:build_ext +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def initialize_options(self):$/;" m class:build_py +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def initialize_options(self):$/;" m class:build_scripts +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def initialize_options(self):$/;" m class:check +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ def initialize_options(self):$/;" m class:clean +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def initialize_options(self):$/;" m class:config +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def initialize_options(self):$/;" m class:install +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ def initialize_options(self):$/;" m class:install_data +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ def initialize_options(self):$/;" m class:install_egg_info +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ def initialize_options(self):$/;" m class:install_headers +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def initialize_options(self):$/;" m class:install_lib +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ def initialize_options(self):$/;" m class:install_scripts +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def initialize_options(self):$/;" m class:register +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def initialize_options(self):$/;" m class:sdist +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ def initialize_options(self):$/;" m class:upload +initialize_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def initialize_options(self):$/;" m class:PyPIRCCommand +initialize_options adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def initialize_options(self):$/;" m class:InstallHeaders +initialize_options adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def initialize_options(self):$/;" m class:bdist_wheel +initialize_options versioneer.py /^ def initialize_options(self):$/;" m class:get_cmdclass.cmd_version +initialize_or_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def initialize_or_restore(self, session=None):$/;" m class:CheckpointLoadStatus +initialize_or_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def initialize_or_restore(self, session=None):$/;" m class:InitializationOnlyStatus +initialize_or_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def initialize_or_restore(self, session=None):$/;" m class:NameBasedSaverStatus +initialize_or_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def initialize_or_restore(self, session=None):$/;" m class:_LoadStatus +initialize_params_from_file adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def initialize_params_from_file(*args, **kwargs):$/;" f +initialize_params_from_file adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def initialize_params_from_file($/;" f +initialize_pg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def initialize_pg(init_method, rank, world_size):$/;" f +initialize_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def initialize_read(self):$/;" m class:MatFile4Reader +initialize_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def initialize_read(self):$/;" m class:MatFile5Reader +initialize_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^def initialize_resources(resource_list, name="init"):$/;" f +initialize_system adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def initialize_system(embedding_config=None,$/;" f +initialize_system_for_tpu_embedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def initialize_system_for_tpu_embedding(embedding_config, job=None):$/;" f +initialize_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table(table_handle, keys, values, name=None):$/;" f +initialize_table_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +initialize_table_from_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_dataset(table_handle, dataset, name=None):$/;" f +initialize_table_from_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_dataset_eager_fallback(table_handle, dataset, name, ctx):$/;" f +initialize_table_from_text_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_text_file(table_handle, filename, key_index, value_index, vocab_size=-/;" f +initialize_table_from_text_file_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_text_file_eager_fallback(table_handle, filename, key_index, value_inde/;" f +initialize_table_from_text_file_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_text_file_v2(table_handle, filename, key_index, value_index, vocab_siz/;" f +initialize_table_from_text_file_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_from_text_file_v2_eager_fallback(table_handle, filename, key_index, value_i/;" f +initialize_table_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_v2(table_handle, keys, values, name=None):$/;" f +initialize_table_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def initialize_table_v2_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +initialize_temp_directories adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def initialize_temp_directories(init_method=None):$/;" f +initialize_tpu_system adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^def initialize_tpu_system(cluster_resolver=None):$/;" f +initialize_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def initialize_variables():$/;" f member:Function.get_initialization_function file: +initialize_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def initialize_variables():$/;" f member:Function._initialize_uninitialized_variables file: +initialize_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def initialize_variables(var_list, name="init"):$/;" f +InitialPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InitialPhase(Phase):$/;" c function:getPhases file: +InitialPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InitialPhase(Phase):$/;" c function:getPhases file: +initial_analysis adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def initial_analysis(self, node, ctx):$/;" m class:PyToTF +initial_constraints_as_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^def initial_constraints_as_canonical(n, prepared_constraints, sparse_jacobian):$/;" f +initial_loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def initial_loss_scale(self):$/;" m class:_DynamicLossScaleState +initial_loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def initial_loss_scale(self):$/;" m class:DynamicLossScale +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def initial_metadata(self) -> Metadata:$/;" m class:Call +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def initial_metadata(self) -> Metadata:$/;" m class:Call +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def initial_metadata(self) -> Metadata:$/;" m class:AioRpcError +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def initial_metadata(self) -> Optional[Metadata]:$/;" m class:InterceptedCall +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def initial_metadata(self) -> Optional[Metadata]:$/;" m class:UnaryUnaryCallResponse +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def initial_metadata(self) -> Optional[Metadata]:$/;" m class:_StreamCallResponseIterator +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def initial_metadata(self):$/;" m class:_Rendezvous +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def initial_metadata(self, initial_metadata):$/;" m class:_FaceServicerContext +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def initial_metadata(self):$/;" m class:Call +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def initial_metadata(self, initial_metadata):$/;" m class:ResponseReceiver +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def initial_metadata(self, initial_metadata):$/;" m class:ServicerContext +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def initial_metadata(self):$/;" m class:_InactiveRpcError +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def initial_metadata(self):$/;" m class:_MultiThreadedRendezvous +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def initial_metadata(self):$/;" m class:_SingleThreadedRendezvous +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def initial_metadata(self):$/;" m class:_FailureOutcome +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def initial_metadata(self):$/;" m class:_UnaryOutcome +initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def initial_metadata(self):$/;" m class:Call +initial_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def initial_scale(self):$/;" m class:LossScaleOptimizer +initial_seed adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def initial_seed() -> int:$/;" f +initial_seed adpepsenv/lib/python3.8/site-packages/torch/random.py /^def initial_seed() -> int:$/;" f +initial_step_size adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def initial_step_size(fun, t0, y0, order, rtol, atol, f0):$/;" f +initial_style_batchers adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^initial_style_batchers : Dict[core.Primitive, Any] = {}$/;" v +initial_style_primitive_replicas adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def initial_style_primitive_replicas(params):$/;" f +initial_style_staging adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def initial_style_staging():$/;" f function:omnistaging_disabler file: +initial_style_translations adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^initial_style_translations: Dict[core.Primitive, Callable] = {}$/;" v +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def initial_value(self):$/;" m class:PackedVarAndDevice +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def initial_value(self, device):$/;" m class:PackedDistributedVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def initial_value(self):$/;" m class:AggregatingVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def initial_value(self):$/;" m class:DistributedVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def initial_value(self):$/;" m class:AutoCastVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def initial_value(self):$/;" m class:BaseResourceVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def initial_value(self):$/;" m class:RefVariable +initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def initial_value(self):$/;" m class:Variable +initial_value_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def initial_value_fn(): # pylint: disable=g-missing-docstring$/;" f member:CollectiveAllReduceExtended._get_variable_creator_initial_value file: +initial_value_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def initial_value_fn():$/;" f member:MirroredExtended._get_variable_creator_initial_value file: +initiate_shutdown adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def initiate_shutdown(self):$/;" m class:WSGIRequestHandler +InitOpsLibrary adpepsenv/lib/python3.8/site-packages/caffe2/python/dyndep.py /^def InitOpsLibrary(name, trigger_lazy=True):$/;" f +initOpts adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def initOpts(opts):$/;" f +InitProto adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def InitProto(self):$/;" m class:ModelHelper +initTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^def initTagSet(tag):$/;" f +init_A_tensor adpeps/ipeps/ipeps.py /^def init_A_tensor(d, D, pattern):$/;" f +init_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def init_backend(backend, *args, **kwargs):$/;" f +init_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^ def init_blob(value, suffix):$/;" f function:instance_norm file: +init_class adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def init_class(cls):$/;" m class:_TestCommon +init_ctm_tensors adpeps/ipeps/ipeps.py /^def init_ctm_tensors(A, Ad):$/;" f +init_data_input_workers adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^def init_data_input_workers($/;" f +init_dropout_state adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^def init_dropout_state(dropout, train, dropout_seed, dropout_state):$/;" f +init_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def init_empty(self, init_net):$/;" m class:Dataset +init_err adpepsenv/lib/python3.8/site-packages/torch/cuda/_utils.py /^ def init_err(self):$/;" f function:_dummy_type file: +init_feed_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def init_feed_dict(self):$/;" m class:Scaffold +init_feed_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def init_feed_dict(self):$/;" m class:Supervisor +init_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def init_fn(self):$/;" m class:Scaffold +init_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def init_fn(script_module):$/;" f function:lazy_bind.lazy_binding_method file: +init_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def init_fn(script_module):$/;" f function:create_script_module_impl file: +init_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def init_fn(script_module):$/;" f function:wrap_cpp_module file: +init_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def init_fn(script_module):$/;" f member:RecursiveScriptModule._replicate_for_data_parallel file: +init_from_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def init_from_checkpoint(ckpt_dir_or_file, assignment_map):$/;" f +init_from_checkpoint_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^ init_from_checkpoint_fn = lambda _: _init_from_checkpoint($/;" f function:init_from_checkpoint file: +init_from_dataframe adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def init_from_dataframe(self, net, dataframe):$/;" m class:Dataset +init_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def init_fun(worker_coordinator, global_coordinator):$/;" f member:ParallelWorkersTest.testParallelWorkersInitFun file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:_pooling_layer.PoolingLayer file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:BatchNorm file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:Dense file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:Dropout file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:FanInConcat file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:Flatten file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:GeneralConv file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:GeneralConvTranspose file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:parallel file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:serial file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def init_fun(rng, input_shape):$/;" f function:shape_dependent file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ init_fun = lambda rng, input_shape: (input_shape, ())$/;" f function:elementwise file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ init_fun = lambda rng, input_shape: (input_shape, ())$/;" f function:Identity file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ init_fun = lambda rng, input_shape: (input_shape[0], ())$/;" f function:FanInSum file: +init_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ init_fun = lambda rng, input_shape: ([input_shape] * num, ())$/;" f function:FanOut file: +init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def init_func(self):$/;" m class:Reducer +init_gevent adpepsenv/lib/python3.8/site-packages/grpc/experimental/gevent.py /^def init_gevent():$/;" f +init_identity adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ init_identity = lambda x: pack(const(dtype, init), const(dtype, 0))$/;" f function:_select_and_gather_add file: +init_layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def init_layoutbox(self):$/;" m class:Figure +init_logger adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def init_logger():$/;" f +init_logs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def init_logs(self):$/;" m class:AnyExpTrainer +init_lstm_model adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def init_lstm_model(self, T, num_layers, forward_only, use_loss=True):$/;" m class:TestRNNExecutor +init_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def init_method(self):$/;" m class:TestDistBackend +INIT_METHOD adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^INIT_METHOD = os.getenv("INIT_METHOD", "env:\/\/")$/;" v +init_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def init_method(self):$/;" m class:RpcAgentTestFixture +INIT_METHOD_TEMPLATE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^INIT_METHOD_TEMPLATE = FILE_SCHEMA + "{file_name}"$/;" v +init_metrics adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def init_metrics(self):$/;" m class:AnyExpTrainer +init_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def init_model(self):$/;" m class:AnyExpTrainer +init_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet.py /^def init_model(self):$/;" f +init_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet_no_test_model.py /^def init_model(self):$/;" f +init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ init_net = ConvertTensorProtosToInitNet(pretrained_params, external_input)$/;" v +init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/models/__sym_init__.py /^init_net = _parseFile('init_net.pb')$/;" v +init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def init_net(self):$/;" m class:Caffe2CppRep +INIT_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ INIT_OP = "init_op"$/;" v class:GraphKeys +init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def init_op(self):$/;" m class:Scaffold +init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def init_op(self):$/;" m class:Supervisor +init_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def init_options(self, options):$/;" m class:SHGO +INIT_OP_SIGNATURE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^INIT_OP_SIGNATURE_KEY = "__saved_model_init_op"$/;" v +init_per_thread_state adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def init_per_thread_state(self):$/;" m class:HTTPDigestAuth +init_per_thread_state adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def init_per_thread_state(self):$/;" m class:HTTPDigestAuth +init_plots adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def init_plots(self):$/;" m class:AnyExpTrainer +init_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def init_pool(seqs):$/;" f +init_poolmanager adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def init_poolmanager(self, *args, **kwargs):$/;" m class:_MutualTlsAdapter +init_poolmanager adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def init_poolmanager(self, connections, maxsize, block=DEFAULT_POOLBLOCK, **pool_kwargs):$/;" m class:HTTPAdapter +init_poolmanager adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def init_poolmanager(self, connections, maxsize, block=DEFAULT_POOLBLOCK, **pool_kwargs):$/;" m class:HTTPAdapter +init_pool_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def init_pool_generator(gens, random_seed=None, id_queue=None):$/;" f +init_population_array adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def init_population_array(self, init):$/;" m class:DifferentialEvolutionSolver +init_population_lhs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def init_population_lhs(self):$/;" m class:DifferentialEvolutionSolver +init_population_random adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def init_population_random(self):$/;" m class:DifferentialEvolutionSolver +init_process_group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def init_process_group(backend,$/;" f +INIT_PY_SNIPPET versioneer.py /^INIT_PY_SNIPPET = """$/;" v +init_rank adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def init_rank(num_ranks, uid, rank):$/;" f +init_reductions adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def init_reductions():$/;" f +init_restore_or_wait_for_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def init_restore_or_wait_for_variables():$/;" f +init_rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^ def init_rpc($/;" f +init_run_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def init_run_config(config, tf_config):$/;" f +init_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def init_scope():$/;" f +INIT_SCROLL_POS_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^INIT_SCROLL_POS_KEY = "init_scroll_pos"$/;" v +init_shard_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def init_shard_fn(shard_index):$/;" f member:ParameterServerStrategyV2Extended._create_variable file: +init_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def init_state(self, node):$/;" m class:GraphVisitor +init_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def init_state(self, _):$/;" m class:Analyzer +init_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def init_state(self, _):$/;" m class:Analyzer +init_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def init_state(self, _):$/;" m class:Analyzer +init_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def init_state(self, _):$/;" m class:Analyzer +init_then_script adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def init_then_script(self, *args, **kwargs):$/;" f member:ScriptMeta.__init__ file: +init_tt_cores adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core.py /^def init_tt_cores(inp_sizes, out_sizes, tt_ranks, seed=1234):$/;" f +init_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def init_variable(v, init, name="init"):$/;" f +init_var_from_numpy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/numpy_dataset.py /^def init_var_from_numpy(input_var, numpy_input, session):$/;" f +init_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_lr_loss.py /^ def init_weight(self, jsd_weight, homotopy_weighting):$/;" m class:BatchLRLoss +init_window adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def init_window(self):$/;" m class:ConfigureSubplotsGTK3 +init_window adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def init_window(self):$/;" m class:ConfigureSubplotsTk +init_workers adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^def init_workers($/;" f +InjectCrossDeviceCopies adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InjectCrossDeviceCopies(net, blob_to_device=None, blob_remap=None,$/;" f +InjectDeviceCopiesAmongNets adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InjectDeviceCopiesAmongNets(nets, blob_to_device_init=None):$/;" f +InjectDeviceCopiesAmongNetsWithoutB2D adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def InjectDeviceCopiesAmongNetsWithoutB2D(nets, blob_to_device_init=None):$/;" f +injecting_start_response adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def injecting_start_response(status, headers, exc_info=None):$/;" f function:_easteregg.easteregged file: +inject_functional_model_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def inject_functional_model_class(cls):$/;" f +inject_into_urllib3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def inject_into_urllib3():$/;" f +inject_into_urllib3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^def inject_into_urllib3():$/;" f +inject_into_urllib3 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def inject_into_urllib3():$/;" f +inject_into_urllib3 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^def inject_into_urllib3():$/;" f +inject_meta_charset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ inject_meta_charset = True$/;" v class:HTMLSerializer +inject_meta_charset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ inject_meta_charset = True$/;" v class:HTMLSerializer +inject_securetransport adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/inject_securetransport.py /^def inject_securetransport():$/;" f +inject_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def inject_str(s):$/;" f member:TestAssignment.test_unicode_assignment file: +inject_wsgi adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def inject_wsgi(self, environ):$/;" m class:_TestCookieJar +INLINE adpepsenv/lib/python3.8/site-packages/grpc/framework/common/style.py /^ INLINE = 'inline'$/;" v class:Service +inlined_graph adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def inlined_graph(self):$/;" m class:RecursiveScriptModule +InlineError adpepsenv/lib/python3.8/site-packages/pasta/augment/inline.py /^class InlineError(Exception):$/;" c +inlineLiteralsUsing adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def inlineLiteralsUsing(cls):$/;" m class:ParserElement +inlineLiteralsUsing adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def inlineLiteralsUsing(cls):$/;" m class:ParserElement +inlineLiteralsUsing adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def inlineLiteralsUsing(cls):$/;" m class:ParserElement +inlineLiteralsUsing adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def inlineLiteralsUsing(cls):$/;" m class:ParserElement +InlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class InlineProcessor(Pattern):$/;" c +InlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^class InlineProcessor(Treeprocessor):$/;" c +InlineTableDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^class InlineTableDict(object):$/;" c +InlineTest adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^class InlineTest(test_utils.TestCase):$/;" c +inline_everything_mode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def inline_everything_mode(should_inline):$/;" f +inline_graph adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^ def inline_graph(subgraph, name, node):$/;" f function:visualize_rec file: +inline_host_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def inline_host_callback() -> bool:$/;" f +inline_name adpepsenv/lib/python3.8/site-packages/pasta/augment/inline.py /^def inline_name(t, name):$/;" f +INLINE_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/markdown/util.py /^INLINE_PLACEHOLDER = INLINE_PLACEHOLDER_PREFIX + "%s" + ETX$/;" v +INLINE_PLACEHOLDER_PREFIX adpepsenv/lib/python3.8/site-packages/markdown/util.py /^INLINE_PLACEHOLDER_PREFIX = STX+"klzzwxh:"$/;" v +INLINE_PLACEHOLDER_RE adpepsenv/lib/python3.8/site-packages/markdown/util.py /^INLINE_PLACEHOLDER_RE = re.compile(INLINE_PLACEHOLDER % r'([0-9]+)')$/;" v +INLINE_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ INLINE_RE = re.compile(r'^{}'.format(BASE_RE))$/;" v class:AttrListTreeprocessor +inline_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def inline_stream_stream(self,$/;" m class:_GenericStub +inline_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def inline_stream_stream(self,$/;" m class:GenericStub +inline_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def inline_unary_stream(self,$/;" m class:_GenericStub +inline_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def inline_unary_stream(self,$/;" m class:GenericStub +InMemoryEvaluatorHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^class InMemoryEvaluatorHook(tf.compat.v1.train.SessionRunHook):$/;" c +inner adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def inner(data, ind):$/;" f function:ref_gather file: +inner adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def inner(data, ind):$/;" f function:ref_gather_axis0 file: +inner adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def inner(data, ind):$/;" f function:ref_gather_match_outer file: +inner adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def inner(a, b, *, precision=None):$/;" f +inner adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def inner(ax, *args, data=None, **kwargs):$/;" f function:_preprocess_data file: +inner adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def inner(a, b):$/;" f +inner adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def inner(a, b):$/;" f +inner adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def inner(*args, **kwds):$/;" f member:ContextDecorator.__call__ file: +inner adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^ def inner(func):$/;" f function:doc_replace file: +inner adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def inner(*args, **kwargs):$/;" f function:all_of_type.outer file: +inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def inner(values):$/;" f function:_generate_isinstance_check file: +Inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ class Inner(keras.Model):$/;" c function:get_nested_model_3 file: +inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ def inner(*args, **kwargs):$/;" f function:weakmethod file: +inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def inner(*args, **kwargs):$/;" f function:recompute_grad file: +inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def inner(a, b): # pylint: disable=missing-function-docstring$/;" f +inner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def inner(fn):$/;" f function:largeTensorTest file: +inner adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def inner():$/;" f function:run_simple file: +inner1 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def inner1(y):$/;" f function:Jax2TfTest.test_convert_of_nested_dependent_jit.func file: +inner1 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def inner1(y):$/;" f function:Jax2TfTest.test_convert_of_nested_independent_jit.func file: +InnerDecode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def InnerDecode(buffer, pos):$/;" f function:_DoubleDecoder file: +InnerDecode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def InnerDecode(buffer, pos):$/;" f function:_FloatDecoder file: +InnerDecode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def InnerDecode(buffer, pos):$/;" f function:_ModifiedDecoder file: +InnerDecode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def InnerDecode(buffer, pos):$/;" f function:_StructPackDecoder file: +InnerModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class InnerModule(torch.nn.Module):$/;" c +innernode adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ class innernode(node):$/;" c class:KDTree +innerproduct adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^innerproduct = inner$/;" v +InnerTypeConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class InnerTypeConstraint(AbstractConstraint):$/;" c +inner_cm adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def inner_cm():$/;" f member:FuncGraph.as_default file: +inner_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/bfloat16.py /^ def inner_custom_getter(getter, *args, **kwargs):$/;" f function:_get_custom_getter file: +inner_dim_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def inner_dim_sizes(self):$/;" m class:RaggedTensorDynamicShape +inner_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def inner_f(*inner_tensor_args):$/;" f function:py_func_if_in_function.decorated file: +inner_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^ def inner_fn(y):$/;" f function:nested_functions file: +inner_func adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def inner_func(self, *args, **kwargs):$/;" f function:_invalidates_cache file: +inner_func adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def inner_func(*args, **kwargs):$/;" f member:rrulewrapper._aware_return_wrapper file: +inner_graph_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def inner_graph_ids(self):$/;" m class:DebuggedGraph +inner_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def inner_optimizer(self):$/;" m class:LossScaleOptimizer +inner_prod adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def inner_prod(xs, ys):$/;" f +inner_product adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/kernelized_utils.py /^def inner_product(u, v):$/;" f +inner_recompute_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def inner_recompute_grad(*dresult):$/;" f function:recompute_grad.inner.grad_wrapper file: +inner_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def inner_wrapper(func):$/;" f function:trace_wrapper file: +INNINGS_TELECOM_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INNINGS_TELECOM_ADPCM = 0x1979$/;" v class:WAVE_FORMAT +inp adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ inp = lambda: arange(s, dtype=dtype)[o:]$/;" f function:_gen_alignment_data file: +inp1 adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ inp1 = lambda: arange(s, dtype=dtype)[o:]$/;" f function:_gen_alignment_data file: +inp2 adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ inp2 = lambda: arange(s, dtype=dtype)[o:]$/;" f function:_gen_alignment_data file: +InplaceAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^InplaceAdd = tf_export("raw_ops.InplaceAdd")(_ops.to_raw_op(inplace_add))$/;" v +InplaceFunction adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class InplaceFunction(Function):$/;" c +InplaceSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^InplaceSub = tf_export("raw_ops.InplaceSub")(_ops.to_raw_op(inplace_sub))$/;" v +InplaceUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^InplaceUpdate = tf_export("raw_ops.InplaceUpdate")(_ops.to_raw_op(inplace_update))$/;" v +inplace_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_add(x, i, v, name=None):$/;" f +inplace_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def inplace_add(x, i, v):$/;" f +inplace_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_add_eager_fallback(x, i, v, name, ctx):$/;" f +inplace_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_sub(x, i, v, name=None):$/;" f +inplace_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def inplace_sub(x, i, v):$/;" f +inplace_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_sub_eager_fallback(x, i, v, name, ctx):$/;" f +inplace_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_update(x, i, v, name=None):$/;" f +inplace_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def inplace_update(x, i, v):$/;" f +inplace_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def inplace_update_eager_fallback(x, i, v, name, ctx):$/;" f +InPolymorphicTwice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^InPolymorphicTwice = tf_export("raw_ops.InPolymorphicTwice")(_ops.to_raw_op(in_polymorphic_twice/;" v +INPROGRESS adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^INPROGRESS = 'No error'$/;" v +input adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def input(self, s):$/;" m class:Tokenizer +Input adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^Input = _reflection.GeneratedProtocolMessageType('Input', (_message.Message,), dict($/;" v +input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def input(self):$/;" m class:Layer +input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def input(self):$/;" m class:Layer +input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def input(self):$/;" m class:Functional +Input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^def Input( # pylint: disable=invalid-name$/;" f +input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def input(self, index):$/;" m class:_PforInput +InputArray adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^InputArray = _reflection.GeneratedProtocolMessageType('InputArray', (_message.Message,), {$/;" v +InputArrayShape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^InputArrayShape = _reflection.GeneratedProtocolMessageType('InputArrayShape', (_message.Message,/;" v +InputContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class InputContext(object):$/;" c +InputError adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class InputError(Exception):$/;" c +InputError adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^class InputError(Exception):$/;" c +InputFunctionIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class InputFunctionIterator(DistributedIteratorV1):$/;" c +InputLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class InputLayer(object):$/;" c +InputLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^class InputLayer(base_layer.Layer):$/;" c +InputLayerSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^class InputLayerSavedModelSaver(base_serialization.SavedModelSaver):$/;" c +InputOpDetails adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^InputOpDetails = _reflection.GeneratedProtocolMessageType('InputOpDetails', (_message.Message,),/;" v +InputOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class InputOptions($/;" c +InputPipelineAnalysisRecommendation adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^InputPipelineAnalysisRecommendation = _reflection.GeneratedProtocolMessageType('InputPipelineAna/;" v +InputPipelineAnalysisResult adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^InputPipelineAnalysisResult = _reflection.GeneratedProtocolMessageType('InputPipelineAnalysisRes/;" v +InputPipelineConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^class InputPipelineConfig(object):$/;" c +InputPipelineMetadata adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^InputPipelineMetadata = _reflection.GeneratedProtocolMessageType('InputPipelineMetadata', (_mess/;" v +InputPipelineStat adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^InputPipelineStat = _reflection.GeneratedProtocolMessageType('InputPipelineStat', (_message.Mess/;" v +InputPipelineStats adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^InputPipelineStats = _reflection.GeneratedProtocolMessageType('InputPipelineStats', (_message.Me/;" v +InputReplicationMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class InputReplicationMode(enum.Enum):$/;" c +Inputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Inputs(self, j):$/;" m class:Operator +Inputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Inputs(self, j):$/;" m class:SignatureDef +Inputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Inputs(self, j):$/;" m class:SubGraph +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def inputs(self):$/;" m class:DFSGraphTracer +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def inputs(self):$/;" m class:ConcreteFunction +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def inputs(self):$/;" m class:Operation +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def inputs(self):$/;" m class:CallContext +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def inputs(self):$/;" m class:WhileOp +inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def inputs(self):$/;" m class:_PforInput +InputsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsAsNumpy(self):$/;" m class:Operator +InputsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsAsNumpy(self):$/;" m class:SubGraph +InputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsIsNone(self):$/;" m class:Operator +InputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsIsNone(self):$/;" m class:SignatureDef +InputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsIsNone(self):$/;" m class:SubGraph +InputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsLength(self):$/;" m class:Operator +InputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsLength(self):$/;" m class:SignatureDef +InputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def InputsLength(self):$/;" m class:SubGraph +InputSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^InputSpec = input_spec.InputSpec # pylint:disable=invalid-name$/;" v +InputSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^InputSpec = input_spec.InputSpec # pylint:disable=invalid-name$/;" v +InputSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^class InputSpec(object):$/;" c +InputSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^InputSpec = base_layer.InputSpec # pylint: disable=invalid-name$/;" v +InputSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/base.py /^InputSpec = base.InputSpec$/;" v +InputsStructureRecorder adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ class InputsStructureRecorder(object):$/;" c class:_InputPipeline +InputState adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class InputState(object):$/;" c +InputState adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class InputState(object):$/;" c +InputStatistics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^InputStatistics = collections.namedtuple($/;" v +InputStatisticsFromMiniBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^class InputStatisticsFromMiniBatch(object):$/;" c +InputStream adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class InputStream(object):$/;" c +inputs_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def inputs_name(self):$/;" m class:PredictorExportMeta +InputTimeBreakdown adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^InputTimeBreakdown = _reflection.GeneratedProtocolMessageType('InputTimeBreakdown', (_message.Me/;" v +InputVariableMixin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class InputVariableMixin(object):$/;" c +InputWorkers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class InputWorkers(object):$/;" c +input_as_callable adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def input_as_callable(cls, input):$/;" m class:parameterized +input_aval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def input_aval(arg: TfVal, in_shape: Optional[str]) -> core.AbstractValue:$/;" f function:_input_avals file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:DataParallelModelTest.run_model file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:ParallelizeBMUFTest.test_parallelize_bmuf file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:ParallelizeBMUFTest._run_model file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:RecurrentNetworkParallelTest.run_model file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:SparseDataParallelModelTest.run_model file: +input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def input_builder_fun(model):$/;" f member:SparseDataParallelModelTestWithSharedIndices.run_model file: +input_caffemodel adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ input_caffemodel = args.caffemodel$/;" v +input_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def input_conv(self, x, w, b=None, padding='valid'):$/;" m class:ConvLSTM2DCell +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ input_dims = output_dims = 2$/;" v class:_GeoTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ input_dims = output_dims = 2$/;" v class:InvertedPolarTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ input_dims = output_dims = 2$/;" v class:PolarTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:FuncTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:InvertedLogTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:InvertedSymmetricalLogTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:LogisticTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:LogitTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:LogTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ input_dims = output_dims = 1$/;" v class:SymmetricalLogTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ input_dims = output_dims = 2$/;" v class:test_non_affine_caching.AssertingNonAffineTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ input_dims = 2$/;" v class:NonAffineForTest +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ input_dims = 2$/;" v class:Affine2DBase +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ input_dims = 2$/;" v class:BlendedGenericTransform +input_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ input_dims = None$/;" v class:Transform +input_dims adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ input_dims = output_dims = 2$/;" v class:test_custom_transform.MyTransform +input_dims adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ input_dims = output_dims = 2$/;" v class:test_custom_transform.MyTransformInv +input_feature_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def input_feature_schema(self):$/;" m class:LayerModelHelper +input_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ input_flatten = lambda x: nest.flatten(x) if input_is_sequence else [x]$/;" f function:scan file: +input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/numpy_io.py /^ def input_fn():$/;" f function:numpy_input_fn file: +input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^ def input_fn():$/;" f function:pandas_input_fn file: +input_func adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ input_func = lambda prompt="": next(gen)$/;" f member:TestRealScalars.test_py2_float_print file: +input_generater adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^ def input_generater():$/;" f member:TestCTCBeamSearchDecoderOp.test_ctc_beam_search_decoder file: +input_generater adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def input_generater():$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder file: +input_generater adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def input_generater():$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder_no_merge_arg file: +input_help adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ input_help = 'Name of the file to %(operation)s. Reads from stdin if ' \\$/;" v class:CryptoOperation +input_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def input_layer(features,$/;" f +input_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^input_layer = LazyLoader($/;" v +input_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^input_layer = LazyLoader($/;" v +input_layer_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^from tensorflow.python.keras.engine import input_layer as input_layer_module$/;" x +input_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^input_lib = lazy_loader.LazyLoader($/;" v +input_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^input_lib = lazy_loader.LazyLoader($/;" v +input_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def input_mask(self):$/;" m class:Layer +input_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def input_mask(self):$/;" m class:Layer +input_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def input_names(self):$/;" m class:GraphOpCreationDigest +INPUT_ORDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^INPUT_ORDER = {$/;" v +input_pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def input_pack(x):$/;" f function:scan file: +input_partition_dims adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def input_partition_dims(self):$/;" m class:_InternalTPUContext +input_pipeline_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def input_pipeline_id(self):$/;" m class:InputContext +input_producer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def input_producer(input_tensor,$/;" f +input_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ input_proto = args.prototext$/;" v +input_record adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def input_record(self):$/;" m class:Net +input_record adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def input_record(self):$/;" m class:ModelLayer +input_reduce adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def input_reduce(input, fn, acc):$/;" f function:JitTestCase.checkTrace.flatten_inputs file: +input_sequence_mask_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def input_sequence_mask_key(self):$/;" m class:SequentialHeadWrapper +input_sequence_mask_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def input_sequence_mask_key(self):$/;" m class:_SequentialHead +input_shape adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ input_shape = (800, 200)$/;" v class:TestFFTThreadSafe +input_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ input_shape = (800, 200)$/;" v class:TestFFTThreadSafe +input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def input_shape(self):$/;" m class:Layer +input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def input_shape(self):$/;" m class:Layer +input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def input_shape(self):$/;" m class:Functional +input_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def input_shapes(self):$/;" m class:Node +input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def input_signature(self):$/;" m class:Function +input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def input_signature(self):$/;" m class:Function +input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def input_signature(self):$/;" m class:FunctionSpec +input_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ input_size = (2, 2) + (4,) * d$/;" v +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def input_spec(self):$/;" m class:Layer +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def input_spec(self, value):$/;" m class:Layer +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def input_spec(self):$/;" m class:Layer +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def input_spec(self, value):$/;" m class:Layer +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def input_spec(self):$/;" m class:Functional +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def input_spec(self, value):$/;" m class:Functional +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def input_spec(self):$/;" m class:Sequential +input_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def input_spec(self, value):$/;" m class:Sequential +input_stream adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def input_stream(self):$/;" m class:EnvironBuilder +input_stream adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def input_stream(self, value):$/;" m class:EnvironBuilder +input_stream adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ input_stream = environ_property($/;" v class:BaseRequest +input_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def input_tensors(self):$/;" m class:Node +input_tensor_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def input_tensor_ids(self):$/;" m class:Execution +InRowPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InRowPhase(Phase):$/;" c function:getPhases file: +InRowPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InRowPhase(Phase):$/;" c function:getPhases file: +InsecureCacheControlAdapter adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^class InsecureCacheControlAdapter(CacheControlAdapter):$/;" c +InsecureHTTPAdapter adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^class InsecureHTTPAdapter(HTTPAdapter):$/;" c +InsecurePlatformWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class InsecurePlatformWarning(SecurityWarning):$/;" c +InsecurePlatformWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class InsecurePlatformWarning(SecurityWarning):$/;" c +InsecureRequestWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class InsecureRequestWarning(SecurityWarning):$/;" c +InsecureRequestWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class InsecureRequestWarning(SecurityWarning):$/;" c +InsecureTransportError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^class InsecureTransportError(OAuth1Error):$/;" c +InsecureTransportError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InsecureTransportError(OAuth2Error):$/;" c +insecure_channel adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^def insecure_channel($/;" f +insecure_channel adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def insecure_channel(host, port):$/;" f +insecure_channel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def insecure_channel(target, options=None, compression=None):$/;" f +insecure_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^def insecure_channel_credentials():$/;" f +InSelectInTablePhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InSelectInTablePhase(Phase):$/;" c function:getPhases file: +InSelectInTablePhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InSelectInTablePhase(Phase):$/;" c function:getPhases file: +InSelectPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class InSelectPhase(Phase):$/;" c function:getPhases file: +InSelectPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class InSelectPhase(Phase):$/;" c function:getPhases file: +insert adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def insert(self, key, value):$/;" m class:RepeatedCompositeFieldContainer +insert adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def insert(self, key, value):$/;" m class:RepeatedScalarFieldContainer +insert adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def insert(arr, obj, values, axis=None):$/;" f +insert adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def insert(self, index, insStr):$/;" m class:ParseResults +insert adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def insert( self, index, insStr ):$/;" m class:ParseResults +insert adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def insert(self, index, insStr):$/;" m class:ParseResults +insert adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def insert(x, tck, m=1, per=0):$/;" f +insert adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def insert(x, tck, m=1, per=0):$/;" f +insert adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def insert( self, index, insStr ):$/;" m class:ParseResults +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def insert(self, index, item):$/;" m class:Menu +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def insert(self, keys, values):$/;" m class:TableHandler +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def insert(self, keys, values, name=None):$/;" m class:DenseHashTable +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def insert(self, keys, values, name=None):$/;" m class:MutableHashTable +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def insert(self, func):$/;" m class:FuncRegistry +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def insert(self, keys, values):$/;" m class:CheckpointedOp +insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def insert(self, index, obj):$/;" m class:ListWrapper +insert adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def insert(self, tree_ids, node_ids, logits):$/;" m class:_CacheTrainingStatesUsingHashTable +insert adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def insert(self, tree_ids, node_ids, logits):$/;" m class:_CacheTrainingStatesUsingVariables +insert adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def insert(self, keys, values, name=None):$/;" m class:_MutableDenseHashTable +insert adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def insert(self, keys, values, name=None):$/;" m class:_ShardedMutableDenseHashTable +insert adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def insert(self, index: int, module: Module) -> None:$/;" m class:ModuleList +insert adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def insert(g, self, pos, tensor):$/;" f +insert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^ def insert(fn):$/;" f function:register_dynamic_quant_pattern file: +insert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^ def insert(fn):$/;" f function:register_fusion_pattern file: +insert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^ def insert(fn):$/;" f function:register_quant_pattern file: +insert adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def insert(self, pos, value):$/;" m class:ImmutableHeadersMixin +insert adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def insert(self, pos, value):$/;" m class:ImmutableListMixin +insertBefore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertBefore(self, node, refNode):$/;" m class:Node +insertBefore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def insertBefore(self, node, refNode):$/;" m class:getDomBuilder.NodeBuilder +insertBefore adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def insertBefore(self, node, refNode):$/;" m class:getETreeBuilder.Element +insertBefore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertBefore(self, node, refNode):$/;" m class:Node +insertBefore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def insertBefore(self, node, refNode):$/;" m class:getDomBuilder.NodeBuilder +insertBefore adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def insertBefore(self, node, refNode):$/;" m class:getETreeBuilder.Element +insertComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertComment(self, token, parent=None):$/;" m class:TreeBuilder +insertComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertComment(self, token, parent=None):$/;" m class:TreeBuilder +insertCommentInitial adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertCommentInitial(self, data, parent=None):$/;" m class:TreeBuilder +insertCommentInitial adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertCommentInitial(self, data, parent=None):$/;" m class:TreeBuilder +insertCommentMain adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertCommentMain(self, data, parent=None):$/;" m class:TreeBuilder +insertCommentMain adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertCommentMain(self, data, parent=None):$/;" m class:TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertDoctype(self, token):$/;" m class:TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def insertDoctype(self, token):$/;" m class:getDomBuilder.TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertDoctype(self, token):$/;" m class:TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertDoctype(self, token):$/;" m class:TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def insertDoctype(self, token):$/;" m class:getDomBuilder.TreeBuilder +insertDoctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertDoctype(self, token):$/;" m class:TreeBuilder +insertElementNormal adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertElementNormal(self, token):$/;" m class:TreeBuilder +insertElementNormal adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertElementNormal(self, token):$/;" m class:TreeBuilder +insertElementTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertElementTable(self, token):$/;" m class:TreeBuilder +insertElementTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertElementTable(self, token):$/;" m class:TreeBuilder +insertFromTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ insertFromTable = property(_getInsertFromTable, _setInsertFromTable)$/;" v class:TreeBuilder +insertFromTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ insertFromTable = property(_getInsertFromTable, _setInsertFromTable)$/;" v class:TreeBuilder +InsertGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def InsertGrad(op, dmap):$/;" f +insertHtmlElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def insertHtmlElement(self):$/;" m class:getPhases.BeforeHtmlPhase +insertHtmlElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def insertHtmlElement(self):$/;" m class:getPhases.BeforeHtmlPhase +insertInput adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^ def insertInput(self, p):$/;" m class:NetDefNode +insertRoot adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertRoot(self, token):$/;" m class:TreeBuilder +insertRoot adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertRoot(self, token):$/;" m class:TreeBuilder +insertRoot adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertRoot(self, token):$/;" m class:TreeBuilder +insertRoot adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertRoot(self, token):$/;" m class:TreeBuilder +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def insertText(self, token):$/;" m class:getPhases.InTablePhase +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertText(self, data, insertBefore=None):$/;" m class:Node +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def insertText(self, data, parent=None):$/;" m class:TreeBuilder +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def insertText(self, data, insertBefore=None):$/;" m class:getDomBuilder.NodeBuilder +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def insertText(self, data, parent=None):$/;" m class:getDomBuilder.TreeBuilder +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def insertText(self, data, insertBefore=None):$/;" m class:getETreeBuilder.Element +insertText adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertText(self, data, insertBefore=None):$/;" m class:TreeBuilder.__init__.Element +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def insertText(self, token):$/;" m class:getPhases.InTablePhase +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertText(self, data, insertBefore=None):$/;" m class:Node +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def insertText(self, data, parent=None):$/;" m class:TreeBuilder +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def insertText(self, data, insertBefore=None):$/;" m class:getDomBuilder.NodeBuilder +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def insertText(self, data, parent=None):$/;" m class:getDomBuilder.TreeBuilder +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def insertText(self, data, insertBefore=None):$/;" m class:getETreeBuilder.Element +insertText adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def insertText(self, data, insertBefore=None):$/;" m class:TreeBuilder.__init__.Element +insert_activation_post_process adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^ def insert_activation_post_process(m):$/;" f function:add_observer_ file: +insert_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def insert_fn():$/;" f function:_bt_model_fn._train_op_fn._grow_tree_fn file: +insert_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def insert_many(self, component_index, keys, values, name=None):$/;" m class:Barrier +insert_observer adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def insert_observer(node, observer, device):$/;" f member:Quantizer._prepare file: +insert_on adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def insert_on(self, path, loc=None, replace=False):$/;" m class:Distribution +insert_on adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def insert_on(self, path, loc=None, replace=False):$/;" m class:Distribution +insert_option_group adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def insert_option_group(self, idx, *args, **kwargs):$/;" m class:CustomOptionParser +insert_op_at_idx adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def insert_op_at_idx(self, op, op_idx):$/;" m class:Net +insert_or_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def insert_or_assign(self, keys, values, name=None):$/;" m class:DenseHashTable +insert_stopping_signal adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def insert_stopping_signal(stop, batch_size, add_padding=False):$/;" m class:_InputsWithStoppingSignals +InsetPosition adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^class InsetPosition:$/;" c +inset_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def inset_axes(self, bounds, *, transform=None, zorder=5, **kwargs):$/;" m class:Axes +inset_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^def inset_axes(parent_axes, width, height, loc='upper right',$/;" f +inset_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def inset_locator(ax, renderer):$/;" f function:_make_inset_locator file: +INSIDE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ INSIDE = enum.auto()$/;" v class:DdpMode +insideA adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def insideA(xy_display):$/;" f member:ConnectionStyle._Base._clip file: +insideB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def insideB(xy_display):$/;" f member:ConnectionStyle._Base._clip file: +inside_box_boundaries adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def inside_box_boundaries(x, lb, ub):$/;" f +inside_circle adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def inside_circle(cx, cy, r):$/;" f +inside_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def inside_function():$/;" f +inspect adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def inspect(dt, dtype=None):$/;" f member:TestRecFunctions.test_structured_to_unstructured file: +inspect adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def inspect(logdir="", event_file="", tag=""):$/;" f +inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^from tensorflow.python.keras.utils import tf_inspect as inspect$/;" x +inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.utils import tf_inspect as inspect$/;" x +InspectionUnit adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^InspectionUnit = collections.namedtuple($/;" v +instagram_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/instagram.py /^def instagram_compliance_fix(session):$/;" f +install adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^class install(old_install):$/;" c +install adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/legacy.py /^def install($/;" f +install adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def install($/;" m class:InstallRequirement +install adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def install(self, paths, maker, **kwargs):$/;" m class:Wheel +install adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^ def install(self):$/;" m class:VendorImporter +install adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^class install(orig.install):$/;" c +install adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^ def install(self):$/;" m class:VendorImporter +install adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^class install(Command):$/;" c +install adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def install(self):$/;" m class:install_lib +install adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def install(cls):$/;" m class:FakeSummaryWriter +InstallableLib adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^class InstallableLib:$/;" c +InstallationCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^class InstallationCandidate(KeyBasedCompareMixin):$/;" c +InstallationError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class InstallationError(PipError):$/;" c +InstallationResult adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^class InstallationResult(object):$/;" c +installation_report adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def installation_report(self, req, dist, what="Installed"):$/;" m class:easy_install +InstallCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^class InstallCommand(RequirementCommand):$/;" c +InstallCommand adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^class InstallCommand(InstallCommandBase):$/;" c +InstallCommandBase adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^from setuptools.command.install import install as InstallCommandBase$/;" x +InstalledAppFlow adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^class InstalledAppFlow(Flow):$/;" c +InstalledCSVRow adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ InstalledCSVRow = Tuple[RecordPath, str, Union[int, str]]$/;" v +InstalledDistribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/installed.py /^class InstalledDistribution(AbstractDistribution):$/;" c +InstalledDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class InstalledDistribution(BaseInstalledDistribution):$/;" c +INSTALLED_EXTENSIONS adpepsenv/lib/python3.8/site-packages/markdown/util.py /^INSTALLED_EXTENSIONS = metadata.entry_points().get('markdown.extensions', ())$/;" v +installed_version adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def installed_version(self):$/;" m class:InstallRequirement +Installer adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^class Installer:$/;" c +InstallHeaders adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^class InstallHeaders(Command):$/;" c +InstallRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^class InstallRequirement(object):$/;" c +InstallRequirementProvider adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/base.py /^ InstallRequirementProvider = Callable[$/;" v +InstallSignalHandlerHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class InstallSignalHandlerHook(tf.compat.v1.train.SessionRunHook):$/;" c +InstallStackTraceHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def InstallStackTraceHandler():$/;" f +install_as_egg adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def install_as_egg(self, destination_eggdir):$/;" m class:Wheel +install_clib adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^class install_clib(Command):$/;" c +install_data adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_data.py /^class install_data (old_install_data):$/;" c +install_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^class install_data(Command):$/;" c +install_dir adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ install_dir = args.install_dir$/;" v +INSTALL_DIRECTORY_ATTRS adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^INSTALL_DIRECTORY_ATTRS = [$/;" v +install_dists adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def install_dists(dist):$/;" m class:test +install_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/editable_legacy.py /^def install_editable($/;" f +install_editable_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ install_editable as install_editable_legacy$/;" x +install_egg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_egg(self, egg_path, tmpdir):$/;" m class:easy_install +install_eggs adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_eggs(self, spec, dist_filename, tmpdir):$/;" m class:easy_install +install_egg_info adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^class install_egg_info(namespaces.Installer, Command):$/;" c +install_egg_info adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^class install_egg_info(Command):$/;" c +install_egg_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def install_egg_scripts(self, dist):$/;" m class:develop +install_egg_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_egg_scripts(self, dist):$/;" m class:easy_install +install_exception_handler adpepsenv/lib/python3.8/site-packages/absl/app.py /^def install_exception_handler(handler):$/;" f +install_exe adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_exe(self, dist_filename, tmpdir):$/;" m class:easy_install +install_for_development adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/develop.py /^ def install_for_development(self):$/;" m class:develop +install_for_development adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def install_for_development(self):$/;" m class:develop +install_given_reqs adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^def install_given_reqs($/;" f +install_headers adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_headers.py /^class install_headers (old_install_headers):$/;" c +install_headers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^class install_headers(Command):$/;" c +install_item adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_item(self, spec, download, tmpdir, deps, install_needed=False):$/;" m class:easy_install +install_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^from pip._internal.operations.install.legacy import install as install_legacy$/;" x +install_lib adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^class install_lib(orig.install_lib):$/;" c +install_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^class install_lib(Command):$/;" c +install_method adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^def install_method(method_name):$/;" f +install_namespaces adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def install_namespaces(self):$/;" m class:Installer +install_options adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^install_options = partial($/;" v +install_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_options(self, script_text):$/;" m class:CommandSpec +install_repl_displayhook adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def install_repl_displayhook():$/;" f +install_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def install_requirements($/;" m class:BuildEnvironment +install_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def install_requirements($/;" m class:NoOpBuildEnvironment +install_req_from_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def install_req_from_editable($/;" f +install_req_from_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def install_req_from_line($/;" f +install_req_from_parsed_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def install_req_from_parsed_requirement($/;" f +install_req_from_req_string adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def install_req_from_req_string($/;" f +INSTALL_SCHEMES adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ INSTALL_SCHEMES = dict($/;" v class:easy_install +INSTALL_SCHEMES adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^INSTALL_SCHEMES = {$/;" v +install_script adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_script(self, dist, script_name, script_text, dev_path=None):$/;" m class:easy_install +install_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^class install_scripts(orig.install_scripts):$/;" c +install_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^class install_scripts(Command):$/;" c +install_warning_logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^def install_warning_logger():$/;" f +install_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def install_wheel($/;" f +install_wheel adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_wheel(self, wheel_path, tmpdir):$/;" m class:easy_install +install_wrapper_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def install_wrapper_scripts(self, dist):$/;" m class:develop +install_wrapper_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def install_wrapper_scripts(self, dist):$/;" m class:easy_install +instance adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def instance(cls, *args, **kwargs):$/;" m class:_TzFactory +InstanceMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class InstanceMethod(InstanceProperty):$/;" c +InstanceNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def InstanceNorm(self, *args, **kwargs):$/;" m class:CNNModelHelper +InstanceNorm1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^class InstanceNorm1d(_InstanceNorm):$/;" c +InstanceNorm1d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^class InstanceNorm1d(torch.nn.InstanceNorm1d):$/;" c +InstanceNorm2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^class InstanceNorm2d(_InstanceNorm):$/;" c +InstanceNorm2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^class InstanceNorm2d(torch.nn.InstanceNorm2d):$/;" c +InstanceNorm3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^class InstanceNorm3d(_InstanceNorm):$/;" c +InstanceNorm3d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^class InstanceNorm3d(torch.nn.InstanceNorm3d):$/;" c +InstanceProperty adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class InstanceProperty(Layer):$/;" c +INSTANCE_KEY_START_NUMBER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^INSTANCE_KEY_START_NUMBER = 100$/;" v +instance_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def instance_norm(model, blob_in, blob_out, dim_in, order="NCHW", **kwargs):$/;" f +instance_norm adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def instance_norm(input, running_mean=None, running_var=None, weight=None,$/;" f +instance_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def instance_norm(g, input, weight, bias, running_mean, running_var, use_input_stats, momentum, /;" f +instantiate adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def instantiate(self,$/;" m class:_PythonFnFactory +instantiate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def instantiate(self, input_types):$/;" m class:_OverloadedFunction +INSTANTIATED_TEMPLATE_DIR_PATH adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^INSTANTIATED_TEMPLATE_DIR_PATH = _TEMP_DIR.name$/;" v +instantiated_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def instantiated_test(self, name=name, test=test_fn, dtype=dtype, op=op):$/;" f function:DeviceTypeTestBase.instantiate_test.instantiate_test_helper file: +instantiate_const adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def instantiate_const(self, tracer) -> Tracer:$/;" m class:JaxprTrace +instantiate_const adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def instantiate_const(self, val):$/;" m class:DynamicJaxprTrace +instantiate_const_abstracted adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def instantiate_const_abstracted(self, tracer) -> 'JaxprTracer':$/;" m class:JaxprTrace +instantiate_const_at adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def instantiate_const_at(trace: JaxprTrace, instantiate: bool, tracer):$/;" f +instantiate_device_type_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def instantiate_device_type_tests(generic_test_class, scope, except_for=None, only_for=None):$/;" f +instantiate_non_scriptable_remote_module_template adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^def instantiate_non_scriptable_remote_module_template():$/;" f +instantiate_scriptable_remote_module_template adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^def instantiate_scriptable_remote_module_template(module_interface_cls):$/;" f +instantiate_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def instantiate_test(cls, name, test, *, generic_cls=None):$/;" m class:DeviceTypeTestBase +instantiate_test_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def instantiate_test_helper(cls, name, *, test, dtype, op):$/;" f member:DeviceTypeTestBase.instantiate_test file: +instantiate_zeros adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def instantiate_zeros(tangent):$/;" f +instantiate_zeros_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def instantiate_zeros_aval(aval, tangent):$/;" f +InstantiationContext adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^class InstantiationContext(object):$/;" c +instrument adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def instrument(self, name, explanation):$/;" m class:TTReportHandle +instrument_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def instrument_op(self, op, explanation):$/;" m class:TTReportHandle +instrument_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def instrument_tensor(self, tensor, explanation):$/;" m class:TTReportHandle +insubprocess adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^def insubprocess(f):$/;" f +InsufficientScopeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InsufficientScopeError(OAuth2Error):$/;" c +InsufficientScopeError adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class InsufficientScopeError(OAuth2Error):$/;" c +INT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^INT = "int"$/;" v +INT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^INT = category_encoding.INT$/;" v +int adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def int(self):$/;" m class:PackedSequence +int adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def int(self):$/;" m class:_StorageBase +INT128_MAX adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^INT128_MAX = 2**128 - 1$/;" v +INT128_MID adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^INT128_MID = 2**64$/;" v +INT128_MIN adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^INT128_MIN = -INT128_MAX$/;" v +INT128_VALUES adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^INT128_VALUES = ($/;" v +int16 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^int16 = struct.Struct("B").pack$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ int2byte = chr$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ int2byte = struct.Struct(">B").pack$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ int2byte = chr$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ int2byte = struct.Struct(">B").pack$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ int2byte = chr$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ int2byte = struct.Struct(">B").pack$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/six.py /^ int2byte = chr$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/six.py /^ int2byte = struct.Struct(">B").pack$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ int2byte = chr$/;" v +int2byte adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ int2byte = struct.Struct(">B").pack$/;" v +int2bytes adpepsenv/lib/python3.8/site-packages/rsa/transform.py /^def int2bytes(number: int, fill_size: int = 0) -> bytes:$/;" f +int2oct adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ int2oct = chr$/;" v +int2oct adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ int2oct = lambda x: ints2octs((x,))$/;" f +int32 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^int32 = struct.Struct(" 0]$/;" v +int64_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^int64_ref = DType(types_pb2.DT_INT64_REF)$/;" v +int64_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^int64_ref = DType(types_pb2.DT_INT64_REF)$/;" v +INT64_VALUES adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^INT64_VALUES = ($/;" v +int8 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^int8 = struct.Struct(" Array:$/;" f +integer_pow_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^integer_pow_p = standard_primitive($/;" v +integer_repr adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def integer_repr(x):$/;" f +integer_types adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^integer_types = (int,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^integer_types = integer_types + (integer,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ integer_types = (int,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ integer_types = int,$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ integer_types = (int,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ integer_types = int,$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ integer_types = (int,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/__init__.py /^integer_types = [$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ integer_types = int,$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/six.py /^ integer_types = int,$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ integer_types = (int,)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ integer_types = (int, long)$/;" v +integer_types adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ integer_types = (int,)$/;" v +integral adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def integral(self, a, b):$/;" m class:UnivariateSpline +integral adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def integral(self, xa, xb, ya, yb):$/;" m class:BivariateSpline +integral_image adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^ def integral_image(im):$/;" f member:TestIntegralImageOps.test_integral_image_ops file: +integral_image_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^ def integral_image_gradient(X, dY):$/;" f member:TestIntegralImageOps.test_integral_image_gradient_ops file: +integral_types adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^integral_types = (_numbers.Integral, _np.integer)$/;" v +integral_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^integral_types = (_numbers.Integral, _np.integer)$/;" v +integral_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def integral_types():$/;" f +integral_types_and adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def integral_types_and(*dtypes):$/;" f +integrate adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def integrate(x0, y0):$/;" f function:get_integrator file: +integrate adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def integrate(self, *args, **kwargs):$/;" m class:_NQuad +integrate adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def integrate(self, t, step=False, relax=False):$/;" m class:complex_ode +integrate adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def integrate(self, t, step=False, relax=False):$/;" m class:ode +integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def integrate(self, a, b, extrapolate=None):$/;" m class:BPoly +integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def integrate(self, a, b, extrapolate=None):$/;" m class:PPoly +integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def integrate(self, ranges, extrapolate=None):$/;" m class:NdPPoly +integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def integrate(self, a, b, extrapolate=None):$/;" m class:BSpline +integrate_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def integrate_1d(self, a, b, axis, extrapolate=None):$/;" m class:NdPPoly +integrate_box adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def integrate_box(self, low_bounds, high_bounds, maxpts=None):$/;" m class:gaussian_kde +integrate_box_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def integrate_box_1d(self, low, high):$/;" m class:gaussian_kde +integrate_gaussian adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def integrate_gaussian(self, mean, cov):$/;" m class:gaussian_kde +integrate_kde adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def integrate_kde(self, other):$/;" m class:gaussian_kde +IntegrationWarning adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^class IntegrationWarning(UserWarning):$/;" c +IntegratorBase adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class IntegratorBase(object):$/;" c +IntegratorConcurrencyError adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class IntegratorConcurrencyError(RuntimeError):$/;" c +integrator_classes adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ integrator_classes = []$/;" v class:IntegratorBase +IntelCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^class IntelCCompiler(UnixCCompiler):$/;" c +IntelCCompilerW adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ class IntelCCompilerW(MSVCCompiler):$/;" c +IntelEM64TCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^class IntelEM64TCCompiler(UnixCCompiler):$/;" c +IntelEM64TCCompilerW adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ class IntelEM64TCCompilerW(IntelCCompilerW):$/;" c +IntelEM64TFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelEM64TFCompiler(IntelFCompiler):$/;" c +IntelEM64VisualFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelEM64VisualFCompiler(IntelVisualFCompiler):$/;" c +IntelFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelFCompiler(BaseIntelFCompiler):$/;" c +IntelItaniumCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^class IntelItaniumCCompiler(IntelCCompiler):$/;" c +IntelItaniumFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelItaniumFCompiler(IntelFCompiler):$/;" c +IntelItaniumVisualFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelItaniumVisualFCompiler(IntelVisualFCompiler):$/;" c +IntelVisualFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^class IntelVisualFCompiler(BaseIntelFCompiler):$/;" c +intel_32bit_version_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^intel_32bit_version_strings = [$/;" v +intel_64bit_version_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^intel_64bit_version_strings = [$/;" v +INTEL_G723_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INTEL_G723_1 = 0x0043$/;" v class:WAVE_FORMAT +INTEL_G729 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INTEL_G729 = 0x0044$/;" v class:WAVE_FORMAT +INTEL_MUSIC_CODER adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ INTEL_MUSIC_CODER = 0x0401$/;" v class:WAVE_FORMAT +intel_version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^def intel_version_match(type):$/;" f +Intent adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^class Intent:$/;" c +intent adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^intent = Intent()$/;" v +intentpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^intentpattern = re.compile(beforethisafter % ($/;" v +INTENT_ABSOLUTE_COLORIMETRIC adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^INTENT_ABSOLUTE_COLORIMETRIC = 3$/;" v +INTENT_PERCEPTUAL adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^INTENT_PERCEPTUAL = 0$/;" v +INTENT_RELATIVE_COLORIMETRIC adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^INTENT_RELATIVE_COLORIMETRIC = 1$/;" v +INTENT_SATURATION adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^INTENT_SATURATION = 2$/;" v +InteractionRequired adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class InteractionRequired(OpenIDClientError):$/;" c +interactive adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def interactive(b):$/;" f +InteractiveSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class InteractiveSession(BaseSession):$/;" c +InteractiveSpinner adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^class InteractiveSpinner(SpinnerInterface):$/;" c +interactive_bk adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^interactive_bk = ['GTK3Agg', 'GTK3Cairo',$/;" v +InterceptedCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class InterceptedCall:$/;" c +InterceptedStreamStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class InterceptedStreamStreamCall(_InterceptedStreamResponseMixin,$/;" c +InterceptedStreamUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class InterceptedStreamUnaryCall(_InterceptedUnaryResponseMixin,$/;" c +InterceptedUnaryStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class InterceptedUnaryStreamCall(_InterceptedStreamResponseMixin,$/;" c +InterceptedUnaryUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class InterceptedUnaryUnaryCall(_InterceptedUnaryResponseMixin, InterceptedCall,$/;" c +intercept_channel adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^def intercept_channel(channel, *interceptors):$/;" f +intercept_channel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def intercept_channel(channel, *interceptors):$/;" f +intercept_service adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def intercept_service($/;" m class:ServerInterceptor +intercept_service adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def intercept_service(self, continuation, handler_call_details):$/;" m class:ServerInterceptor +intercept_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def intercept_stream_stream($/;" m class:StreamStreamClientInterceptor +intercept_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def intercept_stream_stream(self, continuation, client_call_details,$/;" m class:StreamStreamClientInterceptor +intercept_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def intercept_stream_unary($/;" m class:StreamUnaryClientInterceptor +intercept_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def intercept_stream_unary(self, continuation, client_call_details,$/;" m class:StreamUnaryClientInterceptor +intercept_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def intercept_unary_stream($/;" m class:UnaryStreamClientInterceptor +intercept_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def intercept_unary_stream(self, continuation, client_call_details,$/;" m class:UnaryStreamClientInterceptor +intercept_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def intercept_unary_unary($/;" m class:UnaryUnaryClientInterceptor +intercept_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def intercept_unary_unary(self, continuation, client_call_details, request):$/;" m class:UnaryUnaryClientInterceptor +Intercomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Intercomm(Comm) [$/;" c +InterconnectLink adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^InterconnectLink = _reflection.GeneratedProtocolMessageType('InterconnectLink', (_message.Messag/;" v +interface adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^interface = rng.bit_generator.cffi$/;" v +interface adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def interface(obj):$/;" f +interface_script adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def interface_script(mod_interface, nn_module):$/;" f +interleave adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^def interleave(inter, f, seq):$/;" f +interleave adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def interleave(self,$/;" m class:DatasetV1 +interleave adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def interleave(self,$/;" m class:DatasetV2 +InterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class InterleaveDataset(UnaryDataset):$/;" c +InterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^InterleaveDataset = tf_export("raw_ops.InterleaveDataset")(_ops.to_raw_op(interleave_dataset))$/;" v +interleave_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def interleave_dataset(input_dataset, other_arguments, cycle_length, block_length, f, output_typ/;" f +interleave_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def interleave_dataset_eager_fallback(input_dataset, other_arguments, cycle_length, block_length/;" f +Intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Intermediates(self, j):$/;" m class:Operator +IntermediatesAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IntermediatesAsNumpy(self):$/;" m class:Operator +IntermediatesIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IntermediatesIsNone(self):$/;" m class:Operator +IntermediatesLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IntermediatesLength(self):$/;" m class:Operator +INTERNAL adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ INTERNAL = (_cygrpc.StatusCode.internal, 'internal')$/;" v class:StatusCode +INTERNAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^INTERNAL = error_codes.INTERNAL$/;" v +INTERNAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^INTERNAL = 13$/;" v +INTERNAL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^INTERNAL = 13$/;" v +INTERNAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^INTERNAL = error_codes_pb2.INTERNAL$/;" v +internal adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ import torch.distributed.rpc.internal as internal$/;" I member:RpcTest.test_use_rref_after_shutdown file: +InternalError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class InternalError(OpError):$/;" c +InternalError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class InternalError(OpError):$/;" c +InternalParse adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def InternalParse(self, buffer, pos, end):$/;" f function:_AddMergeFromStringMethod file: +InternalSerialize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def InternalSerialize(self, write_bytes, deterministic=None):$/;" f function:_AddSerializePartialToStringMethod file: +InternalServerError adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class InternalServerError(HTTPException):$/;" c +internal_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def internal_captures(self):$/;" m class:FuncGraph +internal_convert_n_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def internal_convert_n_to_tensor(values,$/;" f +internal_convert_n_to_tensor_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def internal_convert_n_to_tensor_or_composite(values,$/;" f +internal_convert_n_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^def internal_convert_n_to_tensor_or_indexed_slices(values,$/;" f +internal_convert_n_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^internal_convert_n_to_tensor_or_indexed_slices = \\$/;" v +internal_convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^internal_convert_to_tensor = convert_to_tensor$/;" v +internal_convert_to_tensor_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def internal_convert_to_tensor_or_composite(value,$/;" f +internal_convert_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^def internal_convert_to_tensor_or_indexed_slices(value,$/;" f +internal_convert_to_tensor_or_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^internal_convert_to_tensor_or_indexed_slices = \\$/;" v +INTERNAL_ERROR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^INTERNAL_ERROR = 2$/;" v +INTERNAL_ERROR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^INTERNAL_ERROR = 2$/;" v +internal_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def internal_grad_fn(unused_op, *result_grads): # pylint: disable=unused-variable$/;" f function:_graph_mode_decorator file: +internal_name_scope_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class internal_name_scope_v1(object): # pylint: disable=invalid-name$/;" c +internal_operation_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def internal_operation_seed():$/;" f +internal_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^from tensorflow.python.types import internal as internal_types$/;" x +internal_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^from tensorflow.python.types import internal as internal_types$/;" x +INTERNET_OFF adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^INTERNET_OFF = False$/;" v +interp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def interp(x, xp, fp, left=None, right=None, period=None):$/;" f +interp adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def interp(x, xp, fp, left=None, right=None, period=None):$/;" f +interp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_regression.py /^import scipy.interpolate as interp$/;" I +interp1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class interp1d(_Interpolator1D):$/;" c +interp2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class interp2d(object):$/;" c +interpd adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^interpd = Substitution()$/;" v +interpgrid adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def interpgrid(a, xi, yi):$/;" f +interpn adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^def interpn(points, values, xi, method="linear", bounds_error=True,$/;" f +interpnd adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^import scipy.interpolate.interpnd as interpnd$/;" I +interpolate adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def interpolate(self, value):$/;" m class:VariableSet +interpolate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ def interpolate(cls, func, deg, domain=None, args=()):$/;" m class:Chebyshev +interpolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def interpolate(x, y, z):$/;" f member:TestGIL.test_rectbivariatespline file: +interpolate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def interpolate(error_message, graph):$/;" f +interpolate adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def interpolate(input, size=None, scale_factor=None, mode='nearest', align_corners=None, recompu/;" f +interpolate adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def interpolate(input, size=None, scale_factor=None, mode='nearest', align_corners=None):$/;" f +interpolated adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def interpolated(self, steps):$/;" m class:Path +InterpolatedUnivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class InterpolatedUnivariateSpline(UnivariateSpline):$/;" c +interpolate_pr_auc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def interpolate_pr_auc(self):$/;" m class:AUC +interpolate_pr_auc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def interpolate_pr_auc(tp, fp, fn):$/;" f function:auc file: +INTERPOLATION adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ INTERPOLATION = 'interpolation'$/;" v class:AUCSummationMethod +interpolations_names adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^interpolations_names = set(_interpd_)$/;" v +INTERPOLATOR_POWER adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^INTERPOLATOR_POWER = 7$/;" v +interpret adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^def interpret(marker, execution_context=None):$/;" f +interpreter adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def interpreter(self):$/;" m class:Tag +interpreter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def interpreter(self):$/;" m class:Tag +interpreter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def interpreter(self):$/;" m class:Tag +Interpreter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^class Interpreter(object):$/;" c +interpreter adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def interpreter(self):$/;" m class:Tag +InterpreterWithCustomOps adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^class InterpreterWithCustomOps(Interpreter):$/;" c +interpreter_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def interpreter_name():$/;" f +interpreter_name adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def interpreter_name():$/;" f +INTERPRETER_SHORT_NAMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^INTERPRETER_SHORT_NAMES = {$/;" v +INTERPRETER_SHORT_NAMES adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^INTERPRETER_SHORT_NAMES = {$/;" v +INTERPRETER_SHORT_NAMES adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^INTERPRETER_SHORT_NAMES = {$/;" v +INTERPRETER_SHORT_NAMES adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^INTERPRETER_SHORT_NAMES = {$/;" v +interpreter_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def interpreter_version(**kwargs):$/;" f +interpreter_version adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def interpreter_version(**kwargs):$/;" f +interpret_distro_name adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def interpret_distro_name($/;" f +interpret_name adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def interpret_name(cls, raw, as_text=False):$/;" m class:PdfParser +interpret_trailer adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def interpret_trailer(cls, trailer_data):$/;" m class:PdfParser +interp_decomp adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def interp_decomp(A, eps_or_k, rand=True):$/;" f +interp_fit_dopri adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def interp_fit_dopri(y0, y1, k, dt):$/;" f +InterruptibleMixin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class InterruptibleMixin(object):$/;" c +Intersect adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def Intersect(self, mask1, mask2):$/;" m class:FieldMask +intersect1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def intersect1d(ar1, ar2, assume_unique=False, return_indices=False):$/;" f +intersect1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def intersect1d(ar1, ar2, assume_unique=False, return_indices=False):$/;" f +intersect1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def intersect1d(ar1, ar2, assume_unique=False):$/;" f +intersection adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def intersection(bbox1, bbox2):$/;" m class:BboxBase +intersection adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def intersection(self, *sets):$/;" m class:OrderedSet +intersection adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def intersection(self, items):$/;" m class:ObjectIdentitySet +intersection adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_intersection as intersection$/;" x +intersection adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_intersection as intersection$/;" x +intersection adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_intersection as intersection$/;" x +intersection_update adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def intersection_update(self, other):$/;" m class:OrderedSet +IntersectPath adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def IntersectPath(self, path, intersection):$/;" m class:_FieldMaskTree +intersects_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def intersects_bbox(self, bbox, filled=True):$/;" m class:Path +intersects_path adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def intersects_path(self, other, filled=True):$/;" m class:Path +intersect_trust_region adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def intersect_trust_region(x, s, Delta):$/;" f +interval adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def interval(self):$/;" m class:TimerBase +interval adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def interval(self, interval):$/;" m class:TimerBase +interval adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def interval(self, alpha):$/;" m class:rv_frozen +interval adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def interval(self, alpha, *args, **kwds):$/;" m class:rv_generic +Interval adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^Interval = _reflection.GeneratedProtocolMessageType('Interval', (_message.Message,), {$/;" v +Interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^class Interval(object):$/;" c +Interval adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class Interval(object):$/;" c +interval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^interval = _Interval$/;" v +intervalx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def intervalx(self):$/;" m class:BboxBase +intervalx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def intervalx(self, interval):$/;" m class:Bbox +intervaly adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def intervaly(self):$/;" m class:BboxBase +intervaly adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def intervaly(self, interval):$/;" m class:Bbox +interval_contains adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def interval_contains(interval, val):$/;" f +interval_contains_open adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def interval_contains_open(interval, val):$/;" f +inter_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def inter_op_parallelism_threads(self):$/;" m class:Context +inter_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def inter_op_parallelism_threads(self, num_threads):$/;" m class:Context +IntFormat adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^class IntFormat(object):$/;" c +IntGauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class IntGauge(Metric):$/;" c +IntGaugeCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class IntGaugeCell(object):$/;" c +IntInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^IntInput = tf_export("raw_ops.IntInput")(_ops.to_raw_op(int_input))$/;" v +IntInputFloatInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^IntInputFloatInput = tf_export("raw_ops.IntInputFloatInput")(_ops.to_raw_op(int_input_float_inpu/;" v +IntInputIntOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^IntInputIntOutput = tf_export("raw_ops.IntInputIntOutput")(_ops.to_raw_op(int_input_int_output))$/;" v +IntInterval adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^IntInterval = summary_v2.IntInterval$/;" v +IntInterval adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class IntInterval(Domain):$/;" c +IntLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class IntLike:$/;" c member:TestSubarray.test_shape_sequence file: +InTopK adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^InTopK = tf_export("raw_ops.InTopK")(_ops.to_raw_op(in_top_k))$/;" v +InTopKV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^InTopKV2 = tf_export("raw_ops.InTopKV2")(_ops.to_raw_op(in_top_kv2))$/;" v +IntOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^IntOutput = tf_export("raw_ops.IntOutput")(_ops.to_raw_op(int_output))$/;" v +IntOutputFloatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^IntOutputFloatOutput = tf_export("raw_ops.IntOutputFloatOutput")(_ops.to_raw_op(int_output_float/;" v +Intracomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Intracomm(Comm) [$/;" c +intranges_contain adpepsenv/lib/python3.8/site-packages/idna/intranges.py /^def intranges_contain(int_, ranges):$/;" f +intranges_contain adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/intranges.py /^def intranges_contain(int_, ranges):$/;" f +intranges_from_list adpepsenv/lib/python3.8/site-packages/idna/intranges.py /^def intranges_from_list(list_):$/;" f +intranges_from_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/intranges.py /^def intranges_from_list(list_):$/;" f +intra_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def intra_op_parallelism_threads(self):$/;" m class:Context +intra_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def intra_op_parallelism_threads(self, num_threads):$/;" m class:Context +intrinsicpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^intrinsicpattern = re.compile($/;" v +IntrospectEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^class IntrospectEndpoint(BaseEndpoint):$/;" c +introspect_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def introspect_token(self, token, token_type_hint, request, *args, **kwargs):$/;" m class:RequestValidator +ints2octs adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ ints2octs = lambda s: ''.join([int2oct(x) for x in s])$/;" f +IntStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class IntStorage(_CudaBase, torch._C.CudaIntStorageBase, _StorageBase):$/;" c +IntStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class IntStorage(_C.IntStorageBase, _StorageBase):$/;" c +intTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ intTypes = (int, long)$/;" v +IntValueChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class IntValueChecker(object):$/;" c +IntVar adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def IntVar(name):$/;" f +int_ adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^int_ = np.int64$/;" v +int_ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^int_ = int32 if dtypes.int_ == np.int32 else int64$/;" v +int_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def int_(x=0, base=UNSPECIFIED):$/;" f +int_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^int_ = np_export.np_export_constant(__name__, 'int_', np.int_)$/;" v +int_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_attr(foo=1, name=None):$/;" f +int_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_attr_eager_fallback(foo, name, ctx):$/;" f +int_classes adpepsenv/lib/python3.8/site-packages/torch/_six.py /^int_classes = int$/;" v +int_env adpepsenv/lib/python3.8/site-packages/jax/config.py /^def int_env(varname: str, default: int) -> int:$/;" f +int_func adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^def int_func(request):$/;" f +INT_FUNCS adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^INT_FUNCS = {'binomial': (100.0, 0.6),$/;" v +INT_FUNC_HASHES adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ INT_FUNC_HASHES = {'binomial': '670e1c04223ffdbab27e08fbbad7bdba',$/;" v +int_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input(a, name=None):$/;" f +int_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input_eager_fallback(a, name, ctx):$/;" f +int_input_float_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input_float_input(a, b, name=None):$/;" f +int_input_float_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input_float_input_eager_fallback(a, b, name, ctx):$/;" f +int_input_int_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input_int_output(a, name=None):$/;" f +int_input_int_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_input_int_output_eager_fallback(a, name, ctx):$/;" f +int_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_output(name=None):$/;" f +int_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_output_eager_fallback(name, ctx):$/;" f +int_output_float_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_output_float_output(name=None):$/;" f +int_output_float_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def int_output_float_output_eager_fallback(name, ctx):$/;" f +int_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^int_points = [-10, -1, 1, 10]$/;" v +int_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def int_shape(x):$/;" f +INT_SIZE adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^INT_SIZE = struct.Struct('=i').size$/;" v +int_to_array adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef np.ndarray int_to_array(object value, object name, object bits, object uint_size)$/;" f +int_to_byte adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ int_to_byte = chr$/;" v +int_to_byte adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ int_to_byte = operator.methodcaller("to_bytes", 1, "big")$/;" v +int_types adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ int_types = (np.int8, np.int16, np.int32, np.int64, np.uint8,$/;" v class:TestNanFunctions_IntTypes +int_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ int_types = (int, long)$/;" v +int_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ int_types = (int, long)$/;" v +INT_TYPES adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ INT_TYPES = (int, np.integer)$/;" v +inv adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def inv(a):$/;" f +inv adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def inv(a, overwrite_a=False, check_finite=True):$/;" f +inv adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^inv = linpkg.inv$/;" v +inv adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def inv(a):$/;" f +inv adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def inv(a, overwrite_a=False, check_finite=True):$/;" f +inv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def inv(A):$/;" f +inv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def inv(x, name=None):$/;" f +Inv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Inv = tf_export("raw_ops.Inv")(_ops.to_raw_op(inv))$/;" v +inv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^inv = linalg_ops.matrix_inverse$/;" v +inv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_inverse as inv$/;" x +inv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_inverse as inv$/;" x +inv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_inverse as inv$/;" x +inv adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def inv(self):$/;" m class:ComposeTransform +inv adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def inv(self):$/;" m class:Transform +inv adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def inv(self):$/;" m class:_InverseTransform +INVALID adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ INVALID = INVALID_NON_AFFINE | INVALID_AFFINE$/;" v class:TransformNode +INVALID adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^INVALID = 0$/;" v +InvalidArgumentError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class InvalidArgumentError(OpError):$/;" c +InvalidArgumentError adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^class InvalidArgumentError(PublicError):$/;" c +InvalidArgumentError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class InvalidArgumentError(RuntimeError):$/;" c +InvalidArgumentError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class InvalidArgumentError(OpError):$/;" c +InvalidAstError adpepsenv/lib/python3.8/site-packages/pasta/augment/errors.py /^class InvalidAstError(Exception):$/;" c +invalidate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def invalidate(self):$/;" m class:FigureCanvasMac +invalidate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def invalidate(self):$/;" m class:Bbox +invalidate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def invalidate(self):$/;" m class:TransformNode +invalidate adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def invalidate(self):$/;" m class:GridHelperBase +invalidate adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def invalidate(self, key):$/;" m class:AttributeSentinel +InvalidateIterators adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def InvalidateIterators(self):$/;" m class:MessageMap +InvalidateIterators adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def InvalidateIterators(self):$/;" m class:ScalarMap +invalidate_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def invalidate_all(self):$/;" m class:AttributeSentinel +invalidate_authorization_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def invalidate_authorization_code(self, client_id, code, request, *args, **kwargs):$/;" m class:RequestValidator +invalidate_cached_property adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def invalidate_cached_property(obj, name):$/;" f +invalidate_grid_helper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def invalidate_grid_helper(self):$/;" m class:Axes +invalidate_recursive_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def invalidate_recursive_cache(key):$/;" f +invalidate_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def invalidate_request_token(self, client_key, request_token, request):$/;" m class:RequestValidator +invalidating_methods adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ invalidating_methods = {"PUT", "DELETE"}$/;" v class:CacheControlAdapter +InvalidChunkLength adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class InvalidChunkLength(HTTPError, httplib_IncompleteRead):$/;" c +InvalidClientError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^class InvalidClientError(OAuth1Error):$/;" c +InvalidClientError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidClientError(FatalClientError):$/;" c +InvalidClientIdError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidClientIdError(InvalidRequestFatalError):$/;" c +InvalidCodepoint adpepsenv/lib/python3.8/site-packages/idna/core.py /^class InvalidCodepoint(IDNAError):$/;" c +InvalidCodepoint adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^class InvalidCodepoint(IDNAError):$/;" c +InvalidCodepointContext adpepsenv/lib/python3.8/site-packages/idna/core.py /^class InvalidCodepointContext(IDNAError):$/;" c +InvalidCodepointContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^class InvalidCodepointContext(IDNAError):$/;" c +InvalidGrantError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidGrantError(OAuth2Error):$/;" c +InvalidHeader adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class InvalidHeader(RequestException, ValueError):$/;" c +InvalidHeader adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class InvalidHeader(HTTPError):$/;" c +InvalidHeader adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class InvalidHeader(RequestException, ValueError):$/;" c +InvalidHeader adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class InvalidHeader(HTTPError):$/;" c +InvalidHeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class InvalidHeaderError(HeaderError):$/;" c +InvalidIndexError adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class InvalidIndexError(Exception):$/;" c +InvalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class InvalidityDate(useful.GeneralizedTime):$/;" c +InvalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class InvalidityDate(useful.GeneralizedTime):$/;" c +InvalidityDate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class InvalidityDate(useful.GeneralizedTime):$/;" c +InvalidMarker adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class InvalidMarker(ValueError):$/;" c +InvalidMarker adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class InvalidMarker(ValueError):$/;" c +InvalidMarker adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class InvalidMarker(ValueError):$/;" c +InvalidProxyConfigurationWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class InvalidProxyConfigurationWarning(HTTPWarning):$/;" c +InvalidProxyURL adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class InvalidProxyURL(InvalidURL):$/;" c +InvalidProxyURL adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class InvalidProxyURL(InvalidURL):$/;" c +InvalidRedirectURIError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidRedirectURIError(InvalidRequestFatalError):$/;" c +InvalidRequestError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^class InvalidRequestError(OAuth1Error):$/;" c +InvalidRequestError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidRequestError(OAuth2Error):$/;" c +InvalidRequestFatalError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidRequestFatalError(FatalClientError):$/;" c +InvalidRequestObject adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class InvalidRequestObject(OpenIDClientError):$/;" c +InvalidRequestURI adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class InvalidRequestURI(OpenIDClientError):$/;" c +InvalidRequirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^class InvalidRequirement(ValueError):$/;" c +InvalidRequirement adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^class InvalidRequirement(ValueError):$/;" c +InvalidRequirement adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^class InvalidRequirement(ValueError):$/;" c +InvalidSchema adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class InvalidSchema(RequestException, ValueError):$/;" c +InvalidSchema adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class InvalidSchema(RequestException, ValueError):$/;" c +InvalidScopeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidScopeError(OAuth2Error):$/;" c +InvalidSignatureMethodError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^class InvalidSignatureMethodError(OAuth1Error):$/;" c +InvalidSpecifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class InvalidSpecifier(ValueError):$/;" c +InvalidSpecifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class InvalidSpecifier(ValueError):$/;" c +InvalidSpecifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class InvalidSpecifier(ValueError):$/;" c +InvalidSymbolNameError adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^class InvalidSymbolNameError(Exception):$/;" c +InvalidTokenError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class InvalidTokenError(OAuth2Error):$/;" c +InvalidTokenError adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class InvalidTokenError(OAuth2Error):$/;" c +InvalidURL adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class InvalidURL(RequestException, ValueError):$/;" c +InvalidURL adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class InvalidURL(RequestException, ValueError):$/;" c +InvalidUserInputError adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/common_utils.py /^class InvalidUserInputError(Exception):$/;" c +InvalidVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^class InvalidVersion(ValueError):$/;" c +InvalidVersion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^class InvalidVersion(ValueError):$/;" c +InvalidVersion adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class InvalidVersion(ValueError):$/;" c +InvalidVersion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^class InvalidVersion(ValueError):$/;" c +InvalidWheelFilename adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class InvalidWheelFilename(InstallationError):$/;" c +INVALID_AFFINE adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ INVALID_AFFINE = 2$/;" v class:TransformNode +INVALID_ARGUMENT adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ INVALID_ARGUMENT = (_cygrpc.StatusCode.invalid_argument, 'invalid argument')$/;" v class:StatusCode +INVALID_ARGUMENT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^INVALID_ARGUMENT = error_codes.INVALID_ARGUMENT$/;" v +INVALID_ARGUMENT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^INVALID_ARGUMENT = 3$/;" v +INVALID_ARGUMENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^INVALID_ARGUMENT = 3$/;" v +INVALID_ARGUMENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^INVALID_ARGUMENT = error_codes_pb2.INVALID_ARGUMENT$/;" v +invalid_baseurl adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def invalid_baseurl():$/;" f +invalid_config_error_message adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^def invalid_config_error_message(action, key, val):$/;" f +invalid_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def invalid_creator_scope(*unused_args, **unused_kwds):$/;" f member:Function._initialize file: +INVALID_FORMAT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^INVALID_FORMAT = 0$/;" v +INVALID_HEX_PATTERN adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^INVALID_HEX_PATTERN = re.compile(r'%[^0-9A-Fa-f]|%[0-9A-Fa-f][^0-9A-Fa-f]')$/;" v +invalid_httpfile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def invalid_httpfile():$/;" f +invalid_httpurl adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def invalid_httpurl():$/;" f +invalid_marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def invalid_marker(text):$/;" f +invalid_marker adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def invalid_marker(text):$/;" f +INVALID_NON_AFFINE adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ INVALID_NON_AFFINE = 1$/;" v class:TransformNode +INVALID_POINTS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^INVALID_POINTS = [$/;" v +invalid_shape_exception adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def invalid_shape_exception(csize, xsize):$/;" f member:Axes._parse_scatter_color_args file: +invalid_textfile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def invalid_textfile(filedir):$/;" f +invalid_unicode_no_surrogate adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^invalid_unicode_no_surrogate = "[\\u0001-\\u0008\\u000B\\u000E-\\u001F\\u007F-\\u009F\\uFDD0-\\u/;" v +invalid_unicode_no_surrogate adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^invalid_unicode_no_surrogate = "[\\u0001-\\u0008\\u000B\\u000E-\\u001F\\u007F-\\u009F\\uFDD0-\\u/;" v +invalid_unicode_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ invalid_unicode_re = re.compile(invalid_unicode_no_surrogate[:-1] +$/;" v +invalid_unicode_re adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ invalid_unicode_re = re.compile(invalid_unicode_no_surrogate[:-1] +$/;" v +invbadnames adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^invbadnames = {}$/;" v +InvCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class InvCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:BoundaryNorm +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:LogNorm +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:NoNorm +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:Normalize +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:PowerNorm +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def inverse(self, value):$/;" m class:SymLogNorm +inverse adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^ def inverse(x):$/;" f function:test_function_scale file: +inverse adpepsenv/lib/python3.8/site-packages/rsa/common.py /^def inverse(x: int, n: int) -> int:$/;" f +inverse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def inverse(b,which=None):$/;" f function:check_precond_inverse file: +inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def inverse(self, y, name="inverse"):$/;" m class:Bijector +inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def inverse(self, name="inverse"):$/;" m class:LinearOperator +inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def inverse(lin_op_a, name=None):$/;" f +InverseJacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class InverseJacobian(object):$/;" c +InverseTimeDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class InverseTimeDecay(LearningRateSchedule):$/;" c +inverse_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def inverse_event_shape(self, output_shape):$/;" m class:Bijector +inverse_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def inverse_event_shape_tensor(self,$/;" m class:Bijector +inverse_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def inverse_log_det_jacobian($/;" m class:Bijector +inverse_mdct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def inverse_mdct(mdcts,$/;" f +inverse_min_event_ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def inverse_min_event_ndims(self):$/;" m class:Bijector +inverse_stft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def inverse_stft(stfts,$/;" f +inverse_stft_window_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def inverse_stft_window_fn(frame_step,$/;" f +inverse_stft_window_fn_inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^ def inverse_stft_window_fn_inner(frame_length, dtype):$/;" f function:inverse_stft_window_fn file: +inverse_time_decay adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def inverse_time_decay(step_size, decay_steps, decay_rate, staircase=False):$/;" f +inverse_time_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def inverse_time_decay(learning_rate,$/;" f +inverse_time_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^inverse_time_decay = learning_rate_decay.inverse_time_decay$/;" v +inverse_transformed adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverse_transformed(self, transform):$/;" m class:BboxBase +invert adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^invert = _one_to_one_unop(np.invert, lax.bitwise_not)$/;" v +invert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def invert(x):$/;" f function:test_secondary_minorloc file: +invert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def invert(x):$/;" f function:test_secondary_resize file: +invert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def invert(x):$/;" f function:test_secondary_xy file: +invert adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def invert(image):$/;" f +invert adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def invert(image):$/;" f +invert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def invert(x, name=None):$/;" f +Invert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^Invert = tf_export("raw_ops.Invert")(_ops.to_raw_op(invert))$/;" v +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:AitoffAxes.AitoffTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:AitoffAxes.InvertedAitoffTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:HammerAxes.HammerTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:HammerAxes.InvertedHammerTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:LambertAxes.InvertedLambertTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:LambertAxes.LambertTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:MollweideAxes.InvertedMollweideTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def inverted(self):$/;" m class:MollweideAxes.MollweideTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def inverted(self):$/;" m class:InvertedPolarTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def inverted(self):$/;" m class:PolarTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:FuncTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:InvertedLogTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:InvertedSymmetricalLogTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:LogisticTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:LogitTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:LogTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def inverted(self):$/;" m class:SymmetricalLogTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverted(self):$/;" m class:Affine2DBase +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverted(self):$/;" m class:BlendedGenericTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverted(self):$/;" m class:CompositeGenericTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverted(self):$/;" m class:IdentityTransform +inverted adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def inverted(self):$/;" m class:Transform +inverted adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def inverted(self):$/;" m class:test_custom_transform.MyTransform +inverted adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def inverted(self):$/;" m class:test_custom_transform.MyTransformInv +InvertedAitoffTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class InvertedAitoffTransform(_GeoTransform):$/;" c class:AitoffAxes +InvertedHammerTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class InvertedHammerTransform(_GeoTransform):$/;" c class:HammerAxes +InvertedLambertTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class InvertedLambertTransform(_GeoTransform):$/;" c class:LambertAxes +InvertedLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def InvertedLogTransform(self):$/;" m class:LogScale +InvertedLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class InvertedLogTransform(Transform):$/;" c +InvertedMollweideTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class InvertedMollweideTransform(_GeoTransform):$/;" c class:MollweideAxes +InvertedPolarTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class InvertedPolarTransform(mtransforms.Transform):$/;" c +InvertedSymmetricalLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def InvertedSymmetricalLogTransform(self):$/;" m class:SymmetricalLogScale +InvertedSymmetricalLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class InvertedSymmetricalLogTransform(Transform):$/;" c +invertible adpepsenv/lib/python3.8/site-packages/jax/api.py /^def invertible(fun: Callable) -> Callable:$/;" f +invertible adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def invertible(fun):$/;" f +InvertPermutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^InvertPermutation = tf_export("raw_ops.InvertPermutation")(_ops.to_raw_op(invert_permutation))$/;" v +invert_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def invert_(x, name=None):$/;" f +invert_axis_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def invert_axis_direction(self):$/;" m class:TickLabels +invert_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def invert_eager_fallback(x, name, ctx):$/;" f +INVERT_HINT_NO adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ INVERT_HINT_NO = 0$/;" v +invert_permutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def invert_permutation(x, name=None):$/;" f +invert_permutation adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def invert_permutation(permutation):$/;" f +invert_permutation_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def invert_permutation_eager_fallback(x, name, ctx):$/;" f +invert_ticklabel_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def invert_ticklabel_direction(self):$/;" m class:AxisArtist +invert_xaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def invert_xaxis(self):$/;" m class:_AxesBase +invert_yaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def invert_yaxis(self):$/;" m class:_AxesBase +invert_zaxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def invert_zaxis(self):$/;" m class:Axes3D +invgamma adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^invgamma = invgamma_gen(a=0.0, name='invgamma')$/;" v +invgamma_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class invgamma_gen(rv_continuous):$/;" c +invgauss adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^invgauss = invgauss_gen(a=0.0, name='invgauss')$/;" v +invgauss_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class invgauss_gen(rv_continuous):$/;" c +InvGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^InvGrad = tf_export("raw_ops.InvGrad")(_ops.to_raw_op(inv_grad))$/;" v +invhilbert adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def invhilbert(n, exact=False):$/;" f +invocation_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def invocation_metadata(self) -> Optional[Metadata]:$/;" m class:ServicerContext +invocation_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def invocation_metadata(self):$/;" m class:_FaceServicerContext +invocation_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def invocation_metadata(self):$/;" m class:ServicerContext +invocation_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def invocation_metadata(self):$/;" m class:_Context +invocation_metadata adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def invocation_metadata(self):$/;" m class:ServicerContext +invoke adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def invoke(self):$/;" m class:Interpreter +invoke_op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_callbacks.py /^def invoke_op_callbacks(op_type,$/;" f +invoking_op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def invoking_op_callbacks(self):$/;" m class:Context +invoking_op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def invoking_op_callbacks(self, value):$/;" m class:Context +invpascal adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def invpascal(n, kind='symmetric', exact=True):$/;" f +invres adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def invres(r, p, k, tol=1e-3, rtype='avg'):$/;" f +invresz adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def invresz(r, p, k, tol=1e-3, rtype='avg'):$/;" f +invweibull adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^invweibull = invweibull_gen(a=0, name='invweibull')$/;" v +invweibull_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class invweibull_gen(rv_continuous):$/;" c +invwishart adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^invwishart = invwishart_gen()$/;" v +invwishart_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class invwishart_frozen(multi_rv_frozen):$/;" c +invwishart_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class invwishart_gen(wishart_gen):$/;" c +inv_backward_pass adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def inv_backward_pass(jaxpr: core.Jaxpr, consts, primals_in, primals_out, cotangents_in):$/;" f +inv_boxcox adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double inv_boxcox(double x0, double x1) nogil$/;" f +inv_boxcox1p adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double inv_boxcox1p(double x0, double x1) nogil$/;" f +inv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def inv_eager_fallback(x, name, ctx):$/;" f +inv_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def inv_grad(y, dy, name=None):$/;" f +inv_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def inv_grad_eager_fallback(y, dy, name, ctx):$/;" f +inv_language_map adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^inv_language_map = {0: 'c', 1: 'c++', 2: 'f77', 3: 'f90'}$/;" v +inv_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def inv_transform(xs, ys, zs, M):$/;" f +inv_transform_xy adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def inv_transform_xy(x, y):$/;" f member:GridFinder.update_transform file: +inv_var_init adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def inv_var_init(self):$/;" m class:AdaptiveWeight +inv_var_reg adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def inv_var_reg(self, net, reg):$/;" m class:AdaptiveWeight +inv_var_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def inv_var_weight(self, x, net, weight):$/;" m class:AdaptiveWeight +InXlaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def InXlaContext(graph):$/;" f +InXlaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def InXlaContext(graph):$/;" f +in_avals adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def in_avals(self):$/;" m class:ClosedJaxpr +in_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def in_axes(self, mouseevent):$/;" m class:_AxesBase +in_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def in_bounds(x, lb, ub):$/;" f +in_cached_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def in_cached_state(self):$/;" m class:MutationSentinel +in_cooldown adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def in_cooldown(self):$/;" m class:ReduceLROnPlateau +in_cooldown adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def in_cooldown(self):$/;" m class:ReduceLROnPlateau +in_cross_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def in_cross_replica_context():$/;" f +in_defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def in_defun():$/;" f +in_dims_t adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^in_dims_t = Union[int, Tuple[Optional[int], ...]]$/;" v +in_dir adpepsenv/lib/python3.8/site-packages/scipy/_lib/_tmpdirs.py /^def in_dir(dir=None):$/;" f +in_eager_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def in_eager_mode():$/;" f +in_keras_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def in_keras_graph(self):$/;" m class:CallContext +in_memory_threshold_reached adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def in_memory_threshold_reached(self, bytes):$/;" m class:MultiPartParser +in_packages adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def in_packages(m):$/;" f function:get_api_init_text file: +in_place_subclassed_model_state_restoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def in_place_subclassed_model_state_restoration(model):$/;" f +in_polymorphic_twice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def in_polymorphic_twice(a, b, name=None):$/;" f +in_polymorphic_twice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def in_polymorphic_twice_eager_fallback(a, b, name, ctx):$/;" f +in_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^ def in_print(s):$/;" f function:_print_tensor_info file: +in_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^ def in_print(s):$/;" f function:_show_inputs_outputs file: +in_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^ def in_print(s, end='\\n'):$/;" f function:_print_args file: +in_replica_update_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def in_replica_update_context():$/;" f +in_save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^ def in_save_context(self):$/;" m class:SaveContext +in_save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^def in_save_context():$/;" f +in_shape_to_tensorspec adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def in_shape_to_tensorspec(in_shape: str) -> tf.TensorSpec:$/;" f member:JaxToTfTestCase.MakeInputSignature file: +in_tempdir adpepsenv/lib/python3.8/site-packages/scipy/_lib/_tmpdirs.py /^def in_tempdir():$/;" f +in_test_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def in_test_phase(x, alt, training=None):$/;" f +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def in_top_k(predictions, targets, k):$/;" f +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def in_top_k(predictions, targets, k, name=None):$/;" f +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def in_top_k(predictions, targets, k, name=None):$/;" f +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import in_top_k_v2 as in_top_k$/;" x +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import in_top_k_v2 as in_top_k$/;" x +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import in_top_k_v2 as in_top_k$/;" x +in_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import in_top_k_v2 as in_top_k$/;" x +in_top_kv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def in_top_kv2(predictions, targets, k, name=None):$/;" f +in_top_kv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def in_top_kv2_eager_fallback(predictions, targets, k, name, ctx):$/;" f +in_top_k_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def in_top_k_eager_fallback(predictions, targets, k, name, ctx):$/;" f +in_top_k_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def in_top_k_v2(targets, predictions, k, name=None):$/;" f +in_train_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def in_train_phase(x, alt, training=None):$/;" f +in_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ def in_uri(self, uri):$/;" m class:OAuth1Error +in_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def in_uri(self, uri):$/;" m class:OAuth2Error +in_venv adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def in_venv():$/;" f +in_while_loop_defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def in_while_loop_defun(graph):$/;" f +io adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^import scipy.io as io$/;" I +ioctl_GWINSZ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ def ioctl_GWINSZ(fd):$/;" f function:get_terminal_size file: +IODataType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^IODataType = enum_type_wrapper.EnumTypeWrapper(_IODATATYPE)$/;" v +ioff adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ioff():$/;" f +ion adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ion():$/;" f +iop_impl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def iop_impl(self, other):$/;" f member:TestBinop.test_ufunc_binop_interaction file: +iota adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def iota(dtype, size):$/;" f +Iota adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^Iota = taggedtuple('Iota', ['dtype', 'size']) # like np.arange(N)$/;" v +iota adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def iota(dtype: DType, size: int) -> Array:$/;" f +iota_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^iota_p = Primitive('iota')$/;" v +IO_DATA_TYPE_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^IO_DATA_TYPE_UNKNOWN = 0$/;" v +io_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^from tensorflow.python.ops import gen_io_ops as io_ops$/;" x +io_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^from tensorflow.python.ops import gen_io_ops as io_ops$/;" x +ip adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def ip(self):$/;" m class:IPv4Interface +ip adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def ip(self):$/;" m class:IPv6Interface +ip adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def ip(x, y):$/;" f member:TestLinearNDInterpolation.test_square file: +IPAddrBlocks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddrBlocks(univ.SequenceOf):$/;" c +IPAddrBlocks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8360.py /^IPAddrBlocks = rfc3779.IPAddrBlocks$/;" v +ipaddress adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ import ipaddr as ipaddress # type: ignore$/;" I +ipaddress adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^ ipaddress = None$/;" v +IpAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class IpAddress(univ.OctetString):$/;" c +IpAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class IpAddress(univ.OctetString):$/;" c +IPAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddress(univ.BitString):$/;" c +IPAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^class IPAddress(univ.BitString):$/;" c +ipaddress adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^ ipaddress = None$/;" v +IPAddressChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddressChoice(univ.Choice):$/;" c +IPAddressFamily adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddressFamily(univ.Sequence):$/;" c +IPAddressOrRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddressOrRange(univ.Choice):$/;" c +IPAddressRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^class IPAddressRange(univ.Sequence):$/;" c +ipc_collect adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def ipc_collect():$/;" f +ipc_handle adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def ipc_handle(self):$/;" m class:Event +iPEPS adpeps/ipeps/ipeps.py /^class iPEPS:$/;" c +iPEPSExciSimulation adpeps/simulation/run_ipeps_exci.py /^class iPEPSExciSimulation:$/;" c +iPEPS_exci adpeps/ipeps/ipeps.py /^class iPEPS_exci(iPEPS):$/;" c +IPI_HSX adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IPI_HSX = 0x0250$/;" v class:WAVE_FORMAT +IPI_RPELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IPI_RPELP = 0x0251$/;" v class:WAVE_FORMAT +ipmt adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def ipmt(rate, per, nper, pv, fv=0, when='end'):$/;" f +IPReport adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^class IPReport(ReportBase):$/;" c +iprobe adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def iprobe():$/;" f function:client file: +IptcImageFile adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^class IptcImageFile(ImageFile.ImageFile):$/;" c +IPTC_NAA_CHUNK adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^IPTC_NAA_CHUNK = 33723 # newsphoto properties$/;" v +IPv4address adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^IPv4address = r"%(dec_octet)s \\. %(dec_octet)s \\. %(dec_octet)s \\. %(dec_octet)s" % locals($/;" v +IPv4Address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv4Address(_BaseV4, _BaseAddress):$/;" c +IPv4Interface adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv4Interface(IPv4Address):$/;" c +IPV4LENGTH adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^IPV4LENGTH = 32$/;" v +IPv4Network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv4Network(_BaseV4, _BaseNetwork):$/;" c +ipv4_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ ipv4_address = Regex(r'(25[0-5]|2[0-4][0-9]|1?[0-9]{1,2})(\\.(25[0-5]|2[0-4][0-9]|1?[0-9]{1,/;" v class:pyparsing_common +ipv4_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ ipv4_address = Regex(r'(25[0-5]|2[0-4][0-9]|1?[0-9]{1,2})(\\.(25[0-5]|2[0-4][0-9]|1?[0-9]{1,/;" v class:pyparsing_common +ipv4_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ ipv4_address = Regex(r'(25[0-5]|2[0-4][0-9]|1?[0-9]{1,2})(\\.(25[0-5]|2[0-4][0-9]|1?[0-9]{1,/;" v class:pyparsing_common +ipv4_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ ipv4_address = Regex(r'(25[0-5]|2[0-4][0-9]|1?[0-9]{1,2})(\\.(25[0-5]|2[0-4][0-9]|1?[0-9]{1,/;" v class:pyparsing_common +ipv4_mapped adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def ipv4_mapped(self):$/;" m class:IPv6Address +IPV4_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV4_PAT = r"(?:[0-9]{1,3}\\.){3}[0-9]{1,3}"$/;" v +IPV4_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV4_PAT = r"(?:[0-9]{1,3}\\.){3}[0-9]{1,3}"$/;" v +IPV4_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV4_RE = re.compile("^" + IPV4_PAT + "$")$/;" v +IPV4_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV4_RE = re.compile("^" + IPV4_PAT + "$")$/;" v +IPv6address adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^IPv6address = r"""(?: (?: %(h16)s : ){6} %(ls32)s |$/;" v +IPv6Address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv6Address(_BaseV6, _BaseAddress):$/;" c +IPv6Interface adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv6Interface(IPv6Address):$/;" c +IPV6LENGTH adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^IPV6LENGTH = 128$/;" v +IPv6Network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class IPv6Network(_BaseV6, _BaseNetwork):$/;" c +ipv6_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ ipv6_address = Combine((_full_ipv6_address | _mixed_ipv6_address | _short_ipv6_address).setN/;" v class:pyparsing_common +ipv6_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ ipv6_address = Combine((_full_ipv6_address | _mixed_ipv6_address | _short_ipv6_address).setN/;" v class:pyparsing_common +ipv6_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ ipv6_address = Combine((_full_ipv6_address | _mixed_ipv6_address | _short_ipv6_address).setN/;" v class:pyparsing_common +ipv6_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ ipv6_address = Combine((_full_ipv6_address | _mixed_ipv6_address | _short_ipv6_address).setN/;" v class:pyparsing_common +IPV6_ADDRZ_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV6_ADDRZ_PAT = r"\\[" + IPV6_PAT + r"(?:" + ZONE_ID_PAT + r")?\\]"$/;" v +IPV6_ADDRZ_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV6_ADDRZ_PAT = r"\\[" + IPV6_PAT + r"(?:" + ZONE_ID_PAT + r")?\\]"$/;" v +IPV6_ADDRZ_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV6_ADDRZ_RE = re.compile("^" + IPV6_ADDRZ_PAT + "$")$/;" v +IPV6_ADDRZ_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV6_ADDRZ_RE = re.compile("^" + IPV6_ADDRZ_PAT + "$")$/;" v +IPV6_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV6_PAT = "(?:" + "|".join([x % _subs for x in _variations]) + ")"$/;" v +IPV6_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV6_PAT = "(?:" + "|".join([x % _subs for x in _variations]) + ")"$/;" v +IPV6_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^IPV6_RE = re.compile("^" + IPV6_PAT + "$")$/;" v +IPV6_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^IPV6_RE = re.compile("^" + IPV6_PAT + "$")$/;" v +IPvFuture adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^IPvFuture = r"v %(HEXDIG)s+ \\. (?: %(unreserved)s | %(sub_delims)s | : )+" % locals()$/;" v +ipython_inline_display adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^def ipython_inline_display(figure):$/;" f +ip_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def ip_address(address):$/;" f +ip_interface adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def ip_interface(address):$/;" f +IP_literal adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^IP_literal = r"\\[ (?: %(IPv6address)s | %(IPvFuture)s ) \\]" % locals()$/;" v +ip_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def ip_network(address, strict=True):$/;" f +iqr adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def iqr(x, axis=None, rng=(25, 75), scale=1.0, nan_policy='propagate',$/;" f +iqr adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def iqr(self) -> float:$/;" m class:Measurement +IR adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class IR(object):$/;" c +IRAT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ IRAT = 0x0101$/;" v class:WAVE_FORMAT +irecv adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def irecv(tensor,$/;" f +IResourceProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class IResourceProvider(IMetadataProvider):$/;" c +IResourceProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class IResourceProvider(IMetadataProvider):$/;" c +irfft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def irfft(a, n=None, axis=-1, norm=None):$/;" f +irfft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def irfft(a, n=None, axis=-1, norm=None):$/;" f +irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^irfft = _MockFunction(np.random.random(10))$/;" v +irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def irfft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^irfft = functools.partial(c2r, False)$/;" v +irfft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def irfft(x, n=None, axis=-1, overwrite_x=False):$/;" f +IRFFT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^IRFFT = 3$/;" v +irfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft(input, fft_length, Treal=_dtypes.float32, name=None):$/;" f +IRFFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IRFFT = tf_export("raw_ops.IRFFT")(_ops.to_raw_op(irfft))$/;" v +irfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^irfft = _irfft_wrapper(gen_spectral_ops.irfft, 1, "irfft")$/;" v +irfft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^irfft = _add_docstr(_fft.fft_irfft, r"""$/;" v +irfft2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def irfft2(a, s=None, axes=(-2,-1), norm=None):$/;" f +irfft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def irfft2(a, s=None, axes=(-2, -1), norm=None):$/;" f +irfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^irfft2 = _MockFunction(np.random.random(10))$/;" v +irfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def irfft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +irfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def irfft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +irfft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft2d(input, fft_length, Treal=_dtypes.float32, name=None):$/;" f +IRFFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IRFFT2D = tf_export("raw_ops.IRFFT2D")(_ops.to_raw_op(irfft2d))$/;" v +irfft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^irfft2d = _irfft_wrapper(gen_spectral_ops.irfft2d, 2, "irfft2d")$/;" v +irfft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft2d_eager_fallback(input, fft_length, Treal, name, ctx):$/;" f +irfft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft3d(input, fft_length, Treal=_dtypes.float32, name=None):$/;" f +IRFFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^IRFFT3D = tf_export("raw_ops.IRFFT3D")(_ops.to_raw_op(irfft3d))$/;" v +irfft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^irfft3d = _irfft_wrapper(gen_spectral_ops.irfft3d, 3, "irfft3d")$/;" v +irfft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft3d_eager_fallback(input, fft_length, Treal, name, ctx):$/;" f +irfftn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def irfftn(a, s=None, axes=None, norm=None):$/;" f +irfftn adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def irfftn(a, s=None, axes=None, norm=None):$/;" f +irfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^irfftn = _MockFunction(np.random.random(10))$/;" v +irfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def irfftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +irfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^irfftn = functools.partial(c2rn, False)$/;" v +irfftn adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^irfftn = _add_docstr(_fft.fft_irfftn, r"""$/;" v +irfft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def irfft_eager_fallback(input, fft_length, Treal, name, ctx):$/;" f +irfft_fftpack adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^irfft_fftpack = functools.partial(r2r_fftpack, False)$/;" v +IRIXCPUInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class IRIXCPUInfo(CPUInfoBase):$/;" c +iri_to_uri adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def iri_to_uri(iri, charset="utf-8", errors="strict", safe_conversion=False):$/;" f +irr adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def irr(values):$/;" f +ir_version adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ir_version = _C._onnx.IR_VERSION$/;" v +Is adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def Is(self, descriptor):$/;" m class:Any +is18033_2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^is18033_2 = _OID(1, 0, 18033, 2)$/;" v +isallocatable adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isallocatable(var):$/;" f +isalnum adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isalnum(self):$/;" m class:chararray +isalnum adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isalnum(a):$/;" f +isalpha adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isalpha(self):$/;" m class:chararray +isalpha adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isalpha(a):$/;" f +isamax adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef int isamax(int *n, s *sx, int *incx) nogil$/;" f +isarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isarray(var):$/;" f +isarray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^isarray = isMaskedArray$/;" v +isarrayofstrings adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isarrayofstrings(var):$/;" f +isascii adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def isascii(s):$/;" f +isatty adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def isatty(self):$/;" m class:ContainerIO +isatty adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def isatty(self):$/;" m class:StreamWrapper +isatty adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def isatty(self):$/;" m class:HTMLStringO +isatty adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def isatty(self):$/;" m class:ResponseStream +isAutoRepeat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def isAutoRepeat(self): return False$/;" m class:test_correct_key._Event +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def isAvailable(cls):$/;" m class:FFMpegBase +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def isAvailable(cls):$/;" m class:HTMLWriter +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def isAvailable(cls):$/;" m class:ImageMagickBase +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def isAvailable(cls):$/;" m class:MovieWriter +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def isAvailable(cls):$/;" m class:PillowWriter +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ isAvailable = classmethod(MovieWriter.isAvailable.__func__)$/;" v class:AVConvBase +isAvailable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def isAvailable(cls):$/;" m class:RegisteredNullMovieWriter +isblk adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isblk(self):$/;" m class:TarInfo +isblocklevel adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def isblocklevel(self, html):$/;" m class:RawHtmlPostprocessor +isBlockLevel adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def isBlockLevel(tag):$/;" f +IsBoostedTreesEnsembleInitialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^IsBoostedTreesEnsembleInitialized = tf_export("raw_ops.IsBoostedTreesEnsembleInitialized")(_ops./;" v +IsBoostedTreesQuantileStreamResourceInitialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^IsBoostedTreesQuantileStreamResourceInitialized = tf_export("raw_ops.IsBoostedTreesQuantileStrea/;" v +isbuiltin adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def isbuiltin(f):$/;" f +isbuiltin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isbuiltin(object): # pylint: disable=redefined-builtin$/;" f +isbuiltin adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isbuiltin(object): # pylint: disable=redefined-builtin$/;" f +IsBuiltWithNvcc adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def IsBuiltWithNvcc():$/;" f +IsBuiltWithROCm adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def IsBuiltWithROCm():$/;" f +IsBuiltWithXLA adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def IsBuiltWithXLA():$/;" f +IsCanceled adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def IsCanceled(self):$/;" m class:RpcController +ischaracter adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def ischaracter(var):$/;" f +ischr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def ischr(self):$/;" m class:TarInfo +isclass adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isclass(object): # pylint: disable=redefined-builtin$/;" f +isclass adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isclass(object): # pylint: disable=redefined-builtin$/;" f +isclose adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isclose(a, b, rtol=1e-05, atol=1e-08, equal_nan=False):$/;" f +isclose adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def isclose(x, y):$/;" m class:_LogitHelper +isclose adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def isclose(a, b, rtol=1.e-5, atol=1.e-8, equal_nan=False):$/;" f +isclose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isclose(a, b, rtol=1e-05, atol=1e-08, equal_nan=False): # pylint: disable=missing-docstring$/;" f +isclosed adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def isclosed(self):$/;" m class:HTTPResponse +isclosed adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def isclosed(self):$/;" m class:HTTPResponse +IsCloudPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def IsCloudPath(path):$/;" f +iscode adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def iscode(object):$/;" f +iscomplex adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def iscomplex(x):$/;" f +iscomplex adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def iscomplex(var):$/;" f +iscomplex adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def iscomplex(x):$/;" f +iscomplex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def iscomplex(x):$/;" f +iscomplexarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def iscomplexarray(var):$/;" f +iscomplexfunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def iscomplexfunction(rout):$/;" f +iscomplexfunction_warn adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def iscomplexfunction_warn(rout):$/;" f +iscomplexobj adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^iscomplexobj = np.iscomplexobj$/;" v +iscomplexobj adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def iscomplexobj(x):$/;" f +iscomplexobj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def iscomplexobj(x):$/;" f +isComplexType adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def isComplexType(t):$/;" f +IsCondContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsCondContext(self):$/;" m class:CondContext +IsCondContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsCondContext(self):$/;" m class:ControlFlowContext +IsCondMerge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsCondMerge(op):$/;" f +IsCondSwitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsCondSwitch(op):$/;" f +isconstructor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def isconstructor(cls):$/;" f +IsContainingContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsContainingContext(ctxt, maybe_containing_ctxt):$/;" f +iscontiguous adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def iscontiguous(self):$/;" m class:MaskedArray +iscoroutinefunction adpepsenv/lib/python3.8/site-packages/absl/testing/_parameterized_async.py /^def iscoroutinefunction(func):$/;" f +isdecimal adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isdecimal(self):$/;" m class:chararray +isdecimal adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isdecimal(a):$/;" f +isDefaulted adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ isDefaulted = False$/;" v class:NamedType +isDefaulted adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ isDefaulted = True$/;" v class:DefaultedNamedType +isdense adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def isdense(x):$/;" f +isdev adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isdev(self):$/;" m class:TarInfo +isdigit adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isdigit(self):$/;" m class:chararray +isdigit adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isdigit(a):$/;" f +isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isdir(self):$/;" m class:TarInfo +isdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def isdir(self, dirname):$/;" m class:LocalFileSystem +isdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def isdir(self, dirname):$/;" m class:S3FileSystem +isdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def isdir(dirname):$/;" f +isdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import is_directory_v2 as isdir$/;" x +isdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import is_directory_v2 as isdir$/;" x +isdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import is_directory_v2 as isdir$/;" x +isDirectory adpepsenv/lib/python3.8/site-packages/PIL/_util.py /^def isDirectory(f):$/;" f +IsDirectory adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import is_directory as IsDirectory$/;" x +IsDirectory adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import is_directory as IsDirectory$/;" x +isdouble adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isdouble(var):$/;" f +isdummyroutine adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isdummyroutine(rout):$/;" f +isend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def isend(tensor,$/;" f +isexternal adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isexternal(var):$/;" f +isf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def isf(x):$/;" f +isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def isf(self, q):$/;" m class:rv_frozen +isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def isf(self, q, *args, **kwds):$/;" m class:rv_continuous +isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def isf(self, q, *args, **kwds):$/;" m class:rv_discrete +isfalse adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isfalse(var):$/;" f +isfifo adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isfifo(self):$/;" m class:TarInfo +isfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isfile(self):$/;" m class:TarInfo +isfileobj adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def isfileobj(f):$/;" f +isfinite adpeps/utils/tlist.py /^def isfinite(x):$/;" f +isfinite adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isfinite(x):$/;" f +IsFinite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^IsFinite = tf_export("raw_ops.IsFinite")(_ops.to_raw_op(is_finite))$/;" v +isfinite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isfinite(x):$/;" f +isfortran adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def isfortran(a):$/;" f +isframe adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isframe(object): # pylint: disable=redefined-builtin$/;" f +isframe adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isframe(object): # pylint: disable=redefined-builtin$/;" f +isfunction adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def isfunction(object):$/;" f +isfunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isfunction(rout):$/;" f +isfunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isfunction(object): # pylint: disable=redefined-builtin$/;" f +isfunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isfunction(object): # pylint: disable=redefined-builtin$/;" f +isfunction_wrap adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isfunction_wrap(rout):$/;" f +isgenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isgenerator(object): # pylint: disable=redefined-builtin$/;" f +isgenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isgenerator(object): # pylint: disable=redefined-builtin$/;" f +isgeneratorfunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isgeneratorfunction(object): # pylint: disable=redefined-builtin$/;" f +isgeneratorfunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isgeneratorfunction(object): # pylint: disable=redefined-builtin$/;" f +IsGoogleCudaEnabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def IsGoogleCudaEnabled():$/;" f +IsGPUDeviceType adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def IsGPUDeviceType(device_type):$/;" f +isheader adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def isheader(elem):$/;" f +isHTMLIntegrationPoint adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def isHTMLIntegrationPoint(self, element):$/;" m class:HTMLParser +isHTMLIntegrationPoint adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def isHTMLIntegrationPoint(self, element):$/;" m class:HTMLParser +ISIAUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ISIAUDIO = 0x0088$/;" v class:WAVE_FORMAT +ISIAUDIO_2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ISIAUDIO_2 = 0x1401$/;" v class:WAVE_FORMAT +isImageType adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def isImageType(t):$/;" f +IsImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def IsImmediate():$/;" f +isin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isin(element, test_elements, assume_unique=False, invert=False):$/;" f +isin adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def isin(element, test_elements, assume_unique=False, invert=False):$/;" f +isin adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def isin(element, test_elements, assume_unique=False, invert=False):$/;" f +IsInCond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsInCond(op):$/;" f +isInconsistent adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isInconsistent(self):$/;" m class:SequenceAndSetBase +isInconsistent adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isInconsistent(self):$/;" m class:SequenceOfAndSetOfBase +isinf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isinf(x):$/;" f +isInf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isInf(self):$/;" m class:Real +IsInf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^IsInf = tf_export("raw_ops.IsInf")(_ops.to_raw_op(is_inf))$/;" v +isinf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isinf(x):$/;" f +isInfinity adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isInfinity(self):$/;" m class:Real +IsInitialized adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def IsInitialized(self, errors=None):$/;" f function:_AddIsInitializedMethod file: +IsInitialized adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def IsInitialized(self):$/;" m class:Message +isInt adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def isInt(f):$/;" f +isint1array adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isint1array(var):$/;" f +isinteger adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isinteger(var):$/;" f +isIntentSupported adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def isIntentSupported(profile, intent, direction):$/;" f +isintent_aligned16 adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_aligned16(var):$/;" f +isintent_aligned4 adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_aligned4(var):$/;" f +isintent_aligned8 adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_aligned8(var):$/;" f +isintent_aux adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_aux(var):$/;" f +isintent_c adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_c(var):$/;" f +isintent_cache adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_cache(var):$/;" f +isintent_callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_callback(var):$/;" f +isintent_copy adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_copy(var):$/;" f +isintent_dict adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^isintent_dict = {isintent_in: 'INTENT_IN', isintent_inout: 'INTENT_INOUT',$/;" v +isintent_hide adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_hide(var):$/;" f +isintent_in adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_in(var):$/;" f +isintent_inout adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_inout(var):$/;" f +isintent_inplace adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_inplace(var):$/;" f +isintent_nothide adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_nothide(var):$/;" f +isintent_out adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_out(var):$/;" f +isintent_overwrite adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isintent_overwrite(var):$/;" f +isinteractive adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def isinteractive():$/;" f +isintlike adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def isintlike(x):$/;" f +IsInWhileLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def IsInWhileLoop(op):$/;" f +IsInWhileLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsInWhileLoop(op):$/;" f +IsInXLAContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsInXLAContext(op):$/;" f +islambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def islambda(f):$/;" f +islnk adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def islnk(self):$/;" m class:TarInfo +islogical adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islogical(var):$/;" f +islogicalfunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islogicalfunction(rout):$/;" f +islong_complex adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islong_complex(var):$/;" f +islong_double adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islong_double(var):$/;" f +islong_doublefunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islong_doublefunction(rout):$/;" f +islong_long adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islong_long(var):$/;" f +islong_longfunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def islong_longfunction(rout):$/;" f +IsLoopConstantEnter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsLoopConstantEnter(op):$/;" f +IsLoopEnter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsLoopEnter(op):$/;" f +IsLoopExit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsLoopExit(op):$/;" f +IsLoopMerge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsLoopMerge(op):$/;" f +IsLoopSwitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsLoopSwitch(op):$/;" f +islower adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def islower(self):$/;" m class:chararray +islower adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def islower(a):$/;" f +isMA adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^isMA = isMaskedArray # backward compatibility$/;" v +isMaskedArray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def isMaskedArray(x):$/;" f +isMatchingFormattingElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def isMatchingFormattingElement(self, node1, node2):$/;" m class:getPhases.InBodyPhase +isMatchingFormattingElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def isMatchingFormattingElement(self, node1, node2):$/;" m class:getPhases.InBodyPhase +isMathMLTextIntegrationPoint adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def isMathMLTextIntegrationPoint(self, element):$/;" m class:HTMLParser +isMathMLTextIntegrationPoint adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def isMathMLTextIntegrationPoint(self, element):$/;" m class:HTMLParser +ismatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def ismatrix(t):$/;" f +IsMerge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsMerge(op):$/;" f +ismethod adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def ismethod(object):$/;" f +ismethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def ismethod(object): # pylint: disable=redefined-builtin$/;" f +ismethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def ismethod(object): # pylint: disable=redefined-builtin$/;" f +isMinusInf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isMinusInf(self):$/;" m class:Real +isMinusInfinity adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isMinusInfinity(self):$/;" m class:Real +IsMklEnabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def IsMklEnabled():$/;" f +ismodule adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def ismodule(rout):$/;" f +ismodule adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def ismodule(object): # pylint: disable=redefined-builtin$/;" f +ismodule adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def ismodule(object): # pylint: disable=redefined-builtin$/;" f +ismoduleroutine adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def ismoduleroutine(rout):$/;" f +ismutable adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def ismutable(var):$/;" f +isnamedtuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def isnamedtuple(f):$/;" f +isnan adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isnan(x):$/;" f +IsNan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^IsNan = tf_export("raw_ops.IsNan")(_ops.to_raw_op(is_nan))$/;" v +isnan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isnan(x):$/;" f +isnan adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def isnan(g, input):$/;" f +isneginf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^isneginf = _wraps(np.isneginf)(lambda x, out=None: _isposneginf(-inf, x, out))$/;" v +isneginf adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def isneginf(x, out=None):$/;" f +isneginf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isneginf(x):$/;" f +IsNestedError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class IsNestedError(RuntimeError):$/;" c +IsNotNestedError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class IsNotNestedError(RuntimeError):$/;" c +isNoValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def isNoValue(*values):$/;" m class:Asn1Type +isnum adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def isnum(cls, nextchar):$/;" m class:_timelex +IsNUMAEnabled adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^IsNUMAEnabled = C.is_numa_enabled$/;" v +isnumber adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def isnumber(x):$/;" f function:assert_array_compare file: +isnumeric adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isnumeric(self):$/;" m class:chararray +isnumeric adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isnumeric(a):$/;" f +ISO2022CN_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022CN_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0, 0, 0, 0)$/;" v +ISO2022CN_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022CN_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0, 0, 0, 0)$/;" v +ISO2022CN_CLS adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022CN_CLS = ($/;" v +ISO2022CN_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022CN_CLS = ($/;" v +ISO2022CN_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022CN_SM_MODEL = {'class_table': ISO2022CN_CLS,$/;" v +ISO2022CN_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022CN_SM_MODEL = {'class_table': ISO2022CN_CLS,$/;" v +ISO2022CN_ST adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022CN_ST = ($/;" v +ISO2022CN_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022CN_ST = ($/;" v +ISO2022JP_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022JP_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0, 0, 0, 0, 0)$/;" v +ISO2022JP_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022JP_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0, 0, 0, 0, 0)$/;" v +ISO2022JP_CLS adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022JP_CLS = ($/;" v +ISO2022JP_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022JP_CLS = ($/;" v +ISO2022JP_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022JP_SM_MODEL = {'class_table': ISO2022JP_CLS,$/;" v +ISO2022JP_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022JP_SM_MODEL = {'class_table': ISO2022JP_CLS,$/;" v +ISO2022JP_ST adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022JP_ST = ($/;" v +ISO2022JP_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022JP_ST = ($/;" v +ISO2022KR_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022KR_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0)$/;" v +ISO2022KR_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022KR_CHAR_LEN_TABLE = (0, 0, 0, 0, 0, 0)$/;" v +ISO2022KR_CLS adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022KR_CLS = ($/;" v +ISO2022KR_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022KR_CLS = ($/;" v +ISO2022KR_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022KR_SM_MODEL = {'class_table': ISO2022KR_CLS,$/;" v +ISO2022KR_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022KR_SM_MODEL = {'class_table': ISO2022KR_CLS,$/;" v +ISO2022KR_ST adpepsenv/lib/python3.8/site-packages/chardet/escsm.py /^ISO2022KR_ST = ($/;" v +ISO2022KR_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escsm.py /^ISO2022KR_ST = ($/;" v +ISO646String adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class ISO646String(VisibleString):$/;" c +iso8601_date adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ iso8601_date = Regex(r'(?P\\d{4})(?:-(?P\\d\\d)(?:-(?P\\d\\d))?)?').setNam/;" v class:pyparsing_common +iso8601_date adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ iso8601_date = Regex(r'(?P\\d{4})(?:-(?P\\d\\d)(?:-(?P\\d\\d))?)?').setNam/;" v class:pyparsing_common +iso8601_date adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ iso8601_date = Regex(r'(?P\\d{4})(?:-(?P\\d\\d)(?:-(?P\\d\\d))?)?').setNam/;" v class:pyparsing_common +iso8601_date adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ iso8601_date = Regex(r'(?P\\d{4})(?:-(?P\\d\\d)(?:-(?P\\d\\d))?)?').setNam/;" v class:pyparsing_common +iso8601_datetime adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ iso8601_datetime = Regex(r'(?P\\d{4})-(?P\\d\\d)-(?P\\d\\d)[T ](?P\\/;" v class:pyparsing_common +iso8601_datetime adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ iso8601_datetime = Regex(r'(?P\\d{4})-(?P\\d\\d)-(?P\\d\\d)[T ](?P\\/;" v class:pyparsing_common +iso8601_datetime adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ iso8601_datetime = Regex(r'(?P\\d{4})-(?P\\d\\d)-(?P\\d\\d)[T ](?P\\/;" v class:pyparsing_common +iso8601_datetime adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ iso8601_datetime = Regex(r'(?P\\d{4})-(?P\\d\\d)-(?P\\d\\d)[T ](?P\\/;" v class:pyparsing_common +isOctetsType adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ isOctetsType = lambda s: isinstance(s, bytes)$/;" f +isOctetsType adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ isOctetsType = lambda s: isinstance(s, str)$/;" f +isolated_mode adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^isolated_mode = partial($/;" v +isoparse adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def isoparse(self, dt_str):$/;" m class:isoparser +isoparse adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^isoparse = DEFAULT_ISOPARSER.isoparse$/;" v +isoparser adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^class isoparser(object):$/;" c +IsOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def IsOperator(op_type):$/;" f +IsOperatorWithEngine adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def IsOperatorWithEngine(op_type, engine):$/;" f +isoptional adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isoptional(var):$/;" f +isOptional adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ isOptional = False$/;" v class:NamedType +isOptional adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ isOptional = True$/;" v class:OptionalNamedType +IsotonicRegression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^IsotonicRegression = tf_export("raw_ops.IsotonicRegression")(_ops.to_raw_op(isotonic_regression)/;" v +isotonic_regression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def isotonic_regression(input, output_dtype=_dtypes.float32, name=None):$/;" f +isotonic_regression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def isotonic_regression(inputs, decreasing=True, axis=-1):$/;" f +isotonic_regression_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def isotonic_regression_eager_fallback(input, output_dtype, name, ctx):$/;" f +isowner adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def isowner(self, o):$/;" m class:LockDraw +ISO_8859_2_HUNGARIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langhungarianmodel.py /^ISO_8859_2_HUNGARIAN_CHAR_TO_ORDER = {$/;" v +ISO_8859_2_HUNGARIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langhungarianmodel.py /^ISO_8859_2_HUNGARIAN_MODEL = SingleByteCharSetModel(charset_name='ISO-8859-2',$/;" v +ISO_8859_5_BULGARIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langbulgarianmodel.py /^ISO_8859_5_BULGARIAN_CHAR_TO_ORDER = {$/;" v +ISO_8859_5_BULGARIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langbulgarianmodel.py /^ISO_8859_5_BULGARIAN_MODEL = SingleByteCharSetModel(charset_name='ISO-8859-5',$/;" v +ISO_8859_5_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^ISO_8859_5_RUSSIAN_CHAR_TO_ORDER = {$/;" v +ISO_8859_5_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^ISO_8859_5_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='ISO-8859-5',$/;" v +ISO_8859_7_GREEK_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langgreekmodel.py /^ISO_8859_7_GREEK_CHAR_TO_ORDER = {$/;" v +ISO_8859_7_GREEK_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langgreekmodel.py /^ISO_8859_7_GREEK_MODEL = SingleByteCharSetModel(charset_name='ISO-8859-7',$/;" v +ISO_8859_9_TURKISH_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langturkishmodel.py /^ISO_8859_9_TURKISH_CHAR_TO_ORDER = {$/;" v +ISO_8859_9_TURKISH_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langturkishmodel.py /^ISO_8859_9_TURKISH_MODEL = SingleByteCharSetModel(charset_name='ISO-8859-9',$/;" v +ISO_WIN_MAP adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ ISO_WIN_MAP = {'iso-8859-1': 'Windows-1252',$/;" v class:UniversalDetector +ISO_WIN_MAP adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ ISO_WIN_MAP = {'iso-8859-1': 'Windows-1252',$/;" v class:UniversalDetector +isPath adpepsenv/lib/python3.8/site-packages/PIL/_util.py /^def isPath(f):$/;" f +isPlusInf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isPlusInf(self):$/;" m class:Real +isPlusInfinity adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isPlusInfinity(self):$/;" m class:Real +isposinf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^isposinf = _wraps(np.isposinf)(lambda x, out=None: _isposneginf(inf, x, out))$/;" v +isposinf adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def isposinf(x, out=None):$/;" f +isposinf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isposinf(x):$/;" f +isPrefixOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isPrefixOf(self, other):$/;" m class:ObjectIdentifier +isprivate adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isprivate(var):$/;" f +IsPythonDefaultSerializationDeterministic adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^def IsPythonDefaultSerializationDeterministic():$/;" f +IsQuantizationMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def IsQuantizationMode(mode):$/;" f +IsQuantizationWithCalibration adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def IsQuantizationWithCalibration(params):$/;" f +isreal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isreal(x):$/;" f +isreal adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isreal(var):$/;" f +isreal adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def isreal(x):$/;" f +isreal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isreal(x):$/;" f +isrealobj adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isrealobj(x):$/;" f +isrealobj adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def isrealobj(x):$/;" f +isrealobj adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def isrealobj(x):$/;" f +isrec adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def isrec(self):$/;" m class:netcdf_variable +isrec adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ isrec = property(isrec)$/;" v class:netcdf_variable +isreg adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def isreg(self):$/;" m class:TarInfo +isrequired adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isrequired(var):$/;" f +isroutine adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isroutine(rout):$/;" f +isroutine adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def isroutine(object): # pylint: disable=redefined-builtin$/;" f +isroutine adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def isroutine(object): # pylint: disable=redefined-builtin$/;" f +isSameTypeWith adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def isSameTypeWith(self, other, matchTags=True, matchConstraints=True):$/;" m class:Asn1Type +isscalar adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def isscalar(element):$/;" f +isscalar adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def isscalar(element):$/;" f +isscalar adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isscalar(var):$/;" f +isscalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def isscalar(num):$/;" f +isscalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def isscalar(val):$/;" f +isscalarlike adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def isscalarlike(x):$/;" f +issctype adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def issctype(rep):$/;" f +issequence adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def issequence(seq):$/;" f +issequence adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def issequence(t):$/;" f +isshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def isshape(x, nonneg=False):$/;" f +issigned_array adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issigned_array(var):$/;" f +issigned_chararray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issigned_chararray(var):$/;" f +issigned_long_longarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issigned_long_longarray(var):$/;" f +issigned_shortarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issigned_shortarray(var):$/;" f +isskip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def isskip(idx):$/;" f member:TestMultiIndexingAutomated.test_multidim file: +isspace adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def isspace(cls, nextchar):$/;" m class:_timelex +isspace adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isspace(self):$/;" m class:chararray +isspace adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isspace(a):$/;" f +issparse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def issparse(self):$/;" m class:TarInfo +issparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^issparse = isspmatrix$/;" v +issparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^ issparse = None$/;" v +issparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ issparse = None$/;" v +isSpiderHeader adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def isSpiderHeader(t):$/;" f +isSpiderImage adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def isSpiderImage(filename):$/;" f +isspmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^def isspmatrix(x):$/;" f +isspmatrix_bsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^def isspmatrix_bsr(x):$/;" f +isspmatrix_coo adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^def isspmatrix_coo(x):$/;" f +isspmatrix_csc adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^def isspmatrix_csc(x):$/;" f +isspmatrix_csr adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^def isspmatrix_csr(x):$/;" f +isspmatrix_dia adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^def isspmatrix_dia(x):$/;" f +isspmatrix_dok adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^def isspmatrix_dok(x):$/;" f +isspmatrix_lil adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^def isspmatrix_lil(x):$/;" f +isstate adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def isstate(self, state):$/;" m class:State +isString adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^def isString(s):$/;" f +isstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isstring(var):$/;" f +isstringarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isstringarray(var):$/;" f +isstringfunction adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isstringfunction(rout):$/;" f +isStringType adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ isStringType = lambda s: isinstance(s, (str, unicode))$/;" f +isStringType adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ isStringType = lambda s: isinstance(s, str)$/;" f +issubclass_ adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def issubclass_(arg1, arg2):$/;" f +issubdtype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def issubdtype(a, b):$/;" f +issubdtype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def issubdtype(arg1, arg2):$/;" f +issubdtype adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def issubdtype(arg1, arg2):$/;" f +issubdtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^issubdtype = np_export.np_export('issubdtype')(np.issubdtype)$/;" v +issubroutine adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issubroutine(rout):$/;" f +issubroutine_wrap adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def issubroutine_wrap(rout):$/;" f +issubsctype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^issubsctype = np.issubsctype$/;" v +issubsctype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^issubsctype = dtypes.issubsctype$/;" v +issubsctype adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def issubsctype(arg1, arg2):$/;" f +issubset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def issubset(self, other):$/;" m class:OrderedSet +isSubTypeOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def isSubTypeOf(self, otherConstraint):$/;" m class:AbstractConstraint +IssuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class IssuerAltName(GeneralNames):$/;" c +IssuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class IssuerAltName(GeneralNames):$/;" c +IssuerAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class IssuerAltName(GeneralNames):$/;" c +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class IssuerAndSerialNumber(univ.Sequence):$/;" c +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^IssuerAndSerialNumber = rfc5652.IssuerAndSerialNumber$/;" v +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class IssuerAndSerialNumber(univ.Sequence):$/;" c +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^IssuerAndSerialNumber = rfc5652.IssuerAndSerialNumber$/;" v +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class IssuerAndSerialNumber(univ.Sequence):$/;" c +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^IssuerAndSerialNumber = rfc5652.IssuerAndSerialNumber$/;" v +IssuerAndSerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^IssuerAndSerialNumber = rfc5652.IssuerAndSerialNumber$/;" v +IssuerSerial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class IssuerSerial(univ.Sequence):$/;" c +IssuerSerial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class IssuerSerial(univ.Sequence):$/;" c +IssuerSerial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^IssuerSerial = rfc2634.IssuerSerial$/;" v +IssuerSerial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class IssuerSerial(univ.Sequence):$/;" c +issue_warning adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def issue_warning(*args, **kw):$/;" f +issue_warning adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def issue_warning(*args, **kw):$/;" f +IssuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class IssuingDistributionPoint(univ.Sequence):$/;" c +IssuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class IssuingDistributionPoint(univ.Sequence):$/;" c +IssuingDistributionPoint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class IssuingDistributionPoint(univ.Sequence):$/;" c +IsSummaryEventsFile adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def IsSummaryEventsFile(path):$/;" f +issuperset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def issuperset(self, other):$/;" m class:OrderedSet +isSuperTagSetOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def isSuperTagSetOf(self, tagSet):$/;" m class:TagSet +isSuperTypeOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def isSuperTypeOf(self, other, matchTags=True, matchConstraints=True):$/;" m class:Asn1Type +isSuperTypeOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def isSuperTypeOf(self, otherConstraint):$/;" m class:AbstractConstraint +isSurrogatePair adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^def isSurrogatePair(data):$/;" f +isSurrogatePair adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^def isSurrogatePair(data):$/;" f +IsSwitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def IsSwitch(op):$/;" f +issym adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def issym(self):$/;" m class:TarInfo +istate adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ istate = None # istate > 0 means success, istate < 0 means failure$/;" v class:IntegratorBase +IsTensorFlowEventsFile adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def IsTensorFlowEventsFile(path):$/;" f +IsTensorRTVersionGreaterEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def IsTensorRTVersionGreaterEqual(major, minor=0, patch=0):$/;" f +istft adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def istft(Zxx, fs=1.0, window='hann', nperseg=None, noverlap=None, nfft=None,$/;" f +istft adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def istft(input: Tensor, n_fft: int, hop_length: Optional[int] = None,$/;" f +istft adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def istft(self, n_fft: int, hop_length: Optional[int] = None,$/;" m class:Tensor +isthreadsafe adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isthreadsafe(rout):$/;" f +istime adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def istime(x):$/;" f function:assert_array_compare file: +istitle adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def istitle(self):$/;" m class:chararray +istitle adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def istitle(a):$/;" f +IsTrainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop_util.py /^def IsTrainable(tensor_or_dtype):$/;" f +istrue adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def istrue(var):$/;" f +istuple adpepsenv/lib/python3.8/site-packages/torch/_six.py /^def istuple(obj) -> bool:$/;" f +IsTypePackable adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def IsTypePackable(field_type):$/;" f +isunsigned adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned(var):$/;" f +isunsignedarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsignedarray(var):$/;" f +isunsigned_char adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_char(var):$/;" f +isunsigned_chararray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_chararray(var):$/;" f +isunsigned_long_long adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_long_long(var):$/;" f +isunsigned_long_longarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_long_longarray(var):$/;" f +isunsigned_short adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_short(var):$/;" f +isunsigned_shortarray adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def isunsigned_shortarray(var):$/;" f +isupper adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def isupper(self):$/;" m class:chararray +isupper adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def isupper(a):$/;" f +IsValidForDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def IsValidForDescriptor(self, message_descriptor):$/;" m class:FieldMask +isValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def isValue(self):$/;" m class:SimpleAsn1Type +isValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isValue(self):$/;" m class:Choice +isValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isValue(self):$/;" m class:SequenceAndSetBase +isValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def isValue(self):$/;" m class:SequenceOfAndSetOfBase +IsVariable adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def IsVariable(self):$/;" m class:Tensor +IsVariableInitialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^IsVariableInitialized = tf_export("raw_ops.IsVariableInitialized")(_ops.to_raw_op(is_variable_in/;" v +IsWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsWhileContext(self):$/;" m class:ControlFlowContext +IsWhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsWhileContext(self):$/;" m class:WhileContext +isword adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def isword(cls, nextchar):$/;" m class:_timelex +IsXLAContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsXLAContext(self):$/;" m class:ControlFlowContext +IsXLAContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def IsXLAContext(self):$/;" m class:XLAControlFlowContext +is_32_bit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ is_32_bit = np.intp(0).itemsize < 8$/;" v class:TestLinprogSimplexNoPresolve +IS_64BIT adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^IS_64BIT = sys.maxsize > 2**32$/;" v +IS_64BIT adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^IS_64BIT = sys.maxsize > 2**32$/;" v +is_64bit adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def is_64bit():$/;" f +is_absolute_uri adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^def is_absolute_uri(uri):$/;" f +is_abstract adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def is_abstract(cls):$/;" m class:Benchmark +is_abstract adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def is_abstract(cls):$/;" m class:TensorFlowBenchmark +is_acceptable adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def is_acceptable(p: int, q: int) -> bool:$/;" f function:find_p_q file: +is_acceptable adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^def is_acceptable(tensor):$/;" f +is_activation_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def is_activation_blob(b):$/;" f function:optimize_inference_for_dag file: +is_activation_post_process adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def is_activation_post_process(module):$/;" f +is_active adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def is_active(self):$/;" m class:WorkerCoordinator +is_active adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def is_active(self):$/;" m class:_Rendezvous +is_active adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def is_active(self):$/;" m class:_FaceServicerContext +is_active adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def is_active(self):$/;" m class:RpcContext +is_active adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def is_active(self):$/;" m class:_Rendezvous +is_active adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def is_active(self):$/;" m class:_FailureOutcome +is_active adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def is_active(self):$/;" m class:_UnaryOutcome +is_active adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def is_active(self):$/;" m class:_Context +is_active adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def is_active(self):$/;" m class:RpcContext +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def is_active(self):$/;" m class:AudioPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def is_active(self):$/;" m class:TBPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def is_active(self):$/;" m class:CorePlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def is_active(self):$/;" m class:CustomScalarsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def is_active(self):$/;" m class:DebuggerV2Plugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def is_active(self):$/;" m class:DistributionsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def is_active(self):$/;" m class:GraphsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def is_active(self):$/;" m class:HistogramsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def is_active(self):$/;" m class:HParamsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def is_active(self):$/;" m class:ImagesPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def is_active(self):$/;" m class:MeshPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def is_active(self):$/;" m class:MetricsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def is_active(self):$/;" m class:NpmiPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^ def is_active(self):$/;" m class:_ProfileRedirectPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def is_active(self):$/;" m class:ProjectorPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def is_active(self):$/;" m class:PrCurvesPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def is_active(self):$/;" m class:ScalarsPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def is_active(self):$/;" m class:TextPlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def is_active(self):$/;" m class:TextV2Plugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def is_active(self):$/;" m class:ProfilePlugin +is_active adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def is_active(self):$/;" m class:WhatIfToolPlugin +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ is_affine = False$/;" v class:test_non_affine_caching.AssertingNonAffineTransform +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ is_affine = False$/;" v class:NonAffineForTest +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = False$/;" v class:TransformNode +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = property(lambda self: self._a.is_affine and self._b.is_affine)$/;" v class:CompositeGenericTransform +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = property(lambda self: self._child.is_affine)$/;" v class:TransformWrapper +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = property(lambda self: self._x.is_affine and self._y.is_affine)$/;" v class:BlendedGenericTransform +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = True$/;" v class:AffineBase +is_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_affine = True$/;" v class:BboxBase +is_alias adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def is_alias(self, o):$/;" m class:ArtistInspector +is_alive adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def is_alive(self):$/;" m class:TensorFlowTestCase._CheckedThread +is_alive adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ def is_alive(self):$/;" m class:ManagerWatchdog +is_allowed adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def is_allowed(self, filename):$/;" m class:SharedDataMiddleware +is_allowlisted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def is_allowlisted($/;" f +is_allow_float64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def is_allow_float64():$/;" f +is_all_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def is_all_none(structure):$/;" f +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def is_ambiguous(self, dt):$/;" m class:tzlocal +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def is_ambiguous(self, dt):$/;" m class:tzoffset +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def is_ambiguous(self, dt):$/;" m class:tzutc +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def is_ambiguous(self, dt, idx=None):$/;" m class:tzfile +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def is_ambiguous(self, dt):$/;" m class:tzrangebase +is_ambiguous adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def is_ambiguous(self, dt):$/;" m class:_tzinfo +is_animated adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def is_animated(self):$/;" m class:GifImageFile +is_animated adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def is_animated(self):$/;" m class:ImImageFile +is_animated adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def is_animated(self):$/;" m class:SpiderImageFile +is_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^is_appengine = _appengine_environ.is_appengine$/;" v +is_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_appengine_environ.py /^def is_appengine():$/;" f +is_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^is_appengine = _appengine_environ.is_appengine$/;" v +is_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_appengine_environ.py /^def is_appengine():$/;" f +is_appengine_sandbox adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^is_appengine_sandbox = _appengine_environ.is_appengine_sandbox$/;" v +is_appengine_sandbox adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_appengine_environ.py /^def is_appengine_sandbox():$/;" f +is_appengine_sandbox adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^is_appengine_sandbox = _appengine_environ.is_appengine_sandbox$/;" v +is_appengine_sandbox adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_appengine_environ.py /^def is_appengine_sandbox():$/;" f +is_archive_file adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def is_archive_file(name):$/;" f +is_arg adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def is_arg(a):$/;" f function:BaseVisitor.visit_Call_arguments35.arg_compare file: +is_arm adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^is_arm = re.match("^(arm|aarch64)", machine, re.IGNORECASE)$/;" v +is_array_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def is_array_scalar(x):$/;" f +is_asan adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^is_asan = C.is_asan$/;" v +is_async adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def is_async(self):$/;" m class:Context +is_async adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def is_async():$/;" f +is_async adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def is_async(self):$/;" m class:Executor +is_autograph_artifact adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def is_autograph_artifact(entity):$/;" f +is_autograph_strict_conversion_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def is_autograph_strict_conversion_mode():$/;" f +IS_AUTO_GEN_SUM_OPS_TAG adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ IS_AUTO_GEN_SUM_OPS_TAG = "is_auto_gen_sum_ops"$/;" v class:IR +is_available adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def is_available(self, name):$/;" m class:MovieWriterRegistry +is_available adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^is_available = ssl is not None and object not in ($/;" v +is_available adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/backends/mkl/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/backends/mkldnn/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/backends/openmp/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def is_available(tensors):$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def is_available() -> bool:$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/distributed/autograd/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^def is_available():$/;" f +is_available adpepsenv/lib/python3.8/site-packages/torch/distributed/__init__.py /^def is_available():$/;" f +IS_AVX adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^IS_AVX = __cpu_features__.get('AVX512F', False) or \\$/;" v +is_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_bbox = False$/;" v class:TransformNode +is_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_bbox = True$/;" v class:BboxBase +is_better adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def is_better(self, a, best):$/;" m class:ReduceLROnPlateau +is_between_brackets adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def is_between_brackets(self, s, loc):$/;" m class:Parser +is_block_level adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def is_block_level(self, tag):$/;" m class:Markdown +is_bool adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_bool(self):$/;" m class:DType +is_boosted_trees_ensemble_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def is_boosted_trees_ensemble_initialized(tree_ensemble_handle, name=None):$/;" f +is_boosted_trees_ensemble_initialized_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def is_boosted_trees_ensemble_initialized_eager_fallback(tree_ensemble_handle, name, ctx):$/;" f +is_boosted_trees_quantile_stream_resource_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def is_boosted_trees_quantile_stream_resource_initialized(quantile_stream_resource_handle, name=/;" f +is_boosted_trees_quantile_stream_resource_initialized_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^def is_boosted_trees_quantile_stream_resource_initialized_eager_fallback(quantile_stream_resourc/;" f +is_bootstrapping adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def is_bootstrapping():$/;" f +is_brief_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def is_brief_mode(self):$/;" m class:TTParameters +is_broadcast_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/common_shapes.py /^def is_broadcast_compatible(shape_x, shape_y):$/;" f +is_built adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^def is_built():$/;" f +is_builtin_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def is_builtin_layer(layer):$/;" f +is_built_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def is_built_in(cls):$/;" f +is_built_with_cuda adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def is_built_with_cuda():$/;" f +is_built_with_gpu_support adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def is_built_with_gpu_support():$/;" f +is_built_with_rocm adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def is_built_with_rocm():$/;" f +is_built_with_xla adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def is_built_with_xla():$/;" f +is_busday adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def is_busday(dates, weekmask=None, holidays=None, busdaycal=None, out=None):$/;" f +is_bytes_or_string adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def is_bytes_or_string(maybe_string):$/;" f +is_byte_range_valid adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def is_byte_range_valid(start, stop, length):$/;" f +is_caffe2_gpu_file adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def is_caffe2_gpu_file(filepath):$/;" f +is_called_from_pytest adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^def is_called_from_pytest():$/;" f +is_cancelled adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ def is_cancelled(self):$/;" m class:CancellationManager +is_categorical_column_weighted adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def is_categorical_column_weighted(self):$/;" m class:_TPUBaseEmbeddingColumn +is_categorical_column_weighted adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def is_categorical_column_weighted(self):$/;" m class:_TPUEmbeddingColumn +is_categorical_column_weighted adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def is_categorical_column_weighted(self):$/;" m class:_TPUSharedEmbeddingColumn +is_categorical_column_weighted adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def is_categorical_column_weighted(self):$/;" m class:_TPUEmbeddingColumnV2 +is_categorical_column_weighted adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def is_categorical_column_weighted(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +is_categorical_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def is_categorical_crossentropy(loss):$/;" f +is_changed adpeps/utils/tlist.py /^ def is_changed(self, *ix):$/;" m class:TList +is_check_link adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def is_check_link():$/;" f +is_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def is_chief(self):$/;" m class:_WorkerContext +is_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def is_chief():$/;" f +is_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def is_chief(cluster_spec=None, task_type=None, task_id=None):$/;" f +is_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def is_chief(self):$/;" m class:Supervisor +is_chief adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def is_chief(self):$/;" m class:RunConfig +is_cid adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^is_cid = re.compile(br"\\w\\w\\w\\w").match$/;" v +is_cleared adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def is_cleared(self):$/;" m class:_DefaultStack +is_closed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def is_closed(self, name=None):$/;" m class:QueueBase +is_close_to_int adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def is_close_to_int(x, *, atol=1e-10):$/;" f +IS_COLAB adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^IS_COLAB = ('google.colab' in sys.modules)$/;" v +is_color_like adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def is_color_like(c):$/;" f +is_commit_id_equal adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def is_commit_id_equal(cls, dest, name):$/;" m class:Bazaar +is_commit_id_equal adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def is_commit_id_equal(cls, dest, name):$/;" m class:Git +is_commit_id_equal adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def is_commit_id_equal(cls, dest, name):$/;" m class:Mercurial +is_commit_id_equal adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def is_commit_id_equal(cls, dest, name):$/;" m class:Subversion +is_commit_id_equal adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def is_commit_id_equal(cls, dest, name):$/;" m class:VersionControl +is_compatible adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def is_compatible(candidate_range, assignment, static_blobs):$/;" f +is_compatible adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def is_compatible(cls, model, device='CPU', **kwargs):$/;" m class:Caffe2Backend +is_compatible adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^is_compatible = Caffe2Backend.is_compatible$/;" v +is_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def is_compatible(self):$/;" m class:Wheel +is_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^def is_compatible(wheel, tags=None):$/;" f +is_compatible adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def is_compatible(self):$/;" m class:Wheel +is_compatible_all adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def is_compatible_all(candidate_range, assignments):$/;" f function:compute_assignments_dp._get_compatible_prev file: +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_compatible_with(self, other):$/;" m class:DType +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def is_compatible_with(self, other):$/;" m class:DType +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def is_compatible_with(self, other):$/;" m class:Dimension +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def is_compatible_with(self, other):$/;" m class:TensorShape +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def is_compatible_with(self, spec_or_tensor): # pylint:disable=useless-super-delegation$/;" m class:TensorSpec +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def is_compatible_with(self, spec_or_value):$/;" m class:DenseSpec +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def is_compatible_with(self, spec_or_value):$/;" m class:TypeSpec +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def is_compatible_with(self, spec_or_value):$/;" m class:RaggedTensorSpec +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def is_compatible_with(self, other):$/;" m class:RowPartitionSpec +is_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def is_compatible_with(self, other):$/;" m class:TensorArraySpec +is_complex adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_complex(self):$/;" m class:DType +is_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def is_composite(self):$/;" m class:QN +is_composite_or_composite_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor_utils.py /^def is_composite_or_composite_value(tensor):$/;" f +is_conda adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ is_conda = os.path.exists(os.path.join(sys.prefix, 'conda-meta'))$/;" v +is_connection_dropped adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^def is_connection_dropped(conn): # Platform-specific$/;" f +is_connection_dropped adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^def is_connection_dropped(conn): # Platform-specific$/;" f +is_console_interactive adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def is_console_interactive():$/;" f +is_constant adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def is_constant(self):$/;" m class:Poly +is_constant adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def is_constant(lexpr: Optional[LazyExpr]):$/;" f +is_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ is_constant = _is_constant_gast_2$/;" v +is_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ is_constant = _is_constant_gast_3$/;" v +is_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def is_constant(tensor_or_op):$/;" f +is_constant_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def is_constant_jacobian(self):$/;" m class:Bijector +is_container adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def is_container(self, resource):$/;" m class:ResourceFinder +is_container adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ is_container = False # Backwards compatibility$/;" v class:Resource +is_container adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ is_container = True # Backwards compatibility$/;" v class:ResourceContainer +is_convertible_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def is_convertible_with(self, other):$/;" m class:Dimension +is_convertible_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def is_convertible_with(self, other):$/;" m class:TensorShape +is_copy_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def is_copy_node(node_name):$/;" f +is_cuda adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ is_cuda = True$/;" v class:_CudaBase +is_cuda adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def is_cuda(self):$/;" m class:PackedSequence +is_cuda adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ is_cuda = False$/;" v class:_StorageBase +is_cudnn_supported_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def is_cudnn_supported_inputs(mask, time_major):$/;" f +is_current adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def is_current(self, paths=None):$/;" m class:Require +is_current_worker_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def is_current_worker_chief():$/;" f +is_custom_module_class adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def is_custom_module_class(module_class):$/;" f +is_cygwingcc adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^def is_cygwingcc():$/;" f +is_dashed adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def is_dashed(self):$/;" m class:Line2D +is_dataset adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def is_dataset(self):$/;" m class:_Inputs +is_dataset_or_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def is_dataset_or_iterator(data):$/;" f +is_dataset_shape_fully_defined adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def is_dataset_shape_fully_defined(dataset):$/;" f +is_data_scheme_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def is_data_scheme_path(path):$/;" f function:_install_wheel file: +is_date adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def is_date(x):$/;" f function:_create_pdf_info_dict file: +is_datetime64_object adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline bint is_datetime64_object(object obj):$/;" f +is_debug_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def is_debug_node(node_name):$/;" f +is_decade adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def is_decade(x, base=10, *, rtol=1e-10):$/;" f +is_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def is_default(method):$/;" f +is_default_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^def is_default_strategy(strategy):$/;" f +is_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensors.py /^def is_dense_tensor(t):$/;" f +is_dense_tensor_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def is_dense_tensor_like(t):$/;" f +is_dependent adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^def is_dependent(constraint):$/;" f +is_deterministic adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def is_deterministic():$/;" f +is_device_constant adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def is_device_constant(x):$/;" f +is_device_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^def is_device_spec(obj):$/;" f +is_devrelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:LegacyVersion +is_devrelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:Version +is_devrelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:LegacyVersion +is_devrelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:Version +is_devrelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:LegacyVersion +is_devrelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_devrelease(self):$/;" m class:Version +is_diag_update_positive adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def is_diag_update_positive(self):$/;" m class:LinearOperatorLowRankUpdate +is_dict adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_dict(ann):$/;" f +is_differentiable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def is_differentiable(op):$/;" f +is_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def is_directory(dirname):$/;" f +is_directory_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def is_directory_v2(path):$/;" f +is_dir_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def is_dir_path(path):$/;" f function:_install_wheel file: +is_distributed_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def is_distributed_variable(v):$/;" f +is_distributing_by_cloning adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def is_distributing_by_cloning(model):$/;" f +is_dropsub adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def is_dropsub(self, nucleus):$/;" m class:Parser +is_eager_dataset_or_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def is_eager_dataset_or_iterator(data):$/;" f +is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def is_editable(self):$/;" m class:Candidate +is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def is_editable(self):$/;" m class:AlreadyInstalledCandidate +is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def is_editable(self):$/;" m class:ExtrasCandidate +is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ is_editable = False$/;" v class:LinkCandidate +is_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ is_editable = True$/;" v class:EditableCandidate +is_edit_valid adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def is_edit_valid(edit):$/;" f +is_ellipsis adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ is_ellipsis = _is_ellipsis_gast_2$/;" v +is_ellipsis adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ is_ellipsis = _is_ellipsis_gast_3$/;" v +is_ellipsis adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def is_ellipsis(item):$/;" f +is_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def is_empty(self):$/;" m class:Test_callback_registry +is_empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def is_empty(x):$/;" f member:BaseDebugWrapperSession.run file: +is_empty_dataspace adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^def is_empty_dataspace(obj):$/;" f +is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def is_enabled(self):$/;" m class:MenuItem +is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def is_enabled():$/;" m class:TensorTracer +is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def is_enabled(self):$/;" m class:TTParameters +is_enabled adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def is_enabled(self):$/;" m class:GradScaler +is_endpoint_expecting adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def is_endpoint_expecting(self, endpoint, *arguments):$/;" m class:Map +is_entity_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def is_entity_header(header):$/;" f +is_entrypoint_wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def is_entrypoint_wrapper(file):$/;" f function:_install_wheel file: +is_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^def is_eval(mode):$/;" f +is_exhausted adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def is_exhausted(self):$/;" m class:Retry +is_exhausted adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def is_exhausted(self):$/;" m class:Retry +is_exhausted adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def is_exhausted(self):$/;" m class:LimitedStream +is_existing_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_existing_dir(self):$/;" m class:Link +is_explicit_batch_mode_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def is_explicit_batch_mode_enabled(rewriter_config):$/;" f +is_extension_compiled_python_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def is_extension_compiled_python_source(file_path):$/;" f +is_extension_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def is_extension_type(tensor):$/;" f +is_extension_uncompiled_python_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def is_extension_uncompiled_python_source(file_path):$/;" f +is_external_input adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def is_external_input(self, blob):$/;" m class:Net +is_factory_for_restored_saveable_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def is_factory_for_restored_saveable_object(factory):$/;" f +is_feature_column_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def is_feature_column_v2(feature_columns):$/;" f +is_feature_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def is_feature_layer(layer):$/;" f +is_feedable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def is_feedable(self, tensor):$/;" m class:Graph +is_fetchable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def is_fetchable(self, tensor_or_op):$/;" m class:Graph +is_field adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def is_field(self, name):$/;" m class:LegacyMetadata +is_file adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_file(self):$/;" m class:Link +is_filled adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def is_filled(self):$/;" m class:MarkerStyle +is_final adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def is_final(self, c):$/;" m class:HebrewProber +is_final adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def is_final(self, c):$/;" m class:HebrewProber +is_final adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_final(ann):$/;" f +is_final_export_triggered adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def is_final_export_triggered(self):$/;" m class:_TrainingExecutor._Evaluator +is_finite adpeps/utils/empty_tensor.py /^ def is_finite(self):$/;" m class:EmptyT +is_finite adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^is_finite = np.isfinite$/;" v +is_finite adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def is_finite(x: Array) -> Array:$/;" f +is_finite adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^is_finite = _unary_op(math_ops.is_finite)$/;" v +is_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_finite(x, name=None):$/;" f +is_finite_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_finite_eager_fallback(x, name, ctx):$/;" f +is_finite_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^is_finite_p = unop(_fixed_dtype(np.bool_), _float, 'is_finite')$/;" v +is_first_col adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def is_first_col(self):$/;" m class:SubplotBase +is_first_row adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def is_first_row(self):$/;" m class:SubplotBase +is_flag_on adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def is_flag_on(self, flag_name):$/;" m class:TTParameters +is_flaky_test_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def is_flaky_test_mode():$/;" f +is_flat adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def is_flat(outputs):$/;" f +is_float adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ is_float = lambda c: dtypes.issubdtype(dtypes.dtype(c), inexact)$/;" f function:_closure_convert_for_avals file: +is_floating adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_floating(self):$/;" m class:DType +is_fp_closed adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/response.py /^def is_fp_closed(obj):$/;" f +is_fp_closed adpepsenv/lib/python3.8/site-packages/urllib3/util/response.py /^def is_fp_closed(obj):$/;" f +is_free_format adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def is_free_format(file):$/;" f +is_free_format adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def is_free_format(file):$/;" f +is_from_cache adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^def is_from_cache(response):$/;" f +is_frozen_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def is_frozen_graph(sess):$/;" f +is_fully_defined adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def is_fully_defined(self):$/;" m class:TensorShape +is_fully_defined adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def is_fully_defined(self):$/;" m class:TensorShape +is_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function_def_to_graph.py /^def is_function(fname):$/;" f +is_functional_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def is_functional_layer(layer):$/;" f member:LayerModelHelper.__getattr__ file: +is_functional_model_init_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def is_functional_model_init_params(args, kwargs):$/;" f +is_function_or_method adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def is_function_or_method(the_callable):$/;" f +is_future adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_future(ann):$/;" f +is_f_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^is_f_file = re.compile(r'.*[.](for|ftn|f77|f)\\Z', re.I).match$/;" v +is_f_file adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^is_f_file = re.compile(r'.*[.](for|ftn|f77|f)\\Z', re.I).match$/;" v +is_generator_or_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def is_generator_or_sequence(x):$/;" f +is_global adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_global(self):$/;" m class:IPv4Address +is_global adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_global(self):$/;" m class:IPv4Network +is_global adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_global(self):$/;" m class:IPv6Address +is_global adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_global(self):$/;" m class:_BaseNetwork +is_global adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ is_global = False$/;" v class:VarHeader4 +is_glob_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def is_glob_pattern(s):$/;" f +is_gloo_available adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def is_gloo_available():$/;" f +is_gnu_getopt adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def is_gnu_getopt(self):$/;" m class:FlagValues +is_gpu_available adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def is_gpu_available(cuda_only=False, min_cuda_compute_capability=None):$/;" f +is_grad_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def is_grad_blob(b):$/;" f function:share_grad_blobs file: +is_grad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def is_grad_op(op):$/;" f function:share_grad_blobs file: +is_gray adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def is_gray(self):$/;" m class:Colormap +is_grayscale adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ is_grayscale = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NonUniformImage +is_grayscale adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ is_grayscale = cbook._deprecate_privatize_attribute("3.3")$/;" v class:PcolorImage +is_guaranteed_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ is_guaranteed_const = lambda op: op.node_def.op == "GuaranteeConst"$/;" f function:_is_guaranteed_const file: +is_hash_allowed adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_hash_allowed(self, hashes):$/;" m class:Link +is_hash_allowed adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def is_hash_allowed($/;" m class:Hashes +is_hdf5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^def is_hdf5(fname):$/;" f +IS_HIP_EXTENSION adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^IS_HIP_EXTENSION = True if ((ROCM_HOME is not None) and (torch.version.hip is not None)) else Fa/;" v +is_hop_by_hop_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def is_hop_by_hop_header(header):$/;" f +is_horizontal adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def is_horizontal(self):$/;" m class:EventCollection +is_ignored_fn adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_ignored_fn(fn):$/;" f +is_immutable adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def is_immutable(self):$/;" f +is_immutable_rev_checkout adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def is_immutable_rev_checkout(self, url, dest):$/;" m class:Git +is_immutable_rev_checkout adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def is_immutable_rev_checkout(self, url, dest):$/;" m class:VersionControl +is_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def is_indexed_slices(value):$/;" f +is_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def is_indexed_slices(grad):$/;" f member:TensorBoard._make_histogram_ops file: +is_inf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_inf(x, name=None):$/;" f +is_inf_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_inf_eager_fallback(x, name, ctx):$/;" f +is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def is_initialized(self, name=None):$/;" m class:PackedDistributedVariable +is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def is_initialized(self, name=None):$/;" m class:DistributedVariable +is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def is_initialized(self):$/;" m class:QuantileAccumulator +is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def is_initialized(self):$/;" m class:TreeEnsemble +is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def is_initialized(self, name=None):$/;" m class:BaseResourceVariable +is_initialized adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def is_initialized():$/;" f +is_initialized adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def is_initialized():$/;" f +is_inplace adpepsenv/lib/python3.8/site-packages/numpy/tests/test_scripts.py /^is_inplace = isfile(pathjoin(dirname(np.__file__), '..', 'setup.py'))$/;" v +is_input_broadcast_with_iterators adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_input_broadcast_with_iterators(self):$/;" m class:_InternalTPUContext +is_input_per_host_with_iterators adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_input_per_host_with_iterators(self):$/;" m class:_InternalTPUContext +is_input_sharded_per_core adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_input_sharded_per_core(self):$/;" m class:_InternalTPUContext +is_input_slice_broadcast_to_all_cores adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_input_slice_broadcast_to_all_cores(self):$/;" m class:_InternalTPUContext +is_inside_generated_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^def is_inside_generated_code():$/;" f +is_inside_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def is_inside_loop(self):$/;" m class:WhileOp +is_installable_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def is_installable_dir(path):$/;" f +is_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def is_installed(self):$/;" m class:Candidate +is_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def is_installed(self):$/;" m class:ExtrasCandidate +is_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ is_installed = False$/;" v class:RequiresPythonCandidate +is_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ is_installed = False$/;" v class:_InstallRequirementBackedCandidate +is_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ is_installed = True$/;" v class:AlreadyInstalledCandidate +is_integer adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_integer(self):$/;" m class:DType +is_integer_quantize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def is_integer_quantize(self):$/;" m class:QuantizationMode +is_integral adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def is_integral(dtype: torch.dtype) -> bool:$/;" f +is_intent adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def is_intent(self, *names):$/;" m class:Intent +is_intent_exact adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def is_intent_exact(self, *names):$/;" m class:Intent +is_interactive adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def is_interactive():$/;" f +is_in_allowlist_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def is_in_allowlist_cache(entity, options):$/;" f +is_in_eager_or_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def is_in_eager_or_tf_function():$/;" f +IS_IN_GRAPH_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/is_in_graph_mode.py /^IS_IN_GRAPH_MODE = lambda: True$/;" f +is_in_keras_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def is_in_keras_graph():$/;" f +is_in_onnx_export adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def is_in_onnx_export():$/;" f +is_in_onnx_export adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def is_in_onnx_export():$/;" f +is_in_scope adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def is_in_scope(self):$/;" m class:TokenGenerator +is_in_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def is_in_tf_function():$/;" f +is_ipaddress adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def is_ipaddress(hostname):$/;" f +is_ipaddress adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def is_ipaddress(hostname):$/;" f +is_ipv4_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def is_ipv4_address(string_ip):$/;" f +is_ipv4_address adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def is_ipv4_address(string_ip):$/;" f +is_isomorphic adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def is_isomorphic(T1, T2):$/;" f +is_iterable adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def is_iterable(obj):$/;" f member:ParseResults.from_dict file: +is_iterable adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def is_iterable(obj):$/;" f member:ParseResults.from_dict file: +is_iterable adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^def is_iterable(obj):$/;" f +is_iterable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def is_iterable(obj):$/;" f +is_iterable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def is_iterable(obj):$/;" f +is_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ is_iterator = lambda x: hasattr(x, '__iter__') and hasattr(x, 'next')$/;" f +is_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ is_iterator = lambda x: isinstance(x, typing.Iterator)$/;" f +is_jpeg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def is_jpeg(contents, name=None):$/;" f +is_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def is_json(self):$/;" m class:JSONMixin +is_keras_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def is_keras_tensor(x):$/;" f +is_keras_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^def is_keras_tensor(obj):$/;" f +is_known adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def is_known(self) -> bool:$/;" m class:PartialVal +is_known adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def is_known(self):$/;" m class:JaxprTracer +is_last_col adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def is_last_col(self):$/;" m class:SubplotBase +is_last_row adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def is_last_row(self):$/;" m class:SubplotBase +is_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^def is_layer(obj):$/;" f +is_leaf adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def is_leaf(self):$/;" m class:ClusterNode +is_leaf_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def is_leaf_module(module):$/;" f member:QuantizationTestCase.checkObservers file: +is_leaf_module adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def is_leaf_module(self, _: torch.nn.Module, __: str) -> bool:$/;" m class:deserialize_graphmodule.KeepModules +is_leaf_module adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def is_leaf_module(self, m: torch.nn.Module, module_qualified_name : str) -> bool:$/;" m class:Tracer +is_library adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def is_library(self):$/;" m class:Frame +is_link_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_link_local(self):$/;" m class:IPv4Address +is_link_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_link_local(self):$/;" m class:IPv6Address +is_link_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_link_local(self):$/;" m class:_BaseNetwork +is_linux adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^is_linux = sys.platform.startswith('linux')$/;" v +is_linux adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ is_linux = sys.platform.startswith('linux')$/;" v class:TestLinprogSimplexNoPresolve +is_list adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_list(ann):$/;" f +is_literal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^def is_literal(node):$/;" f +is_loaded adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ is_loaded = True$/;" v +is_loaded adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ is_loaded = False$/;" v +is_loaded adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ is_loaded = True$/;" v +is_loaded adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ is_loaded = False$/;" v +is_local adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def is_local(path):$/;" f +IS_LOCAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ IS_LOCAL = 'Symbol is local to the function scope being analyzed.'$/;" v class:NodeAnno +is_local_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^is_local_appengine = _appengine_environ.is_local_appengine$/;" v +is_local_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_appengine_environ.py /^def is_local_appengine():$/;" f +is_local_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^is_local_appengine = _appengine_environ.is_local_appengine$/;" v +is_local_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_appengine_environ.py /^def is_local_appengine():$/;" f +is_local_src_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def is_local_src_dir(directory):$/;" f +is_logical adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ is_logical = False$/;" v class:VarHeader4 +is_longdouble_binary_compatible adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def is_longdouble_binary_compatible():$/;" f +is_loopback adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_loopback(self):$/;" m class:IPv4Address +is_loopback adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_loopback(self):$/;" m class:IPv6Address +is_loopback adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_loopback(self):$/;" m class:IPv6Interface +is_loopback adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_loopback(self):$/;" m class:_BaseNetwork +IS_MACOS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^IS_MACOS = sys.platform == "darwin"$/;" v +is_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def is_mask(m):$/;" f +is_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def is_masked(x):$/;" f +is_master adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def is_master(self):$/;" m class:MultiProcessTestCase +is_match adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def is_match(modules, node, pattern, max_uses=sys.maxsize):$/;" f +is_math_text adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def is_math_text(s):$/;" f +is_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def is_method(self):$/;" m class:FunctionSpec +is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def is_mirrored(val):$/;" f +is_mlir_bridge_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def is_mlir_bridge_enabled():$/;" f +IS_MODIFIED_SINCE_ENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ IS_MODIFIED_SINCE_ENTRY = ($/;" v class:NodeAnno +is_monotonic adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def is_monotonic(Z):$/;" f +is_mountable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def is_mountable(self):$/;" m class:Wheel +is_mpi_available adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def is_mpi_available():$/;" f +is_msvc adpepsenv/lib/python3.8/site-packages/numpy/random/setup.py /^is_msvc = (platform.platform().startswith('Windows') and$/;" v +is_mtls adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def is_mtls(self):$/;" m class:SslCredentials +is_mtls adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def is_mtls(self):$/;" m class:AuthorizedSession +is_multicast adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_multicast(self):$/;" m class:IPv4Address +is_multicast adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_multicast(self):$/;" m class:IPv6Address +is_multicast adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_multicast(self):$/;" m class:_BaseNetwork +is_multiprocess adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ is_multiprocess = environ_property($/;" v class:BaseRequest +is_multithread adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ is_multithread = environ_property($/;" v class:BaseRequest +is_multi_field adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def is_multi_field(self, name):$/;" m class:LegacyMetadata +is_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_nan(x, name=None):$/;" f +is_nan_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def is_nan_eager_fallback(x, name, ctx):$/;" f +is_nccl_available adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def is_nccl_available():$/;" f +is_nested adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def is_nested(seq):$/;" f +is_ng_component adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def is_ng_component(self):$/;" m class:FrontendMetadata +is_ninja_available adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def is_ninja_available():$/;" f +is_node_for_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def is_node_for_suffix(node, children_attr):$/;" f member:PrefixSuffixTest.test_block_suffix file: +is_none_or_empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def is_none_or_empty(inputs):$/;" f +is_non_decreasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def is_non_decreasing(x, name=None):$/;" f +is_non_final adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def is_non_final(self, c):$/;" m class:HebrewProber +is_non_final adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def is_non_final(self, c):$/;" m class:HebrewProber +is_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def is_non_singular(self):$/;" m class:LinearOperator +is_not_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def is_not_empty(self):$/;" m class:Test_callback_registry +is_npy_no_signal adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def is_npy_no_signal():$/;" f +is_npy_no_smp adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def is_npy_no_smp():$/;" f +is_null_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ def is_null_merge(self):$/;" m class:MergeDevice +is_numeric_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def is_numeric_tensor(tensor):$/;" f +is_numlike adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def is_numlike(x):$/;" m class:ConversionInterface +is_numpy_compatible adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_numpy_compatible(self):$/;" m class:DType +is_oauth adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^ is_oauth = lambda kv: kv[0].startswith("oauth_")$/;" f function:filter_oauth_params file: +is_observed adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def is_observed(input_arg):$/;" f member:Quantizer._prepare file: +is_observed_custom_module adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def is_observed_custom_module(module):$/;" f +is_offset adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def is_offset(s):$/;" f member:Annotation.xycoords file: +is_open adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def is_open(self):$/;" m class:Session +is_open adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def is_open(self):$/;" m class:CommSocket +is_opentype_cff_font adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def is_opentype_cff_font(filename):$/;" f +is_ophint_converted adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def is_ophint_converted(graph_def):$/;" f +is_optimizer_v2_instance adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def is_optimizer_v2_instance(optimizer_obj):$/;" f +is_optional adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_optional(ann):$/;" f +is_optional_end adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/optionaltags.py /^ def is_optional_end(self, tagname, next):$/;" m class:Filter +is_optional_end adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/optionaltags.py /^ def is_optional_end(self, tagname, next):$/;" m class:Filter +is_optional_start adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/optionaltags.py /^ def is_optional_start(self, tagname, previous, next):$/;" m class:Filter +is_optional_start adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/optionaltags.py /^ def is_optional_start(self, tagname, previous, next):$/;" m class:Filter +is_op_type_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def is_op_type_function(op_type):$/;" f +is_oss adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def is_oss():$/;" f +is_oss adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def is_oss():$/;" f +is_outside_bounds adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def is_outside_bounds(self, val):$/;" m class:NumericParser +is_out_of_place adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def is_out_of_place(filepath):$/;" f +is_overunder adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def is_overunder(self, nucleus):$/;" m class:Parser +is_package adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +is_package adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +is_package adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +is_package adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +is_package adpepsenv/lib/python3.8/site-packages/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +is_package adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def is_package(self, fullname):$/;" m class:_SixMetaPathImporter +IS_PARAM adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ IS_PARAM = 'Symbol is a parameter to the function being analyzed.'$/;" v class:Static +IS_PARAM adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ IS_PARAM = 'Symbol is a parameter to the function being analyzed.'$/;" v class:NodeAnno +is_parsed adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def is_parsed(self):$/;" m class:FlagValues +is_pathlib_path adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def is_pathlib_path(obj):$/;" f +is_permanent_redirect adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def is_permanent_redirect(self):$/;" m class:Response +is_permanent_redirect adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def is_permanent_redirect(self):$/;" m class:Response +is_per_channel adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def is_per_channel(qscheme):$/;" f +is_per_tensor adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def is_per_tensor(qscheme):$/;" f +is_pinned adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def is_pinned(self):$/;" m class:InstallRequirement +is_pinned adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def is_pinned(self):$/;" m class:PackedSequence +is_pkce_required adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def is_pkce_required(self, client_id, request):$/;" m class:RequestValidator +is_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def is_placeholder(x):$/;" f +is_poly adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def is_poly(size): return type(size) is masking.Poly and not size.is_constant$/;" f function:_reshape_masking_rule file: +is_polymorphic adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def is_polymorphic(shape: Sequence['Size']):$/;" f +is_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def is_positive_definite(self):$/;" m class:LinearOperator +is_postrelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:LegacyVersion +is_postrelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:Version +is_postrelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:LegacyVersion +is_postrelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:Version +is_postrelease adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def is_postrelease(self):$/;" m class:LegacyVersion +is_postrelease adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def is_postrelease(self):$/;" m class:Version +is_postrelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:LegacyVersion +is_postrelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_postrelease(self):$/;" m class:Version +is_post_training_integer_quantize_16x8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def is_post_training_integer_quantize_16x8(self):$/;" m class:QuantizationMode +is_post_training_integer_quantize_8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def is_post_training_integer_quantize_8(self):$/;" m class:QuantizationMode +is_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^is_power = re.match("^(powerpc|ppc)64", machine, re.IGNORECASE)$/;" v +is_power2 adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^ def is_power2(num):$/;" f function:_prepare_video file: +IS_PPC adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^IS_PPC = platform.machine() == "ppc64le"$/;" v +is_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^def is_predict(mode):$/;" f +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_prerelease(self):$/;" m class:LegacyVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_prerelease(self):$/;" m class:NormalizedVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_prerelease(self):$/;" m class:SemanticVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_prerelease(self):$/;" m class:Version +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:LegacyVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:Version +is_prerelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:LegacyVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:Version +is_prerelease adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def is_prerelease(self):$/;" m class:LegacyVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def is_prerelease(self):$/;" m class:Version +is_prerelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:LegacyVersion +is_prerelease adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def is_prerelease(self):$/;" m class:Version +is_present adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def is_present(self, paths=None):$/;" m class:Require +is_prime adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def is_prime(number: int) -> bool:$/;" f +is_private adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_private(self):$/;" m class:IPv4Address +is_private adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_private(self):$/;" m class:IPv6Address +is_private adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_private(self):$/;" m class:_BaseNetwork +is_prod_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^is_prod_appengine = _appengine_environ.is_prod_appengine$/;" v +is_prod_appengine adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_appengine_environ.py /^def is_prod_appengine():$/;" f +is_prod_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^is_prod_appengine = _appengine_environ.is_prod_appengine$/;" v +is_prod_appengine adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_appengine_environ.py /^def is_prod_appengine():$/;" f +is_prod_appengine_mvms adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^is_prod_appengine_mvms = _appengine_environ.is_prod_appengine_mvms$/;" v +is_prod_appengine_mvms adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_appengine_environ.py /^def is_prod_appengine_mvms():$/;" f +is_prod_appengine_mvms adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^is_prod_appengine_mvms = _appengine_environ.is_prod_appengine_mvms$/;" v +is_prod_appengine_mvms adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_appengine_environ.py /^def is_prod_appengine_mvms():$/;" f +is_protected_type adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/encoding.py /^def is_protected_type(obj):$/;" f +is_pruned adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def is_pruned(module):$/;" f +is_pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def is_pure(self):$/;" m class:MaskTracer +is_pure adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def is_pure(self):$/;" m class:Distribution +is_pure adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def is_pure(self):$/;" m class:FunctionSpec +is_py2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^is_py2 = (_ver[0] == 2)$/;" v +is_py2 adpepsenv/lib/python3.8/site-packages/requests/compat.py /^is_py2 = (_ver[0] == 2)$/;" v +is_py3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^is_py3 = (_ver[0] == 3)$/;" v +is_py3 adpepsenv/lib/python3.8/site-packages/requests/compat.py /^is_py3 = (_ver[0] == 3)$/;" v +is_pydata_spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def is_pydata_spmatrix(m):$/;" f +IS_PYOPENSSL adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^IS_PYOPENSSL = False$/;" v +IS_PYOPENSSL adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^IS_PYOPENSSL = False$/;" v +IS_PYPY adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^IS_PYPY = platform.python_implementation() == 'PyPy'$/;" v +IS_PYPY adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^IS_PYPY = platform.python_implementation() == 'PyPy'$/;" v +IS_PYPY adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^IS_PYPY = python_implementation() == 'PyPy'$/;" v +IS_PYPY adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^IS_PYPY = python_implementation() == 'PyPy'$/;" v +is_pypy adpepsenv/lib/python3.8/site-packages/setuptools/distutils_patch.py /^is_pypy = '__pypy__' in sys.builtin_module_names$/;" v +IS_PYPY adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^IS_PYPY = '__pypy__' in sys.builtin_module_names$/;" v +is_pyqt5 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ def is_pyqt5():$/;" f function:_setup_pyqt4 file: +is_pyqt5 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ def is_pyqt5():$/;" f function:_setup_pyqt5 file: +is_python adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def is_python(text, filename=''):$/;" f +is_python adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def is_python(cell):$/;" f +is_python_build adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def is_python_build():$/;" f +is_python_scalar adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def is_python_scalar(x):$/;" f +is_python_script adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def is_python_script(script_text, filename):$/;" f +IS_PYTORCH_CI adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^IS_PYTORCH_CI = bool(os.environ.get('IS_PYTORCH_CI'))$/;" v +is_pytorch_file adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def is_pytorch_file(filepath):$/;" f +is_quantile_resource_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import is_boosted_trees_quantile_stream_resourc/;" x +is_quantized adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_quantized(self):$/;" m class:DType +is_quantized adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def is_quantized(node):$/;" f member:Quantizer._convert file: +is_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def is_ragged(tensor):$/;" f +is_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def is_ragged(value):$/;" f +is_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def is_ragged(self, axis):$/;" m class:RaggedTensorDynamicShape +is_range_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensors.py /^def is_range_tensor(t):$/;" f +is_redirect adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def is_redirect(self):$/;" m class:Response +is_redirect adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def is_redirect(self):$/;" m class:Response +is_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def is_ref(x):$/;" f +is_registered adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def is_registered(self, prefix):$/;" m class:CommandHandlerRegistry +is_registered_op adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def is_registered_op(opname, domain, version):$/;" f +is_registered_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def is_registered_version(domain, version):$/;" f +is_released adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def is_released(config):$/;" f +is_remote_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/remote_utils.py /^def is_remote_path(_):$/;" f +is_replica_across_hosts adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_replica_across_hosts(self):$/;" m class:_InternalTPUContext +is_repository_directory adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def is_repository_directory(cls, path):$/;" m class:VersionControl +is_request_only_scalar adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def is_request_only_scalar(scalar):$/;" f +is_reraiser_frame adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def is_reraiser_frame(f):$/;" f +is_reserved adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_reserved(self):$/;" m class:IPv4Address +is_reserved adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_reserved(self):$/;" m class:IPv6Address +is_reserved adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_reserved(self):$/;" m class:_BaseNetwork +is_reserved_name adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^def is_reserved_name(name):$/;" f +is_resource_modified adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def is_resource_modified($/;" f +is_resource_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def is_resource_variable(var):$/;" f +is_response_to_head adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/response.py /^def is_response_to_head(response):$/;" f +is_response_to_head adpepsenv/lib/python3.8/site-packages/urllib3/util/response.py /^def is_response_to_head(response):$/;" f +is_retry adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def is_retry(self, method, status_code, has_retry_after=False):$/;" m class:Retry +is_retry adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def is_retry(self, method, status_code, has_retry_after=False):$/;" m class:Retry +is_rref adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def is_rref(ann):$/;" f +is_running adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def is_running(self):$/;" m class:SequenceEnqueuer +is_running_from_reloader adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def is_running_from_reloader():$/;" f +is_running_in_gce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^def is_running_in_gce():$/;" f +is_running_on_cpu adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def is_running_on_cpu(self, is_export_mode=False):$/;" m class:_InternalTPUContext +is_run_once adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ is_run_once = environ_property($/;" v class:BaseRequest +IS_S390X adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^IS_S390X = platform.machine() == 's390x'$/;" v +is_same_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def is_same_host(self, url):$/;" m class:HTTPConnectionPool +is_same_host adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def is_same_host(self, url):$/;" m class:HTTPConnectionPool +is_same_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def is_same_structure(structure1,$/;" f +is_sandcastle adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def is_sandcastle():$/;" f +IS_SANDCASTLE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^IS_SANDCASTLE = os.getenv('SANDCASTLE') == '1' or os.getenv('TW_JOB_USER') == 'sandcastle'$/;" v +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def is_satisfied_by(self, candidate):$/;" m class:Requirement +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def is_satisfied_by(self, requirement, candidate):$/;" m class:PipProvider +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def is_satisfied_by(self, candidate):$/;" m class:ExplicitRequirement +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def is_satisfied_by(self, candidate):$/;" m class:RequiresPythonRequirement +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def is_satisfied_by(self, candidate):$/;" m class:SpecifierRequirement +is_satisfied_by adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def is_satisfied_by(self, requirement, candidate):$/;" m class:AbstractProvider +is_saving adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def is_saving(self):$/;" m class:FigureCanvasBase +is_saving_non_distributed adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def is_saving_non_distributed():$/;" f +is_scalar_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def is_scalar_batch(self, name="is_scalar_batch"):$/;" m class:Distribution +is_scalar_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def is_scalar_event(self, name="is_scalar_event"):$/;" m class:Distribution +is_scalar_or_string adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def is_scalar_or_string(val):$/;" f +is_schema_subset adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def is_schema_subset(schema, original_schema):$/;" f +is_scripting adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_scripting():$/;" f +is_script_scheme_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def is_script_scheme_path(path):$/;" f function:_install_wheel file: +is_secure adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ is_secure = property($/;" v class:BaseRequest +IS_SECURETRANSPORT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^IS_SECURETRANSPORT = False$/;" v +IS_SECURETRANSPORT adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^IS_SECURETRANSPORT = False$/;" v +is_secure_origin adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def is_secure_origin(self, location):$/;" m class:PipSession +is_secure_transport adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def is_secure_transport(uri):$/;" f +is_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def is_self_adjoint(self):$/;" m class:LinearOperator +is_semver adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def is_semver(s):$/;" f +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def is_separable(self):$/;" m class:Affine2DBase +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = False$/;" v class:Transform +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = property($/;" v class:CompositeGenericTransform +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = property(lambda self: self._child.is_separable)$/;" v class:TransformWrapper +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = True$/;" v class:BboxTransform +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = True$/;" v class:BboxTransformFrom +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = True$/;" v class:BboxTransformTo +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = True$/;" v class:BlendedAffine2D +is_separable adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ is_separable = True$/;" v class:BlendedGenericTransform +is_sequence adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def is_sequence(x):$/;" f +is_sequence adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def is_sequence(seq):$/;" f +is_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^is_sequence = _pywrap_utils.IsSequenceForData$/;" v +is_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^is_sequence = _pywrap_utils.IsSequence$/;" v +is_sequence adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def is_sequence(self):$/;" m class:BaseResponse +is_sequence_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def is_sequence_column(self):$/;" m class:_TPUBaseEmbeddingColumn +is_sequence_of_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def is_sequence_of_strings(seq):$/;" f +is_sequence_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^is_sequence_or_composite = _pywrap_utils.IsSequenceOrComposite$/;" v +is_sequence_right_padded adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def is_sequence_right_padded(mask):$/;" f +is_sh adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def is_sh(executable):$/;" f +is_shared adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def is_shared(self):$/;" m class:Tensor +is_shared adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def is_shared(self) -> bool:$/;" m class:Storage +is_sig_mismatch_an_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def is_sig_mismatch_an_error():$/;" f +is_simple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def is_simple(self):$/;" m class:QN +is_simple_req adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def is_simple_req(req):$/;" f member:Distribution._move_install_requirements_markers file: +is_simple_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def is_simple_variable(self):$/;" m class:CheckpointPosition +is_site_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_site_local(self):$/;" m class:IPv6Address +is_site_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_site_local(self):$/;" m class:IPv6Network +is_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def is_slanted(self):$/;" m class:Char +is_slanted adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def is_slanted(self, nucleus):$/;" m class:Parser +is_socket adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def is_socket(path):$/;" f +is_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^def is_sparse(x):$/;" f +is_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def is_sparse(tensor):$/;" f +is_sparse adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ is_sparse = False$/;" v class:_CudaBase +is_sparse adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ is_sparse = False$/;" v class:_StorageBase +is_sparse adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def is_sparse(A):$/;" f +is_split_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def is_split_variable(v):$/;" f +is_square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def is_square(self):$/;" m class:LinearOperator +is_square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/registrations_util.py /^def is_square(operator_a, operator_b):$/;" f +is_ssl_error adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def is_ssl_error(error=None):$/;" f +is_stale adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def is_stale(self, resource, path):$/;" m class:ResourceCache +is_stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def is_stateful(self):$/;" m class:WhileOp +is_static_fn adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_static_fn(cls, fn):$/;" f +is_step adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def is_step(self, step):$/;" m class:Sequencer +is_storage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def is_storage(obj):$/;" f +is_streamed adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def is_streamed(self):$/;" m class:BaseResponse +is_strictly_increasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def is_strictly_increasing(x, name=None):$/;" f +is_string adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def is_string(s):$/;" f +is_string_like adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def is_string_like(x):$/;" f function:_create_pdf_info_dict file: +is_string_or_list_of_strings adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def is_string_or_list_of_strings(val):$/;" f +is_string_sequence adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def is_string_sequence(seq):$/;" f +is_strong adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def is_strong(self, etag):$/;" m class:ETags +is_style_file adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def is_style_file(filename):$/;" f +is_subclassed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def is_subclassed(layer):$/;" f +is_submodule_of_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^def is_submodule_of_fake_quant(name, module, named_modules):$/;" f +is_supported adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def is_supported(self, args, kwargs):$/;" m class:RaggedDispatcher +is_symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def is_symbol(self):$/;" m class:QN +is_symbolic_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def is_symbolic_tensor(tensor):$/;" f +is_sync_on_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def is_sync_on_read(val):$/;" f +is_syntax_error adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def is_syntax_error(self):$/;" m class:Traceback +is_tarfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def is_tarfile(name):$/;" f +is_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def is_tensor(x): # pylint: disable=invalid-name$/;" f +is_tensor adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def is_tensor(obj):$/;" f +is_tensor_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensors.py /^def is_tensor_array(t):$/;" f +is_tensor_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def is_tensor_like(self):$/;" m class:KerasTensor +is_tensor_like adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def is_tensor_like(inp):$/;" f +is_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensors.py /^def is_tensor_list(t):$/;" f +is_tensor_method adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^ def is_tensor_method(schema):$/;" f function:_get_tensor_ops file: +is_tensor_method_or_property adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def is_tensor_method_or_property(func: Callable) -> bool:$/;" f +is_tensor_or_composite_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def is_tensor_or_composite_tensor(x):$/;" f function:check_array_lengths file: +is_tensor_or_parent_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def is_tensor_or_parent_ref(tensor):$/;" f member:DatasetV2._has_captured_ref file: +is_tensor_or_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def is_tensor_or_tensor_list(v):$/;" f +is_tensor_or_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def is_tensor_or_variable(x):$/;" f +is_tfrt_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^is_tfrt_enabled = tfrt_utils.enabled$/;" v +is_timedelta64_object adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline bint is_timedelta64_object(object obj):$/;" f +is_tpu_strat adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ is_tpu_strat = lambda k: k.__name__.startswith("TPUStrategy")$/;" f function:is_tpu_strategy file: +is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def is_tpu_strategy(strategy):$/;" f +is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils.py /^def is_tpu_strategy(strategy):$/;" f +is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def is_tpu_strategy(distribution):$/;" f +is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def is_tpu_strategy(strategy):$/;" f +is_traceable adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ is_traceable = False$/;" v class:Function +is_tracing adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def is_tracing():$/;" f +is_tracing adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def is_tracing():$/;" f +is_train adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^def is_train(mode):$/;" f +is_training_time_int8_allow_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def is_training_time_int8_allow_float(self):$/;" m class:QuantizationMode +is_transform_set adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def is_transform_set(self):$/;" m class:Artist +is_travis adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def is_travis():$/;" f +is_trivial adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def is_trivial(lexpr: Optional[LazyExpr]) -> bool:$/;" f +is_tty adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def is_tty(self):$/;" m class:Infinite +is_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def is_tuple(self):$/;" m class:Shape +is_tuple adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def is_tuple(ann):$/;" f +is_undefined_primal adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def is_undefined_primal(x):$/;" f +is_under_reraiser adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def is_under_reraiser(e):$/;" f +is_unexpected adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def is_unexpected(name):$/;" f +is_unit adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def is_unit(self):$/;" m class:BboxBase +is_unsigned adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def is_unsigned(self):$/;" m class:DType +is_unspecified adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_unspecified(self):$/;" m class:IPv4Address +is_unspecified adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_unspecified(self):$/;" m class:IPv6Address +is_unspecified adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_unspecified(self):$/;" m class:IPv6Interface +is_unspecified adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def is_unspecified(self):$/;" m class:_BaseNetwork +is_unsupported adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def is_unsupported(o):$/;" f +is_unverifiable adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def is_unverifiable(self):$/;" m class:MockRequest +is_unverifiable adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def is_unverifiable(self):$/;" m class:MockRequest +is_uri adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^def is_uri(uri):$/;" f +is_uri_reference adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^def is_uri_reference(uri):$/;" f +is_url adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def is_url(filename):$/;" f +is_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^def is_url(name):$/;" f +is_v1_layer_or_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^def is_v1_layer_or_model(obj):$/;" f +is_valid adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^ is_valid = lambda index, size: (0 <= index) & (index < size)$/;" f function:_map_coordinates file: +is_valid adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def is_valid(self):$/;" m class:ContentChecker +is_valid adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def is_valid(self):$/;" m class:HashChecker +is_valid_cidr adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def is_valid_cidr(string_network):$/;" f +is_valid_cidr adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def is_valid_cidr(string_network):$/;" f +is_valid_constraint_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_valid_constraint_list(self, s):$/;" m class:VersionScheme +is_valid_dm adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def is_valid_dm(D, tol=0.0, throw=False, name="D", warning=False):$/;" f +is_valid_dm_throw adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def is_valid_dm_throw(D):$/;" f +is_valid_einsum_char adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def is_valid_einsum_char(x):$/;" f +is_valid_im adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def is_valid_im(R, warning=False, throw=False, name=None):$/;" f +is_valid_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def is_valid_linkage(Z, warning=False, throw=False, name=None):$/;" f +is_valid_matcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_valid_matcher(self, s):$/;" m class:VersionScheme +is_valid_multipart_boundary adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def is_valid_multipart_boundary(boundary):$/;" f +is_valid_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def is_valid_signature(signature_def):$/;" f +is_valid_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def is_valid_version(self, s):$/;" m class:VersionScheme +is_valid_y adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def is_valid_y(y, warning=False, throw=False, name=None):$/;" f +is_valid_y_throw adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def is_valid_y_throw(y):$/;" f +is_vararg adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def is_vararg(the_callable):$/;" f +is_variable_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def is_variable_initialized(ref, name=None):$/;" f +is_variable_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def is_variable_initialized(ref, name=None):$/;" f +is_variable_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def is_variable_initialized(variable):$/;" f +is_variable_initialized_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def is_variable_initialized_eager_fallback(ref, name, ctx):$/;" f +is_vcs adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_vcs(self):$/;" m class:Link +is_verified adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ is_verified = False$/;" v class:HTTPConnection +is_verified adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ is_verified = False$/;" v class:HTTPConnection +is_version_line adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def is_version_line(line):$/;" f function:_version_from_file file: +is_version_line adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def is_version_line(line):$/;" f function:_version_from_file file: +is_virtual adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def is_virtual(self):$/;" m class:Dataset +is_weak adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def is_weak(self, etag):$/;" m class:ETags +is_weakly_typed adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def is_weakly_typed(x):$/;" f +is_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_wheel(self):$/;" m class:Link +is_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def is_wheel(self):$/;" m class:InstallRequirement +is_wheel_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def is_wheel_installed():$/;" f +is_wildcard adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def is_wildcard(self, p):$/;" m class:PatternMatcher +is_win64 adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^def is_win64():$/;" f +IS_WINDOWS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^IS_WINDOWS = sys.platform == "win32"$/;" v +IS_WINDOWS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^IS_WINDOWS = sys.platform == 'win32'$/;" v +IS_WINDOWS adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/__init__.py /^IS_WINDOWS = sys.platform == "win32"$/;" v +is_within adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^ def is_within(op):$/;" f function:get_backward_walk_ops file: +is_within_directory adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def is_within_directory(directory, target):$/;" f +is_within_original_scope adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def is_within_original_scope(self, request_scopes, refresh_token, request, *args, **kwargs):$/;" m class:RequestValidator +is_wrapped_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^def is_wrapped_model(layer):$/;" f +is_writable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def is_writable(self, path):$/;" m class:FileOperator +is_writable_file_like adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def is_writable_file_like(obj):$/;" f +is_x86 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^is_x86 = re.match("^(amd64|x86|i386|i686)", machine, re.IGNORECASE)$/;" v +is_xla_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def is_xla_enabled():$/;" f +is_yanked adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def is_yanked(self):$/;" m class:Link +it adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/api.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/core.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^import itertools as it$/;" I +it adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^import itertools as it$/;" I +it2i0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void it2i0k0(double x0, double *y0, double *y1) nogil$/;" f +it2j0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void it2j0y0(double x0, double *y0, double *y1) nogil$/;" f +it2struve0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double it2struve0(double x0) nogil$/;" f +itairy adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void itairy(double x0, double *y0, double *y1, double *y2, double *y3) nogil$/;" f +italicangle adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def italicangle(angle):$/;" f member:Type1Font._transformer file: +item adpeps/utils/empty_tensor.py /^ def item(self):$/;" m class:EmptyT +item adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def item(self):$/;" f +Item adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^class Item(object):$/;" c +itemfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def itemfreq(a):$/;" f +items adpeps/utils/tlist.py /^ def items(self):$/;" m class:TList +items adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def items(self):$/;" m class:Mapping +items adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def items(self):$/;" m class:EnumTypeWrapper +items adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def items(self): # pylint: disable=invalid-name$/;" m class:Struct +items adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def items(self):$/;" m class:ListValue +items adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def items(self):$/;" m class:MappingHDF5 +items adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def items(self):$/;" m class:DimensionProxy +items adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def items(self):$/;" m class:Configuration +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def items(self):$/;" m class:OrderedDict +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def items(self):$/;" m class:LegacyMetadata +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def items(self):$/;" m class:getDomBuilder.AttrList +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def items(self):$/;" m class:ParseResults +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ items = _iteritems$/;" v class:ParseResults +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def items(self):$/;" m class:RequestsCookieJar +items adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def items(self):$/;" m class:HTTPHeaderDict +items adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def items( self ):$/;" m class:ParseResults +items adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ items = _iteritems$/;" v class:ParseResults +items adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def items(self):$/;" m class:NamedTypes +items adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def items(self):$/;" m class:NamedValues +items adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def items(self):$/;" m class:OpenType +items adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def items(self):$/;" m class:Choice +items adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def items(self):$/;" m class:SequenceAndSetBase +items adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def items(self):$/;" m class:ParseResults +items adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ items = _iteritems$/;" v class:ParseResults +items adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def items(self):$/;" m class:RequestsCookieJar +items adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ def items(self):$/;" m class:test_varmats_from_mat.C +items adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def items( self ):$/;" m class:ParseResults +items adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ items = _iteritems$/;" v class:ParseResults +Items adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def Items(self):$/;" m class:_ReservoirBucket +Items adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def Items(self, key):$/;" m class:Reservoir +items adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def items(self):$/;" m class:getDomBuilder.AttrList +items adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def items(self):$/;" m class:OrderedDictWrapper +items adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def items(self):$/;" m class:OrderedModuleDict +items adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def items(self):$/;" m class:OrderedDictWrapper +items adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def items(self) -> Iterable[Tuple[str, 'Parameter']]:$/;" m class:ParameterDict +items adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def items(self) -> Iterable[Tuple[str, Module]]:$/;" m class:ModuleDict +items adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def items(self):$/;" m class:HTTPHeaderDict +items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def items(self, lower=False):$/;" m class:Headers +items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def items(self, multi=False):$/;" m class:CombinedMultiDict +items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def items(self, multi=False):$/;" m class:MultiDict +items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def items(self, multi=False):$/;" m class:OrderedMultiDict +itemsize adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def itemsize(self):$/;" m class:netcdf_variable +ItemsViewHDF5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class ItemsViewHDF5(ItemsView):$/;" c +item_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^item_re = re.compile(r"\\A\\\\(?P\\d+)\\Z")$/;" v +ITEM_TYPES adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ ITEM_TYPES = ['li']$/;" v class:ListIndentProcessor +ITEM_TYPES adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ ITEM_TYPES = ['dd', 'li']$/;" v class:DefListIndentProcessor +Iter adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Iter(self, *args, **kwargs):$/;" m class:CNNModelHelper +iter adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/train.py /^def iter(model, blob_out, **kwargs):$/;" f +iter adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def iter(self):$/;" m class:_Loop +iter adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def iter(self, it): # type: ignore$/;" m class:DownloadProgressMixin +iter adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def iter(self, it):$/;" m class:Infinite +iter adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def iter(self, it):$/;" m class:Progress +iterable adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^iterable = np.iterable$/;" v +iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def iterable(y):$/;" f +Iterable adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^class Iterable(object):$/;" c +Iterable adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ Iterable = 1$/;" v class:_DatasetKind +IterableConsumer adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^class IterableConsumer(stream.Consumer):$/;" c +IterableDataset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class IterableDataset(Dataset[T_co]):$/;" c +iterate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^ def iterate():$/;" f function:exc_iter file: +iterate adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def iterate(self):$/;" m class:TOMS748Solver +iterate adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def iterate(self):$/;" m class:SHGO +iterate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def iterate(self):$/;" m class:_SymmetricArpackParams +iterate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def iterate(self):$/;" m class:_UnsymmetricArpackParams +iterate_delaunay adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def iterate_delaunay(self):$/;" m class:SHGO +iterate_hypercube adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def iterate_hypercube(self):$/;" m class:SHGO +iterate_inbound adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def iterate_inbound(self):$/;" m class:Node +ITERATE_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ ITERATE_SCOPE = 'The scope for the iterate assignment of a for loop.'$/;" v class:NodeAnno +iterate_structure adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def iterate_structure(structure, iterations, origin=None):$/;" f +IterationCountEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^class IterationCountEstimator(object):$/;" c +ITERATIONS adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ITERATIONS = 1$/;" v +iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def iterations(self):$/;" m class:LossScaleOptimizer +iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def iterations(self, variable):$/;" m class:LossScaleOptimizer +iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def iterations(self):$/;" m class:OptimizerV2 +iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def iterations(self, variable):$/;" m class:OptimizerV2 +IterationsPerLoopCounter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^IterationsPerLoopCounter = collections.namedtuple('IterationsPerLoopCounter',$/;" v +ITERATION_FORMATS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ ITERATION_FORMATS = NotImplemented$/;" v class:ReportBase +ITERATION_FORMATS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ ITERATION_FORMATS = ["^7", "^7", "^7", "^+13.4e", "^10.2e", "^10.2e",$/;" v class:IPReport +ITERATION_FORMATS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ ITERATION_FORMATS = ["^7", "^7", "^7", "^+13.4e", "^10.2e", "^10.2e",$/;" v class:SQPReport +ITERATION_FORMATS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ ITERATION_FORMATS = ["^7", "^7", "^7", "^+13.4e",$/;" v class:BasicReport +ITERATION_MUTEX_NAME adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^ITERATION_MUTEX_NAME = "iteration_mutex"$/;" v +IterativeParams adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^class IterativeParams(object):$/;" c +IterativeSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^class IterativeSubproblem(BaseQuadraticSubproblem):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^class Iterator(IteratorType):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^class Iterator:$/;" c +iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def iterator(self, resource_name):$/;" m class:ResourceFinder +Iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ Iterator = object$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ Iterator = object$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ Iterator = object$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ Iterator = object$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/six.py /^ Iterator = object$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class Iterator(trackable.Trackable):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^class Iterator(image.Iterator, data_utils.Sequence):$/;" c +iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator(shared_name, container, output_types, output_shapes, name=None):$/;" f +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^Iterator = tf_export("raw_ops.Iterator")(_ops.to_raw_op(iterator))$/;" v +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.iterator_ops import IteratorBase as Iterator$/;" x +Iterator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.iterator_ops import IteratorBase as Iterator$/;" x +Iterator adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ class Iterator(object):$/;" c +Iterator adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ Iterator = object$/;" v +IteratorBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class IteratorBase(collections_abc.Iterator, trackable.Trackable,$/;" c +IteratorFromStringHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorFromStringHandle = tf_export("raw_ops.IteratorFromStringHandle")(_ops.to_raw_op(iterator/;" v +IteratorFromStringHandleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorFromStringHandleV2 = tf_export("raw_ops.IteratorFromStringHandleV2")(_ops.to_raw_op(iter/;" v +IteratorGetDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^IteratorGetDevice = tf_export("raw_ops.IteratorGetDevice")(_ops.to_raw_op(iterator_get_device))$/;" v +IteratorGetNext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorGetNext = tf_export("raw_ops.IteratorGetNext")(_ops.to_raw_op(iterator_get_next))$/;" v +IteratorGetNextAsOptional adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorGetNextAsOptional = tf_export("raw_ops.IteratorGetNextAsOptional")(_ops.to_raw_op(iterat/;" v +IteratorGetNextSync adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorGetNextSync = tf_export("raw_ops.IteratorGetNextSync")(_ops.to_raw_op(iterator_get_next_/;" v +IteratorMetadata adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^IteratorMetadata = _reflection.GeneratedProtocolMessageType('IteratorMetadata', (_message.Messag/;" v +IteratorResourceDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class IteratorResourceDeleter(object):$/;" c +IteratorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class IteratorSpec(type_spec.TypeSpec):$/;" c +IteratorStat adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^IteratorStat = _reflection.GeneratedProtocolMessageType('IteratorStat', (_message.Message,), {$/;" v +IteratorToStringHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorToStringHandle = tf_export("raw_ops.IteratorToStringHandle")(_ops.to_raw_op(iterator_to_/;" v +IteratorType adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ IteratorType = object$/;" v +IteratorV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^IteratorV2 = tf_export("raw_ops.IteratorV2")(_ops.to_raw_op(iterator_v2))$/;" v +iterator_completed adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def iterator_completed(self, iterator_id):$/;" m class:DatasetV2._GeneratorState +iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_eager_fallback(shared_name, container, output_types, output_shapes, name, ctx):$/;" f +iterator_from_string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_from_string_handle(string_handle, output_types=[], output_shapes=[], name=None):$/;" f +iterator_from_string_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_from_string_handle_eager_fallback(string_handle, output_types, output_shapes, name,/;" f +iterator_from_string_handle_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_from_string_handle_v2(string_handle, output_types=[], output_shapes=[], name=None):$/;" f +iterator_from_string_handle_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_from_string_handle_v2_eager_fallback(string_handle, output_types, output_shapes, na/;" f +iterator_get_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def iterator_get_device(resource, name=None):$/;" f +iterator_get_device_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def iterator_get_device_eager_fallback(resource, name, ctx):$/;" f +iterator_get_next adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next(iterator, output_types, output_shapes, name=None):$/;" f +iterator_get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next_as_optional(iterator, output_types, output_shapes, name=None):$/;" f +iterator_get_next_as_optional_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next_as_optional_eager_fallback(iterator, output_types, output_shapes, name, ct/;" f +iterator_get_next_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next_eager_fallback(iterator, output_types, output_shapes, name, ctx):$/;" f +iterator_get_next_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next_sync(iterator, output_types, output_shapes, name=None):$/;" f +iterator_get_next_sync_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_get_next_sync_eager_fallback(iterator, output_types, output_shapes, name, ctx):$/;" f +iterator_to_string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_to_string_handle(resource_handle, name=None):$/;" f +iterator_to_string_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_to_string_handle_eager_fallback(resource_handle, name, ctx):$/;" f +iterator_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_v2(shared_name, container, output_types, output_shapes, name=None):$/;" f +iterator_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def iterator_v2_eager_fallback(shared_name, container, output_types, output_shapes, name, ctx):$/;" f +iterbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ iterbytes = iter$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ iterbytes = iter$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ iterbytes = iter$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ iterbytes = iter$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/six.py /^ iterbytes = iter$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ iterbytes = functools.partial(itertools.imap, ord)$/;" v +iterbytes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ iterbytes = iter$/;" v +IterInv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class IterInv(LinearOperator):$/;" c +iteritems adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ iteritems = dict.items$/;" v +iteritems adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ iteritems = dict.iteritems$/;" v +iteritems adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def iteritems(self):$/;" m class:Mapping +iteritems adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def iteritems(self):$/;" m class:MappingHDF5 +iteritems adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def iteritems(self):$/;" m class:NpzFile +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def iteritems(self):$/;" m class:OrderedDict +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ iteritems = _iteritems$/;" v class:ParseResults +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def iteritems(self):$/;" m class:RequestsCookieJar +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def iteritems(self):$/;" m class:HTTPHeaderDict +iteritems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ iteritems = _iteritems$/;" v class:ParseResults +iteritems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ iteritems = _iteritems$/;" v class:ParseResults +iteritems adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def iteritems(self):$/;" m class:RequestsCookieJar +iteritems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ iteritems = _iteritems$/;" v class:ParseResults +iteritems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def iteritems(d, **kw):$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def iteritems(self):$/;" m class:HTTPHeaderDict +iteritems adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iteritems = lambda d, *args, **kwargs: d.iteritems(*args, **kwargs)$/;" f +iteritems adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iteritems = lambda d, *args, **kwargs: iter(d.items(*args, **kwargs))$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def iterkeys(self):$/;" m class:Mapping +iterkeys adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def iterkeys(self):$/;" m class:NpzFile +iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def iterkeys(self):$/;" m class:OrderedDict +iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def iterkeys(self):$/;" m class:RequestsCookieJar +iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ iterkeys = MutableMapping.iterkeys$/;" v class:HTTPHeaderDict +iterkeys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def iterkeys(self):$/;" m class:RequestsCookieJar +iterkeys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def iterkeys(d, **kw):$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ iterkeys = MutableMapping.iterkeys$/;" v class:HTTPHeaderDict +iterkeys adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterkeys = lambda d, *args, **kwargs: d.iterkeys(*args, **kwargs)$/;" f +iterkeys adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterkeys = lambda d, *args, **kwargs: iter(d.keys(*args, **kwargs))$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def iterlists(d, **kw):$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterlists = lambda d, *args, **kwargs: d.iterlists(*args, **kwargs)$/;" f +iterlists adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterlists = lambda d, *args, **kwargs: iter(d.lists(*args, **kwargs))$/;" f +iterlistvalues adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterlistvalues = lambda d, *args, **kwargs: d.iterlistvalues(*args, **kwargs)$/;" f +iterlistvalues adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iterlistvalues = lambda d, *args, **kwargs: iter(d.listvalues(*args, **kwargs))$/;" f +itermerged adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def itermerged(self):$/;" m class:HTTPHeaderDict +itermerged adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def itermerged(self):$/;" m class:HTTPHeaderDict +IterOpInv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class IterOpInv(LinearOperator):$/;" c +iterparent adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def iterparent(self, node):$/;" m class:TocTreeprocessor +iters adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ iters = 20$/;" v class:TestLeaks.A +itersizes adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def itersizes(self):$/;" m class:IcnsFile +iters_per_second adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def iters_per_second(self):$/;" m class:ExecutionStats +iterupgrade adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def iterupgrade(self, value):$/;" m class:StringConverter +itervalues adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def itervalues(self):$/;" m class:Mapping +itervalues adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def itervalues(self):$/;" m class:MappingHDF5 +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def itervalues(self):$/;" m class:OrderedDict +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ itervalues = _itervalues$/;" v class:ParseResults +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def itervalues(self):$/;" m class:RequestsCookieJar +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ itervalues = MutableMapping.itervalues$/;" v class:HTTPHeaderDict +itervalues adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ itervalues = _itervalues$/;" v class:ParseResults +itervalues adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ itervalues = _itervalues$/;" v class:ParseResults +itervalues adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def itervalues(self):$/;" m class:RequestsCookieJar +itervalues adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ itervalues = _itervalues$/;" v class:ParseResults +itervalues adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def itervalues(d, **kw):$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ itervalues = MutableMapping.itervalues$/;" v class:HTTPHeaderDict +itervalues adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ itervalues = lambda d, *args, **kwargs: d.itervalues(*args, **kwargs)$/;" f +itervalues adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ itervalues = lambda d, *args, **kwargs: iter(d.values(*args, **kwargs))$/;" f +iter_all adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def iter_all(self):$/;" m class:BestCandidateResult +iter_applicable adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def iter_applicable(self):$/;" m class:BestCandidateResult +iter_bezier adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def iter_bezier(self, **kwargs):$/;" m class:Path +iter_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iter_bytes = functools.partial(map, int_to_byte)$/;" v +iter_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ iter_bytes = iter$/;" v +iter_cb adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def iter_cb(name, *args):$/;" f member:AttributeManager.__iter__ file: +iter_children adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def iter_children(self, key):$/;" m class:DirectedGraph +ITER_CHUNK_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ITER_CHUNK_SIZE = 512$/;" v +ITER_CHUNK_SIZE adpepsenv/lib/python3.8/site-packages/requests/models.py /^ITER_CHUNK_SIZE = 512$/;" v +iter_config_files adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def iter_config_files(self):$/;" m class:Configuration +iter_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def iter_content(self, chunk_size=1, decode_unicode=False):$/;" m class:Response +iter_content adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def iter_content(self, chunk_size=1, decode_unicode=False):$/;" m class:Response +iter_decode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def iter_decode(input, fallback_encoding, errors='replace'):$/;" f +iter_decode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def iter_decode(input, fallback_encoding, errors='replace'):$/;" f +iter_decode_to_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^ def iter_decode_to_string(input, fallback_encoding):$/;" f function:test_iter_decode file: +iter_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def iter_dependencies(self, with_requires):$/;" m class:Candidate +iter_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def iter_dependencies(self, with_requires):$/;" m class:AlreadyInstalledCandidate +iter_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def iter_dependencies(self, with_requires):$/;" m class:ExtrasCandidate +iter_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def iter_dependencies(self, with_requires):$/;" m class:RequiresPythonCandidate +iter_dependencies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def iter_dependencies(self, with_requires):$/;" m class:_InstallRequirementBackedCandidate +iter_distribution_names adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def iter_distribution_names(self):$/;" m class:Distribution +iter_edges adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def iter_edges(self):$/;" m class:DirectedGraph +iter_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def iter_encode(input, encoding=UTF8, errors='strict'):$/;" f +iter_encode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def iter_encode(input, encoding=UTF8, errors='strict'):$/;" f +iter_encoded adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def iter_encoded(self):$/;" m class:BaseResponse +iter_entry_points adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def iter_entry_points(self, group, name=None):$/;" m class:WorkingSet +iter_entry_points adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^iter_entry_points = None$/;" v +iter_entry_points adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def iter_entry_points(self, group, name=None):$/;" m class:WorkingSet +iter_entry_points adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^iter_entry_points = None$/;" v +iter_eqns adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def iter_eqns(jaxpr):$/;" f +iter_fields adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/filepost.py /^def iter_fields(fields):$/;" f +iter_fields adpepsenv/lib/python3.8/site-packages/urllib3/filepost.py /^def iter_fields(fields):$/;" f +iter_field_objects adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/filepost.py /^def iter_field_objects(fields):$/;" f +iter_field_objects adpepsenv/lib/python3.8/site-packages/urllib3/filepost.py /^def iter_field_objects(fields):$/;" f +iter_frames adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def iter_frames(frames=frames):$/;" f member:FuncAnimation.__init__ file: +iter_indices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def iter_indices(i):$/;" f +iter_indices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def iter_indices(tensor):$/;" f +iter_iterindices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def iter_iterindices(i):$/;" f +iter_lines adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def iter_lines(self, chunk_size=ITER_CHUNK_SIZE, decode_unicode=False, delimiter=None):$/;" m class:Response +iter_lines adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def iter_lines(self, chunk_size=ITER_CHUNK_SIZE, decode_unicode=False, delimiter=None):$/;" m class:Response +iter_multi_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def iter_multi_index(i):$/;" f +iter_multi_items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def iter_multi_items(mapping):$/;" f +iter_packages_latest_infos adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def iter_packages_latest_infos(self, packages, options):$/;" m class:ListCommand +iter_parent adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def iter_parent(self):$/;" m class:Criterion +iter_parents adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def iter_parents(self, key):$/;" m class:DirectedGraph +iter_random_view_pairs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def iter_random_view_pairs(x, same_steps=True, equal_size=False):$/;" f +iter_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def iter_requirement(self):$/;" m class:Criterion +iter_rules adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def iter_rules(self, endpoint=None):$/;" m class:Map +iter_secure_origins adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def iter_secure_origins(self):$/;" m class:PipSession +iter_segments adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def iter_segments(self, transform=None, remove_nans=True, clip=None,$/;" m class:Path +iter_sequence_infinite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def iter_sequence_infinite(seq):$/;" f +iter_slices adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def iter_slices(string, slice_length):$/;" f +iter_slices adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def iter_slices(string, slice_length):$/;" f +iter_struct_object_dtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def iter_struct_object_dtypes():$/;" f +iter_style_files adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def iter_style_files(style_dir):$/;" f +iter_symbols adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def iter_symbols(code):$/;" f +iter_sys_path adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^def iter_sys_path():$/;" f +iter_tensors adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def iter_tensors(x: Union[torch.Tensor, Iterable[torch.Tensor]], only_requiring_grad: bool = Fal/;" f +iter_user_libraries adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def iter_user_libraries():$/;" f +iter_valid_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def iter_valid_files(directory, follow_links, formats):$/;" f +iter_variants adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def iter_variants(inputs, outputs):$/;" f +iti0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void iti0k0(double x0, double *y0, double *y1) nogil$/;" f +itilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def itilbert(x,h,period=None, _cache=_cache):$/;" f +itj0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void itj0y0(double x0, double *y0, double *y1) nogil$/;" f +itmodstruve0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double itmodstruve0(double x0) nogil$/;" f +itn adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def itn(n, digits=8, format=DEFAULT_FORMAT):$/;" f +itstruve0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double itstruve0(double x0) nogil$/;" f +ITS_ME adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ ITS_ME = 2$/;" v class:MachineState +ITS_ME adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ ITS_ME = 2$/;" v class:MachineState +iTXt adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class iTXt(str):$/;" c +itype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ itype = [bool, np.int8, np.uint8, np.int16, np.uint16,$/;" v class:TestIntegers +itype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ itype = [np.bool_, np.int8, np.uint8, np.int16, np.uint16,$/;" v class:TestRandint +itype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ itype = [np.bool_, np.int8, np.uint8, np.int16, np.uint16,$/;" v class:TestRandint +iu adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ import importlib.util as iu$/;" I member:WhatIfToolPlugin.__init__ file: +iv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t iv(double x0, Dd_number_t x1) nogil$/;" f +ive adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t ive(double x0, Dd_number_t x1) nogil$/;" f +ivp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def ivp(v, z, n=1):$/;" f +iv_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def iv_series(self, v, z, n=200):$/;" m class:TestBessel +ix_ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ix_(*args):$/;" f +ix_ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def ix_(*args):$/;" f +ix_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ix_(*args): # pylint: disable=missing-docstring$/;" f +izamax adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef int izamax(int *n, z *zx, int *incx) nogil$/;" f +izip adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^ izip = zip$/;" v +izip adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ izip = zip$/;" v +izmax1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef int izmax1(int *n, z *cx, int *incx) nogil$/;" f +I_TYPES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^I_TYPES = [$/;" v +j0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double j0(double x0) nogil$/;" f +J0_to_J1 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ J0_to_J1 = np.array([[-1., 1.], [-1., 0.]])$/;" v class:_ReducedHCT_Element +J0_to_J2 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ J0_to_J2 = np.array([[ 0., -1.], [ 1., -1.]])$/;" v class:_ReducedHCT_Element +j1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double j1(double x0) nogil$/;" f +jac adpeps/simulation/run_ipeps_gs.py /^ def jac(self, v: np.ndarray) -> np.ndarray:$/;" m class:Objective +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ jac = lambda t, z: problem.jac(z, t)$/;" f member:TestODEClass._do_problem file: +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def jac(self, z, t):$/;" m class:ComplexExp +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def jac(self, z, t):$/;" m class:CoupledDecay +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def jac(y, t, c):$/;" f function:test_odeint_banded_jacobian file: +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def jac(t, x):$/;" f +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def jac(y, t):$/;" f +jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def jac(t, y):$/;" f function:test_num_jac file: +Jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ class Jac(Jacobian):$/;" c function:asjacobian file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def jac(x):$/;" f function:test_prepare_constraint_infeasible_x0 file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def jac(self, x):$/;" m class:ExVectorialFunction +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def jac(self, p):$/;" m class:ExponentialFittingProblem +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def jac(x):$/;" f member:Elec.constr file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def jac(x):$/;" f member:HyperbolicIneq.constr file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def jac(x):$/;" f member:Maratos.constr file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def jac(x):$/;" f member:MaratosGradInFunc.constr file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def jac(x):$/;" f member:MaratosTestArgs.constr file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def jac(x, a, b):$/;" f member:TestCurveFit.test_curvefit_covariance file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def jac(x, a, b):$/;" f member:TestCurveFit.test_curvefit_simplecovariance file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def jac(x, a, b):$/;" f member:TestCurveFit.test_jac file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def jac(self, x, p=2.0):$/;" m class:TestLBFGSBBounds +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def jac(x):$/;" f function:test_result_x_shape_when_len_x_is_one file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def jac(self, d, sign=1.0):$/;" m class:TestSLSQP +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac(x):$/;" f member:TestApproxDerivativeSparse.test_check_derivative file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac(self, x):$/;" m class:TestApproxDerivativeSparse +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def jac(x):$/;" f member:TestShgoArguments.test_7_3_minkwargs file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ jac = lambda x: con['jac'](x, *args)$/;" f function:old_constraint_to_new file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ jac = lambda x: A$/;" f function:new_constraint_to_old file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def jac(self, x):$/;" m class:LinearVectorFunction +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def jac(self, x):$/;" m class:VectorFunction +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def jac(self):$/;" m class:BaseQuadraticSubproblem +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint._greater_to_canonical file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint._less_to_canonical file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint.concatenate file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint.empty file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint._equal_to_canonical file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def jac(x):$/;" f member:CanonicalConstraint._interval_to_canonical file: +jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^ def jac(x):$/;" f function:create_quadratic_function file: +jac1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def jac1(t, x, omega):$/;" f +jac2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def jac2(t, x, omega1, omega2):$/;" f +jaccard adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def jaccard(u, v, w=None):$/;" f +jacfun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def jacfun(*args, **kwargs):$/;" f function:jacfwd file: +jacfun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def jacfun(*args, **kwargs):$/;" f function:jacrev file: +jacfwd adpepsenv/lib/python3.8/site-packages/jax/api.py /^def jacfwd(fun: Callable, argnums: Union[int, Sequence[int]] = 0,$/;" f +jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def jacobi(n, alpha, beta, monic=False):$/;" f +jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def jacobi(n, a, b, x):$/;" f member:TestSystematic.test_jacobi_int file: +jacobian adpepsenv/lib/python3.8/site-packages/jax/api.py /^jacobian = jacrev$/;" v +Jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class Jacobian(object):$/;" c +jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def jacobian(self,$/;" m class:GradientTape +jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/gradients.py /^def jacobian(output, inputs, use_pfor=True, parallel_iterations=None):$/;" f +jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def jacobian(self,$/;" m class:LossScaleGradientTape +jacobian adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def jacobian(func, inputs, create_graph=False, strict=False):$/;" f +jacp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def jacp(x, a, b):$/;" f member:TestCurveFit.test_curvefit_covariance file: +jacrev adpepsenv/lib/python3.8/site-packages/jax/api.py /^def jacrev(fun: Callable, argnums: Union[int, Sequence[int]] = 0,$/;" f +JACTYPE_BANDED adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^JACTYPE_BANDED = 4$/;" v +JACTYPE_FULL adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^JACTYPE_FULL = 1$/;" v +jacv adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def jacv(t, x, omega):$/;" f +jac_2d_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_2d_trivial(x):$/;" f +jac_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def jac_complex(t, y):$/;" f +jac_complex_sparse adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def jac_complex_sparse(t, y):$/;" f +jac_dot_v adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def jac_dot_v(x, v):$/;" f member:VectorFunction.__init__ file: +jac_func adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^ def jac_func(*inp):$/;" f function:hessian file: +jac_linear adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def jac_linear():$/;" f +jac_mag adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def jac_mag(self):$/;" m class:BaseQuadraticSubproblem +jac_non_numpy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_non_numpy(self, x):$/;" m class:TestApproxDerivativesDense +jac_parametrized adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_parametrized(self, x, c0, c1=0.1):$/;" m class:TestApproxDerivativesDense +jac_rational adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def jac_rational(t, y):$/;" f +jac_rational_sparse adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def jac_rational_sparse(t, y):$/;" f +jac_rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_rosenbrock(x):$/;" f +jac_rosenbrock_bad_dim adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_rosenbrock_bad_dim(x):$/;" f +jac_rosenbrock_cropped adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_rosenbrock_cropped(x):$/;" f +jac_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_scalar_scalar(self, x):$/;" m class:TestApproxDerivativeLinearOperator +jac_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_scalar_scalar(self, x):$/;" m class:TestApproxDerivativesDense +jac_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_scalar_vector(self, x):$/;" m class:TestApproxDerivativeLinearOperator +jac_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_scalar_vector(self, x):$/;" m class:TestApproxDerivativesDense +jac_transpose adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def jac_transpose(y, t, c):$/;" f function:test_odeint_banded_jacobian file: +jac_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_trivial(x, a=0.0):$/;" f +jac_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_vector_scalar(self, x):$/;" m class:TestApproxDerivativeLinearOperator +jac_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_vector_scalar(self, x):$/;" m class:TestApproxDerivativesDense +jac_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_vector_vector(self, x):$/;" m class:TestApproxDerivativeLinearOperator +jac_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_vector_vector(self, x):$/;" m class:TestApproxDerivativesDense +jac_with_nan adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_with_nan(self, x):$/;" m class:TestApproxDerivativesDense +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def jac_wrapped(t, y):$/;" f member:BDF._validate_jac file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def jac_wrapped(t, y):$/;" f member:BDF._validate_jac file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def jac_wrapped(t, y, _=None):$/;" f member:Radau._validate_jac file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def jac_wrapped(t, y, f):$/;" f member:Radau._validate_jac file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^ def jac_wrapped(params):$/;" f function:_wrap_jac file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def jac_wrapped(x):$/;" f member:VectorFunction.__init__ file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^ def jac_wrapped(x, f):$/;" f function:least_squares file: +jac_wrapped adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^ def jac_wrapped(x, _=None):$/;" f function:least_squares file: +jac_wrapper adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def jac_wrapper(t, y):$/;" f function:_vode_banded_jac_wrapper file: +jac_wrong_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def jac_wrong_dimensions(x, a=0.0):$/;" f +jac_zero_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def jac_zero_jacobian(self, x):$/;" m class:TestApproxDerivativesDense +JAPANESE adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ JAPANESE = 0x04$/;" v class:LanguageFilter +JAPANESE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ JAPANESE = 0x04$/;" v class:LanguageFilter +Japanese adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Japanese(unicode_set):$/;" c class:pyparsing_unicode +Japanese adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Japanese(unicode_set):$/;" c class:pyparsing_unicode +JapaneseContextAnalysis adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^class JapaneseContextAnalysis(object):$/;" c +JapaneseContextAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^class JapaneseContextAnalysis(object):$/;" c +jarque_bera adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def jarque_bera(x):$/;" f +Jarque_beraResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Jarque_beraResult = namedtuple('Jarque_beraResult', ('statistic', 'pvalue'))$/;" v +javaStyleComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^javaStyleComment = cppStyleComment$/;" v +javaStyleComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^javaStyleComment = cppStyleComment$/;" v +javaStyleComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^javaStyleComment = cppStyleComment$/;" v +javaStyleComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^javaStyleComment = cppStyleComment$/;" v +Jax2TfLimitation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^class Jax2TfLimitation(primitive_harness.Limitation):$/;" c +Jax2TfTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^class Jax2TfTest(tf_test_util.JaxToTfTestCase):$/;" c +jaxlib_version adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^ from jaxlib import version as jaxlib_version$/;" x +jaxops adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^from jax import ops as jaxops$/;" x +jaxops adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^from jax import ops as jaxops$/;" x +Jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Jaxpr:$/;" c +JaxprEqn adpepsenv/lib/python3.8/site-packages/jax/core.py /^class JaxprEqn(NamedTuple):$/;" c +JaxprEqnRecipe adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class JaxprEqnRecipe(NamedTuple):$/;" c +JaxPrimitiveTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax_primitives_coverage_test.py /^class JaxPrimitiveTest(jtu.JaxTestCase):$/;" c +JaxPrimitiveTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^class JaxPrimitiveTest(tf_test_util.JaxToTfTestCase):$/;" c +JaxprStackFrame adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class JaxprStackFrame:$/;" c +jaxprs_in_params adpepsenv/lib/python3.8/site-packages/jax/core.py /^def jaxprs_in_params(params) -> Iterator[Jaxpr]:$/;" f +JaxprTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class JaxprTrace(Trace):$/;" c +JaxprTracer adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class JaxprTracer(Tracer):$/;" c +JaxprTracerRecipe adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^JaxprTracerRecipe = Union['JaxprEqnRecipe', 'LambdaBinding', 'FreeVar',$/;" v +JaxprTypeError adpepsenv/lib/python3.8/site-packages/jax/core.py /^class JaxprTypeError(TypeError): pass$/;" c +jaxpr_as_fun adpepsenv/lib/python3.8/site-packages/jax/core.py /^def jaxpr_as_fun(closed_jaxpr: ClosedJaxpr, *args):$/;" f +jaxpr_collectives adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_collectives(jaxpr):$/;" f +jaxpr_has_pmap adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_has_pmap(jaxpr):$/;" f +jaxpr_literals adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_literals(jaxpr):$/;" f +jaxpr_maker adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def jaxpr_maker(*args, **kwargs):$/;" f function:make_jaxpr file: +jaxpr_replicas adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_replicas(jaxpr: core.Jaxpr) -> int:$/;" f +jaxpr_subcomp adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_subcomp(c, jaxpr, backend, axis_env, consts, name_stack, *args):$/;" f +jaxpr_subcomp_and_count adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def jaxpr_subcomp_and_count(*args, **kwargs):$/;" f function:count_jit_and_pmap_compiles file: +jaxpr_uses_outfeed adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def jaxpr_uses_outfeed(jaxpr: core.Jaxpr) -> bool:$/;" f +JaxTestCase adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class JaxTestCase(parameterized.TestCase):$/;" c +JaxTestLoader adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class JaxTestLoader(absltest.TestLoader):$/;" c +JaxToTfTestCase adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^class JaxToTfTestCase(jtu.JaxTestCase):$/;" c +jaxval_adders adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^jaxval_adders = {}$/;" v +jaxval_zeros_likers adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^jaxval_zeros_likers: Dict[type, Array] = {}$/;" v +jax_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^ def jax_contract(*arrays):$/;" f function:build_expression file: +jax_fn_array adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def jax_fn_array(x):$/;" f member:Jax2TfTest.test_bfloat16_constant file: +jax_fn_scalar adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def jax_fn_scalar(x):$/;" f member:Jax2TfTest.test_bfloat16_constant file: +jax_impl_jax_args adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def jax_impl_jax_args(*jax_args):$/;" f function:_convert_jax_impl.wrapped file: +jax_jit adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^jax_jit = xla_client._xla.jax_jit$/;" v +jax_to_hlo adpepsenv/lib/python3.8/site-packages/jax/tools/jax_to_hlo.py /^def jax_to_hlo(fn, input_shapes, constants=None):$/;" f +jdRef adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ jdRef = 1721425.5 - 1$/;" v class:EpochConverter +jensenshannon adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def jensenshannon(p, q, base=None):$/;" f +jeqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def jeqcon(x, b=1):$/;" f +jet adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def jet(fun, primals, series):$/;" f +jet adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def jet():$/;" f +JetTrace adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^class JetTrace(core.Trace):$/;" c +JetTracer adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^class JetTracer(core.Tracer):$/;" c +jet_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def jet_fun(order, primals, series):$/;" f +jet_rules adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^jet_rules = {}$/;" v +jet_subtrace adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def jet_subtrace(main, primals, series):$/;" f +jhat adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^ def jhat(nbins):$/;" f function:_hist_bin_stone file: +jieqcon adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ def jieqcon(x, c=10):$/;" f +jiffies adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def jiffies(_load_time=[]):$/;" f +jiffies adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def jiffies(_proc_pid_stat='\/proc\/%s\/stat' % (os.getpid()),$/;" f +JIS_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/chardet/jisfreq.py /^JIS_CHAR_TO_FREQ_ORDER = ($/;" v +JIS_CHAR_TO_FREQ_ORDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jisfreq.py /^JIS_CHAR_TO_FREQ_ORDER = ($/;" v +JIS_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/jisfreq.py /^JIS_TABLE_SIZE = 4368$/;" v +JIS_TABLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jisfreq.py /^JIS_TABLE_SIZE = 4368$/;" v +JIS_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/chardet/jisfreq.py /^JIS_TYPICAL_DISTRIBUTION_RATIO = 3.0$/;" v +JIS_TYPICAL_DISTRIBUTION_RATIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jisfreq.py /^JIS_TYPICAL_DISTRIBUTION_RATIO = 3.0$/;" v +jit adpepsenv/lib/python3.8/site-packages/jax/api.py /^def jit($/;" f +jit adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^import torch.jit as jit$/;" I +JitDistAutogradTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^class JitDistAutogradTest(RpcAgentTestFixture):$/;" c +JitFaultyAgentRpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^class JitFaultyAgentRpcTest(RpcAgentTestFixture):$/;" c +JitRpcOpTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class JitRpcOpTest:$/;" c +JitRpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class JitRpcTest($/;" c +JitTestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^class JitTestCase(TestCase):$/;" c +JIT_EXTENSION_VERSIONER adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^JIT_EXTENSION_VERSIONER = ExtensionVersioner()$/;" v +jit_layer_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def jit_layer_norm(X, gamma=None, beta=None, axis=1, eps=1e-5,$/;" f member:TestLayerNormOp.test_layer_norm_op_jit file: +jit_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/xla/experimental/__init__.py /^from tensorflow.python.compiler.xla.jit import experimental_jit_scope as jit_scope$/;" x +jit_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/xla/experimental/__init__.py /^from tensorflow.python.compiler.xla.jit import experimental_jit_scope as jit_scope$/;" x +jit_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/xla/experimental/__init__.py /^from tensorflow.python.compiler.xla.jit import experimental_jit_scope as jit_scope$/;" x +jnjnp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def jnjnp_zeros(nt):$/;" f +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^from .. import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/flatten_util.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/tools/jax_to_hlo.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/other.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^from . import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^from . import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^from . import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^from . import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/minimize.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^import jax.numpy as jnp$/;" I +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/bernoulli.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/dirichlet.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/geom.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/multivariate_normal.py /^from jax import numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/poisson.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^from jax._src.numpy import lax_numpy as jnp$/;" x +jnp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def jnp(n, x):$/;" f member:TestBessel.test_jnjnp_zeros file: +jnp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def jnp_zeros(n, nt):$/;" f +jnyn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def jnyn_zeros(n, nt):$/;" f +jn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def jn_zeros(n, nt):$/;" f +Job adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^class Job(object):$/;" c +job adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def job(self):$/;" m class:DeviceSpecV2 +job adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def job(self, job):$/;" m class:DeviceSpecV1 +JobDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^JobDef = _reflection.GeneratedProtocolMessageType('JobDef', (_message.Message,), {$/;" v +JobDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^JobDef = _reflection.GeneratedProtocolMessageType('JobDef', (_message.Message,), {$/;" v +JobDeviceFilters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^JobDeviceFilters = _reflection.GeneratedProtocolMessageType('JobDeviceFilters', (_message.Messag/;" v +JobRunner adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^class JobRunner(object):$/;" c +jobs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def jobs(self):$/;" m class:ClusterSpec +job_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def job_tasks(self, job_name):$/;" m class:ClusterSpec +johnsonsb adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^johnsonsb = johnsonsb_gen(a=0.0, b=1.0, name='johnsonsb')$/;" v +johnsonsb_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class johnsonsb_gen(rv_continuous):$/;" c +johnsonsu adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^johnsonsu = johnsonsu_gen(name='johnsonsu')$/;" v +johnsonsu_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class johnsonsu_gen(rv_continuous):$/;" c +join adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def join(a):$/;" f function:TestPartitionOps.testLengthsPartition.sharding file: +join adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def join(a):$/;" f function:TestPartitionOps.testPartition.sharding file: +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other) -> UnshapedArray:$/;" m class:ConcreteArray +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other):$/;" m class:AbstractToken +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other):$/;" m class:AbstractUnit +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other):$/;" m class:AbstractValue +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other):$/;" m class:ShapedArray +join adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def join(self, other):$/;" m class:UnshapedArray +join adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def join(self, xt, yt):$/;" m class:JVPTrace +join adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def join(self, a, *args):$/;" m class:Grouper +join adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def join(self):$/;" m class:Pool +join adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def join(self, seq):$/;" m class:chararray +join adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def join(sep, seq):$/;" f +join adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def join(argv):$/;" m class:CommandLineParser +join adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def join(argv):$/;" m class:PosixParser +join adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def join(argv):$/;" m class:WindowsParser +join adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def join(self):$/;" m class:MapWrapper +join adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def join(self, path, *paths):$/;" m class:LocalFileSystem +join adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def join(self, path, *paths):$/;" m class:S3FileSystem +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def join(self):$/;" m class:DispatchServer +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def join(self):$/;" m class:WorkerServer +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def join(self):$/;" m class:Cluster +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def join(self):$/;" m class:ClusterCoordinator +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def join(self):$/;" m class:_run_std_server._FakeServer +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def join(self, timeout=_DEFAULT_TIMEOUT_SEC):$/;" m class:MultiProcessRunner +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def join(self):$/;" m class:TensorFlowTestCase._CheckedThread +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def join(self, threads=None, stop_grace_period_secs=120,$/;" m class:Coordinator +join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def join(self):$/;" m class:Server +join adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_join as join$/;" x +join adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_join as join$/;" x +join adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_join as join$/;" x +join adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def join(self):$/;" m class:_OpQueueContext +join adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^ def join(self, timeout=None):$/;" m class:ProcessContext +join adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def join(self, divide_by_initial_world_size=True, enable=True):$/;" m class:DistributedDataParallel +join adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def join(self, *args, **kwargs):$/;" m class:BaseURL +joined adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def joined(self, a, b):$/;" m class:Grouper +joined adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def joined(self):$/;" m class:Coordinator +joining_types adpepsenv/lib/python3.8/site-packages/idna/idnadata.py /^joining_types = {$/;" v +joining_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/idnadata.py /^joining_types = {$/;" v +JoinPoint adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^class JoinPoint(object):$/;" c +joinseq adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def joinseq(seq):$/;" f +joinstyles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ joinstyles = {'miter': 0, 'round': 1, 'bevel': 2}$/;" v class:GraphicsContextPdf +joinstyle_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def joinstyle_cmd(self, style):$/;" m class:GraphicsContextPdf +joinuser adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ def joinuser(*args):$/;" f function:_getuserbase file: +join_by adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def join_by(key, r1, r2, jointype='inner', r1postfix='1', r2postfix='2',$/;" f +join_independent_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def join_independent_workers(self, worker_processes):$/;" m class:MultiWorkerMultiProcessTest +join_independent_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def join_independent_workers(self, worker_threads):$/;" m class:IndependentWorkerTestBase +join_lines adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def join_lines(lines_enum):$/;" f +join_or_run adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def join_or_run(self, fn):$/;" m class:MultiProcessTestCase +join_threads adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def join_threads(threads_queues=THREADS_QUEUES):$/;" f +join_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def join_tolerance(tol1, tol2):$/;" f +jp2CharContext adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^jp2CharContext = ($/;" v +jp2CharContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^jp2CharContext = ($/;" v +Jpeg2KImageFile adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^class Jpeg2KImageFile(ImageFile.ImageFile):$/;" c +JpegImageFile adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^class JpegImageFile(ImageFile.ImageFile):$/;" c +JPEGQUALITY adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^JPEGQUALITY = 65537 # pseudo-tag by libtiff$/;" v +JPEGTABLES adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^JPEGTABLES = 347$/;" v +jpeg_factory adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def jpeg_factory(fp=None, filename=None):$/;" f +jsd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^def jsd(p, q):$/;" f +jsd_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^def jsd_grad(go, o, pq_list):$/;" f +json adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def json(self):$/;" m class:OAuth2Error +json adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def json(self, **kwargs):$/;" m class:Response +json adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ import simplejson as json$/;" I +json adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def json(self, **kwargs):$/;" m class:Response +JSON adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ JSON = "json"$/;" v class:OutputFormat +JSON adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ JSON = "json"$/;" v class:OutputFormat +json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def json(self):$/;" m class:JSONMixin +JSONDecodeError adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/direct_url_helpers.py /^ JSONDecodeError = ValueError # type: ignore$/;" v +JSONEncoder adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^class JSONEncoder(_JSONEncoder):$/;" c +JsonFormatter adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^class JsonFormatter(object):$/;" c +jsonify_nvd3 adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def jsonify_nvd3(chart):$/;" f +JSONLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class JSONLocator(Locator):$/;" c +JSONMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^class JSONMixin(object):$/;" c +json_dump adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def json_dump(data, filename):$/;" f +json_dumps adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ json_dumps = staticmethod(json.dumps)$/;" v class:EnvironBuilder +json_load adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def json_load(filename):$/;" f +json_module adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ json_module = _JSONModule$/;" v class:JSONMixin +jsp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^from jax import scipy as jsp$/;" x +JS_INCLUDE adpepsenv/lib/python3.8/site-packages/matplotlib/_animation_data.py /^JS_INCLUDE = """$/;" v +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax_primitives_coverage_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/stax_test.py /^from jax import test_util as jtu$/;" x +jtu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^from jax import test_util as jtu$/;" x +juggle_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def juggle_axes(xs, ys, zs, zdir):$/;" f +julian2num adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def julian2num(j):$/;" f +julianDate adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def julianDate(self, frame):$/;" m class:Epoch +JULIAN_OFFSET adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^JULIAN_OFFSET = 1721424.5 # Julian date at 0000-12-31$/;" v +Julian_year adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^Julian_year = 365.25 * day$/;" v +jump adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def jump(self, name):$/;" m class:parserinfo +JUMP adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ JUMP = [" ", ".", ",", ";", "-", "\/", "'",$/;" v class:parserinfo +jumpTo adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def jumpTo(self, bytes):$/;" m class:EncodingBytes +jumpTo adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def jumpTo(self, bytes):$/;" m class:EncodingBytes +JUMP_TEST_DATA adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^JUMP_TEST_DATA = [$/;" v +JustReader adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class JustReader:$/;" c +JustWriter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class JustWriter:$/;" c +jv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t jv(double x0, Dd_number_t x1) nogil$/;" f +jve adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t jve(double x0, Dd_number_t x1) nogil$/;" f +jvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def jvp(fun: Callable, primals, tangents) -> Tuple[Any, Any]:$/;" f +jvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def jvp(primals, tangents):$/;" f member:custom_jvp.defjvps file: +jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def jvp(fun: lu.WrappedFun, has_aux=False, instantiate=True) -> Any:$/;" f +jvp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def jvp(v, z, n=1):$/;" f +jvp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def jvp(self, primals, unconnected_gradients=UnconnectedGradients.NONE):$/;" m class:ForwardAccumulator +jvp adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def jvp(func, inputs, v=None, create_graph=False, strict=False):$/;" f +jvpfun adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def jvpfun(instantiate, primals, tangents):$/;" f +JVPTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^class JVPTrace(Trace):$/;" c +JVPTracer adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^class JVPTracer(Tracer):$/;" c +jvp_bind adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def jvp_bind(self, fun, jvp, *args):$/;" f function:omnistaging_disabler file: +jvp_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ jvp_func = lambda x, xt: jax.jvp(jax.vmap(func), (x,), (xt,))$/;" f member:JaxToTfTestCase.TransformConvertAndCompare file: +jvp_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def jvp_jaxpr(jaxpr, nonzeros, instantiate):$/;" f function:omnistaging_disabler file: +jvp_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def jvp_jaxpr(jaxpr, nonzeros, instantiate):$/;" f +jvp_jaxpr_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def jvp_jaxpr_thunk():$/;" f member:JaxprTrace.process_custom_jvp_call file: +jvp_post_process adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def jvp_post_process(self, trace, out_tracers, params):$/;" f function:omnistaging_disabler file: +jvp_subtrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def jvp_subtrace(main, primals, tangents):$/;" f +jvp_subtrace_aux adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def jvp_subtrace_aux(main, primals, tangents):$/;" f +jwtalgo adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^ import jwt.algorithms as jwtalgo$/;" I function:_jwt_rs1_signing_algorithm file: +JWTClaimConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class JWTClaimConstraints(univ.Sequence):$/;" c +JWTClaimName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class JWTClaimName(char.IA5String):$/;" c +JWTClaimNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class JWTClaimNames(univ.SequenceOf):$/;" c +JWTClaimPermittedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class JWTClaimPermittedValues(univ.Sequence):$/;" c +JWTClaimPermittedValuesList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class JWTClaimPermittedValuesList(univ.SequenceOf):$/;" c +JWTToken adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^class JWTToken(TokenBase):$/;" c +jwt_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def jwt_grant(request, token_uri, assertion):$/;" f +jwt_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^async def jwt_grant(request, token_uri, assertion):$/;" f +J_block adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^ def J_block(h, p):$/;" f function:test_compute_global_jac file: +j_eq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def j_eq(x):$/;" f function:new_constraint_to_old file: +j_ineq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def j_ineq(x):$/;" f function:new_constraint_to_old file: +k adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^ k = english_lower(k)$/;" v +k adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^k = Boltzmann = _cd('Boltzmann constant')$/;" v +k adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ k = 4.0$/;" v class:SimpleOscillator +k adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ n, k = 13, 3$/;" v class:TestLSQ +k adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ k = X[2]$/;" v +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/cifar10.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/cifar100.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/multi_gpu_utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^from tensorflow.python.keras import backend as K$/;" x +K adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^from tensorflow.python.keras import backend as K$/;" x +k0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double k0(double x0) nogil$/;" f +k0e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double k0e(double x0) nogil$/;" f +k1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double k1(double x0) nogil$/;" f +k1e adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double k1e(double x0) nogil$/;" f +k8sclient adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ from kubernetes import client as k8sclient # pylint: disable=g-import-not-at-top$/;" x +k8sconfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ from kubernetes import config as k8sconfig # pylint: disable=g-import-not-at-top$/;" x +kahan_sum adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef double kahan_sum(double *darr, np.npy_intp n)$/;" f +kaiming_normal adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^kaiming_normal = he_normal = partial(variance_scaling, 2.0, "fan_in", "truncated_normal")$/;" v +kaiming_normal adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^kaiming_normal = _make_deprecate(kaiming_normal_)$/;" v +kaiming_normal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def kaiming_normal_(tensor, a=0, mode='fan_in', nonlinearity='leaky_relu'):$/;" f +kaiming_uniform adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^kaiming_uniform = he_uniform = partial(variance_scaling, 2.0, "fan_in", "uniform")$/;" v +kaiming_uniform adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^kaiming_uniform = _make_deprecate(kaiming_uniform_)$/;" v +kaiming_uniform_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def kaiming_uniform_(tensor, a=0, mode='fan_in', nonlinearity='leaky_relu'):$/;" f +kaiser adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^kaiser = _wrap_numpy_nullary_function(np.kaiser)$/;" v +kaiser adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def kaiser(M, beta):$/;" f +kaiser adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def kaiser(M, beta, sym=True):$/;" f +kaiserord adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def kaiserord(ripple, width):$/;" f +kaiser_atten adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def kaiser_atten(numtaps, width):$/;" f +kaiser_bessel_derived_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def kaiser_bessel_derived_window(window_length, beta=12.,$/;" f +kaiser_beta adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def kaiser_beta(a):$/;" f +kaiser_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def kaiser_window(window_length, beta=12., dtype=dtypes.float32, name=None):$/;" f +Kanji adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Kanji(unicode_set):$/;" c class:pyparsing_unicode.Japanese +Kanji adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Kanji(unicode_set):$/;" c class:pyparsing_unicode.Japanese +kappa3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^kappa3 = kappa3_gen(a=0.0, name='kappa3')$/;" v +kappa3_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class kappa3_gen(rv_continuous):$/;" c +kappa4 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^kappa4 = kappa4_gen(name='kappa4')$/;" v +kappa4_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class kappa4_gen(rv_continuous):$/;" c +Katakana adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Katakana(unicode_set):$/;" c class:pyparsing_unicode.Japanese +Katakana adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Katakana(unicode_set):$/;" c class:pyparsing_unicode.Japanese +kCFStringEncodingUTF8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kCFStringEncodingUTF8 = CFStringEncoding(0x08000100)$/;" v class:CFConst +kCFStringEncodingUTF8 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kCFStringEncodingUTF8 = CFStringEncoding(0x08000100)$/;" v class:CFConst +KD adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ KD = lambda s, d: hash_utf8("%s:%s" % (s, d))$/;" f member:HTTPDigestAuth.build_digest_header file: +KD adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ KD = lambda s, d: hash_utf8("%s:%s" % (s, d))$/;" f member:HTTPDigestAuth.build_digest_header file: +kda_hkdf_with_sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^kda_hkdf_with_sha256 = rfc5280.AlgorithmIdentifier()$/;" v +kda_hkdf_with_sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^kda_hkdf_with_sha384 = rfc5280.AlgorithmIdentifier()$/;" v +kda_hkdf_with_sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8619.py /^kda_hkdf_with_sha512 = rfc5280.AlgorithmIdentifier()$/;" v +kdf2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^kdf2 = AlgorithmIdentifier()$/;" v +KDF2_HashFunction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class KDF2_HashFunction(AlgorithmIdentifier):$/;" c +kdf3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^kdf3 = AlgorithmIdentifier()$/;" v +KDF3_HashFunction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class KDF3_HashFunction(AlgorithmIdentifier):$/;" c +KDTree adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^class KDTree(cKDTree):$/;" c +KDTree adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^from scipy.spatial import cKDTree as KDTree$/;" x +KDTreeTest adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def KDTreeTest(kls):$/;" f +kdtree_type adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def kdtree_type(request):$/;" f +KEA_Parms_Id adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class KEA_Parms_Id(univ.OctetString):$/;" c +KEA_Parms_Id adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^KEA_Parms_Id = rfc3279.KEA_Parms_Id$/;" v +keep adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^ def keep(paths):$/;" f function:_largest_export_versions file: +keep adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^ def keep(paths):$/;" f function:_mod_export_version file: +keep adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^ def keep(paths):$/;" f function:_negation file: +keep adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^ def keep(paths):$/;" f function:_one_of_every_n_export_versions file: +keep adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^ def keep(paths):$/;" f function:_union file: +KEEPABLE_TEMPDIR_TYPES adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^KEEPABLE_TEMPDIR_TYPES = [$/;" v +KeepDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def KeepDims(self):$/;" m class:ReducerOptions +keepdim_warning adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^keepdim_warning = Warning(_set_backcompat_keepdim_warn, _get_backcompat_keepdim_warn)$/;" v +KeepModules adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ class KeepModules(Tracer):$/;" c function:deserialize_graphmodule file: +KeepNumDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def KeepNumDims(self):$/;" m class:FullyConnectedOptions +keep_bounds adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def keep_bounds(num, max_val):$/;" f function:_gen_ridge_line file: +keep_checkpoint_every_n_hours adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def keep_checkpoint_every_n_hours(self):$/;" m class:RunConfig +keep_checkpoint_max adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def keep_checkpoint_max(self):$/;" m class:RunConfig +kei adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kei(double x0) nogil$/;" f +kei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def kei(x):$/;" f member:TestSystematic.test_kei file: +keip adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double keip(double x0) nogil$/;" f +keip_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def keip_zeros(nt):$/;" f +kei_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def kei_zeros(nt):$/;" f +KEKIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KEKIdentifier(univ.Sequence):$/;" c +KEKIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KEKIdentifier(univ.Sequence):$/;" c +KEKRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KEKRecipientInfo(univ.Sequence):$/;" c +KEKRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KEKRecipientInfo(univ.Sequence):$/;" c +kelvin adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void kelvin(double x0, double complex *y0, double complex *y1, double complex *y2, double c/;" f +kelvin_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def kelvin_zeros(nt):$/;" f +kem_rsa adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^kem_rsa = AlgorithmIdentifier()$/;" v +kendalltau adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kendalltau(x, y, use_ties=True, use_missing=False, method='auto'):$/;" f +kendalltau adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def kendalltau(x, y, initial_lexsort=None, nan_policy='propagate',$/;" f +KendalltauResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^KendalltauResult = namedtuple('KendalltauResult', ('correlation', 'pvalue'))$/;" v +KendalltauResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^KendalltauResult = namedtuple('KendalltauResult', ('correlation', 'pvalue'))$/;" v +kendalltau_seasonal adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kendalltau_seasonal(x):$/;" f +ker adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ker(double x0) nogil$/;" f +KerasCallback adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^KerasCallback = keras.Callback$/;" v +KerasClassifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^class KerasClassifier(BaseWrapper):$/;" c +KerasHistory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^class KerasHistory($/;" c +KerasHistory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^class KerasHistory($/;" c +KerasModeCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^class KerasModeCombination(test_combinations.TestCombination):$/;" c +KerasModeKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^class KerasModeKeys(object):$/;" c +KerasModelTypeCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^class KerasModelTypeCombination(test_combinations.TestCombination):$/;" c +KerasObjectLoader adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^class KerasObjectLoader(object):$/;" c +KerasOpDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class KerasOpDispatcher(dispatch.GlobalOpDispatcher):$/;" c +KerasRegressor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^class KerasRegressor(BaseWrapper):$/;" c +KerasSequenceAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class KerasSequenceAdapter(GeneratorDataAdapter):$/;" c +KerasTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class KerasTensor(object):$/;" c +KerasTensorCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^class KerasTensorCombination(test_combinations.TestCombination):$/;" c +keras_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def keras_api(self):$/;" m class:RevivedLayer +keras_api_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^keras_api_gauge = monitoring.BoolGauge('\/tensorflow\/api\/keras',$/;" v +KERAS_API_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^KERAS_API_NAME = 'keras'$/;" v +KERAS_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/constants.py /^KERAS_ATTR = 'keras_api'$/;" v +KERAS_CACHE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/constants.py /^KERAS_CACHE_KEY = 'keras_serialized_attributes'$/;" v +keras_core adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^from tensorflow.python.keras.layers import core as keras_core$/;" x +keras_data_adapter_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^keras_data_adapter_gauge = monitoring.BoolGauge($/;" v +keras_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^keras_export = functools.partial(api_export, api_name=KERAS_API_NAME)$/;" v +keras_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def keras_inputs(self):$/;" m class:Node +keras_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^from tensorflow.python.keras import layers as keras_layers$/;" x +keras_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^from tensorflow.python.keras import layers as keras_layers$/;" x +keras_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^from tensorflow.python.keras import layers as keras_layers$/;" x +keras_layers adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^from tensorflow.python.keras import layers as keras_layers$/;" x +keras_layers_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^keras_layers_gauge = monitoring.BoolGauge('\/tensorflow\/api\/keras\/layers',$/;" v +keras_layer_tracepoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^def keras_layer_tracepoint(layer, checkpoint_name):$/;" f +keras_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/estimator/__init__.py /^ from tensorflow_estimator.python.estimator import keras as keras_lib # pylint: disable=g-im/;" x function:model_to_estimator file: +keras_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/estimator/__init__.py /^ from tensorflow_estimator.python.estimator import keras as keras_lib # pylint: disable=g-im/;" x function:model_to_estimator_v2 file: +keras_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^from tensorflow.python.keras.saving.saved_model import load as keras_load$/;" x +keras_loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^from tensorflow.python.keras.mixed_precision import loss_scale as keras_loss_scale_module$/;" x +keras_loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^from tensorflow.python.keras.mixed_precision import loss_scale as keras_loss_scale_module$/;" x +keras_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def keras_model(name, data, step=None):$/;" f +keras_models_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^keras_models_gauge = monitoring.BoolGauge($/;" v +keras_model_to_graph_def adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def keras_model_to_graph_def(keras_layer):$/;" f +KERAS_MODEL_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^KERAS_MODEL_TYPES = ['functional', 'subclass', 'sequential']$/;" v +keras_model_type_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^def keras_model_type_combinations():$/;" f +keras_mode_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^def keras_mode_combinations(mode=None, run_eagerly=None):$/;" f +keras_norm adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^from tensorflow.python.keras.layers import normalization as keras_norm$/;" x +keras_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^from tensorflow.python.keras.layers import normalization as keras_normalization$/;" x +KERAS_OBJECT_IDENTIFIERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^KERAS_OBJECT_IDENTIFIERS = ($/;" v +keras_optimizers_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^keras_optimizers_gauge = monitoring.BoolGauge($/;" v +keras_option_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def keras_option_scope(save_traces):$/;" f +keras_premade_model_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^keras_premade_model_gauge = monitoring.BoolGauge($/;" v +keras_style_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^def keras_style_scope():$/;" f +keras_style_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/base.py /^keras_style_scope = base.keras_style_scope$/;" v +keras_temporary_dropout_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^keras_temporary_dropout_rate = monitoring.BoolGauge($/;" v +keras_tensors_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def keras_tensors_enabled():$/;" f +keras_tensor_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^keras_tensor_classes = [$/;" v +keras_tensor_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^def keras_tensor_combinations():$/;" f +keras_tensor_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def keras_tensor_from_tensor(tensor):$/;" f +keras_tensor_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def keras_tensor_to_placeholder(x):$/;" f +keras_test_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^from tensorflow.python.keras.distribute import distribute_strategy_test as keras_test_lib$/;" x +keras_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^from tensorflow.python.keras.engine import training as keras_training$/;" x +keras_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ from tensorflow.python.keras import __version__ as keras_version # pylint: disable=g-import/;" x member:Model._updated_config file: +keras_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ from tensorflow.python.keras import __version__ as keras_version # pylint: disable=g-import-n/;" x function:save_weights_to_hdf5_group file: +keras_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ from tensorflow.python.keras import __version__ as keras_version # pylint: disable=g-import-n/;" x function:model_metadata file: +kern adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def kern(self):$/;" m class:Hlist +Kern adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Kern(Node):$/;" c +Kernel adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class Kernel(BuiltinFilter):$/;" c +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k):$/;" f function:hilbert file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k, h=h):$/;" f function:tilbert file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,a=a,b=b):$/;" f function:cc_diff file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,a=a,b=b):$/;" f function:cs_diff file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,a=a,b=b):$/;" f function:sc_diff file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,a=a,b=b):$/;" f function:ss_diff file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,h=h):$/;" f function:itilbert file: +kernel adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel(k,order=order,c=c):$/;" f function:diff file: +Kernel adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^Kernel = namedtuple('Kernel', ['name', 'device', 'interval'])$/;" v +kernel32 adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ kernel32 = ctypes.WinDLL('kernel32.dll', use_last_error=True)$/;" v +kernel32 adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ kernel32 = ctypes.WinDLL('kernel32.dll', use_last_error=True)$/;" v +KernelDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^KernelDef = _reflection.GeneratedProtocolMessageType('KernelDef', (_message.Message,), {$/;" v +KernelLabel adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^KernelLabel = tf_export("raw_ops.KernelLabel")(_ops.to_raw_op(kernel_label))$/;" v +KernelLabelRequired adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^KernelLabelRequired = tf_export("raw_ops.KernelLabelRequired")(_ops.to_raw_op(kernel_label_requi/;" v +KernelList adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^KernelList = _reflection.GeneratedProtocolMessageType('KernelList', (_message.Message,), {$/;" v +KernelReport adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^KernelReport = _reflection.GeneratedProtocolMessageType('KernelReport', (_message.Message,), {$/;" v +KernelStatsDb adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^KernelStatsDb = _reflection.GeneratedProtocolMessageType('KernelStatsDb', (_message.Message,), {$/;" v +KernelType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def KernelType(self):$/;" m class:LSTMOptions +kernel_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def kernel_constraint(self):$/;" m class:ConvLSTM2D +kernel_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_constraint(self):$/;" m class:GRU +kernel_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_constraint(self):$/;" m class:LSTM +kernel_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_constraint(self):$/;" m class:SimpleRNN +kernel_imag adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel_imag(k,a=a):$/;" f function:shift file: +kernel_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def kernel_initializer(self):$/;" m class:ConvLSTM2D +kernel_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_initializer(self):$/;" m class:GRU +kernel_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_initializer(self):$/;" m class:LSTM +kernel_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_initializer(self):$/;" m class:SimpleRNN +kernel_label adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def kernel_label(name=None):$/;" f +kernel_label_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def kernel_label_eager_fallback(name, ctx):$/;" f +kernel_label_required adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def kernel_label_required(input, name=None):$/;" f +kernel_label_required_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def kernel_label_required_eager_fallback(input, name, ctx):$/;" f +kernel_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^ def kernel_real(k,a=a):$/;" f function:shift file: +kernel_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def kernel_regularizer(self):$/;" m class:ConvLSTM2D +kernel_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_regularizer(self):$/;" m class:GRU +kernel_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_regularizer(self):$/;" m class:LSTM +kernel_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def kernel_regularizer(self):$/;" m class:SimpleRNN +kernel_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def kernel_size(self):$/;" m class:ConvLSTM2D +kernel_version adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ kernel_version = os.uname().release.split(".")[:2]$/;" v +kernel_version adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ kernel_version = tuple(int(v) for v in kernel_version)$/;" v +kerp adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kerp(double x0) nogil$/;" f +kerp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def kerp_zeros(nt):$/;" f +ker_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def ker_zeros(nt):$/;" f +key adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ key = 0$/;" v +key adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def key(eqn):$/;" f function:primitives_by_shape file: +key adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def key(eqn):$/;" f function:primitives_by_source file: +key adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def key(eqn):$/;" f function:source_locations file: +key adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def key(self): return getattr(qt_core.Qt, qt_key)$/;" m class:test_correct_key._Event +key adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^ def key(self):$/;" m class:SelfCheckState +key adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def key(self):$/;" m class:Distribution +key adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def key(self):$/;" m class:Distribution +key adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def key(self):$/;" m class:HBFile +Key adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Key(self):$/;" m class:SignatureDef +key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def key(self):$/;" m class:Generator +key adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def key(self):$/;" m class:FunctionEvent +KeyAgreeRecipientIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KeyAgreeRecipientIdentifier(univ.Choice):$/;" c +KeyAgreeRecipientIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KeyAgreeRecipientIdentifier(univ.Choice):$/;" c +KeyAgreeRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KeyAgreeRecipientInfo(univ.Sequence):$/;" c +KeyAgreeRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KeyAgreeRecipientInfo(univ.Sequence):$/;" c +KeyAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyAlgorithm(univ.Sequence):$/;" c +KeyBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class KeyBag(PrivateKeyInfo):$/;" c +KeyBasedCompareMixin adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^class KeyBasedCompareMixin(object):$/;" c +KeyDerivationAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KeyDerivationAlgorithmIdentifier(rfc3280.AlgorithmIdentifier):$/;" c +KeyDerivationAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KeyDerivationAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +KeyDerivationFunction adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class KeyDerivationFunction(AlgorithmIdentifier):$/;" c +KeyDistPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyDistPeriod(univ.Sequence):$/;" c +KeyDuration adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyDuration(univ.Choice):$/;" c +KeyEncapsulationMechanism adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class KeyEncapsulationMechanism(AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class KeyEncryptionAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KeyEncryptionAlgorithmIdentifier(rfc3280.AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KeyEncryptionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class KeyEncryptionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^class KeyEncryptionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^class KeyEncryptionAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +KeyEncryptionAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class KeyEncryptionAlgorithms(AlgorithmIdentifier):$/;" c +KeyErrorMessage adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^class KeyErrorMessage(str):$/;" c +KeyEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class KeyEvent(LocationEvent):$/;" c +keygen adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^def keygen() -> None:$/;" f +KeyGenParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class KeyGenParameters(univ.OctetString):$/;" c +KeyGenParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class KeyGenParameters(univ.OctetString):$/;" c +KeyHash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class KeyHash(univ.OctetString):$/;" c +KeyHash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^KeyHash = rfc2560.KeyHash$/;" v +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class KeyIdentifier(univ.OctetString):$/;" c +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class KeyIdentifier(univ.OctetString):$/;" c +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class KeyIdentifier(univ.OctetString):$/;" c +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class KeyIdentifier(univ.OctetString):$/;" c +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^KeyIdentifier = rfc5280.KeyIdentifier$/;" v +KeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^KeyIdentifier = rfc5280.KeyIdentifier$/;" v +KeyIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class KeyIdentifiers(univ.SequenceOf):$/;" c +KeyLength adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class KeyLength(univ.Integer):$/;" c +keyname adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ keyname = 'private'$/;" v class:DecryptOperation +keyname adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ keyname = 'private'$/;" v class:SignOperation +keyname adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ keyname = 'public' # or 'private'$/;" v class:CryptoOperation +keyname adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ keyname = 'public'$/;" v class:EncryptOperation +keyname adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ keyname = 'public'$/;" v class:VerifyOperation +KeyPackageError adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPackageError(univ.Sequence):$/;" c +KeyPackageReceipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPackageReceipt(univ.Sequence):$/;" c +KeyPkgID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPkgID(univ.OctetString):$/;" c +KeyPkgIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPkgIdentifier(univ.Choice):$/;" c +KeyPkgIdentifierAndReceiptReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPkgIdentifierAndReceiptReq(univ.Sequence):$/;" c +KeyPkgIdentifierAndReceiptReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^KeyPkgIdentifierAndReceiptReq = rfc7191.KeyPkgIdentifierAndReceiptReq$/;" v +KeyPkgReceiptReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPkgReceiptReq(univ.Sequence):$/;" c +KeyPkgReceiver adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyPkgReceiver(univ.Choice):$/;" c +KeyPkgReceiversV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyPkgReceiversV2(univ.SequenceOf):$/;" c +KeyPkgType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyPkgType(univ.ObjectIdentifier):$/;" c +KeyPkgVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class KeyPkgVersion(univ.Integer):$/;" c +KeyPkgVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class KeyPkgVersion(univ.Integer):$/;" c +keypoint_utils adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^import caffe2.python.operator_test.detectron_keypoints as keypoint_utils$/;" I +keyPressEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def keyPressEvent(self, event):$/;" m class:FigureCanvasQT +KeyProvinceV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyProvinceV2(univ.ObjectIdentifier):$/;" c +KeyPurpose adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyPurpose(univ.Enumerated):$/;" c +KeyPurposeId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class KeyPurposeId(univ.ObjectIdentifier):$/;" c +KeyPurposeId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class KeyPurposeId(univ.ObjectIdentifier):$/;" c +KeyPurposeId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class KeyPurposeId(univ.ObjectIdentifier):$/;" c +KeyRecRepContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class KeyRecRepContent(univ.Sequence):$/;" c +keyReleaseEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def keyReleaseEvent(self, event):$/;" m class:FigureCanvasQT +keyring adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ keyring = None$/;" v +keys adpepsenv/lib/python3.8/site-packages/cycler.py /^ def keys(self):$/;" m class:Cycler +keys adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def keys(self):$/;" m class:tzical +keys adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def keys(self):$/;" m class:Mapping +keys adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def keys(self):$/;" m class:EnumTypeWrapper +keys adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def keys(self): # pylint: disable=invalid-name$/;" m class:Struct +keys adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def keys(self):$/;" m class:MappingHDF5 +keys adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def keys(self):$/;" m class:DimensionProxy +keys adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_fontconfig_pattern.py /^keys = [$/;" v +keys adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def keys(self):$/;" m class:_FileOpeners +keys adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def keys(self):$/;" m class:XrefTable +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def keys(self):$/;" m class:OrderedDict +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def keys(self):$/;" m class:LegacyMetadata +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def keys(self, prefix=None):$/;" m class:Trie +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/_base.py /^ def keys(self, prefix=None):$/;" m class:Trie +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def keys(self):$/;" m class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ keys = _iterkeys$/;" v class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def keys(self):$/;" m class:RequestsCookieJar +keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def keys(self):$/;" m class:RecentlyUsedContainer +keys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def keys( self ):$/;" m class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ keys = _iterkeys $/;" v class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def keys(self):$/;" m class:NamedTypes +keys adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def keys(self):$/;" m class:NamedValues +keys adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def keys(self):$/;" m class:OpenType +keys adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def keys(self):$/;" m class:Choice +keys adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def keys(self):$/;" m class:SequenceAndSetBase +keys adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def keys(self):$/;" m class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ keys = _iterkeys$/;" v class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def keys(self):$/;" m class:RequestsCookieJar +keys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def keys( self ):$/;" m class:ParseResults +keys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ keys = _iterkeys $/;" v class:ParseResults +Keys adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def Keys(self):$/;" m class:Reservoir +keys adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def keys(self, prefix=None):$/;" m class:Trie +keys adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def keys(self, prefix=None):$/;" m class:Trie +keys adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/_base.py /^ def keys(self, prefix=None):$/;" m class:Trie +keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def keys(node, field_name='___pyct_anno'):$/;" f +keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def keys(self):$/;" m class:CheckpointedOp +keys adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def keys(self):$/;" m class:OrderedDictWrapper +keys adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def keys(self):$/;" m class:OrderedDictWrapper +keys adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def keys(self) -> Iterable[str]:$/;" m class:ModuleDict +keys adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def keys(self) -> Iterable[str]:$/;" m class:ParameterDict +keys adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def keys(self):$/;" m class:RecentlyUsedContainer +keys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def keys(self):$/;" m class:CombinedMultiDict +keys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def keys(self):$/;" m class:MultiDict +keys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def keys(self):$/;" m class:OrderedMultiDict +keys adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def keys(self, lower=False):$/;" m class:Headers +KeySpecificInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2631.py /^class KeySpecificInfo(univ.Sequence):$/;" c +KeysViewHDF5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class KeysViewHDF5(KeysView):$/;" c +KeyToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class KeyToken(Token):$/;" c +KeyTransRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class KeyTransRecipientInfo(univ.Sequence):$/;" c +KeyTransRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class KeyTransRecipientInfo(univ.Sequence):$/;" c +KeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class KeyUsage(univ.BitString):$/;" c +KeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class KeyUsage(univ.BitString):$/;" c +KeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class KeyUsage(univ.BitString):$/;" c +KeyUse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyUse(univ.Enumerated):$/;" c +keyvald adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ keyvald = {65507: 'control',$/;" v class:FigureCanvasGTK3 +keyvald adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ keyvald = {$/;" v class:_FigureCanvasWxBase +keyvald adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ keyvald = {65507: 'control',$/;" v class:FigureCanvasTk +KeyValidityPeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class KeyValidityPeriod(univ.Sequence):$/;" c +KeyValueTensorInitializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class KeyValueTensorInitializer(TableInitializerBase):$/;" c +Keyword adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Keyword(Token):$/;" c +Keyword adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Keyword(Token):$/;" c +Keyword adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Keyword(Token):$/;" c +Keyword adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Keyword(Token):$/;" c +KeywordRequired adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^class KeywordRequired(object):$/;" c +keywords_to_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def keywords_to_dict(keywords):$/;" f +keyword_args_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/keyword_args.py /^def keyword_args_only(func):$/;" f +KeyWrapAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8418.py /^class KeyWrapAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +key_averages adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def key_averages(self, group_by_input_shape=False, group_by_stack_n=0):$/;" m class:profile +key_averages adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def key_averages(self, group_by_input_shapes=False, group_by_stack_n=0):$/;" m class:EventList +key_class adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ key_class = rsa.PrivateKey$/;" v class:DecryptOperation +key_class adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ key_class = rsa.PrivateKey$/;" v class:SignOperation +key_class adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ key_class = rsa.PublicKey # type: typing.Type[rsa.key.AbstractKey]$/;" v class:CryptoOperation +key_class adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ key_class = rsa.PublicKey$/;" v class:VerifyOperation +key_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def key_dtype(self):$/;" m class:LookupInterface +key_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def key_dtype(self):$/;" m class:TableInitializerBase +key_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def key_event(self):$/;" m class:BlockingMouseInput +key_flags_by_module_dict adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def key_flags_by_module_dict(self):$/;" m class:FlagValues +key_fn_by_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^key_fn_by_scheme = {$/;" v +key_fn_by_scheme adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^key_fn_by_scheme = {$/;" v +key_func adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ key_func = lambda x: x[0].get(key[0])$/;" f member:DataTable._PreparedData file: +key_func_none_is_largest adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def key_func_none_is_largest(session_group):$/;" f function:_create_key_func file: +key_func_none_is_smallest adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def key_func_none_is_smallest(session_group):$/;" f function:_create_key_func file: +key_func_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def key_func_wrapper(*args):$/;" f member:_GroupByWindowDataset._make_key_func file: +key_id adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def key_id(self):$/;" m class:Signer +key_id adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def key_id(self):$/;" m class:Signer +key_id adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def key_id(self):$/;" m class:ES256Signer +key_id adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def key_id(self):$/;" m class:RSASigner +key_id adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def key_id(self):$/;" m class:RSASigner +key_id adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^ def key_id(self):$/;" m class:Signer +key_press adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def key_press(self, event):$/;" m class:FigureCanvasTk +key_press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def key_press(self, event):$/;" m class:FigureManagerBase +key_press_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def key_press_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +key_press_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def key_press_event(self, key, guiEvent=None):$/;" m class:FigureCanvasBase +key_press_handler adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def key_press_handler(event, canvas=None, toolbar=None):$/;" f +key_release adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def key_release(self, event):$/;" m class:FigureCanvasTk +key_release_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def key_release_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +key_release_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def key_release_event(self, key, guiEvent=None):$/;" m class:FigureCanvasBase +key_value_from_command adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^def key_value_from_command(cmd, sep, successful_status=(0,),$/;" f +key_value_sort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^key_value_sort = gen_xla_ops.xla_key_value_sort$/;" v +kfc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^from tensorflow.python.keras.feature_column import base_feature_layer as kfc$/;" x +kfc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features_v2.py /^from tensorflow.python.keras.feature_column import base_feature_layer as kfc$/;" x +kfc adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^from tensorflow.python.keras.feature_column import base_feature_layer as kfc$/;" x +kgf adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^kgf = kilogram_force = g # * 1 kg$/;" v +khatri_rao adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def khatri_rao(a, b):$/;" f +kibi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^kibi = 2**10$/;" v +kill_task adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def kill_task(self, task_type, task_id):$/;" m class:MultiProcessCluster +kilo adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^kilo = 1e3$/;" v +Kind adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^ class Kind(enum.Enum):$/;" c class:Outcome +Kind adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ class Kind(enum.Enum):$/;" c class:Outcome +Kind adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ class Kind(enum.Enum):$/;" c class:Subscription +Kind adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ class Kind(enum.Enum):$/;" c class:Abortion +kind adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ kind = 'c'$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +Kind adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ Kind = NewType("Kind", str)$/;" v +Kind adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^Kind = enum_type_wrapper.EnumTypeWrapper(_KIND)$/;" v +kinds adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^kinds = enum($/;" v +kindselector adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^kindselector = re.compile($/;" v +kiwi adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^import kiwisolver as kiwi$/;" I +klass adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ class klass(object):$/;" c function:test_to_writeable file: +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +KLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as KLD$/;" x +kld adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^kld = KLD = kullback_leibler_divergence = kl_divergence$/;" v +KLDivergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class KLDivergence(LossFunctionWrapper):$/;" c +KLDivergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class KLDivergence(MeanMetricWrapper):$/;" c +KLDivLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class KLDivLoss(_Loss):$/;" c +kldivloss_log_target_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_log_target_reference(input, target, reduction='mean'):$/;" f +kldivloss_no_reduce_log_target_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_no_reduce_log_target_test():$/;" f +kldivloss_no_reduce_scalar_log_target_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_no_reduce_scalar_log_target_test():$/;" f +kldivloss_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_no_reduce_scalar_test():$/;" f +kldivloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_no_reduce_test():$/;" f +kldivloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_reference(input, target, reduction='mean'):$/;" f +kldivloss_with_log_target_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_with_log_target_no_reduce_test():$/;" f +kldivloss_with_target_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def kldivloss_with_target_no_reduce_test():$/;" f +kl_div adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kl_div(double x0, double x1) nogil$/;" f +kl_div adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def kl_div(input, target, size_average=None, reduce=None, reduction='mean', log_target=False):$/;" f +kl_div adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def kl_div(g, input, target, reduction, log_target):$/;" f +kl_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def kl_divergence(y_true, y_pred):$/;" f +kl_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def kl_divergence(self, other, name="kl_divergence"):$/;" m class:Distribution +kl_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^def kl_divergence(distribution_a, distribution_b,$/;" f +kl_divergence adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def kl_divergence(p, q):$/;" f +km adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^km = UnitDbl(1.0, "km")$/;" v +kmap adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def kmap(k):$/;" f member:Caffe2Backend._common_onnx_node_to_caffe2_op file: +KMC2ChainInitialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^KMC2ChainInitialization = tf_export("raw_ops.KMC2ChainInitialization")(_ops.to_raw_op(kmc2_chain/;" v +kmc2_chain_initialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def kmc2_chain_initialization(distances, seed, name=None):$/;" f +kmc2_chain_initialization_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def kmc2_chain_initialization_eager_fallback(distances, seed, name, ctx):$/;" f +KMC2_INIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^KMC2_INIT = 'kmc2'$/;" v +kmeans adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def kmeans(obs, k_or_guess, iter=20, thresh=1e-5, check_finite=True):$/;" f +KMeans adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^class KMeans(object):$/;" c +KMeans adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/experimental/__init__.py /^from tensorflow_estimator.python.estimator.canned.kmeans import KMeansClustering as KMeans$/;" x +KMeans adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/experimental/__init__.py /^from tensorflow_estimator.python.estimator.canned.kmeans import KMeansClustering as KMeans$/;" x +kmeans2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def kmeans2(data, k, iter=10, thresh=1e-5, minit='random',$/;" f +KMeansClustering adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^class KMeansClustering(estimator.Estimator):$/;" c +KmeansPlusPlusInitialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^KmeansPlusPlusInitialization = tf_export("raw_ops.KmeansPlusPlusInitialization")(_ops.to_raw_op(/;" v +KMEANS_PLUS_PLUS_INIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^KMEANS_PLUS_PLUS_INIT = 'kmeans_plus_plus'$/;" v +KMEANS_PLUS_PLUS_INIT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ KMEANS_PLUS_PLUS_INIT = clustering_ops.KMEANS_PLUS_PLUS_INIT$/;" v class:KMeansClustering +kmeans_plus_plus_initialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def kmeans_plus_plus_initialization(points, num_to_sample, seed, num_retries_per_sample, name=No/;" f +kmeans_plus_plus_initialization_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def kmeans_plus_plus_initialization_eager_fallback(points, num_to_sample, seed, num_retries_per_/;" f +kmh adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^kmh = 1e3 \/ hour$/;" v +kn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kn(dl_number_t x0, double x1) nogil$/;" f +knot adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^knot = nautical_mile \/ hour$/;" v +KNOWLEDGE_ADVENTURE_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ KNOWLEDGE_ADVENTURE_ADPCM = 0x0178$/;" v class:WAVE_FORMAT +known adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def known(cls, const: core.Value) -> 'PartialVal':$/;" m class:PartialVal +knownfail adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ knownfail = ErrorClass(KnownFailureException,$/;" v class:KnownFailurePlugin +knownfailer adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def knownfailer(*args, **kwargs):$/;" f function:knownfailureif.knownfail_decorator file: +KnownFailure adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^KnownFailure = KnownFailurePlugin # backwards compat$/;" v +KnownFailureException adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^class KnownFailureException(Exception):$/;" c +knownfailureif adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def knownfailureif(fail_condition, msg=None):$/;" f +KnownFailurePlugin adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^class KnownFailurePlugin(ErrorClassPlugin):$/;" c +KNOWNFAILURES adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^KNOWNFAILURES: Dict[str, Callable] = {}$/;" v +KnownFailureTest adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^KnownFailureTest = KnownFailureException # backwards compat$/;" v +knownfail_decorator adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def knownfail_decorator(f):$/;" f function:knownfailureif file: +known_context_ids adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^known_context_ids = set()$/;" v +KNOWN_STRING_CONSTRUCTOR_ERRORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^KNOWN_STRING_CONSTRUCTOR_ERRORS = ($/;" v +KNOWN_WAVE_FORMATS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^KNOWN_WAVE_FORMATS = {WAVE_FORMAT.PCM, WAVE_FORMAT.IEEE_FLOAT}$/;" v +Koi8rModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^Koi8rModel = {$/;" v +KOI8R_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^KOI8R_char_to_order_map = ($/;" v +KOI8_R_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^KOI8_R_RUSSIAN_CHAR_TO_ORDER = {$/;" v +KOI8_R_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^KOI8_R_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='KOI8-R',$/;" v +kolmogi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kolmogi(double x0) nogil$/;" f +kolmogn adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def kolmogn(n, x, cdf=True):$/;" f +kolmogni adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def kolmogni(n, q, cdf=True):$/;" f +kolmognp adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def kolmognp(n, x):$/;" f +kolmogorov adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double kolmogorov(double x0) nogil$/;" f +KOREAN adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ KOREAN = 0x08$/;" v class:LanguageFilter +KOREAN adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ KOREAN = 0x08$/;" v class:LanguageFilter +Korean adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Korean(unicode_set):$/;" c class:pyparsing_unicode +Korean adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Korean(unicode_set):$/;" c class:pyparsing_unicode +KroghInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^class KroghInterpolator(_Interpolator1DWithDerivatives):$/;" c +krogh_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def krogh_deriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +krogh_derivs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def krogh_derivs(x, y, axis=0):$/;" f function:test_derivs_shapes file: +krogh_interpolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^def krogh_interpolate(xi, yi, x, der=0, axis=0):$/;" f +kron adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def kron(a, b):$/;" f +kron adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def kron(a, b):$/;" f +kron adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def kron(a, b):$/;" f +kron adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def kron(A, B, format=None):$/;" f +kron adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def kron(a, b): # pylint: disable=missing-function-docstring$/;" f +kronsum adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def kronsum(A, B, format=None):$/;" f +kruskal adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kruskal(*args):$/;" f +kruskal adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def kruskal(*args, nan_policy='propagate'):$/;" f +KruskalResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^KruskalResult = namedtuple('KruskalResult', ('statistic', 'pvalue'))$/;" v +KruskalResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^KruskalResult = namedtuple('KruskalResult', ('statistic', 'pvalue'))$/;" v +kruskalwallis adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^kruskalwallis = kruskal$/;" v +KrylovJacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class KrylovJacobian(Jacobian):$/;" c +KrylovQP adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^KrylovQP = get_trlib_quadratic_subproblem(tol_rel_i=1e-8, tol_rel_b=1e-6)$/;" v +KrylovQP_disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^KrylovQP_disp = get_trlib_quadratic_subproblem(tol_rel_i=1e-8, tol_rel_b=1e-6, disp=True)$/;" v +kSecFormatPEMSequence adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecFormatPEMSequence = 10$/;" v class:SecurityConst +kSecFormatPEMSequence adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecFormatPEMSequence = 10$/;" v class:SecurityConst +kSecTrustResultDeny adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultDeny = 3$/;" v class:SecurityConst +kSecTrustResultDeny adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultDeny = 3$/;" v class:SecurityConst +kSecTrustResultFatalTrustFailure adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultFatalTrustFailure = 6$/;" v class:SecurityConst +kSecTrustResultFatalTrustFailure adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultFatalTrustFailure = 6$/;" v class:SecurityConst +kSecTrustResultInvalid adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultInvalid = 0$/;" v class:SecurityConst +kSecTrustResultInvalid adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultInvalid = 0$/;" v class:SecurityConst +kSecTrustResultOtherError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultOtherError = 7$/;" v class:SecurityConst +kSecTrustResultOtherError adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultOtherError = 7$/;" v class:SecurityConst +kSecTrustResultProceed adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultProceed = 1$/;" v class:SecurityConst +kSecTrustResultProceed adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultProceed = 1$/;" v class:SecurityConst +kSecTrustResultRecoverableTrustFailure adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultRecoverableTrustFailure = 5$/;" v class:SecurityConst +kSecTrustResultRecoverableTrustFailure adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultRecoverableTrustFailure = 5$/;" v class:SecurityConst +kSecTrustResultUnspecified adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultUnspecified = 4$/;" v class:SecurityConst +kSecTrustResultUnspecified adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSecTrustResultUnspecified = 4$/;" v class:SecurityConst +ksone adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ksone = ksone_gen(a=0.0, b=1.0, name='ksone')$/;" v +ksone_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class ksone_gen(rv_continuous):$/;" c +kSSLClientSide adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSSLClientSide = 1$/;" v class:SecurityConst +kSSLClientSide adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSSLClientSide = 1$/;" v class:SecurityConst +kSSLProtocol2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSSLProtocol2 = 1$/;" v class:SecurityConst +kSSLProtocol2 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSSLProtocol2 = 1$/;" v class:SecurityConst +kSSLProtocol3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSSLProtocol3 = 2$/;" v class:SecurityConst +kSSLProtocol3 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSSLProtocol3 = 2$/;" v class:SecurityConst +kSSLSessionOptionBreakOnServerAuth adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSSLSessionOptionBreakOnServerAuth = 0$/;" v class:SecurityConst +kSSLSessionOptionBreakOnServerAuth adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSSLSessionOptionBreakOnServerAuth = 0$/;" v class:SecurityConst +kSSLStreamType adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kSSLStreamType = 0$/;" v class:SecurityConst +kSSLStreamType adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kSSLStreamType = 0$/;" v class:SecurityConst +kstat adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def kstat(data, n=2):$/;" f +kstatvar adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def kstatvar(data, n=2):$/;" f +kstest adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kstest(data1, data2, args=(), alternative='two-sided', mode='auto'):$/;" f +kstest adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def kstest(rvs, cdf, args=(), N=20, alternative='two-sided', mode='auto'):$/;" f +KstestResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^KstestResult = namedtuple('KstestResult', ('statistic', 'pvalue'))$/;" v +kstwo adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^kstwo = kstwo_gen(momtype=0, a=0.0, b=1.0, name='kstwo')$/;" v +kstwobign adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^kstwobign = kstwobign_gen(a=0.0, name='kstwobign')$/;" v +kstwobign_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class kstwobign_gen(rv_continuous):$/;" c +kstwo_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class kstwo_gen(rv_continuous):$/;" c +ks_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def ks_1samp(x, cdf, args=(), alternative="two-sided", mode='auto'):$/;" f +ks_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ks_1samp(x, cdf, args=(), alternative='two-sided', mode='auto'):$/;" f +ks_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def ks_2samp(data1, data2, alternative="two-sided", mode='auto'):$/;" f +ks_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ks_2samp(data1, data2, alternative='two-sided', mode='auto'):$/;" f +Ks_2sampResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Ks_2sampResult = KstestResult$/;" v +ks_twosamp adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ks_twosamp = ks_2samp$/;" v +KT adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^KT = typing.TypeVar('KT') # Key type.$/;" v +kTLSProtocol1 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol1 = 4$/;" v class:SecurityConst +kTLSProtocol1 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol1 = 4$/;" v class:SecurityConst +kTLSProtocol11 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol11 = 7$/;" v class:SecurityConst +kTLSProtocol11 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol11 = 7$/;" v class:SecurityConst +kTLSProtocol12 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol12 = 8$/;" v class:SecurityConst +kTLSProtocol12 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol12 = 8$/;" v class:SecurityConst +kTLSProtocol13 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol13 = 10$/;" v class:SecurityConst +kTLSProtocol13 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocol13 = 10$/;" v class:SecurityConst +kTLSProtocolMaxSupported adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocolMaxSupported = 999$/;" v class:SecurityConst +kTLSProtocolMaxSupported adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ kTLSProtocolMaxSupported = 999$/;" v class:SecurityConst +KubernetesClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^class KubernetesClusterResolver(ClusterResolver):$/;" c +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kullback_leibler_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import kl_divergence as kullback_leibler_divergence$/;" x +kulsinski adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def kulsinski(u, v, w=None):$/;" f +kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kurtosis(a, axis=0, fisher=True, bias=True):$/;" f +kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def kurtosis(a, axis=0, fisher=True, bias=True, nan_policy='propagate'):$/;" f +kurtosistest adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def kurtosistest(a, axis=0):$/;" f +kurtosistest adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def kurtosistest(a, axis=0, nan_policy='propagate'):$/;" f +KurtosistestResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^KurtosistestResult = namedtuple('KurtosistestResult', ('statistic', 'pvalue'))$/;" v +KurtosistestResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^KurtosistestResult = namedtuple('KurtosistestResult', ('statistic', 'pvalue'))$/;" v +kv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t kv(double x0, Dd_number_t x1) nogil$/;" f +kve adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t kve(double x0, Dd_number_t x1) nogil$/;" f +kvp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def kvp(v, z, n=1):$/;" f +kwargs adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def kwargs(self):$/;" m class:Node +Kwargs adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^class Kwargs(dict):$/;" c +kwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ kwargs = {"method": "L-BFGS-B", "jac": True}$/;" v +kwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ kwargs = {"method": "L-BFGS-B"}$/;" v +kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def kwargs(i):$/;" f function:nllloss2d_no_reduce_weights_test file: +kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def kwargs(i):$/;" f function:nlllossNd_no_reduce_weights_test file: +kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def kwargs(i):$/;" f function:nllloss_no_reduce_weights_ignore_index_neg_test file: +kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def kwargs(i):$/;" f function:nllloss_no_reduce_weights_ignore_index_test file: +kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def kwargs(i):$/;" f function:nllloss_no_reduce_weights_test file: +kwargs_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def kwargs_fn(n):$/;" f member:RpcTest.test_multi_py_udf_remote file: +kwargs_to_include adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def kwargs_to_include(self):$/;" m class:FunctionSpec +kwarg_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^def kwarg_only(f):$/;" f +kwdoc adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^def kwdoc(artist):$/;" f +kw_unicode_false adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^kw_unicode_false = {'unicode': False}$/;" v +kw_unicode_true adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^kw_unicode_true = {'unicode': True} # make 2to3 work properly$/;" v +L adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^from pip._vendor.pyparsing import Literal as L # noqa$/;" x +L adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^from pip._vendor.pyparsing import Literal as L # noqa$/;" x +L adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^from pkg_resources.extern.pyparsing import Literal as L # noqa$/;" I +L adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^from pkg_resources.extern.pyparsing import Literal as L # noqa$/;" I +L adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^from setuptools.extern.pyparsing import Literal as L # noqa$/;" I +L adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^from setuptools.extern.pyparsing import Literal as L # noqa$/;" I +L adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^L = 20$/;" v +L adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^L = 20$/;" v +L0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L0 = np.array([1])\/1$/;" v +L0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L0 = np.array([1])$/;" v +L0ApproxNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class L0ApproxNorm(Regularizer):$/;" c +L1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L1 = np.array([1, -1])\/1$/;" v +L1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L1 = np.array([0, 1])$/;" v +l1 adpepsenv/lib/python3.8/site-packages/tensorflow/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L1 as l1$/;" x +l1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L1 as l1$/;" x +l1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L1 as l1$/;" x +l1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L1 as l1$/;" x +L1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^class L1(Regularizer):$/;" c +l1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^l1 = L1$/;" v +l16 adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^from ._binary import i16le as l16$/;" x +L1L2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^class L1L2(Regularizer):$/;" c +L1Loss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class L1Loss(_Loss):$/;" c +l1loss_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def l1loss_no_reduce_scalar_test():$/;" f +l1loss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def l1loss_no_reduce_test():$/;" f +L1Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class L1Norm(Regularizer):$/;" c +L1NormTrimmed adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class L1NormTrimmed(Regularizer):$/;" c +L1Unstructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class L1Unstructured(BasePruningMethod):$/;" c +l1_l2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def l1_l2(l1=0.01, l2=0.01): # pylint: disable=redefined-outer-name$/;" f +l1_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def l1_loss(input, target, size_average=None, reduce=None, reduction='mean'):$/;" f +L1_NORM adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ L1_NORM = 'l1_norm'$/;" v class:GradientClipping +l1_unstructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def l1_unstructured(module, name, amount):$/;" f +L2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L2 = np.array([2, -4, 1])\/2$/;" v +L2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L2 = np.array([-1, 0, 3])\/2$/;" v +l2 adpepsenv/lib/python3.8/site-packages/tensorflow/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L2 as l2$/;" x +l2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L2 as l2$/;" x +l2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L2 as l2$/;" x +l2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/regularizers/__init__.py /^from tensorflow.python.keras.regularizers import L2 as l2$/;" x +L2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^class L2(Regularizer):$/;" c +l2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^l2 = L2$/;" v +L2Loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^L2Loss = tf_export("raw_ops.L2Loss")(_ops.to_raw_op(l2_loss))$/;" v +L2Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class L2Norm(Regularizer):$/;" c +L2NormOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ L2NormOptions = 12$/;" v class:BuiltinOptions +L2NormOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class L2NormOptions(object):$/;" c +L2NormOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def L2NormOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependIn/;" f +L2NormOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def L2NormOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:L2NormOptions +L2NormOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def L2NormOptionsEnd(builder): return builder.EndObject()$/;" f +L2NormOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def L2NormOptionsStart(builder): builder.StartObject(1)$/;" f +L2NormOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class L2NormOptionsT(object):$/;" c +l2_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def l2_loss(t, name=None):$/;" f +l2_loss_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def l2_loss_eager_fallback(t, name, ctx):$/;" f +L2_NORM adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ L2_NORM = 'l2_norm'$/;" v class:GradientClipping +l2_norm adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def l2_norm(tree):$/;" f +L2_NORMALIZATION adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ L2_NORMALIZATION = 11$/;" v class:BuiltinOperator +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def l2_normalize(x, axis=None):$/;" f +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def l2_normalize(x, axis=None, epsilon=1e-12, name=None, dim=None):$/;" f +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import l2_normalize_v2 as l2_normalize$/;" x +l2_normalize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def l2_normalize_v2(x, axis=None, epsilon=1e-12, name=None):$/;" f +L2_POOL_2D adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ L2_POOL_2D = 12$/;" v class:BuiltinOperator +L3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L3 = np.array([6, -18, 9, -1])\/6$/;" v +L3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L3 = np.array([0, -3, 0, 5])\/2$/;" v +l32 adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^from ._binary import i32le as l32$/;" x +L4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L4 = np.array([24, -96, 72, -16, 1])\/24$/;" v +L4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L4 = np.array([3, 0, -30, 0, 35])\/8$/;" v +L5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L5 = np.array([120, -600, 600, -200, 25, -1])\/120$/;" v +L5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L5 = np.array([0, 15, 0, -70, 0, 63])\/8$/;" v +L6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^L6 = np.array([720, -4320, 5400, -2400, 450, -36, 1])\/720$/;" v +L6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L6 = np.array([-5, 0, 105, 0, -315, 0, 231])\/16$/;" v +L7 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L7 = np.array([0, -35, 0, 315, 0, -693, 0, 429])\/16$/;" v +L8 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L8 = np.array([35, 0, -1260, 0, 6930, 0, -12012, 0, 6435])\/128$/;" v +L9 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^L9 = np.array([0, 315, 0, -4620, 0, 18018, 0, -25740, 0, 12155])\/128$/;" v +la adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^from jax._src.numpy import linalg as la$/;" x +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^import numpy.linalg as la$/;" I +la adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^import scipy.sparse.linalg as la$/;" I +label adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def label(self):$/;" m class:DimensionProxy +label adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def label(self, val):$/;" m class:DimensionProxy +label adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def label(self):$/;" m class:Tick +label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def label(self):$/;" m class:SimpleAxisArtist +label adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def label(input, structure=None, output=None):$/;" f +Label adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Label = _reflection.GeneratedProtocolMessageType('Label', (_message.Message,), {$/;" v +LABEL1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^LABEL1 = np.array([0, 1, 2, 2, 2, 2, 1, 2, 1, 1, 1])$/;" v +LabelBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class LabelBase(mtext.Text):$/;" c +labeled_comprehension adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def labeled_comprehension(input, labels, index, func, out_dtype, default, pass_positions=False):$/;" f +LABELPAD adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def LABELPAD(self):$/;" m class:AxisArtist +LABELPAD adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def LABELPAD(self, v):$/;" m class:AxisArtist +labels adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def labels(self):$/;" m class:DataFrameIterator +labels adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ def labels(self):$/;" m class:DirectoryIterator +labels adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def labels(self):$/;" m class:BatchFromFilesMixin +labels adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def labels(self, inline, inline_spacing):$/;" m class:ContourLabeler +LABELS adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/labels.py /^LABELS = {$/;" v +LABELS adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/labels.py /^LABELS = {$/;" v +LabelSmooth adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^class LabelSmooth(ModelLayer):$/;" c +labels_to_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/dataset_utils.py /^def labels_to_dataset(labels, label_mode, num_classes):$/;" f +LABEL_DTYPES_FOR_LOSSES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^LABEL_DTYPES_FOR_LOSSES = {$/;" v +LABEL_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ LABEL_MEAN = 'label\/mean'$/;" v class:MetricKeys +label_minor adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def label_minor(self, labelOnlyBase):$/;" m class:LogFormatter +LABEL_OPTIONAL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ LABEL_OPTIONAL = 1$/;" v class:FieldDescriptor +label_outer adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def label_outer(self):$/;" m class:SubplotBase +LABEL_REPEATED adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ LABEL_REPEATED = 3$/;" v class:FieldDescriptor +LABEL_REQUIRED adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ LABEL_REQUIRED = 2$/;" v class:FieldDescriptor +label_softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax(X):$/;" f member:TestSoftmaxOps.test_softmax file: +label_softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax(X):$/;" f member:TestSoftmaxOps.test_softmax_axis file: +label_softmax_crossent adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent(X, label):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_large file: +label_softmax_crossent adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent(X, label):$/;" f member:TestSoftmaxOps.test_softmax_with_loss file: +label_softmax_crossent adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent(X, label):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_axis_2 file: +label_softmax_crossent adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent(X, label):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_label_prob file: +label_softmax_crossent adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent(X, label, weights=None):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_zero_weight file: +label_softmax_crossent_spatial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent_spatial(X, label, weights=None):$/;" f member:TestSoftmaxOps.test_spatial_softmax_with_loss file: +label_softmax_crossent_spatial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent_spatial(X, label, weights=None):$/;" f member:TestSoftmaxOps.test_spatial_softmax_with_loss_allignore file: +label_softmax_crossent_weighted adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent_weighted(X, label, weights):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_label_prob_weighted file: +label_softmax_crossent_weighted adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_crossent_weighted(X, label, weights):$/;" f member:TestSoftmaxOps.test_softmax_with_loss_weighted file: +label_softmax_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def label_softmax_grad(X, dY):$/;" f member:TestSoftmaxOps.test_softmax_grad file: +label_vocab adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ label_vocab = []$/;" v class:WhatIfToolPlugin +LACK_SEM_OPEN adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ LACK_SEM_OPEN = True$/;" v +lag adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^import numpy.polynomial.laguerre as lag$/;" I +lag2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lag2poly(c):$/;" f +lagadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagadd(c1, c2):$/;" f +lagcompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagcompanion(c):$/;" f +lagder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagder(c, m=1, scl=1, axis=0):$/;" f +lagdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagdiv(c1, c2):$/;" f +lagdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^lagdomain = np.array([0, 1])$/;" v +lagfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagfit(x, y, deg, rcond=None, full=False, w=None):$/;" f +lagfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagfromroots(roots):$/;" f +laggauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def laggauss(deg):$/;" f +laggrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def laggrid2d(x, y, c):$/;" f +laggrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def laggrid3d(x, y, z, c):$/;" f +lagint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +lagline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagline(off, scl):$/;" f +lagmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagmul(c1, c2):$/;" f +lagmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagmulx(c):$/;" f +lagone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^lagone = np.array([1])$/;" v +lagpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagpow(c, pow, maxpower=16):$/;" f +lagrange adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^def lagrange(x, w):$/;" f +lagrange_inversion adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/utils.py /^def lagrange_inversion(a):$/;" f +LagrangianHessian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^class LagrangianHessian(object):$/;" c +lagrangian_hessian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def lagrangian_hessian(self, z, v):$/;" m class:BarrierSubproblem +lagrangian_hessian_s adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def lagrangian_hessian_s(self, z, v):$/;" m class:BarrierSubproblem +lagrangian_hessian_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def lagrangian_hessian_x(self, z, v):$/;" m class:BarrierSubproblem +lagroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagroots(c):$/;" f +lagsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagsub(c1, c2):$/;" f +lagtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^lagtrim = pu.trimcoef$/;" v +Laguerre adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^class Laguerre(ABCPolyBase):$/;" c +laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def laguerre(n, monic=False):$/;" f +lagval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagval(x, c, tensor=True):$/;" f +lagval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagval2d(x, y, c):$/;" f +lagval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagval3d(x, y, z, c):$/;" f +lagvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagvander(x, deg):$/;" f +lagvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagvander2d(x, y, deg):$/;" f +lagvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagvander3d(x, y, z, deg):$/;" f +lagweight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def lagweight(x):$/;" f +lagx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^lagx = np.array([1, -1])$/;" v +lagzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^lagzero = np.array([0])$/;" v +LaheyFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^class LaheyFCompiler(FCompiler):$/;" c +Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Lambda(Layer):$/;" c +lambda2nu adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^def lambda2nu(lambda_):$/;" f +LambdaBinding adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^LambdaBinding = namedtuple('LambdaBinding', [])$/;" v +LambdaCallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class LambdaCallback(Callback):$/;" c +LambdaLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class LambdaLR(_LRScheduler):$/;" c +LambertAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class LambertAxes(GeoAxes):$/;" c +LambertTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class LambertTransform(_GeoTransform):$/;" c class:LambertAxes +lambertw adpepsenv/lib/python3.8/site-packages/scipy/special/_lambertw.py /^def lambertw(z, k=0, tol=1e-8):$/;" f +lambertw_pade adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/lambertw.py /^def lambertw_pade():$/;" f +lame_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def lame_workers(self):$/;" m class:WorkerHeartbeatManager +LANCZOS adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^LANCZOS = ANTIALIAS = 1$/;" v +LANCZOS3 adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ LANCZOS3 = 2$/;" v class:ResizeMethod +LANCZOS3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ LANCZOS3 = 'lanczos3'$/;" v class:ResizeMethod +LANCZOS5 adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ LANCZOS5 = 3$/;" v class:ResizeMethod +LANCZOS5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ LANCZOS5 = 'lanczos5'$/;" v class:ResizeMethod +landscape adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ portrait, landscape = range(2)$/;" v class:_Orientation +language adpepsenv/lib/python3.8/site-packages/chardet/big5prober.py /^ def language(self):$/;" m class:Big5Prober +language adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def language(self):$/;" m class:CharSetGroupProber +language adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def language(self):$/;" m class:CodingStateMachine +language adpepsenv/lib/python3.8/site-packages/chardet/cp949prober.py /^ def language(self):$/;" m class:CP949Prober +language adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def language(self):$/;" m class:EscCharSetProber +language adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def language(self):$/;" m class:EUCJPProber +language adpepsenv/lib/python3.8/site-packages/chardet/euckrprober.py /^ def language(self):$/;" m class:EUCKRProber +language adpepsenv/lib/python3.8/site-packages/chardet/euctwprober.py /^ def language(self):$/;" m class:EUCTWProber +language adpepsenv/lib/python3.8/site-packages/chardet/gb2312prober.py /^ def language(self):$/;" m class:GB2312Prober +language adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def language(self):$/;" m class:HebrewProber +language adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def language(self):$/;" m class:Latin1Prober +language adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def language(self):$/;" m class:MultiByteCharSetProber +Language adpepsenv/lib/python3.8/site-packages/chardet/metadata/languages.py /^class Language(object):$/;" c +language adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def language(self):$/;" m class:SingleByteCharSetProber +language adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def language(self):$/;" m class:SJISProber +language adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def language(self):$/;" m class:UTF8Prober +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5prober.py /^ def language(self):$/;" m class:Big5Prober +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def language(self):$/;" m class:CharSetGroupProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def language(self):$/;" m class:CodingStateMachine +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cp949prober.py /^ def language(self):$/;" m class:CP949Prober +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def language(self):$/;" m class:EscCharSetProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def language(self):$/;" m class:EUCJPProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrprober.py /^ def language(self):$/;" m class:EUCKRProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwprober.py /^ def language(self):$/;" m class:EUCTWProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312prober.py /^ def language(self):$/;" m class:GB2312Prober +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def language(self):$/;" m class:HebrewProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def language(self):$/;" m class:Latin1Prober +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def language(self):$/;" m class:MultiByteCharSetProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def language(self):$/;" m class:SingleByteCharSetProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def language(self):$/;" m class:SJISProber +language adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def language(self):$/;" m class:UTF8Prober +LanguageAccept adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class LanguageAccept(Accept):$/;" c +LanguageFilter adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class LanguageFilter(object):$/;" c +LanguageFilter adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class LanguageFilter(object):$/;" c +LANGUAGES adpepsenv/lib/python3.8/site-packages/chardet/metadata/languages.py /^LANGUAGES = {'Arabic': Language(name='Arabic',$/;" v +language_map adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ language_map = {'.f': 'f77',$/;" v class:FCompiler +language_map adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^language_map = {'c': 0, 'c++': 1, 'f77': 2, 'f90': 3}$/;" v +language_map adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ language_map = {".c" : "c",$/;" v class:CCompiler +language_order adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ language_order = ['f90', 'f77']$/;" v class:FCompiler +language_order adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ language_order = ["c++", "objc", "c"]$/;" v class:CCompiler +LANGUAGE_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^LANGUAGE_WEIGHT_NAME = 'linear\/linear_model\/language\/weights'$/;" v +LANGUAGE_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^LANGUAGE_WEIGHT_NAME = 'linear\/linear_model\/language\/weights'$/;" v +LANG_EXT adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^LANG_EXT = {"c": ".c", "c++": ".cxx"}$/;" v +lapack64__opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack64__opt_info(lapack_ilp64_opt_info):$/;" c +LapackILP64NotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class LapackILP64NotFoundError(NotFoundError):$/;" c +LapackNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class LapackNotFoundError(NotFoundError):$/;" c +LapackSrcNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class LapackSrcNotFoundError(LapackNotFoundError):$/;" c +lapack_atlas_3_10_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_atlas_3_10_info(atlas_3_10_info):$/;" c +lapack_atlas_3_10_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_atlas_3_10_threads_info(atlas_3_10_threads_info):$/;" c +lapack_atlas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_atlas_info(atlas_info):$/;" c +lapack_atlas_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_atlas_threads_info(atlas_threads_info):$/;" c +lapack_decls adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^lapack_decls = """$/;" v +lapack_drivers adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ lapack_drivers = ('gelsd', 'gelss', 'gelsy', None)$/;" v class:TestLstsq +lapack_exclusions adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^lapack_exclusions = [$/;" v +lapack_ilp64_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_ilp64_opt_info(lapack_opt_info, _ilp64_opt_info_mixin):$/;" c +lapack_ilp64_plain_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_ilp64_plain_opt_info(lapack_ilp64_opt_info):$/;" c +lapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_info(system_info):$/;" c +lapack_manual_wrappers adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^lapack_manual_wrappers = '''void cgees(char *jobvs, char *sort, cselect1 *select, int *n, c *a, /;" v +lapack_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_mkl_info(mkl_info):$/;" c +lapack_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^lapack_mkl_info={}$/;" v +lapack_mkl_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^lapack_mkl_info={}$/;" v +lapack_mkl_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^lapack_mkl_info={}$/;" v +lapack_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_opt_info(system_info):$/;" c +lapack_opt_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^lapack_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], '/;" v +lapack_opt_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^lapack_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], '/;" v +lapack_opt_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ class lapack_opt_info(system_info):$/;" c +lapack_opt_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^lapack_opt_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], '/;" v +lapack_order adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ lapack_order = ['mkl', 'openblas', 'flame', 'atlas', 'accelerate', 'lapack']$/;" v class:lapack_opt_info +lapack_order adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ lapack_order = ['openblas64_', 'openblas_ilp64']$/;" v class:lapack_ilp64_opt_info +lapack_pxd_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^lapack_pxd_preamble = """# Within SciPy, these wrappers can be used via relative or absolute cim/;" v +lapack_pyx_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^lapack_pyx_preamble = '''"""$/;" v +lapack_py_wrappers adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^lapack_py_wrappers = """$/;" v +lapack_src_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class lapack_src_info(system_info):$/;" c +laplace adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def laplace(key, shape=(), dtype=dtypes.float_):$/;" f +laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def laplace(input, output=None, mode="reflect", cval=0.0):$/;" f +laplace adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^laplace = laplace_gen(name='laplace')$/;" v +Laplace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^class Laplace(distribution.Distribution):$/;" c +Laplace adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^class Laplace(Distribution):$/;" c +LaplaceWithSoftplusScale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^class LaplaceWithSoftplusScale(Laplace):$/;" c +laplace_asymmetric adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^laplace_asymmetric = laplace_asymmetric_gen(name='laplace_asymmetric')$/;" v +laplace_asymmetric_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class laplace_asymmetric_gen(rv_continuous):$/;" c +laplace_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class laplace_gen(rv_continuous):$/;" c +laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_laplacian.py /^def laplacian(csgraph, normed=False, return_diag=False, use_out_degree=False):$/;" f +LargeCircles adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class LargeCircles(Circles):$/;" c +largeCUDATensorTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def largeCUDATensorTest(size):$/;" f +larger_types adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def larger_types(self):$/;" m class:Type +largeTensorTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def largeTensorTest(size):$/;" f +LARGE_COMPOSITE_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^LARGE_COMPOSITE_SIZES = [$/;" v +LARGE_COMPOSITE_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^LARGE_COMPOSITE_SIZES = [$/;" v +LARGE_PRIME_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^LARGE_PRIME_SIZES = [$/;" v +LARGE_PRIME_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^LARGE_PRIME_SIZES = [$/;" v +LArrow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class LArrow(_Base):$/;" c class:BoxStyle +Lasso adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class Lasso(AxesWidget):$/;" c +LassoSelector adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class LassoSelector(_SelectorWidget):$/;" c +lastChild adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def lastChild(self, parent):$/;" m class:BlockProcessor +lastevent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ lastevent = None # the last event that was triggered before this one$/;" v class:LocationEvent +LastModified adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^class LastModified(BaseHeuristic):$/;" c +LastNWindowCollector adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/last_n_window_collector.py /^class LastNWindowCollector(ModelLayer):$/;" c +last_cause adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def last_cause(e):$/;" f +last_checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def last_checkpoints(self):$/;" m class:Saver +last_error adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ last_error = ctypes.get_last_error()$/;" v +last_error adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ last_error = ctypes.get_last_error()$/;" v +last_executed_optimized_graph adpepsenv/lib/python3.8/site-packages/torch/jit/_fuser.py /^last_executed_optimized_graph = torch._C._last_executed_optimized_graph$/;" v +last_modified adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ last_modified = header_property($/;" v class:CommonResponseDescriptorsMixin +last_producer adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def last_producer(ops, blob):$/;" f +last_producer adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^def last_producer(ops, blob):$/;" f +last_producer adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def last_producer(ops, blob):$/;" f +LAST_RESERVED_FIELD_NUMBER adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ LAST_RESERVED_FIELD_NUMBER = 19999$/;" v class:FieldDescriptor +last_step_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def last_step_outputs(self):$/;" m class:MultiStepContext +last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def last_triggered_step(self):$/;" m class:NeverTriggerTimer +last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def last_triggered_step(self):$/;" m class:SecondOrStepTimer +last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def last_triggered_step(self):$/;" m class:_HookTimer +last_unref adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def last_unref(self):$/;" m class:_TensorTracker +LatencyStatsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^LatencyStatsDataset = tf_export("raw_ops.LatencyStatsDataset")(_ops.to_raw_op(latency_stats_data/;" v +latency_all_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_options.py /^ latency_all_edges = options.create_option($/;" v class:StatsOptions +latency_avg_ms adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def latency_avg_ms(self):$/;" m class:ExecutionStats +latency_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^def latency_stats(tag):$/;" f +latency_stats_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def latency_stats_dataset(input_dataset, tag, output_types, output_shapes, name=None):$/;" f +latency_stats_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def latency_stats_dataset_eager_fallback(input_dataset, tag, output_types, output_shapes, name, /;" f +LatestExporter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^class LatestExporter(Exporter):$/;" c +latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def latest_checkpoint(self):$/;" m class:CheckpointManager +latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def latest_checkpoint(checkpoint_dir, latest_filename=None):$/;" f +latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^latest_checkpoint = checkpoint_management.latest_checkpoint$/;" v +latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def latest_checkpoint(self):$/;" m class:SavedModelEstimator +latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def latest_checkpoint(self):$/;" m class:Estimator +latest_info adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def latest_info(dist):$/;" f member:ListCommand.iter_packages_latest_infos file: +LATEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ LATEX = "latex"$/;" v class:OutputFormat +latex2html adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^def latex2html(node, source):$/;" f +latex2png adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^def latex2png(latex, filename, fontset='cm'):$/;" f +LatexError adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class LatexError(Exception):$/;" c +latexManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ latexManager = cbook._deprecate_privatize_attribute("3.2")$/;" v class:RendererPgf +LatexManager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class LatexManager:$/;" c +latex_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ latex_data = [$/;" v class:TestPercentFormatter +latex_format adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def latex_format(value):$/;" f member:Handler.run file: +latex_in_to_pt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^latex_in_to_pt = 1. \/ latex_pt_to_in$/;" v +latex_math adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^class latex_math(nodes.General, nodes.Element):$/;" c +latex_pt_to_in adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^latex_pt_to_in = 1. \/ 72.27$/;" v +latex_stdin_utf8 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def latex_stdin_utf8(self):$/;" m class:LatexManager +latex_to_bakoma adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^latex_to_bakoma = {$/;" v +latex_to_cmex adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^latex_to_cmex = {$/;" v +latex_to_standard adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^latex_to_standard = {$/;" v +Latin1 adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Latin1(unicode_set):$/;" c class:pyparsing_unicode +Latin1 adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Latin1(unicode_set):$/;" c class:pyparsing_unicode +Latin1ClassModel adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^Latin1ClassModel = ($/;" v +Latin1ClassModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^Latin1ClassModel = ($/;" v +Latin1Prober adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^class Latin1Prober(CharSetProber):$/;" c +Latin1Prober adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^class Latin1Prober(CharSetProber):$/;" c +Latin1_CharToClass adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^Latin1_CharToClass = ($/;" v +Latin1_CharToClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^Latin1_CharToClass = ($/;" v +Latin2HungarianModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhungarianmodel.py /^Latin2HungarianModel = {$/;" v +Latin2_HungarianCharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhungarianmodel.py /^Latin2_HungarianCharToOrderMap = ($/;" v +Latin5BulgarianModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langbulgarianmodel.py /^Latin5BulgarianModel = {$/;" v +Latin5CyrillicModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^Latin5CyrillicModel = {$/;" v +Latin5TurkishModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langturkishmodel.py /^Latin5TurkishModel = {$/;" v +Latin5_BulgarianCharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langbulgarianmodel.py /^Latin5_BulgarianCharToOrderMap = ($/;" v +latin5_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^latin5_char_to_order_map = ($/;" v +Latin5_TurkishCharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langturkishmodel.py /^Latin5_TurkishCharToOrderMap = ($/;" v +Latin7GreekModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langgreekmodel.py /^Latin7GreekModel = {$/;" v +Latin7_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langgreekmodel.py /^Latin7_char_to_order_map = ($/;" v +LatinA adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class LatinA(unicode_set):$/;" c class:pyparsing_unicode +LatinA adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class LatinA(unicode_set):$/;" c class:pyparsing_unicode +LatinB adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class LatinB(unicode_set):$/;" c class:pyparsing_unicode +LatinB adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class LatinB(unicode_set):$/;" c class:pyparsing_unicode +lattice_join adpepsenv/lib/python3.8/site-packages/jax/core.py /^def lattice_join(x: Optional[AbstractValue],$/;" f +launch adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def launch(self):$/;" m class:TensorBoard +launched_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def launched_rpc(events):$/;" f member:RpcTest.test_rref_type file: +lax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^import jax.lax as lax$/;" I +lax_control_flow adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax._src.lax import control_flow as lax_control_flow$/;" x +lax_control_flow adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^from jax._src.lax import control_flow as lax_control_flow$/;" x +lax_control_flow adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^from jax._src.lax import control_flow as lax_control_flow$/;" x +lax_control_flow adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^from jax._src.lax import control_flow as lax_control_flow$/;" x +lax_fft adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax._src.lax import fft as lax_fft$/;" x +lax_fft adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^from jax._src.lax import fft as lax_fft$/;" x +lax_internal adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^from jax._src.lax import lax as lax_internal$/;" x +lax_internal adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^from jax._src.lax import lax as lax_internal$/;" x +lax_linalg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax._src.lax import linalg as lax_linalg$/;" x +lax_linalg adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^from jax._src.lax import linalg as lax_linalg$/;" x +lax_linalg adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^from jax._src.lax import linalg as lax_linalg$/;" x +lax_parallel adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax._src.lax import parallel as lax_parallel$/;" x +Layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^class Layer(module.Module, version_utils.LayerVersionSelector):$/;" c +layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def layer(self):$/;" m class:CallContext +Layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^class Layer(base_layer.Layer):$/;" c +Layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^class Layer(base_layer.Layer):$/;" c +Layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/base.py /^Layer = base.Layer$/;" v +LayerAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class LayerAttributes(SerializedAttributes.with_attributes($/;" c +LayerCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^class LayerCall(def_function.Function):$/;" c +LayerCallCollection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^class LayerCallCollection(object):$/;" c +layered_LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^layered_LSTM = functools.partial(_layered_LSTM, create_lstm=LSTM)$/;" v +LayerModelHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^class LayerModelHelper(model_helper.ModelHelper):$/;" c +LayerNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^class LayerNorm(Module):$/;" c +LayerNorm adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^class LayerNorm(torch.nn.LayerNorm):$/;" c +LayerNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layer_normalization.py /^class LayerNormalization(ModelLayer):$/;" c +LayerNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^class LayerNormalization(Layer):$/;" c +LayerNormalizer adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^class LayerNormalizer(Normalizer):$/;" c +LayerNormLSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^LayerNormLSTM = functools.partial(_LSTM, LayerNormLSTMCell)$/;" v +LayerNormLSTMCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class LayerNormLSTMCell(RNNCell):$/;" c +LayerNormMILSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^LayerNormMILSTM = functools.partial(_LSTM, LayerNormMILSTMCell)$/;" v +LayerNormMILSTMCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class LayerNormMILSTMCell(LSTMCell):$/;" c +LayerParameter adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^class LayerParameter(object):$/;" c +LayerPsParam adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^LayerPsParam = namedtuple("LayerPsParam", ["sparse_key", "average_length"])$/;" v +LayerRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class LayerRNNCell(RNNCell):$/;" c +LayerRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^LayerRNNCell = rnn_cell_impl.LayerRNNCell$/;" v +layers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def layers(self):$/;" m class:LayerModelHelper +layers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def layers(n, m):$/;" f function:test_stackplot_baseline file: +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^layers = None$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^layers = None$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^layers = None$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^layers = None$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg16.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg19.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/xception.py /^layers = VersionAwareLayers()$/;" v +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def layers(self):$/;" m class:Sequential +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def layers(self):$/;" m class:Model +layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def layers(self):$/;" m class:TrackableDataStructure +LayerSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^class LayerSavedModelSaver(base_serialization.SavedModelSaver):$/;" c +LayerShapeNCDHW adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^LayerShapeNCDHW = collections.namedtuple($/;" v +LayerShapeNHWC adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^LayerShapeNHWC = collections.namedtuple('LayerShapeNHWC',$/;" v +LayersTestCase adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^class LayersTestCase(test_util.TestCase):$/;" c +layers_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^layers_module = LazyLoader($/;" v +LayerVersionSelector adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^class LayerVersionSelector(object):$/;" c +layer_call_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def layer_call_wrapper(call_collection, method):$/;" f +layer_exists adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def layer_exists(name):$/;" f +layer_generating_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ layer_generating_func = lambda: model_layers$/;" f function:get_model_from_layers file: +layer_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^from tensorflow.python.keras import layers as layer_module$/;" x +layer_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^from tensorflow.python.keras import layers as layer_module$/;" x +layer_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def layer_norm($/;" f +layer_norm adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def layer_norm(input, normalized_shape, weight=None, bias=None, eps=1e-5):$/;" f +layer_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def layer_norm(g, input, normalized_shape, weight, bias, eps, cudnn_enable):$/;" f +layer_norm_lstm_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def layer_norm_lstm_reference($/;" f +layer_norm_milstm_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def layer_norm_milstm_reference($/;" f +layer_norm_with_scale_and_bias_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def layer_norm_with_scale_and_bias_ref(X, scale, bias, axis=-1, epsilon=1e-4):$/;" f +layer_scoper adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def layer_scoper(self, layer_id):$/;" m class:MultiRNNCell +layer_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def layer_test(layer_cls,$/;" f +layer_uses_training_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def layer_uses_training_bool(layer):$/;" f +layout adpepsenv/lib/python3.8/site-packages/matplotlib/_text_layout.py /^def layout(string, font, *, kern_mode=KERNING_DEFAULT):$/;" f +Layout adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^Layout = _reflection.GeneratedProtocolMessageType('Layout', (_message.Message,), {$/;" v +layout adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def layout(self):$/;" m class:Shape +layout adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def layout(self):$/;" m class:ScrollBar +layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^import matplotlib._layoutbox as layoutbox$/;" I +layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib._layoutbox as layoutbox$/;" I +layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib._layoutbox as layoutbox$/;" I +layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^import matplotlib._layoutbox as layoutbox$/;" I +layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^import matplotlib._layoutbox as layoutbox$/;" I +LayoutBox adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^class LayoutBox:$/;" c +layoutcolorbargridspec adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def layoutcolorbargridspec(parents, cax, shrink, aspect, location, pad=0.05):$/;" f +layoutcolorbarsingle adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def layoutcolorbarsingle(ax, cax, shrink, aspect, location, pad=0.05):$/;" f +LayoutProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^LayoutProto = _reflection.GeneratedProtocolMessageType('LayoutProto', (_message.Message,), {$/;" v +LAYOUT_BASIC adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^LAYOUT_BASIC = 0$/;" v +layout_from_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def layout_from_subplotspec(self, subspec,$/;" m class:LayoutBox +layout_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def layout_impl(self):$/;" m class:CustomScalarsPlugin +LAYOUT_RAQM adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^LAYOUT_RAQM = 1$/;" v +layout_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def layout_route(self, request):$/;" m class:CustomScalarsPlugin +LazyExpr adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^LazyExpr = namedtuple('LazyExpr', ['input', 'shape', 'dims'])$/;" v +LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^class LazyLoader(python_types.ModuleType):$/;" c +LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^class LazyLoader(types.ModuleType):$/;" c +LazyModule adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ class LazyModule(types.ModuleType):$/;" c function:lazy_load.wrapper file: +LazyOperatorNormInfo adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^class LazyOperatorNormInfo:$/;" c +LazyTensorCreator adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^class LazyTensorCreator(object):$/;" c +LazyZipOverHTTP adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^class LazyZipOverHTTP(object):$/;" c +lazy_bind adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def lazy_bind(concrete_type, unbound_method):$/;" f +lazy_binding_method adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def lazy_binding_method(cpp_module, *args):$/;" f function:lazy_bind file: +lazy_load adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^def lazy_load(name):$/;" f +LAZY_OL adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ LAZY_OL = True$/;" v class:OListProcessor +LAZY_OL adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ LAZY_OL = False$/;" v class:SaneOListProcessor +lazy_property adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^class lazy_property(object):$/;" c +lazy_remote_inputs_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def lazy_remote_inputs_copy(self):$/;" m class:Context +lazy_remote_inputs_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def lazy_remote_inputs_copy(self, lazy_copy):$/;" m class:Context +lazy_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary_v2.py /^ def lazy_tensor():$/;" f function:audio file: +lazy_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def lazy_tensor():$/;" f function:histogram.histogram_summary file: +lazy_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary_v2.py /^ def lazy_tensor():$/;" f function:image file: +lb adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^lb = pound = 7000 * grain # avoirdupois$/;" v +lband adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ lband = 1$/;" v class:CoupledDecay +lband adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ lband = None$/;" v class:ODE +lbeta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def lbeta(x, name=None):$/;" f +lbf adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^lbf = pound_force = pound * g$/;" v +LBFGS adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^class LBFGS(Optimizer):$/;" c +LbfgsInvHessProduct adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^class LbfgsInvHessProduct(LinearOperator):$/;" c +LBRACKET adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^LBRACKET = L("[").suppress()$/;" v +LBRACKET adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^LBRACKET = L("[").suppress()$/;" v +LBRACKET adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^LBRACKET = L("[").suppress()$/;" v +lcb2_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^lcb2_map = {}$/;" v +lcb_map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^lcb_map = {}$/;" v +lcm adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def lcm(x1, x2):$/;" f +lcm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def lcm(x1, x2): # pylint: disable=missing-function-docstring$/;" f +LCT adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ LCT = LinprogCommonTests$/;" v class:RRTests +lc_1d_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def lc_1d_nchw(X, W, b=None):$/;" f member:TestLocallyConnectedOp.test_lc_1d file: +lc_2d_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def lc_2d_nchw(X, W, b=None):$/;" f member:TestLocallyConnectedOp.test_lc_2d file: +lc_2d_nhwc adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def lc_2d_nhwc(X, W, b=None):$/;" f member:TestLocallyConnectedOp.test_lc_2d file: +lc_3d_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def lc_3d_nchw(X, W, b=None):$/;" f member:TestLocallyConnectedOp.test_lc_3d file: +LC_BUILD_VERSION adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^LC_BUILD_VERSION = 0x32$/;" v +LC_VERSION_MIN_MACOSX adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^LC_VERSION_MIN_MACOSX = 0x24$/;" v +ld adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^def ld(v):$/;" f +LDAPDN adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPDN(LDAPString):$/;" c +LDAPMessage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPMessage(univ.Sequence):$/;" c +LDAPOID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPOID(univ.OctetString):$/;" c +LDAPResult adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPResult(univ.Sequence):$/;" c +LDAPString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPString(univ.OctetString):$/;" c +LDAPURL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class LDAPURL(LDAPString):$/;" c +ldbl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ ldbl = 1 + LD_INFO.eps$/;" v class:TestFileBased +ldexp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ldexp(x1, x2):$/;" f +ldl adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_ldl.py /^def ldl(A, lower=True, hermitian=True, overwrite_a=False, check_finite=True):$/;" f +ldu adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^def ldu(load_v, name):$/;" f +LD_INFO adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^LD_INFO = np.finfo(np.longdouble)$/;" v +le adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def le(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +le adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^le = np.less_equal$/;" v +le adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def le(x: Array, y: Array) -> Array:$/;" f +le adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def le(self, x):$/;" m class:_Edge_integer +le adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^le = _broadcasting_binary_op(math_ops.less_equal)$/;" v +le adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def le(g, input, other):$/;" f +le adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def le(g, input, other):$/;" f +leaders adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def leaders(Z, T):$/;" f +LEADING_CHARS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ LEADING_CHARS = "-~.=%0123456789"$/;" v class:AdjacentTempDirectory +LEAD_SPEECH adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LEAD_SPEECH = 0x434C$/;" v class:WAVE_FORMAT +LEAD_VORBIS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LEAD_VORBIS = 0x564C$/;" v class:WAVE_FORMAT +Leaf adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^Leaf = _reflection.GeneratedProtocolMessageType('Leaf', (_message.Message,), {$/;" v +leafnode adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ class leafnode(node):$/;" c class:KDTree +LeakyRelu adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^LeakyRelu = elementwise(leaky_relu)$/;" v +LeakyReLU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class LeakyReLU(Layer):$/;" c +LeakyRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^LeakyRelu = tf_export("raw_ops.LeakyRelu")(_ops.to_raw_op(leaky_relu))$/;" v +LeakyReLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class LeakyReLU(Module):$/;" c +LeakyReluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^LeakyReluGrad = tf_export("raw_ops.LeakyReluGrad")(_ops.to_raw_op(leaky_relu_grad))$/;" v +LeakyReluOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LeakyReluOptions = 75$/;" v class:BuiltinOptions +LeakyReluOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LeakyReluOptions(object):$/;" c +LeakyReluOptionsAddAlpha adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LeakyReluOptionsAddAlpha(builder, alpha): builder.PrependFloat32Slot(0, alpha, 0.0)$/;" f +LeakyReluOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LeakyReluOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LeakyReluOptions +LeakyReluOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LeakyReluOptionsEnd(builder): return builder.EndObject()$/;" f +LeakyReluOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LeakyReluOptionsStart(builder): builder.StartObject(1)$/;" f +LeakyReluOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LeakyReluOptionsT(object):$/;" c +LeakyReluTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^class LeakyReluTest(hu.HypothesisTestCase):$/;" c +leaky_relu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def leaky_relu(x: Array, negative_slope: Array = 1e-2) -> Array:$/;" f +LEAKY_RELU adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LEAKY_RELU = 98$/;" v class:BuiltinOperator +leaky_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def leaky_relu(features, alpha=0.2, name=None):$/;" f +leaky_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def leaky_relu(features, alpha=0.2, name=None):$/;" f +leaky_relu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def leaky_relu(input: Tensor, negative_slope: float = 0.01, inplace: bool = False) -> Tensor:$/;" f +leaky_relu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def leaky_relu(input: Tensor, negative_slope: float = 0.01, inplace: bool = False,$/;" f +leaky_relu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def leaky_relu(g, input, negative_slope, inplace=False):$/;" f +leaky_relu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^leaky_relu_ = _add_docstr(torch._C._nn.leaky_relu_, r"""$/;" v +leaky_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def leaky_relu_eager_fallback(features, alpha, name, ctx):$/;" f +leaky_relu_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def leaky_relu_grad(gradients, features, alpha=0.2, name=None):$/;" f +leaky_relu_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def leaky_relu_grad_eager_fallback(gradients, features, alpha, name, ctx):$/;" f +leaky_relu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def leaky_relu_ref(X):$/;" f member:TestActivations.test_leaky_relu file: +leaky_relu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def leaky_relu_ref(X):$/;" f member:TestActivations.test_leaky_relu_default file: +LearnedUnigramCandidateSampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^LearnedUnigramCandidateSampler = tf_export("raw_ops.LearnedUnigramCandidateSampler")(_ops.to_raw/;" v +learned_unigram_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/candidate_sampling_ops.py /^def learned_unigram_candidate_sampler(true_classes, num_true, num_sampled,$/;" f +learned_unigram_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def learned_unigram_candidate_sampler(true_classes, num_true, num_sampled, unique, range_max, se/;" f +learned_unigram_candidate_sampler_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def learned_unigram_candidate_sampler_eager_fallback(true_classes, num_true, num_sampled, unique/;" f +LearningRate adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^LearningRate = _reflection.GeneratedProtocolMessageType('LearningRate', (_message.Message,), {$/;" v +LearningRateBatchScheduler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^class LearningRateBatchScheduler(keras.callbacks.Callback):$/;" c +LearningRateSchedule adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class LearningRateSchedule(object):$/;" c +LearningRateScheduler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class LearningRateScheduler(Callback):$/;" c +learning_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def learning_phase():$/;" f +learning_phase_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def learning_phase_scope(value):$/;" f +learning_rate_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^from tensorflow.python.keras.optimizer_v2 import legacy_learning_rate_decay as learning_rate_dec/;" x +LEARNING_RATE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^LEARNING_RATE_NAME = 'dnn\/regression_head\/dnn\/learning_rate'$/;" v +LEARNING_RATE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^LEARNING_RATE_NAME = 'dnn\/regression_head\/dnn\/learning_rate'$/;" v +leastsq adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def leastsq(func, x0, args=(), Dfun=None, full_output=0,$/;" f +LEASTSQ_FAILURE adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^LEASTSQ_FAILURE = [5, 6, 7, 8]$/;" v +LEASTSQ_SUCCESS adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^LEASTSQ_SUCCESS = [1, 2, 3, 4]$/;" v +least_squares adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def least_squares($/;" f +least_squares adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def least_squares(x):$/;" f function:augmented_system_projections file: +least_squares adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def least_squares(x):$/;" f function:normal_equation_projections file: +least_squares adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def least_squares(x):$/;" f function:qr_factorization_projections file: +least_squares adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def least_squares(x):$/;" f function:svd_factorization_projections file: +leave adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def leave(self):$/;" m class:Unparser +leave adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def leave(event):$/;" f member:ToolTip.createToolTip file: +LEAVE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^LEAVE = lambda _1, _2, _3: False$/;" f +leaveEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def leaveEvent(self, event):$/;" m class:FigureCanvasQT +leaves_list adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def leaves_list(Z):$/;" f +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:Forward +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParseElementEnhance +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParseExpression +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParserElement +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:Forward +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParseElementEnhance +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParseExpression +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParserElement +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:Forward +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParseElementEnhance +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParseExpression +leaveWhitespace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def leaveWhitespace(self):$/;" m class:ParserElement +leaveWhitespace adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:Forward +leaveWhitespace adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParseElementEnhance +leaveWhitespace adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParseExpression +leaveWhitespace adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def leaveWhitespace( self ):$/;" m class:ParserElement +leave_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def leave_notify_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +leave_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def leave_notify_event(self, guiEvent=None):$/;" m class:FigureCanvasBase +LecunNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class LecunNormal(init_ops.VarianceScaling):$/;" c +LecunNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class LecunNormal(VarianceScaling):$/;" c +LecunUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class LecunUniform(init_ops.VarianceScaling):$/;" c +LecunUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class LecunUniform(VarianceScaling):$/;" c +lecun_normal adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^lecun_normal = partial(variance_scaling, 1.0, "fan_in", "truncated_normal")$/;" v +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import LecunNormal as lecun_normal$/;" x +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import LecunNormal as lecun_normal$/;" x +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import LecunNormal as lecun_normal$/;" x +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import LecunNormal as lecun_normal$/;" x +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def lecun_normal(seed=None):$/;" f +lecun_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^def lecun_normal(seed=None):$/;" f +lecun_uniform adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^lecun_uniform = partial(variance_scaling, 1.0, "fan_in", "uniform")$/;" v +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import LecunUniform as lecun_uniform$/;" x +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import LecunUniform as lecun_uniform$/;" x +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import LecunUniform as lecun_uniform$/;" x +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import LecunUniform as lecun_uniform$/;" x +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def lecun_uniform(seed=None):$/;" f +lecun_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^def lecun_uniform(seed=None):$/;" f +LEFT adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ LEFT = 1$/;" v class:MouseButton +LeftShift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^LeftShift = tf_export("raw_ops.LeftShift")(_ops.to_raw_op(left_shift))$/;" v +left_multiplied_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def left_multiplied_operator(J, d):$/;" f +left_multiply adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def left_multiply(J, d, copy=True):$/;" f +left_psolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def left_psolve(b):$/;" f function:qmr file: +left_rpsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def left_rpsolve(b):$/;" f function:qmr file: +left_shift adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^left_shift = _one_to_one_binop(np.left_shift, lax.shift_left)$/;" v +left_shift adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def left_shift(a, n):$/;" f +left_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def left_shift(x, y, name=None):$/;" f +left_shift_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def left_shift_eager_fallback(x, y, name, ctx):$/;" f +leg adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^import numpy.polynomial.legendre as leg$/;" I +leg2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def leg2poly(c):$/;" f +LEGACY adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ LEGACY = 1$/;" v class:ProfilingMode +LegacyApplicationClient adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/legacy_application.py /^class LegacyApplicationClient(Client):$/;" c +LegacyApplicationServer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^class LegacyApplicationServer(TokenEndpoint, IntrospectEndpoint,$/;" c +LegacyAttrExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^class LegacyAttrExtension(Extension):$/;" c +LegacyAttrs adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^class LegacyAttrs(Treeprocessor):$/;" c +LegacyCmpKey adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ LegacyCmpKey = Tuple[int, Tuple[str, ...]]$/;" v +LegacyEmExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^class LegacyEmExtension(Extension):$/;" c +LegacyEventFileLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^class LegacyEventFileLoader(RawEventFileLoader):$/;" c +LegacyInstallFailure adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/legacy.py /^class LegacyInstallFailure(Exception):$/;" c +LegacyMatcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class LegacyMatcher(Matcher):$/;" c +LegacyMetadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class LegacyMetadata(object):$/;" c +LegacyPadding adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^LegacyPadding = enum_type_wrapper.EnumTypeWrapper(_LEGACYPADDING)$/;" v +LegacyParallelInterleaveDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^LegacyParallelInterleaveDatasetV2 = tf_export("raw_ops.LegacyParallelInterleaveDatasetV2")(_ops./;" v +LegacySpecifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class LegacySpecifier(_IndividualSpecifier):$/;" c +LegacySpecifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class LegacySpecifier(_IndividualSpecifier):$/;" c +LegacySpecifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class LegacySpecifier(_IndividualSpecifier):$/;" c +LegacyTestCase adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^class LegacyTestCase(unittest.TestCase, metaclass=LegacyTestMeta):$/;" c +LegacyTestMeta adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^class LegacyTestMeta(type):$/;" c +LegacyUnderscoreProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^class LegacyUnderscoreProcessor(UnderscoreProcessor):$/;" c +LegacyVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class LegacyVersion(Version):$/;" c +LegacyVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^class LegacyVersion(_BaseVersion):$/;" c +LegacyVersion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^class LegacyVersion(_BaseVersion):$/;" c +LegacyVersion adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class LegacyVersion(_BaseVersion):$/;" c +LegacyVersion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^class LegacyVersion(_BaseVersion):$/;" c +legacy_api adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def legacy_api(self, value):$/;" m class:ImageFileDirectory_v2 +legacy_api adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ legacy_api = property(lambda self: self._legacy_api)$/;" v class:ImageFileDirectory_v2 +legacy_contiguous_format adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^legacy_contiguous_format = contiguous_format$/;" v +legacy_get_enum adpepsenv/lib/python3.8/site-packages/torch/nn/_reduction.py /^def legacy_get_enum(size_average, reduce, emit_warning=True):$/;" f +legacy_get_string adpepsenv/lib/python3.8/site-packages/torch/nn/_reduction.py /^def legacy_get_string(size_average, reduce, emit_warning=True):$/;" f +LEGACY_INIT_OP_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^LEGACY_INIT_OP_KEY = "legacy_init_op"$/;" v +legacy_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def legacy_load(f):$/;" f function:_legacy_load file: +LEGACY_MAPPING adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ LEGACY_MAPPING = {$/;" v class:Metadata +LEGACY_METADATA_FILENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^LEGACY_METADATA_FILENAME = 'METADATA'$/;" v +legacy_parallel_interleave_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def legacy_parallel_interleave_dataset_v2(input_dataset, other_arguments, cycle_length, block_le/;" f +legacy_parallel_interleave_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def legacy_parallel_interleave_dataset_v2_eager_fallback(input_dataset, other_arguments, cycle_l/;" f +LEGACY_PROJECT adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^LEGACY_PROJECT = "GCLOUD_PROJECT"$/;" v +LEGACY_RANDOM_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^LEGACY_RANDOM_OPS = [$/;" v +legacy_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^def legacy_snapshot(path,$/;" f +legadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legadd(c1, c2):$/;" f +LEGAL_LOOP_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^LEGAL_LOOP_TYPES = 'Tensor, int, float, bool or a list, tuple or dict thereof'$/;" v +legcompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legcompanion(c):$/;" f +legder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legder(c, m=1, scl=1, axis=0):$/;" f +legdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legdiv(c1, c2):$/;" f +legdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^legdomain = np.array([-1, 1])$/;" v +legend adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def legend(self, *args, **kwargs):$/;" m class:Axes +legend adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def legend(self, *args, **kwargs):$/;" m class:Figure +Legend adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^class Legend(Artist):$/;" c +legend adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def legend(*args, **kwargs):$/;" f +Legendre adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^class Legendre(ABCPolyBase):$/;" c +legendre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def legendre(n, monic=False):$/;" f +legendre_p_via_assoc_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def legendre_p_via_assoc_(nu, x):$/;" f +legendre_p_via_lpmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def legendre_p_via_lpmn(n, x):$/;" f +legendre_q_via_lqmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def legendre_q_via_lqmn(n, x):$/;" f +legend_artist adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def legend_artist(self, legend, orig_handle,$/;" m class:HandlerBase +legend_color_tests adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^legend_color_tests = [$/;" v +legend_color_test_ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^legend_color_test_ids = [$/;" v +legend_elements adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def legend_elements(self, prop="colors", num="auto",$/;" m class:PathCollection +legend_elements adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def legend_elements(self, variable_name='x', str_format=str):$/;" m class:ContourSet +legenp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def legenp(n, m, z):$/;" f member:TestSystematic.test_legenp file: +legenp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def legenp(n, m, z):$/;" f member:TestSystematic.test_legenp_complex_2 file: +legenp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def legenp(n, m, z):$/;" f member:TestSystematic.test_legenp_complex_3 file: +legenq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def legenq(n, m, z):$/;" f member:TestSystematic.test_legenq file: +legenq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def legenq(n, m, z):$/;" f member:TestSystematic.test_legenq_complex file: +legfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legfit(x, y, deg, rcond=None, full=False, w=None):$/;" f +legfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legfromroots(roots):$/;" f +leggauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def leggauss(deg):$/;" f +leggrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def leggrid2d(x, y, c):$/;" f +leggrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def leggrid3d(x, y, z, c):$/;" f +legint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +legline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legline(off, scl):$/;" f +legmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legmul(c1, c2):$/;" f +legmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legmulx(c):$/;" f +legone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^legone = np.array([1])$/;" v +legpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legpow(c, pow, maxpower=16):$/;" f +legroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legroots(c):$/;" f +legsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legsub(c1, c2):$/;" f +legtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^legtrim = pu.trimcoef$/;" v +legval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legval(x, c, tensor=True):$/;" f +legval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legval2d(x, y, c):$/;" f +legval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legval3d(x, y, z, c):$/;" f +legvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legvander(x, deg):$/;" f +legvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legvander2d(x, y, deg):$/;" f +legvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legvander3d(x, y, z, deg):$/;" f +legweight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def legweight(x):$/;" f +legx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^legx = np.array([0, 1])$/;" v +legzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^legzero = np.array([0])$/;" v +len adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def len(self):$/;" m class:Dataset +lenarraypattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^lenarraypattern = re.compile($/;" v +length adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_length as length$/;" x +length adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_length_v2 as length$/;" x +length adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_length_v2 as length$/;" x +length adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def length(self):$/;" m class:CatTransform +length adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ length = _callback_property("_length")$/;" v class:ContentRange +LengthRequired adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class LengthRequired(HTTPException):$/;" c +lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def lengths(size, min_segments=None, max_segments=None, **kwargs):$/;" f +lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^lengths = [[0], [1, 2], [1, 0, 2, 0]]$/;" v +LengthsTester adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^class LengthsTester(TesterBase):$/;" c +lengths_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^lengths_exp = [[1], [1, 2], [1, 1, 2, 1]]$/;" v +lengths_gather_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def lengths_gather_op(items, lengths, indices):$/;" f member:TestUtilityOps.test_lengths_gather file: +lengths_pad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^ def lengths_pad_op(data, lengths):$/;" f member:TestLengthsPadOp.test_lengths_pad file: +lengths_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def lengths_tensor(min_segments=None, max_segments=None, *args, **kwargs):$/;" f +lengths_tile_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^ def lengths_tile_op(data, lengths):$/;" f member:TestLengthsTileOp.test_lengths_tile file: +lengths_top_k adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^ def lengths_top_k(X, lens):$/;" f member:TestLengthsTopKOps.test_lengths_top_k_empty_op file: +lengths_top_k adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^ def lengths_top_k(X, lens):$/;" f member:TestLengthsTopKOps.test_lengths_top_k_op file: +lengths_to_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def lengths_to_ids(lengths):$/;" f member:TestOperators.test_segment_ids_to_lengths file: +lengths_to_offsets adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def lengths_to_offsets(t, offset_type=np.int64, use_begin_offset=True):$/;" f +lengths_to_ranges_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def lengths_to_ranges_op(lengths):$/;" f member:TestUtilityOps.test_lengths_to_ranges file: +lengths_to_segment_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def lengths_to_segment_ids(lengths):$/;" f member:TestOperators.test_segment_ids_to_ranges file: +lengths_to_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^def lengths_to_splits(lengths):$/;" f +lengths_to_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def lengths_to_weights(lengths):$/;" f member:TestOperators.test_lengths_to_weights file: +LENGTH_LINK adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^LENGTH_LINK = 100 # maximum length of a linkname$/;" v +LENGTH_NAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^LENGTH_NAME = 100 # maximum length of a filename$/;" v +LENGTH_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^LENGTH_PREFIX = 155 # maximum length of the prefix field$/;" v +lenkindpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^lenkindpattern = re.compile($/;" v +len_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def len_(s):$/;" f +leslie adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def leslie(f, s):$/;" f +less adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^less = _comparison_op(np.less, lax.lt)$/;" v +less adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def less(x1, x2):$/;" f +less adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^less = _MaskedBinaryOperation(umath.less)$/;" v +LESS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LESS = 58$/;" v class:BuiltinOperator +less adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def less(x, y):$/;" f +less adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def less(x, y, name=None):$/;" f +Less adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Less = tf_export("raw_ops.Less")(_ops.to_raw_op(less))$/;" v +less adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def less(x1, x2):$/;" f +LessEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^LessEqual = tf_export("raw_ops.LessEqual")(_ops.to_raw_op(less_equal))$/;" v +LessEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LessEqualOptions = 46$/;" v class:BuiltinOptions +LessEqualOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LessEqualOptions(object):$/;" c +LessEqualOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LessEqualOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LessEqualOptions +LessEqualOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LessEqualOptionsEnd(builder): return builder.EndObject()$/;" f +LessEqualOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LessEqualOptionsStart(builder): builder.StartObject(0)$/;" f +LessEqualOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LessEqualOptionsT(object):$/;" c +LessOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LessOptions = 41$/;" v class:BuiltinOptions +LessOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LessOptions(object):$/;" c +LessOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LessOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LessOptions +LessOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LessOptionsEnd(builder): return builder.EndObject()$/;" f +LessOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LessOptionsStart(builder): builder.StartObject(0)$/;" f +LessOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LessOptionsT(object):$/;" c +less_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def less_eager_fallback(x, y, name, ctx):$/;" f +less_equal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^less_equal = _comparison_op(np.less_equal, lax.le)$/;" v +less_equal adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def less_equal(x1, x2):$/;" f +less_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^less_equal = _MaskedBinaryOperation(umath.less_equal)$/;" v +LESS_EQUAL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LESS_EQUAL = 63$/;" v class:BuiltinOperator +less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def less_equal(x, y):$/;" f +less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def less_equal(x, y, name=None):$/;" f +less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def less_equal(x1, x2):$/;" f +less_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def less_equal(a, b):$/;" f +less_equal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def less_equal_eager_fallback(x, y, name, ctx):$/;" f +less_than adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^less_than = _LessThan$/;" v +less_than_or_close adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^def less_than_or_close(a, b):$/;" f +less_than_or_close adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def less_than_or_close(a, b):$/;" f +letter adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^letter = " | ".join([baseChar, ideographic])$/;" v +letter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^letter = " | ".join([baseChar, ideographic])$/;" v +level adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def level(self):$/;" m class:_StateStack +level_debug adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def level_debug():$/;" f +level_error adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def level_error():$/;" f +level_info adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def level_info():$/;" f +level_warn adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^level_warn = level_warning # Deprecated function.$/;" v +level_warning adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def level_warning():$/;" f +levene adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def levene(*args, center='median', proportiontocut=0.05):$/;" f +LeveneResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^LeveneResult = namedtuple('LeveneResult', ('statistic', 'pvalue'))$/;" v +levy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^levy = levy_gen(a=0.0, name="levy")$/;" v +levy_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class levy_gen(rv_continuous):$/;" c +levy_l adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^levy_l = levy_l_gen(b=0.0, name="levy_l")$/;" v +levy_l_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class levy_l_gen(rv_continuous):$/;" c +levy_stable adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^levy_stable = levy_stable_gen(name='levy_stable')$/;" v +levy_stable_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class levy_stable_gen(rv_continuous):$/;" c +lexicographic_comparator adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def lexicographic_comparator(*tf_args: TfVal) -> TfVal:$/;" f function:_sort file: +lexicographic_comparator_old adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def lexicographic_comparator_old(*tf_args: TfVal) -> TfVal:$/;" f function:_sort file: +lexsort adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def lexsort(keys, axis=-1):$/;" f +lexsort adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def lexsort(keys, axis=None):$/;" f +le_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^le_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'le')$/;" v +lf0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ lf0 = lambda w: lfilter(b, a, w, zi=zi1)[0]$/;" f member:_TestLinearFilter.test_rank_3_FIR_init_cond file: +lf0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ lf0 = lambda w: lfilter(b, a, w, zi=zi1)[0]$/;" f member:_TestLinearFilter.test_rank_3_IIR_init_cond file: +lf1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ lf1 = lambda w: lfilter(b, a, w, zi=zi1)[1]$/;" f member:_TestLinearFilter.test_rank_3_FIR_init_cond file: +lf1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ lf1 = lambda w: lfilter(b, a, w, zi=zi1)[1]$/;" f member:_TestLinearFilter.test_rank_3_IIR_init_cond file: +lfilter adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def lfilter(b, a, x, axis=-1, zi=None):$/;" f +lfilter_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def lfilter_zi(b, a):$/;" f +lfiltic adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def lfiltic(b, a, y, x=None):$/;" f +LFUCache adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^class LFUCache(Cache):$/;" c +lfu_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def lfu_cache(maxsize=128, typed=False):$/;" f +lgamma adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def lgamma(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +lgamma adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def lgamma(x): return scipy.special.gammaln(x).astype(x.dtype)$/;" f +lgamma adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def lgamma(x: Array) -> Array:$/;" f +lgamma adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^lgamma = _unary_op(math_ops.lgamma)$/;" v +lgamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def lgamma(x, name=None):$/;" f +Lgamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Lgamma = tf_export("raw_ops.Lgamma")(_ops.to_raw_op(lgamma))$/;" v +lgamma_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def lgamma_eager_fallback(x, name, ctx):$/;" f +lgamma_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^lgamma_p = standard_unop(_float, 'lgamma')$/;" v +lgmres adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lgmres.py /^def lgmres(A, b, x0=None, tol=1e-5, maxiter=1000, M=None, callback=None,$/;" f +lhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def lhs(x, beta, m):$/;" f member:crystalball_gen._cdf file: +lhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def lhs(x, beta, m):$/;" f member:crystalball_gen._logpdf file: +lhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def lhs(x, beta, m):$/;" f member:crystalball_gen._pdf file: +lhs_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ lhs_dtype = np.float32$/;" v +lhs_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ lhs_jvp = lambda g, x, y, **kwargs: prim.bind(bcast(g, y), y, **kwargs)$/;" f function:defbilinear_broadcasting file: +lhs_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ lhs_shape = (2, 3)$/;" v +LH_CODEC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LH_CODEC = 0x1100$/;" v class:WAVE_FORMAT +LH_CODEC_CELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LH_CODEC_CELP = 0x1101$/;" v class:WAVE_FORMAT +LH_CODEC_SBC12 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LH_CODEC_SBC12 = 0x1103$/;" v class:WAVE_FORMAT +LH_CODEC_SBC16 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LH_CODEC_SBC16 = 0x1104$/;" v class:WAVE_FORMAT +LH_CODEC_SBC8 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LH_CODEC_SBC8 = 0x1102$/;" v class:WAVE_FORMAT +lib adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/init_shared_libs.py /^ lib = os.environ['OSS_ONNXIFI_LIB']$/;" v +lib adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^lib = ffi.dlopen(np.random._generator.__file__)$/;" v +lib adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^ lib = ffi.dlopen('.\/distributions.dll')$/;" v +lib adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^ lib = ffi.dlopen('.\/libdistributions.so')$/;" v +lib2to3_fixer_packages adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^lib2to3_fixer_packages = ['lib2to3.fixes']$/;" v +libc_ver adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/glibc.py /^def libc_ver():$/;" f +LibDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^LibDef = _reflection.GeneratedProtocolMessageType('LibDef', (_message.Message,), {$/;" v +LibError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class LibError (CCompilerError):$/;" c +libfile adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ libfile, deffile = parse_cmd()$/;" v +LIBIMAGEQUANT adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^LIBIMAGEQUANT = 3$/;" v +libname adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^ libname, src_dir, outfile = argv[1:]$/;" v +libpaths adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def libpaths(paths, bits):$/;" f +library adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^library = None$/;" v +Library adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^class Library(Extension):$/;" c +LibraryInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^class LibraryInfo:$/;" c +library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def library_dir_option(self, dir):$/;" m class:AbsoftFCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def library_dir_option(self, dir):$/;" m class:FCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def library_dir_option(self, dir):$/;" m class:CCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def library_dir_option(self, dir):$/;" m class:MSVCCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def library_dir_option(self, dir):$/;" m class:MSVCCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def library_dir_option(self, dir):$/;" m class:UnixCCompiler +library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def library_dir_option(self, dir):$/;" m class:MSVCCompiler +library_extensions adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def library_extensions(self):$/;" m class:system_info +library_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def library_filename(self, libname, lib_type='static', # or 'shared'$/;" m class:CCompiler +library_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def library_option(self, lib):$/;" m class:AbsoftFCompiler +library_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def library_option(self, lib):$/;" m class:FCompiler +library_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def library_option(self, lib):$/;" m class:CCompiler +library_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def library_option(self, lib):$/;" m class:MSVCCompiler +library_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def library_option(self, lib):$/;" m class:MSVCCompiler +library_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def library_option(self, lib):$/;" m class:UnixCCompiler +library_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def library_option(self, lib):$/;" m class:MSVCCompiler +library_paths adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def library_paths(cuda: bool = False) -> List[str]:$/;" f +library_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ library_switch = '\/out:' #No space after \/out:!$/;" v class:AbsoftFCompiler +library_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ library_switch = '\/OUT:' #No space after \/OUT:!$/;" v class:CompaqVisualFCompiler +library_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ library_switch = '\/OUT:' # No space after \/OUT:!$/;" v class:IntelVisualFCompiler +library_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ library_switch = '\/OUT:' # No space after \/OUT:!$/;" v class:PGroupFlangCompiler +library_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ library_switch = "-o " # Ditto!$/;" v class:FCompiler +libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def libs(self, section="default"):$/;" m class:LibraryInfo +LIBTIFF_CORE adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^LIBTIFF_CORE = {$/;" v +libtype adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^libtype = 'shared'$/;" v +libver adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def libver(self):$/;" m class:File +libver_dict adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^libver_dict = {'earliest': h5f.LIBVER_EARLIEST, 'latest': h5f.LIBVER_LATEST}$/;" v +libver_dict_r adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^libver_dict_r = dict((y, x) for x, y in six.iteritems(libver_dict))$/;" v +lib_path adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^lib_path = join(np.get_include(), '..', '..', 'random', 'lib')$/;" v +license setup.py /^ license = f.read()$/;" v +license_paths adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def license_paths(self):$/;" m class:bdist_wheel +LifoQueue adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^class LifoQueue(queue.Queue):$/;" c +LifoQueue adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^class LifoQueue(queue.Queue):$/;" c +lift adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def lift(self, tracer):$/;" m class:Trace +lift adpepsenv/lib/python3.8/site-packages/jax/core.py /^ lift = sublift = pure$/;" v class:EvalTrace +lift adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def lift(self, val):$/;" m class:CallbackTrace +lift adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def lift(self, val: core.Tracer):$/;" m class:DoublingTrace +lift adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def lift(self, val: core.Tracer) -> TensorFlowTracer:$/;" m class:TensorFlowTrace +lift adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def lift(self, val):$/;" m class:JetTrace +lift adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def lift(self, val):$/;" m class:JVPTrace +lift adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def lift(self, val):$/;" m class:BatchTrace +lift adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def lift(self, val):$/;" m class:MaskTrace +lift adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def lift(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +lift_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^def lift_to_graph(tensors,$/;" f +LIGHTBLACK_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTBLACK_EX = 100$/;" v class:AnsiBack +LIGHTBLACK_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTBLACK_EX = 90$/;" v class:AnsiFore +LIGHTBLUE_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTBLUE_EX = 104$/;" v class:AnsiBack +LIGHTBLUE_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTBLUE_EX = 94$/;" v class:AnsiFore +LIGHTCYAN_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTCYAN_EX = 106$/;" v class:AnsiBack +LIGHTCYAN_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTCYAN_EX = 96$/;" v class:AnsiFore +lighter adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def lighter(image1, image2):$/;" f +LIGHTGREEN_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTGREEN_EX = 102$/;" v class:AnsiBack +LIGHTGREEN_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTGREEN_EX = 92$/;" v class:AnsiFore +LIGHTMAGENTA_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTMAGENTA_EX = 105$/;" v class:AnsiBack +LIGHTMAGENTA_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTMAGENTA_EX = 95$/;" v class:AnsiFore +LIGHTRED_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTRED_EX = 101$/;" v class:AnsiBack +LIGHTRED_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTRED_EX = 91$/;" v class:AnsiFore +LightSource adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class LightSource:$/;" c +LIGHTWAVE_LOSSLESS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LIGHTWAVE_LOSSLESS = 0x08AE$/;" v class:WAVE_FORMAT +LIGHTWHITE_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTWHITE_EX = 107$/;" v class:AnsiBack +LIGHTWHITE_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTWHITE_EX = 97$/;" v class:AnsiFore +LIGHTYELLOW_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTYELLOW_EX = 103$/;" v class:AnsiBack +LIGHTYELLOW_EX adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ LIGHTYELLOW_EX = 93$/;" v class:AnsiFore +light_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def light_rpc():$/;" f +light_year adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^light_year = Julian_year * c$/;" v +like adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def like(self):$/;" m class:LinuxDistribution +like adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def like():$/;" f +LIKELY adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ LIKELY = 2$/;" v class:SequenceLikelihood +LIKELY adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ LIKELY = 2$/;" v class:SequenceLikelihood +like_function adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def like_function():$/;" f +lil_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^class lil_matrix(spmatrix, IndexMixin):$/;" c +lim0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def lim0(x1, x2, x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +lim1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def lim1(x2, x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +lim2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def lim2(x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +lim3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def lim3(t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +Limitation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^class Limitation:$/;" c +limitations_for_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def limitations_for_harness($/;" m class:Jax2TfLimitation +LimitedStream adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^class LimitedStream(io.IOBase):$/;" c +limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ limits = [(0, 1.39), (0, 0.139),$/;" v class:TestAutoMinorLocator +limits adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def limits(self, clip_negative=True):$/;" m class:DType +limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def limits(self, clip_negative=True):$/;" m class:DType +limit_epochs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def limit_epochs(tensor, num_epochs=None, name=None):$/;" f +LIMIT_PYTHON_ITERATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^LIMIT_PYTHON_ITERATIONS = True$/;" v +limit_range_for_scale adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def limit_range_for_scale(self, vmin, vmax):$/;" m class:Axis +limit_range_for_scale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def limit_range_for_scale(self, vmin, vmax, minpos):$/;" m class:LogitScale +limit_range_for_scale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def limit_range_for_scale(self, vmin, vmax, minpos):$/;" m class:LogScale +limit_range_for_scale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def limit_range_for_scale(self, vmin, vmax, minpos):$/;" m class:ScaleBase +limit_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def limit_rational(self, max_denominator):$/;" m class:IFDRational +limit_string_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def limit_string_length(string, max_len=50):$/;" f +lims_minor_major adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ lims_minor_major = [$/;" v class:TestLogitFormatter +linalg adpeps/ipeps/evaluation.py /^import scipy.linalg as linalg$/;" I +linalg adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^import numpy.linalg as linalg$/;" I +linalg adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ import numpy.linalg as linalg$/;" I member:TestAlgebra.test_basic file: +linalg adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ import numpy.linalg as linalg$/;" I member:TestProperties.test_basic file: +linalg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^import numpy.linalg as linalg$/;" I +linalg adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^import scipy.linalg as linalg$/;" I +linalg adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^linalg = None$/;" v +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/conjugate_gradient.py /^from tensorflow.python.ops.linalg import linalg_impl as linalg$/;" x +LinalgCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgCase:$/;" c +LinAlgError adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^class LinAlgError(Exception):$/;" c +LinAlgError2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^from numpy.linalg.linalg import LinAlgError as LinAlgError2$/;" x +LinalgGeneralizedNonsquareTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgGeneralizedNonsquareTestCase(LinalgTestCase):$/;" c +LinalgGeneralizedSquareTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgGeneralizedSquareTestCase(LinalgTestCase):$/;" c +LinalgNonsquareTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgNonsquareTestCase(LinalgTestCase):$/;" c +LinalgSquareTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgSquareTestCase(LinalgTestCase):$/;" c +LinalgTestCase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LinalgTestCase:$/;" c +LinAlgWarning adpepsenv/lib/python3.8/site-packages/scipy/linalg/misc.py /^class LinAlgWarning(RuntimeWarning):$/;" c +line adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def line(self, xy, fill=None, width=0, joint=None):$/;" m class:ImageDraw +line adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def line(self, xy, *options):$/;" m class:Draw +line adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def line(self, xy0, xy1):$/;" m class:PSDraw +line adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def line(loc, strg):$/;" f +line adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def line( loc, strg ):$/;" f +line adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def line(loc, strg):$/;" f +line adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def line( loc, strg ):$/;" f +Line adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Line = _reflection.GeneratedProtocolMessageType('Line', (_message.Message,), {$/;" v +Line adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^class Line(object):$/;" c +Line2D adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^class Line2D(Artist):$/;" c +Line3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Line3D(lines.Line2D):$/;" c +Line3DCollection adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Line3DCollection(LineCollection):$/;" c +LINEAR adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ LINEAR = 1$/;" v class:ResizeMethod +linear adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^def linear(middle, pos):$/;" f +linear adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def linear(c, x):$/;" f member:TestODR.test_ticket_1253 file: +linear adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def linear(x):$/;" f +linear adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def linear(input, weight, bias=None):$/;" f +Linear adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^class Linear(Module):$/;" c +Linear adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^class Linear(nn.Linear):$/;" c +Linear adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^class Linear(nnq.Linear):$/;" c +linear adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def linear($/;" f +Linear adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^class Linear(torch.nn.Module):$/;" c +linear adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def linear(g, input, weight, bias, scale, zero_point):$/;" f +LinearClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearClassifierV2 as LinearClas/;" x +LinearClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearClassifier(estimator.Estimator):$/;" c +LinearClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearClassifierV2 as LinearClas/;" x +LinearClassifierV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearClassifierV2(estimator.EstimatorV2):$/;" c +LinearConstraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^class LinearConstraint(object):$/;" c +LinearCosineDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class LinearCosineDecay(LearningRateSchedule):$/;" c +LinearEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearEstimatorV2 as LinearEstim/;" x +LinearEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearEstimator(estimator.Estimator):$/;" c +LinearEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearEstimatorV2 as LinearEstim/;" x +LinearEstimatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearEstimatorV2(estimator.EstimatorV2):$/;" c +linearize adpepsenv/lib/python3.8/site-packages/jax/api.py /^def linearize(fun: Callable, *primals) -> Tuple[Any, Callable]:$/;" f +linearize adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def linearize(traceable, *primals, **kwargs):$/;" f +linearize_and_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def linearize_and_solve(x, b):$/;" f function:custom_root file: +linearize_page_tree adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def linearize_page_tree(self, node=None):$/;" m class:PdfParser +LinearLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LinearLocator(Locator):$/;" c +LinearMixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class LinearMixing(GenericBroyden):$/;" c +linearmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^linearmixing = _nonlin_wrapper('linearmixing', LinearMixing)$/;" v +LinearModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^class LinearModel(training.Model):$/;" c +LinearModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearModel(tf.keras.Model):$/;" c +LinearModelWithSubmodule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class LinearModelWithSubmodule(nn.Module):$/;" c +LinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class LinearOperator(object):$/;" c +LinearOperator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^class LinearOperator(module.Module):$/;" c +LinearOperatorAdjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^class LinearOperatorAdjoint(linear_operator.LinearOperator):$/;" c +LinearOperatorBlockDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^class LinearOperatorBlockDiag(linear_operator.LinearOperator):$/;" c +LinearOperatorBlockLowerTriangular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^class LinearOperatorBlockLowerTriangular(linear_operator.LinearOperator):$/;" c +LinearOperatorCirculant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^class LinearOperatorCirculant(_BaseLinearOperatorCirculant):$/;" c +LinearOperatorCirculant2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^class LinearOperatorCirculant2D(_BaseLinearOperatorCirculant):$/;" c +LinearOperatorCirculant3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^class LinearOperatorCirculant3D(_BaseLinearOperatorCirculant):$/;" c +LinearOperatorComposition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^class LinearOperatorComposition(linear_operator.LinearOperator):$/;" c +LinearOperatorDerivedClassTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^class LinearOperatorDerivedClassTest(test.TestCase):$/;" c +LinearOperatorDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^class LinearOperatorDiag(linear_operator.LinearOperator):$/;" c +LinearOperatorFullMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^class LinearOperatorFullMatrix(linear_operator.LinearOperator):$/;" c +LinearOperatorHouseholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^class LinearOperatorHouseholder(linear_operator.LinearOperator):$/;" c +LinearOperatorIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^class LinearOperatorIdentity(BaseLinearOperatorIdentity):$/;" c +LinearOperatorInversion adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^class LinearOperatorInversion(linear_operator.LinearOperator):$/;" c +LinearOperatorKronecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^class LinearOperatorKronecker(linear_operator.LinearOperator):$/;" c +LinearOperatorLowerTriangular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^class LinearOperatorLowerTriangular(linear_operator.LinearOperator):$/;" c +LinearOperatorLowRankUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^class LinearOperatorLowRankUpdate(linear_operator.LinearOperator):$/;" c +LinearOperatorPermutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^class LinearOperatorPermutation(linear_operator.LinearOperator):$/;" c +LinearOperatorScaledIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^class LinearOperatorScaledIdentity(BaseLinearOperatorIdentity):$/;" c +LinearOperatorToeplitz adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^class LinearOperatorToeplitz(linear_operator.LinearOperator):$/;" c +LinearOperatorTridiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^class LinearOperatorTridiag(linear_operator.LinearOperator):$/;" c +LinearOperatorZeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^class LinearOperatorZeros(linear_operator.LinearOperator):$/;" c +LinearPackedParams adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^class LinearPackedParams(torch.nn.Module):$/;" c +LinearRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearRegressorV2 as LinearRegre/;" x +LinearRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearRegressor(estimator.Estimator):$/;" c +LinearRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.linear import LinearRegressorV2 as LinearRegre/;" x +LinearRegressorV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearRegressorV2(estimator.EstimatorV2):$/;" c +LinearReLU adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^class LinearReLU(torch.nn.Sequential):$/;" c +LinearReLU adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^class LinearReLU(nnqat.Linear):$/;" c +LinearReLU adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^class LinearReLU(nnq.Linear):$/;" c +LinearReLU adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class LinearReLU(QuantizeHandler):$/;" c +LinearReluModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class LinearReluModel(torch.nn.Module):$/;" c +LinearScale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LinearScale(ScaleBase):$/;" c +LinearSDCA adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class LinearSDCA(object):$/;" c +LinearSegmentedColormap adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class LinearSegmentedColormap(Colormap):$/;" c +LinearTimeInvariant adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class LinearTimeInvariant(object):$/;" c +LinearTriInterpolator adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class LinearTriInterpolator(TriInterpolator):$/;" c +LinearVectorFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^class LinearVectorFunction(object):$/;" c +linear_cosine_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def linear_cosine_decay(learning_rate,$/;" f +linear_cosine_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^linear_cosine_decay = learning_rate_decay.linear_cosine_decay$/;" v +linear_gradient adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def linear_gradient(mode):$/;" f +linear_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def linear_jvp(primitive, primals, tangents, **params):$/;" f +linear_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def linear_logit_fn(features):$/;" f function:linear_logit_fn_builder file: +linear_logit_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def linear_logit_fn(features):$/;" f function:linear_logit_fn_builder_v2 file: +linear_logit_fn_builder adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def linear_logit_fn_builder(units, feature_columns, sparse_combiner='sum'):$/;" f +linear_logit_fn_builder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def linear_logit_fn_builder_v2(units, feature_columns, sparse_combiner='sum'):$/;" f +linear_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def linear_model(features,$/;" f +linear_prepack adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def linear_prepack(g, weight, bias):$/;" f +linear_prop adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def linear_prop(prim, primals_in, series_in, **params):$/;" f +linear_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def linear_solve(a, b, solve, transpose_solve=None, symmetric=False):$/;" f function:_make_linear_solve_harnesses file: +linear_solve_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^linear_solve_p = core.Primitive('custom_linear_solve')$/;" v +linear_spine adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def linear_spine(cls, axes, spine_type, **kwargs):$/;" m class:Spine +linear_sum_assignment adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsap.py /^def linear_sum_assignment(cost_matrix, maximize=False):$/;" f +linear_to_mel_weight_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^def linear_to_mel_weight_matrix(num_mel_bins=20,$/;" f +linear_to_ssa adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def linear_to_ssa(path):$/;" f +linear_transpose adpepsenv/lib/python3.8/site-packages/jax/api.py /^def linear_transpose(fun: Callable, *primals) -> Callable:$/;" f +linear_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def linear_transpose(transpose_rule, cotangent, *args, **kwargs):$/;" f +linear_transpose2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def linear_transpose2(transpose_rule, cotangent, *args, **kwargs):$/;" f +LineCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class LineCollection(Collection):$/;" c +LineEnd adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class LineEnd(_PositionToken):$/;" c +lineEnd adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^lineEnd = LineEnd().setName("lineEnd")$/;" v +LineEnd adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class LineEnd(_PositionToken):$/;" c +lineEnd adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^lineEnd = LineEnd().setName("lineEnd")$/;" v +LineEnd adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class LineEnd(_PositionToken):$/;" c +lineEnd adpepsenv/lib/python3.8/site-packages/pyparsing.py /^lineEnd = LineEnd().setName("lineEnd")$/;" v +LineEnd adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class LineEnd(_PositionToken):$/;" c +lineEnd adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^lineEnd = LineEnd().setName("lineEnd")$/;" v +LineLocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^class LineLocation($/;" c +lineMarkers adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^lineMarkers = MarkerStyle.markers$/;" v +lineno adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def lineno(loc, strg):$/;" f +lineno adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def lineno(loc,strg):$/;" f +lineno adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def lineno(loc, strg):$/;" f +lineno adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def lineno(loc,strg):$/;" f +LineOverflow adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^class LineOverflow(Warning):$/;" c +LineParser adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ LineParser = Callable[[Text], Tuple[str, Values]]$/;" v +lines adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ lines = []$/;" v +lines adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ lines = s.split("\\n")$/;" v +lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def lines(self):$/;" m class:RichTextLines +LineSearchWarning adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^class LineSearchWarning(RuntimeWarning):$/;" c +LineSpinner adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^class LineSpinner(Spinner):$/;" c +LineSplitter adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class LineSplitter:$/;" c +LineStart adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class LineStart(_PositionToken):$/;" c +lineStart adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^lineStart = LineStart().setName("lineStart")$/;" v +LineStart adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class LineStart(_PositionToken):$/;" c +lineStart adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^lineStart = LineStart().setName("lineStart")$/;" v +LineStart adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class LineStart(_PositionToken):$/;" c +lineStart adpepsenv/lib/python3.8/site-packages/pyparsing.py /^lineStart = LineStart().setName("lineStart")$/;" v +LineStart adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class LineStart(_PositionToken):$/;" c +lineStart adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^lineStart = LineStart().setName("lineStart")$/;" v +LINESTYLES adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^LINESTYLES = {'-': 'Solid',$/;" v +lineStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ lineStyles = _lineStyles = { # hidden names deprecated$/;" v class:Line2D +lineStyles adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^lineStyles = Line2D._lineStyles$/;" v +lines_tmp adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ lines_tmp = f.readlines()$/;" v +LINETO adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ LINETO = code_type(2) # 1 vertex$/;" v class:Path +linewidth adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ linewidth = 80$/;" v class:__PrinterOptions +linewidth_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def linewidth_cmd(self, width):$/;" m class:GraphicsContextPdf +line_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def line_2d_to_3d(line, zs=0, zdir='z'):$/;" f +LINE_BREAK adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ LINE_BREAK = 254$/;" v class:CharacterCategory +LINE_BREAK adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ LINE_BREAK = 254$/;" v class:CharacterCategory +LINE_BREAK_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^LINE_BREAK_RE = r' \\n'$/;" v +line_circle_intersect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def line_circle_intersect(x0, y0, x1, y1):$/;" f member:Arc.draw file: +line_collection_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def line_collection_2d_to_3d(col, zs=0, zdir='z'):$/;" f +line_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def line_iter(self):$/;" m class:TestLineSearch +line_loc adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def line_loc(self):$/;" m class:Location +LINE_NUMBER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ LINE_NUMBER = -1$/;" v class:TextFileIndex +line_offset adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def line_offset(self):$/;" m class:HTMLExtractor +line_props_with_rcdefaults adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def line_props_with_rcdefaults(subkey, explicit, zdelta=0,$/;" f member:Axes.bxp file: +line_search adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^def line_search(f, xk, pk, old_fval=None, old_old_fval=None, gfk=None, c1=1e-4,$/;" f +line_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^line_search = line_search_wolfe1$/;" v +line_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ line_search_wolfe2 as line_search,$/;" x +line_search_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def line_search_armijo(f, xk, pk, gfk, old_fval, args=(), c1=1e-4, alpha0=1):$/;" f +line_search_BFGS adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def line_search_BFGS(f, xk, pk, gfk, old_fval, args=(), c1=1e-4, alpha0=1):$/;" f +line_search_wolfe1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def line_search_wolfe1(f, fprime, xk, pk, gfk=None,$/;" f +line_search_wolfe2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def line_search_wolfe2(f, myfprime, xk, pk, gfk=None, old_fval=None,$/;" f +linfunc adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^ linfunc = lambda x:x$/;" f function:test_function_is_callable file: +link adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def link(self, target_desc, objects,$/;" m class:FCompiler +link adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ def link(self,$/;" m class:Mingw32CCompiler +Link adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^class Link(KeyBasedCompareMixin):$/;" c +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def link (self,$/;" m class:BCPPCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def link(self,$/;" m class:CCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ def link(self, target_desc, objects, output_filename, output_dir=None,$/;" m class:CygwinCCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def link(self,$/;" m class:MSVCCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def link(self,$/;" m class:MSVCCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def link(self, target_desc, objects,$/;" m class:UnixCCompiler +link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def link(self,$/;" m class:MSVCCompiler +Link adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^class Link:$/;" c +linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def linkage(y, method='single', metric='euclidean', optimal_ordering=False):$/;" f +linkage_X_centroid adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_X_centroid = array([[3., 4., 0.36265956, 2.],$/;" v +linkage_X_median adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_X_median = array([[3., 4., 0.36265956, 2.],$/;" v +linkage_X_ward adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_X_ward = array([[3., 4., 0.36265956, 2.],$/;" v +linkage_X_ward_olo adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_X_ward_olo = array([[4., 3., 0.36265956, 2.],$/;" v +linkage_ytdist_average adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_ytdist_average = array([[2., 5., 138., 2.],$/;" v +linkage_ytdist_complete adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_ytdist_complete = array([[2., 5., 138., 2.],$/;" v +linkage_ytdist_single adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_ytdist_single = array([[2., 5., 138., 2.],$/;" v +linkage_ytdist_single_olo adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_ytdist_single_olo = array([[5., 2., 138., 2.],$/;" v +linkage_ytdist_weighted adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^linkage_ytdist_weighted = array([[2., 5., 138., 2.],$/;" v +LinkCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class LinkCandidate(_InstallRequirementBackedCandidate):$/;" c +LinkCollector adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class LinkCollector(object):$/;" c +LinkConfig adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ LinkConfig = namedtuple('LinkConfig', ['blob', 'offset', 'window'])$/;" v class:BeamSearchForwardOnly +linkedin_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/linkedin.py /^def linkedin_compliance_fix(session):$/;" f +Linker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^class Linker(object):$/;" c +LinkError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class LinkError (CCompilerError):$/;" c +linker_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ linker_exe = _command_property('linker_exe')$/;" v class:FCompiler +linker_so adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ linker_so = _command_property('linker_so')$/;" v class:FCompiler +LinkEvaluator adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^class LinkEvaluator(object):$/;" c +linkify adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def linkify(self, text):$/;" m class:Linker +linkify adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/__init__.py /^def linkify(text, callbacks=DEFAULT_CALLBACKS, skip_tags=None, parse_email=False):$/;" f +LinkifyFilter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^class LinkifyFilter(Filter):$/;" c +linkify_callbacks adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^from tensorboard._vendor.bleach import callbacks as linkify_callbacks$/;" x +LinkInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class LinkInlineProcessor(InlineProcessor):$/;" c +linkpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ linkpath = property(_getlinkpath, _setlinkpath)$/;" v class:TarInfo +links adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def links(self):$/;" m class:Page +links adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def links(self):$/;" m class:Response +links adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def links(self):$/;" m class:Response +links_to_dynamic adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def links_to_dynamic(self, ext):$/;" m class:build_ext +linkText adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def linkText(text):$/;" f member:InlineProcessor.__processPlaceholders file: +link_executable adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def link_executable(self,$/;" m class:CCompiler +LINK_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^LINK_RE = NOIMG + r'\\['$/;" v +link_shared_lib adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def link_shared_lib(self,$/;" m class:CCompiler +link_shared_object adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def link_shared_object($/;" f +link_shared_object adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def link_shared_object(self,$/;" m class:CCompiler +linpkg adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^import numpy.linalg as linpkg$/;" I +linprog adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^def linprog(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +LinprogCommonTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class LinprogCommonTests(object):$/;" c +LinprogHiGHSTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class LinprogHiGHSTests(LinprogCommonTests):$/;" c +LinprogIPTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class LinprogIPTests(LinprogCommonTests):$/;" c +LinprogRSTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class LinprogRSTests(LinprogCommonTests):$/;" c +LinprogSimplexTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class LinprogSimplexTests(LinprogCommonTests):$/;" c +LINPROG_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^LINPROG_METHODS = ['simplex', 'revised simplex', 'interior-point', 'highs', 'highs-ds', 'highs-i/;" v +linprog_terse_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^def linprog_terse_callback(res):$/;" f +linprog_verbose_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^def linprog_verbose_callback(res):$/;" f +linregress adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def linregress(x, y=None):$/;" f +linregress adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^def linregress(x, y=None):$/;" f +LinregressResult adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^LinregressResult = _make_tuple_bunch('LinregressResult',$/;" v +linscale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ linscale = property(lambda self: self._transform.linscale)$/;" v class:SymmetricalLogScale +linspace adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def linspace(start, stop, num=50, endpoint=True, retstep=False, dtype=None,$/;" f +linspace adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def linspace(start, stop, num=50, endpoint=True, retstep=False, dtype=None,$/;" f +linspace adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def linspace(self, n=100, domain=None):$/;" m class:ABCPolyBase +LinSpace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^LinSpace = tf_export("raw_ops.LinSpace")(_ops.to_raw_op(lin_space))$/;" v +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^linspace = linspace_nd$/;" v +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def linspace( # pylint: disable=missing-docstring$/;" f +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as linspace$/;" x +linspace_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def linspace_nd(start, stop, num, name=None, axis=0):$/;" f +lint adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def lint(self, root : Optional[torch.nn.Module] = None):$/;" m class:Graph +LintCode adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^class LintCode(Enum):$/;" c +linthresh adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ linthresh = property(lambda self: self._transform.linthresh)$/;" v class:SymmetricalLogScale +LintMiddleware adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class LintMiddleware(object):$/;" c +LinuxCPUInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class LinuxCPUInfo(CPUInfoBase):$/;" c +LinuxDistribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^class LinuxDistribution(object):$/;" c +linux_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def linux_distribution(self, full_distribution_name=True):$/;" m class:LinuxDistribution +linux_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def linux_distribution(full_distribution_name=True):$/;" f +linux_py2_ascii adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^linux_py2_ascii = ($/;" v +lin_ex adpeps/ipeps/make_momentum_path.py /^def lin_ex(s, e, n):$/;" f +lin_space adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def lin_space(start, stop, num, name=None):$/;" f +lin_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as lin_space$/;" x +lin_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as lin_space$/;" x +lin_space adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import linspace_nd as lin_space$/;" x +lin_space_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def lin_space_eager_fallback(start, stop, num, name, ctx):$/;" f +List adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class List(Field):$/;" c +list adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def list():$/;" m class:tzwinbase +list adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def list(self):$/;" m class:MovieWriterRegistry +List adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class List(Box):$/;" c +list adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def list(self, verbose=True):$/;" m class:TarFile +list adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def list():$/;" f +list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^ def list(self):$/;" m class:Registry +List adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class List(TrackableDataStructure, collections_abc.Sequence):$/;" c +list adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def list(github, force_reload=False):$/;" f +ListAssets adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^def ListAssets(logdir, plugin_name):$/;" f +ListAvailableOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def ListAvailableOps(self):$/;" m class:Cluster +ListCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^class ListCommand(IndexGroupCommand):$/;" c +ListCompTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/list_comprehensions.py /^class ListCompTransformer(converter.Base):$/;" c +ListDevices adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def ListDevices(self):$/;" m class:Cluster +listdiff adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def listdiff(x, y, out_idx=None, name=None):$/;" f +ListDiff adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ListDiff = tf_export("raw_ops.ListDiff")(_ops.to_raw_op(list_diff))$/;" v +listdir adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def listdir(self):$/;" m class:Repository +listdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def listdir(self, dirname):$/;" m class:LocalFileSystem +listdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def listdir(self, dirname):$/;" m class:S3FileSystem +listdir adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def listdir(dirname):$/;" f +listdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import list_directory_v2 as listdir$/;" x +listdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import list_directory_v2 as listdir$/;" x +listdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import list_directory_v2 as listdir$/;" x +ListDirectory adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import list_directory as ListDirectory$/;" x +ListDirectory adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import list_directory as ListDirectory$/;" x +ListDirectoryAbsolute adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def ListDirectoryAbsolute(directory):$/;" f +ListedColormap adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class ListedColormap(Colormap):$/;" c +listElementsMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^listElementsMap = {$/;" v +listElementsMap adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^listElementsMap = {$/;" v +LISTEN_QUEUE adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^LISTEN_QUEUE = 128$/;" v +ListFields adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def ListFields(self):$/;" f function:_AddListFieldsMethod file: +ListFields adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def ListFields(self):$/;" m class:Message +ListIndentProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class ListIndentProcessor(BlockProcessor):$/;" c +ListInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ListInput = tf_export("raw_ops.ListInput")(_ops.to_raw_op(list_input))$/;" v +listmethod adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def listmethod(self, *a, **kw):$/;" f function:native_itermethods.setitermethod file: +ListMetricEvalsRequest adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ListMetricEvalsRequest = _reflection.GeneratedProtocolMessageType('ListMetricEvalsRequest', (_me/;" v +ListOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ListOutput = tf_export("raw_ops.ListOutput")(_ops.to_raw_op(list_output))$/;" v +ListParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class ListParser(BaseListParser):$/;" c +ListParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^ListParser = _argument_parser.ListParser$/;" v +ListPlugins adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^def ListPlugins(logdir):$/;" f +ListPopOpts adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^class ListPopOpts($/;" c +ListRecursivelyViaGlobbing adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def ListRecursivelyViaGlobbing(top):$/;" f +ListRecursivelyViaWalking adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def ListRecursivelyViaWalking(top):$/;" f +listrepl adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^ def listrepl(mobj):$/;" f function:expand_sub file: +LISTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ LISTS = 'LISTS'$/;" v class:Feature +lists adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def lists(self):$/;" m class:CombinedMultiDict +lists adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def lists(self):$/;" m class:MultiDict +lists adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def lists(self):$/;" m class:OrderedMultiDict +ListSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class ListSerializer(ArgumentSerializer):$/;" c +ListSerializer adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^ListSerializer = _argument_parser.ListSerializer$/;" v +ListSessionGroupsRequest adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ListSessionGroupsRequest = _reflection.GeneratedProtocolMessageType('ListSessionGroupsRequest', /;" v +ListSessionGroupsResponse adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ListSessionGroupsResponse = _reflection.GeneratedProtocolMessageType('ListSessionGroupsResponse'/;" v +ListsOfScalarsDataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class ListsOfScalarsDataAdapter(DataAdapter):$/;" c +ListStackOpts adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^class ListStackOpts($/;" c +listToRegexpStr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^def listToRegexpStr(charList):$/;" f +listToRegexpStr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^def listToRegexpStr(charList):$/;" f +ListTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^class ListTransformer(converter.Base):$/;" c +ListValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class ListValue(object):$/;" c +ListValue adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^ListValue = _reflection.GeneratedProtocolMessageType('ListValue', (_message.Message,), {$/;" v +ListValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^ListValue = _reflection.GeneratedProtocolMessageType('ListValue', (_message.Message,), {$/;" v +ListValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^ListValue = _reflection.GeneratedProtocolMessageType('ListValue', (_message.Message,), {$/;" v +listvalues adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def listvalues(self):$/;" m class:CombinedMultiDict +listvalues adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def listvalues(self):$/;" m class:MultiDict +listvalues adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def listvalues(self):$/;" m class:OrderedMultiDict +ListWithEvicted adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class ListWithEvicted(List):$/;" c +ListWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^class ListWrapper(object):$/;" c +ListWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class ListWrapper($/;" c +list_all_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def list_all_layers(obj):$/;" f +list_all_layers_and_sublayers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def list_all_layers_and_sublayers(obj):$/;" f +list_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def list_append(list_, x):$/;" f +list_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def list_blob_sequences($/;" m class:MultiplexerDataProvider +list_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def list_blob_sequences($/;" m class:DataProvider +list_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def list_blob_sequences($/;" m class:LocalDebuggerV2DataProvider +list_cache_items adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def list_cache_items(self, options, args):$/;" m class:CacheCommand +list_config_values adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def list_config_values(self, options, args):$/;" m class:ConfigurationCommand +list_create adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def list_create() -> List[int]:$/;" f +list_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def list_dependencies(self, obj):$/;" m class:_AugmentedGraphView +list_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def list_dependencies(self, obj):$/;" m class:ObjectGraphView +list_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def list_devices(self):$/;" m class:BaseSession +list_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def list_devices(self, *args, **kwargs):$/;" m class:BaseDebugWrapperSession +list_diff adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def list_diff(x, y, out_idx=_dtypes.int32, name=None):$/;" f +list_diff_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def list_diff_eager_fallback(x, y, out_idx, name, ctx):$/;" f +list_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def list_directory(dirname):$/;" f +list_directory_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def list_directory_v2(path):$/;" f +list_distinfo_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def list_distinfo_files(self):$/;" m class:InstalledDistribution +list_distinfo_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def list_distinfo_files(self, absolute=False):$/;" m class:EggInfoDistribution +list_domains adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def list_domains(self):$/;" m class:RequestsCookieJar +list_domains adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def list_domains(self):$/;" m class:RequestsCookieJar +list_experiments adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^def list_experiments(api_client, fieldmask=None, read_time=None):$/;" f +list_experiments_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def list_experiments_impl(self):$/;" m class:CorePlugin +list_extra_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def list_extra_dependencies(self, obj):$/;" m class:_AugmentedGraphView +list_extra_dependencies_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def list_extra_dependencies_for_serialization(self, serialization_cache):$/;" m class:SavedModelSaver +list_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def list_files(file_pattern, shuffle=None, seed=None):$/;" m class:DatasetV1 +list_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def list_files(file_pattern, shuffle=None, seed=None):$/;" m class:DatasetV2 +list_fonts adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def list_fonts(directory, extensions):$/;" f +list_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def list_functions(self, obj, extra_functions=None):$/;" m class:_AugmentedGraphView +list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def list_functions_for_serialization(self, serialization_cache):$/;" m class:SavedModelSaver +list_gpu_processes adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def list_gpu_processes(device: Union[Device, int] = None) -> str:$/;" f +list_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def list_input(a, name=None):$/;" f +list_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def list_inputs(self, args, screen_info=None):$/;" m class:DebugAnalyzer +list_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def list_input_eager_fallback(a, name, ctx):$/;" f +list_installed_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def list_installed_files(self):$/;" m class:EggInfoDistribution +list_installed_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def list_installed_files(self):$/;" m class:InstalledDistribution +list_local_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/device_lib.py /^def list_local_devices(session_config=None):$/;" f +list_logical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def list_logical_devices(self, device_type=None):$/;" m class:Context +list_logical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def list_logical_devices(device_type=None):$/;" f +list_metric_evals_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def list_metric_evals_route(self, request):$/;" m class:HParamsPlugin +list_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def list_objects(self):$/;" m class:ObjectGraphView +list_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def list_objects(root_trackable):$/;" f +list_of_epochs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def list_of_epochs(self):$/;" m class:AnyExpTrainer +list_of_epoch_iters adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def list_of_epoch_iters(self):$/;" m class:AnyExpTrainer +list_of_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def list_of_features(values):$/;" f member:ConversionOptions.to_ast file: +list_of_problems adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ list_of_problems = [$/;" v class:TestNewToOldCobyla +list_of_problems adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ list_of_problems = [Maratos(),$/;" v class:TestNewToOldSLSQP +list_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def list_output(T, name=None):$/;" f +list_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def list_outputs(self, args, screen_info=None):$/;" m class:DebugAnalyzer +list_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def list_output_eager_fallback(T, name, ctx):$/;" f +list_path adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^list_path = partial($/;" v +list_paths adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def list_paths(self):$/;" m class:RequestsCookieJar +list_paths adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def list_paths(self):$/;" m class:RequestsCookieJar +list_physical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def list_physical_devices(self, device_type=None):$/;" m class:Context +list_physical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def list_physical_devices(device_type=None):$/;" f +list_pictures adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def list_pictures(directory, ext=('jpg', 'jpeg', 'bmp', 'png', 'ppm', 'tif',$/;" f +list_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def list_plugins(self, ctx=None, *, experiment_id):$/;" m class:MultiplexerDataProvider +list_plugins adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def list_plugins(self, ctx=None, *, experiment_id):$/;" m class:DataProvider +list_pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def list_pop(list_, i, opts):$/;" f +list_profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def list_profile(self, args, screen_info=None):$/;" m class:ProfileAnalyzer +list_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^list_re = re.compile(r"<\\s*((.*?))\\s*>")$/;" v +list_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ list_repr = _sequence_repr_maker("[", "]", list)$/;" v class:DebugReprGenerator +list_runs adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def list_runs(self, ctx=None, *, experiment_id):$/;" m class:MultiplexerDataProvider +list_runs adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def list_runs(self, ctx=None, *, experiment_id):$/;" m class:DataProvider +list_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def list_runs(self, ctx=None, *, experiment_id):$/;" m class:LocalDebuggerV2DataProvider +list_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def list_scalars($/;" m class:MultiplexerDataProvider +list_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def list_scalars($/;" m class:DataProvider +list_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def list_scalars($/;" m class:LocalDebuggerV2DataProvider +list_session_groups_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def list_session_groups_route(self, request):$/;" m class:HParamsPlugin +list_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def list_source(self, args, screen_info=None):$/;" m class:DebugAnalyzer +list_source_files_against_dump adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def list_source_files_against_dump(dump,$/;" f +list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def list_stack(list_, opts):$/;" f +list_storage_class adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ list_storage_class = ImmutableList$/;" v class:BaseRequest +list_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def list_tensors($/;" m class:MultiplexerDataProvider +list_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def list_tensors($/;" m class:DataProvider +list_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def list_tensors(self, args, screen_info=None):$/;" m class:DebugAnalyzer +list_to_scope adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def list_to_scope(scope):$/;" f +list_to_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^def list_to_tuple(maybe_list):$/;" f +list_to_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def list_to_tuple(structure):$/;" f +LIST_TYPES adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ LIST_TYPES = ['ul', 'ol']$/;" v class:ListIndentProcessor +LIST_TYPES adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ LIST_TYPES = ['dl', 'ol', 'ul']$/;" v class:DefListIndentProcessor +list_values adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def list_values(self, options, args):$/;" m class:ConfigurationCommand +list_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def list_variables(self):$/;" m class:MatFile4Reader +list_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def list_variables(self):$/;" m class:MatFile5Reader +list_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def list_variables(ckpt_dir_or_file):$/;" f +lit adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def lit(var: core.Var) -> Optional[Any]:$/;" f function:_inline_literals file: +Literal adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Literal:$/;" c +Literal adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Literal(Token):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Literal(Token):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Literal(Token):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Literal(Token):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^class Literal(collections.namedtuple('Literal', ['value'])):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Literal(typing.Final, metaclass=_LiteralMeta, _root=True):$/;" c +Literal adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Literal = typing.Literal$/;" v +Literal adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Literal = _Literal(_root=True)$/;" v +Literal adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Literal = _LiteralForm('Literal',$/;" v +literalable_types adpepsenv/lib/python3.8/site-packages/jax/core.py /^literalable_types: Set[type] = set()$/;" v +LiteralProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^LiteralProto = _reflection.GeneratedProtocolMessageType('LiteralProto', (_message.Message,), {$/;" v +literals adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def literals(self):$/;" m class:ClosedJaxpr +literal_eval adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def literal_eval(node_or_string):$/;" f +LiteScriptModule adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^class LiteScriptModule(object):$/;" c +litre adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^litre = liter = 1e-3$/;" v +LITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^LITTLE = array([0.99999991,0.99999992,0.99999993,0.99999994,0.99999995,0.99999996,$/;" v +LittleEndStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class LittleEndStruct(ctypes.LittleEndianStructure):$/;" c member:TestFromCTypes.test_little_endian_structure_packed file: +little_endian adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^little_endian = (sys.byteorder == 'little')$/;" v +LITTLE_ENDIAN adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^from numpy import little_endian as LITTLE_ENDIAN$/;" x +LIVENESS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ LIVENESS = 3$/;" v class:AnalysisLevel +LiveRange adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^LiveRange = collections.namedtuple('LiveRange', ["defined", "used", "size"])$/;" v +LIVE_VARS_IN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ LIVE_VARS_IN = ('Symbols live when entering the node. See liveness.py.')$/;" v class:Static +LIVE_VARS_OUT adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ LIVE_VARS_OUT = ('Symbols live when exiting the node. See liveness.py.')$/;" v class:Static +ljust adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def ljust(self, width, fillchar=' '):$/;" m class:chararray +ljust adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def ljust(a, width, fillchar=' '):$/;" f +ll adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def ll(loc, scale, data):$/;" f member:TestLaplace.test_fit_MLE_comp_optimzer file: +Llist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^Llist = [L0, L1, L2, L3, L4, L5, L6]$/;" v +Llist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^Llist = [L0, L1, L2, L3, L4, L5, L6, L7, L8, L9]$/;" v +LLVMCodeGenCreated adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class LLVMCodeGenCreated(ExecutionCounter):$/;" c +LLVMCodeGenExecuted adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class LLVMCodeGenExecuted(ExecutionCounter):$/;" c +LMap adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^class LMap:$/;" c +LMapCache adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^class LMapCache:$/;" c +lmbd adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ lmbd = [0.17, 0.23, 0.29] # fictitious decay constants$/;" v class:CoupledDecay +lmbda adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def lmbda(v, x):$/;" f +LMDBDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^LMDBDataset = tf_export("raw_ops.LMDBDataset")(_ops.to_raw_op(lmdb_dataset))$/;" v +LMDBReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^LMDBReader = tf_export("raw_ops.LMDBReader")(_ops.to_raw_op(lmdb_reader))$/;" v +LMDBReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class LMDBReader(ReaderBase):$/;" c +lmdb_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def lmdb_dataset(filenames, output_types, output_shapes, name=None):$/;" f +lmdb_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def lmdb_dataset_eager_fallback(filenames, output_types, output_shapes, name, ctx):$/;" f +lmdb_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def lmdb_reader(container="", shared_name="", name=None):$/;" f +lmdb_reader_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def lmdb_reader_eager_fallback(container, shared_name, name, ctx):$/;" f +LNKTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^LNKTYPE = b"1" # link (inside tarfile)$/;" v +LnStructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class LnStructured(BasePruningMethod):$/;" c +ln_structured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def ln_structured(module, name, amount, n, dim):$/;" f +load adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def load(self, epoch, path_prefix=None, path_type=None):$/;" m class:CheckpointManager +load adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def load(self, epoch, path_prefix=None, path_type=None):$/;" m class:MultiNodeCheckpointManager +load adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^load = np.load$/;" v +load adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def load(file, mmap_mode=None, allow_pickle=False, fix_imports=True,$/;" f +load adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def load(self, scale=1):$/;" m class:EpsImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ def load(self):$/;" m class:FpxImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^ def load(self):$/;" m class:GbrImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def load(self):$/;" m class:IcnsImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def load(self):$/;" m class:IcoImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def load(self):$/;" m class:Image +load adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def load(self, data):$/;" m class:Exif +load adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def load(self):$/;" m class:ImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def load(self):$/;" m class:StubImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^def load(filename):$/;" f +load adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def load(filename):$/;" f +load adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ def load(self):$/;" m class:IptcImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ def load(self):$/;" m class:Jpeg2KImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load(self):$/;" m class:TiffImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load(self, fp):$/;" m class:ImageFileDirectory_v2 +load adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def load(self):$/;" m class:WebPImageFile +load adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ def load(self, im):$/;" m class:WmfHandler +load adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ def load(self, dpi=None):$/;" m class:WmfStubImageFile +load adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def load(self):$/;" m class:Configuration +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^load = unpack$/;" v +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^def load(root):$/;" f +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def load(self, path):$/;" m class:MemoizedZipManifests +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def load(self, require=True, *args, **kwargs):$/;" m class:EntryPoint +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ load = build$/;" v class:ZipManifests +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def load(f, _dict=dict, decoder=None):$/;" f +load adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^load = decoder.load$/;" v +load adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def load(self, path):$/;" m class:MemoizedZipManifests +load adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def load(self, require=True, *args, **kwargs):$/;" m class:EntryPoint +load adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ load = build$/;" v class:ZipManifests +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^ def Load(self):$/;" m class:DirectoryLoader +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def Load(self):$/;" m class:DirectoryWatcher +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def Load(self):$/;" m class:EventFileLoader +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def Load(self):$/;" m class:LegacyEventFileLoader +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def Load(self):$/;" m class:RawEventFileLoader +Load adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def Load(self):$/;" m class:TimestampedEventFileLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def load(self, context):$/;" m class:BasicLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def load(self, context):$/;" m class:TBLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def load(self, context):$/;" m class:CorePluginLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^ def load(self, context):$/;" m class:ProfileRedirectPluginLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin_loader.py /^ def load(self, context):$/;" m class:ProfilePluginLoader +load adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin_loader.py /^ def load(self, context):$/;" m class:WhatIfToolPluginLoader +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^def load(path, element_spec, compression=None, reader_func=None):$/;" f +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def load(self, value, session=None):$/;" m class:AutoCastVariable +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def load(path, compile=True, options=None): # pylint: disable=redefined-builtin$/;" f +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def load(self, value, session=None):$/;" m class:Variable +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^def load(export_dir, tags=None, options=None):$/;" f +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def load(self, sess, tags, import_scope=None, **saver_kwargs):$/;" m class:SavedModelLoader +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def load(sess, tags, export_dir, import_scope=None, **saver_kwargs):$/;" f +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def load(self, tags):$/;" m class:_EagerSavedModelLoader +load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^def load(export_dir, tags):$/;" f +load adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def load(repo_or_dir, model, *args, **kwargs):$/;" f +load adpepsenv/lib/python3.8/site-packages/torch/jit/_serialization.py /^def load(f, map_location=None, _extra_files=None):$/;" f +load adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def load(module, prefix=''):$/;" f member:Module.load_state_dict file: +load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def load(f, map_location=None, pickle_module=pickle, **pickle_load_args):$/;" f +load adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def load(name,$/;" f +load adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def load(stream, Loader=None):$/;" f +LoadAndRemapMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^LoadAndRemapMatrix = tf_export("raw_ops.LoadAndRemapMatrix")(_ops.to_raw_op(load_and_remap_matri/;" v +loadarff adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def loadarff(f):$/;" f +loadCheckpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def loadCheckpoint(self):$/;" m class:AnyExpTrainer +LoadContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^class LoadContext(threading.local):$/;" c +LoadData adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def LoadData(self, data, custom_properties=None):$/;" m class:DataTable +LoadDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^LoadDataset = tf_export("raw_ops.LoadDataset")(_ops.to_raw_op(load_dataset))$/;" v +loaded_libraries adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def loaded_libraries(self):$/;" m class:_Classes +loaded_partition_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def loaded_partition_graphs(self):$/;" m class:DebugDumpDir +Loader adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ from yaml import CLoader as Loader$/;" x +loader adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ loader = None$/;" v class:NullProvider +loader adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ loader = None$/;" v class:NullProvider +Loader adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^class Loader(object):$/;" c +loader adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/method_name_updater.py /^from tensorflow.python.saved_model import loader_impl as loader$/;" x +loader adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def loader(path):$/;" f member:SharedDataMiddleware.get_package_loader file: +loader adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def loader(path):$/;" f member:SharedDataMiddleware.get_directory_loader file: +Loader adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^class Loader(Reader, Scanner, Parser, Composer, Constructor, Resolver):$/;" c +loadfunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ loadfunc = staticmethod(np.genfromtxt)$/;" v class:TestFromTxt +loadfunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ loadfunc = staticmethod(np.loadtxt)$/;" v class:TestLoadTxt +loadImageSeries adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def loadImageSeries(filelist=None):$/;" f +LoadingFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^ def LoadingFunc(h):$/;" f function:StreamingFilesDataset file: +loadmat adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def loadmat(file_name, mdict=None, appendmat=True, **kwargs):$/;" f +LoadModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def LoadModel(path, model, use_ideep):$/;" f +LoadModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def LoadModel(path, model, use_ideep):$/;" f +LoadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_options.py /^class LoadOptions(object):$/;" c +loads adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def loads(*args, **kwargs):$/;" f +loads adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def loads(self, request, data):$/;" m class:Serializer +loads adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^loads = unpackb$/;" v +loads adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def loads(s, _dict=dict, decoder=None):$/;" f +loads adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^loads = decoder.loads$/;" v +loads adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def loads(s, **kw):$/;" m class:_JSONModule +loadTestsFromModule adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def loadTestsFromModule(self, module):$/;" m class:NumpyDoctest +loadTestsFromModule adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def loadTestsFromModule(self, module, pattern=None):$/;" m class:ScanningLoader +LoadTPUEmbeddingAdadeltaParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingAdadeltaParameters = tf_export("raw_ops.LoadTPUEmbeddingAdadeltaParameters")(_op/;" v +LoadTPUEmbeddingAdadeltaParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingAdadeltaParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingAdadeltaPa/;" v +LoadTPUEmbeddingAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingAdagradParameters = tf_export("raw_ops.LoadTPUEmbeddingAdagradParameters")(_ops./;" v +LoadTPUEmbeddingAdagradParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingAdagradParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingAdagradPara/;" v +LoadTPUEmbeddingADAMParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingADAMParameters = tf_export("raw_ops.LoadTPUEmbeddingADAMParameters")(_ops.to_raw/;" v +LoadTPUEmbeddingADAMParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingADAMParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingADAMParameters/;" v +LoadTPUEmbeddingCenteredRMSPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingCenteredRMSPropParameters = tf_export("raw_ops.LoadTPUEmbeddingCenteredRMSPropPa/;" v +LoadTPUEmbeddingFTRLParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingFTRLParameters = tf_export("raw_ops.LoadTPUEmbeddingFTRLParameters")(_ops.to_raw/;" v +LoadTPUEmbeddingFTRLParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingFTRLParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingFTRLParameters/;" v +LoadTPUEmbeddingMDLAdagradLightParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingMDLAdagradLightParameters = tf_export("raw_ops.LoadTPUEmbeddingMDLAdagradLightPa/;" v +LoadTPUEmbeddingMomentumParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingMomentumParameters = tf_export("raw_ops.LoadTPUEmbeddingMomentumParameters")(_op/;" v +LoadTPUEmbeddingMomentumParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingMomentumParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingMomentumPa/;" v +LoadTPUEmbeddingProximalAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingProximalAdagradParameters = tf_export("raw_ops.LoadTPUEmbeddingProximalAdagradPa/;" v +LoadTPUEmbeddingProximalAdagradParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingProximalAdagradParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingPro/;" v +LoadTPUEmbeddingProximalYogiParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingProximalYogiParameters = tf_export("raw_ops.LoadTPUEmbeddingProximalYogiParamete/;" v +LoadTPUEmbeddingProximalYogiParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingProximalYogiParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingProxim/;" v +LoadTPUEmbeddingRMSPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingRMSPropParameters = tf_export("raw_ops.LoadTPUEmbeddingRMSPropParameters")(_ops./;" v +LoadTPUEmbeddingRMSPropParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingRMSPropParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEmbeddingRMSPropPara/;" v +LoadTPUEmbeddingStochasticGradientDescentParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingStochasticGradientDescentParameters = tf_export("raw_ops.LoadTPUEmbeddingStochas/;" v +LoadTPUEmbeddingStochasticGradientDescentParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^LoadTPUEmbeddingStochasticGradientDescentParametersGradAccumDebug = tf_export("raw_ops.LoadTPUEm/;" v +loadtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def loadtxt(fname, dtype=float, comments='#', delimiter=None,$/;" f +LoadTxtBase adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class LoadTxtBase:$/;" c +load_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def load_all(stream, Loader=None):$/;" f +load_all_fcompiler_classes adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def load_all_fcompiler_classes():$/;" f +load_and_remap_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^def load_and_remap_matrix(ckpt_path, old_tensor_name, row_remapping, col_remapping, initializing/;" f +load_and_remap_matrix_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^def load_and_remap_matrix_eager_fallback(ckpt_path, old_tensor_name, row_remapping, col_remappin/;" f +load_and_run_with_saved_model_api adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def load_and_run_with_saved_model_api(distribution, saved_dir, predict_dataset,$/;" f +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fuse.py /^ def load_arg(a):$/;" f member:Fuser.fuse file: +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg(a):$/;" f member:Quantizer._convert file: +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg(a):$/;" f member:Quantizer._fold_weight file: +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg(a):$/;" f member:Quantizer._prepare file: +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg(quantized):$/;" f member:Quantizer._convert file: +load_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg(a):$/;" f function:graph_module_from_producer_nodes file: +load_arg_impl adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_arg_impl(arg_or_args):$/;" f function:Quantizer._convert.load_arg file: +load_array adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def load_array(self, a):$/;" m class:TomlDecoder +load_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/loader.py /^def load_ast(nodes,$/;" f +load_attributes_from_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def load_attributes_from_hdf5_group(group, name):$/;" f +load_base_library adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def load_base_library():$/;" f +load_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/cifar.py /^def load_batch(fpath, label_key='labels'):$/;" f +load_binary adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def load_binary(self, package: str, resource: str) -> bytes:$/;" m class:PackageImporter +load_blobs_from_checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def load_blobs_from_checkpoint(self, blob_names, epoch):$/;" m class:CheckpointManager +load_blobs_from_checkpoints adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def load_blobs_from_checkpoints(self, blob_names, epoch, session):$/;" m class:JobRunner +load_blobs_locally adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def load_blobs_locally(self, nodes, blob_names, epoch, session):$/;" m class:MultiNodeCheckpointManager +load_byte adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_byte(self, data, legacy_api=True):$/;" m class:ImageFileDirectory_v2 +load_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def load_caffe2_net(file):$/;" f +load_cdll adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^def load_cdll(name, macos10_16_path):$/;" f +load_cert_chain adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def load_cert_chain(self, certfile, keyfile=None, password=None):$/;" m class:PyOpenSSLContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def load_cert_chain(self, certfile, keyfile=None, password=None):$/;" m class:SecureTransportContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ def load_cert_chain(self, certfile, keyfile):$/;" m class:SSLContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def load_cert_chain(self, certfile, keyfile=None, password=None):$/;" m class:PyOpenSSLContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def load_cert_chain(self, certfile, keyfile=None, password=None):$/;" m class:SecureTransportContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ def load_cert_chain(self, certfile, keyfile):$/;" m class:SSLContext +load_cert_chain adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def load_cert_chain(self, certfile, keyfile=None, password=None):$/;" m class:_SSLContext +load_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def load_checkpoint(ckpt_dir_or_file):$/;" f +load_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def load_config():$/;" f member:TPUEmbedding.build file: +load_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^def load_context(load_options):$/;" f +load_credentials_from_file adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def load_credentials_from_file($/;" f +load_credentials_from_file adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def load_credentials_from_file(filename, scopes=None, quota_project_id=None):$/;" f +load_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def load_data(self, n, eindex):$/;" m class:TestFromiter +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/boston_housing.py /^def load_data(path='boston_housing.npz', test_split=0.2, seed=113):$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/cifar10.py /^def load_data():$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/cifar100.py /^def load_data(label_mode='fine'):$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/fashion_mnist.py /^def load_data():$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/imdb.py /^def load_data(path='imdb.npz',$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/mnist.py /^def load_data(path='mnist.npz'):$/;" f +load_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/reuters.py /^def load_data(path='reuters.npz',$/;" f +load_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def load_dataset(path, reader_func_other_args, output_types, output_shapes, reader_func, compres/;" f +load_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def load_dataset_eager_fallback(path, reader_func_other_args, output_types, output_shapes, reade/;" f +load_default adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^def load_default():$/;" f +load_default_certs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def load_default_certs(self):$/;" m class:SecureTransportContext +load_default_certs adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def load_default_certs(self):$/;" m class:SecureTransportContext +load_delegate adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^def load_delegate(library, options=None):$/;" f +load_djpeg adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def load_djpeg(self):$/;" m class:JpegImageFile +load_end adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def load_end(self):$/;" m class:GifImageFile +load_end adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def load_end(self):$/;" m class:ImageFile +load_end adpepsenv/lib/python3.8/site-packages/PIL/PcdImagePlugin.py /^ def load_end(self):$/;" m class:PcdImageFile +load_end adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def load_end(self):$/;" m class:PngImageFile +load_end adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_end(self):$/;" m class:TiffImageFile +load_entry_point adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def load_entry_point(self, group, name):$/;" m class:Distribution +load_entry_point adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def load_entry_point(dist, group, name):$/;" f +load_entry_point adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def load_entry_point(self, group, name):$/;" m class:Distribution +load_entry_point adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def load_entry_point(dist, group, name):$/;" f +load_events adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^def load_events(filename):$/;" f +load_f2cmap_file adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def load_f2cmap_file(f2cmap_file):$/;" f +load_file_system_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^def load_file_system_library(library_filename):$/;" f +load_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags(self):$/;" m class:AbstractTest +load_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags(self):$/;" m class:Test_ARM_Features +load_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags(self):$/;" m class:Test_POWER_Features +load_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags(self):$/;" m class:Test_X86_Features +load_flags_auxv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags_auxv(self):$/;" m class:AbstractTest +load_flags_cpuinfo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def load_flags_cpuinfo(self, magic_key):$/;" m class:AbstractTest +load_from_bytes adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def load_from_bytes(f):$/;" f member:FreeTypeFont.__init__ file: +load_from_db adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def load_from_db(filename, db_type, device_option=None, *args, **kwargs):$/;" f +load_from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def load_from_saved_model(saved_model_path, custom_objects=None):$/;" f +load_function_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def load_function_def_library(library, load_shared_name_suffix=None):$/;" f +load_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def load_graph(self, graph, tags, import_scope=None, **saver_kwargs):$/;" m class:SavedModelLoader +load_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def load_graph(self, returns, meta_graph_def):$/;" m class:_EagerSavedModelLoader +load_img adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def load_img(path, grayscale=False, color_mode='rgb', target_size=None,$/;" f +load_img adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^def load_img(path, grayscale=False, color_mode='rgb', target_size=None,$/;" f +load_inline adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def load_inline(name,$/;" f +load_inline_object adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def load_inline_object(self, line, currentlevel, multikey=False,$/;" m class:TomlDecoder +load_inputs_from_input_arg_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def load_inputs_from_input_arg_string(inputs_str, input_exprs_str,$/;" f +load_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^def load_internal(export_dir, tags=None, options=None, loader_cls=Loader,$/;" f +load_ipython_extension adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^def load_ipython_extension(ip=None):$/;" f +load_ipython_extension adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def load_ipython_extension(ipython):$/;" f +load_ipython_extension adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^def load_ipython_extension(ipython):$/;" f +load_launcher_manifest adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def load_launcher_manifest(name):$/;" f +load_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def load_layers(self, compile=True): # pylint: disable=redefined-builtin$/;" m class:KerasObjectLoader +load_library adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def load_library(libname, loader_path):$/;" f +load_library adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ load_library = _dummy$/;" v +load_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^def load_library(library_location):$/;" f +load_library adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def load_library(self, path):$/;" m class:_Classes +load_library adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ def load_library(self, path):$/;" m class:_Ops +load_line adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def load_line(self, line, currentlevel, multikey, multibackslash):$/;" m class:TomlDecoder +load_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def load_lut(self, filename):$/;" m class:MorphOp +load_macros adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def load_macros(self, version):$/;" m class:MacroExpander +load_macros adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def load_macros(self, version):$/;" m class:MacroExpander +load_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^load_model = save.load_model$/;" v +load_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^def load_model(filepath, custom_objects=None, compile=True, options=None): # pylint: disable=re/;" f +load_models adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def load_models(self):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoderBase +load_model_from_hdf5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def load_model_from_hdf5(filepath, custom_objects=None, compile=True): # pylint: disable=redefi/;" f +load_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def load_module(self, fullname):$/;" m class:Mounter +load_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^ def load_module(self, fullname):$/;" m class:VendorImporter +load_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^ def load_module(self, fullname):$/;" m class:VendorImporter +load_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def load_module(self, fullname):$/;" m class:_SixMetaPathImporter +load_module adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def load_module(self, fullname):$/;" m class:_ImportHookChainedLoader +load_module adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def load_module(self, fullname):$/;" m class:_ImportHookLoader +load_name adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def load_name(self, offset):$/;" m class:tzres +load_non_quantized adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_non_quantized(n):$/;" f member:Quantizer._convert file: +load_normalized_test_case adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^def load_normalized_test_case(input_shape, test_image, pagelocked_buffer, normalization_hint):$/;" f +load_npz adpepsenv/lib/python3.8/site-packages/scipy/sparse/_matrix_io.py /^def load_npz(file):$/;" f +load_nvprof adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def load_nvprof(path):$/;" f +load_observer_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def load_observer_state_dict(mod, obs_dict):$/;" f +load_once adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def load_once(self):$/;" f function:lazy_load.wrapper file: +load_onnx_graph adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_onnx_graph.py /^def load_onnx_graph(fname):$/;" f +load_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops():$/;" f member:TPUEmbedding.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_AdagradHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_AdamHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_FtrlHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_MomentumHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_ProximalAdagradHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_ProximalYogiHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_RMSPropHandler.create_variables_and_ops file: +load_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def load_ops_fn():$/;" f member:_StochasticGradientDescentHandler.create_variables_and_ops file: +load_optimizer_weights_from_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def load_optimizer_weights_from_hdf5_group(hdf5_group):$/;" f +load_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^ def load_options(self):$/;" m class:LoadContext +load_op_from_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def load_op_from_signature_def(signature_def, key, import_scope=None):$/;" f +load_op_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^def load_op_library(library_filename):$/;" f +load_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^def load_partial(export_dir, filters, tags=None, options=None):$/;" f +load_path adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^def load_path(filename):$/;" f +load_pem adpepsenv/lib/python3.8/site-packages/rsa/pem.py /^def load_pem(contents: FlexiText, pem_marker: FlexiText) -> bytes:$/;" f +load_pickle adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def load_pickle(self, package: str, resource: str, map_location=None) -> Any:$/;" m class:PackageImporter +load_pkcs1 adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def load_pkcs1(cls, keyfile: bytes, format: str = 'PEM') -> 'AbstractKey':$/;" m class:AbstractKey +load_pkcs1_openssl_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def load_pkcs1_openssl_der(cls, keyfile: bytes) -> 'PublicKey':$/;" m class:PublicKey +load_pkcs1_openssl_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def load_pkcs1_openssl_pem(cls, keyfile: bytes) -> 'PublicKey':$/;" m class:PublicKey +load_prepare adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def load_prepare(self):$/;" m class:ImageFile +load_prepare adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def load_prepare(self):$/;" m class:PngImageFile +load_prepare adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ def load_prepare(self):$/;" m class:PsdImageFile +load_pyproject_toml adpepsenv/lib/python3.8/site-packages/pip/_internal/pyproject.py /^def load_pyproject_toml($/;" f +load_pyproject_toml adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def load_pyproject_toml(self):$/;" m class:InstallRequirement +load_quantized adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_quantized(n):$/;" f member:Quantizer._convert file: +load_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_rational(self, data, legacy_api=True):$/;" m class:ImageFileDirectory_v2 +load_read adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def load_read(self, read_bytes):$/;" m class:JpegImageFile +load_read adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def load_read(self, read_bytes):$/;" m class:PngImageFile +load_read adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^ def load_read(self, bytes):$/;" m class:XpmImageFile +load_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^def load_resource(path):$/;" f +load_save adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def load_save(self, src_device_type, src_gpu_id,$/;" m class:TestLoadSaveBase +load_script_module_with_pickled_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def load_script_module_with_pickled_rref(pickled_script_module):$/;" f +load_seek adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^ def load_seek(self, pos):$/;" m class:DdsImageFile +load_seek adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def load_seek(self, *args, **kwargs):$/;" m class:EpsImageFile +load_seek adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^ def load_seek(self, pos):$/;" m class:FtexImageFile +load_seek adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def load_seek(self):$/;" m class:IcoImageFile +load_seek adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def load_seek(self, pos):$/;" m class:MpoImageFile +load_signed_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_signed_rational(self, data, legacy_api=True):$/;" m class:ImageFileDirectory_v2 +load_sim adpeps/ipeps/config.py /^load_sim: bool = None$/;" v +load_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/loader.py /^def load_source(source, delete_on_exit):$/;" f +load_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def load_source(source_file_path):$/;" f +load_ssl_context adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def load_ssl_context(cert_file, pkey_file=None, protocol=None):$/;" f +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def load_state_dict(self, state_dict):$/;" m class:GradScaler +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def load_state_dict($/;" m class:_RemoteModule +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def load_state_dict(self, state_dict: Union[Dict[str, Tensor], Dict[str, Tensor]],$/;" m class:Module +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def load_state_dict(self, state_dict):$/;" m class:LambdaLR +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def load_state_dict(self, state_dict):$/;" m class:MultiplicativeLR +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def load_state_dict(self, state_dict):$/;" m class:ReduceLROnPlateau +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def load_state_dict(self, state_dict):$/;" m class:_LRScheduler +load_state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def load_state_dict(self, state_dict):$/;" m class:Optimizer +load_state_dict_from_url adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def load_state_dict_from_url(url, model_dir=None, map_location=None, progress=True, check_hash=F/;" f +load_string adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_string(self, data, legacy_api=True):$/;" m class:ImageFileDirectory_v2 +load_system adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def load_system(source_dir):$/;" f +load_tensor adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def load_tensor(data_type, size, key, location):$/;" f function:_load file: +load_tensor_from_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def load_tensor_from_event(event):$/;" f +load_tensor_from_event_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def load_tensor_from_event_file(event_file_path):$/;" f +load_testing_files adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def load_testing_files():$/;" f +load_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def load_tests(loader, tests, pattern):$/;" f +load_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^load_tests = load_tests$/;" v +load_text adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def load_text(self, package: str, resource: str, encoding: str = 'utf-8', errors: str = 'str/;" m class:PackageImporter +load_tpu_embedding_adadelta_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adadelta_parameters(parameters, accumulators, updates, num_shards, shard_/;" f +load_tpu_embedding_adadelta_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adadelta_parameters_eager_fallback(parameters, accumulators, updates, num/;" f +load_tpu_embedding_adadelta_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adadelta_parameters_grad_accum_debug(parameters, accumulators, updates, g/;" f +load_tpu_embedding_adadelta_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adadelta_parameters_grad_accum_debug_eager_fallback(parameters, accumulat/;" f +load_tpu_embedding_adagrad_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adagrad_parameters(parameters, accumulators, num_shards, shard_id, table_/;" f +load_tpu_embedding_adagrad_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adagrad_parameters_eager_fallback(parameters, accumulators, num_shards, s/;" f +load_tpu_embedding_adagrad_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adagrad_parameters_grad_accum_debug(parameters, accumulators, gradient_ac/;" f +load_tpu_embedding_adagrad_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adagrad_parameters_grad_accum_debug_eager_fallback(parameters, accumulato/;" f +load_tpu_embedding_adam_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adam_parameters(parameters, momenta, velocities, num_shards, shard_id, ta/;" f +load_tpu_embedding_adam_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adam_parameters_eager_fallback(parameters, momenta, velocities, num_shard/;" f +load_tpu_embedding_adam_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adam_parameters_grad_accum_debug(parameters, momenta, velocities, gradien/;" f +load_tpu_embedding_adam_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_adam_parameters_grad_accum_debug_eager_fallback(parameters, momenta, velo/;" f +load_tpu_embedding_centered_rms_prop_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_centered_rms_prop_parameters(parameters, ms, mom, mg, num_shards, shard_i/;" f +load_tpu_embedding_centered_rms_prop_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_centered_rms_prop_parameters_eager_fallback(parameters, ms, mom, mg, num_/;" f +load_tpu_embedding_ftrl_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_ftrl_parameters(parameters, accumulators, linears, num_shards, shard_id, /;" f +load_tpu_embedding_ftrl_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_ftrl_parameters_eager_fallback(parameters, accumulators, linears, num_sha/;" f +load_tpu_embedding_ftrl_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_ftrl_parameters_grad_accum_debug(parameters, accumulators, linears, gradi/;" f +load_tpu_embedding_ftrl_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_ftrl_parameters_grad_accum_debug_eager_fallback(parameters, accumulators,/;" f +load_tpu_embedding_mdl_adagrad_light_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_mdl_adagrad_light_parameters(parameters, accumulators, weights, benefits,/;" f +load_tpu_embedding_mdl_adagrad_light_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_mdl_adagrad_light_parameters_eager_fallback(parameters, accumulators, wei/;" f +load_tpu_embedding_momentum_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_momentum_parameters(parameters, momenta, num_shards, shard_id, table_id=-/;" f +load_tpu_embedding_momentum_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_momentum_parameters_eager_fallback(parameters, momenta, num_shards, shard/;" f +load_tpu_embedding_momentum_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_momentum_parameters_grad_accum_debug(parameters, momenta, gradient_accumu/;" f +load_tpu_embedding_momentum_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_momentum_parameters_grad_accum_debug_eager_fallback(parameters, momenta, /;" f +load_tpu_embedding_proximal_adagrad_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_adagrad_parameters(parameters, accumulators, num_shards, shard_i/;" f +load_tpu_embedding_proximal_adagrad_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_adagrad_parameters_eager_fallback(parameters, accumulators, num_/;" f +load_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug(parameters, accumulators, gr/;" f +load_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug_eager_fallback(parameters, a/;" f +load_tpu_embedding_proximal_yogi_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_yogi_parameters(parameters, v, m, num_shards, shard_id, table_id/;" f +load_tpu_embedding_proximal_yogi_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_yogi_parameters_eager_fallback(parameters, v, m, num_shards, sha/;" f +load_tpu_embedding_proximal_yogi_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_yogi_parameters_grad_accum_debug(parameters, v, m, gradient_accu/;" f +load_tpu_embedding_proximal_yogi_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_proximal_yogi_parameters_grad_accum_debug_eager_fallback(parameters, v, m/;" f +load_tpu_embedding_rms_prop_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_rms_prop_parameters(parameters, ms, mom, num_shards, shard_id, table_id=-/;" f +load_tpu_embedding_rms_prop_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_rms_prop_parameters_eager_fallback(parameters, ms, mom, num_shards, shard/;" f +load_tpu_embedding_rms_prop_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_rms_prop_parameters_grad_accum_debug(parameters, ms, mom, gradient_accumu/;" f +load_tpu_embedding_rms_prop_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_rms_prop_parameters_grad_accum_debug_eager_fallback(parameters, ms, mom, /;" f +load_tpu_embedding_stochastic_gradient_descent_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_stochastic_gradient_descent_parameters(parameters, num_shards, shard_id, /;" f +load_tpu_embedding_stochastic_gradient_descent_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_stochastic_gradient_descent_parameters_eager_fallback(parameters, num_sha/;" f +load_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug(parameters, gradi/;" f +load_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def load_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug_eager_fallback(pa/;" f +LOAD_TRUNCATED_IMAGES adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^LOAD_TRUNCATED_IMAGES = False$/;" v +load_undefined adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def load_undefined(self, data, legacy_api=True):$/;" m class:ImageFileDirectory_v2 +load_url adpepsenv/lib/python3.8/site-packages/torch/utils/model_zoo.py /^from torch.hub import tqdm, load_state_dict_from_url as load_url # noqa: F401$/;" x +load_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/__init__.py /^from tensorflow.python.saved_model.load import load as load_v2$/;" x +load_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def load_value(self, v, strictly_valid=True):$/;" m class:TomlDecoder +load_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def load_variable(ckpt_dir_or_file, name):$/;" f +load_verify_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:PyOpenSSLContext +load_verify_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:SecureTransportContext +load_verify_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:SSLContext +load_verify_locations adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:PyOpenSSLContext +load_verify_locations adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:SecureTransportContext +load_verify_locations adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ def load_verify_locations(self, cafile=None, capath=None, cadata=None):$/;" m class:SSLContext +load_warning adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def load_warning(method):$/;" f +load_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def load_weights(self,$/;" m class:Model +load_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def load_weights(self, filepath, by_name=False):$/;" m class:DistributedCallbackModel +load_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def load_weights(self, filepath, by_name=False, skip_mismatch=False):$/;" m class:Model +load_weights_from_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def load_weights_from_hdf5_group(f, layers):$/;" f +load_weights_from_hdf5_group_by_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def load_weights_from_hdf5_group_by_name($/;" f +load_x adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def load_x(n):$/;" f member:Quantizer._convert file: +lobpcg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def lobpcg(A, X,$/;" f +LOBPCG adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^class LOBPCG(object):$/;" c +lobpcg adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def lobpcg(A: Tensor,$/;" f +LOBPCGAutogradFunction adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^class LOBPCGAutogradFunction(torch.autograd.Function):$/;" c +LOBPCG_call_tracker adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def LOBPCG_call_tracker(self):$/;" f +LOBPCG_call_tracker_orig adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^LOBPCG_call_tracker_orig = LOBPCG.call_tracker$/;" v +loc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def loc(self):$/;" m class:Laplace +loc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def loc(self):$/;" m class:Normal +loc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def loc(self):$/;" m class:StudentT +loc adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ def loc(self):$/;" m class:LogisticNormal +loc adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def loc(self):$/;" m class:LogNormal +LOCAL adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ LOCAL = 'local'$/;" v class:Tags +local adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def local(self):$/;" m class:LegacyVersion +local adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def local(self):$/;" m class:Version +local adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def local(self):$/;" m class:LegacyVersion +local adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def local(self):$/;" m class:Version +local adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def local(self):$/;" m class:LegacyVersion +local adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def local(self):$/;" m class:Version +local adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/__init__.py /^local = True$/;" v +local adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def local(self):$/;" m class:LegacyVersion +local adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def local(self):$/;" m class:Version +LOCAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^LOCAL = threading.local()$/;" v +LOCAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^LOCAL = threading.local()$/;" v +LOCAL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ LOCAL = 1 # Run the operation locally.$/;" v class:ExecMode +Local adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^class Local(object):$/;" c +LocalCLIDebugHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^class LocalCLIDebugHook(session_run_hook.SessionRunHook):$/;" c +LocalCLIDebugWrapperSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^class LocalCLIDebugWrapperSession(framework.BaseDebugWrapperSession):$/;" c +LocalConnectionType adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class LocalConnectionType(enum.Enum):$/;" c +LocalDataIngester adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^class LocalDataIngester(object):$/;" c +LocalDebuggerV2DataProvider adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^class LocalDebuggerV2DataProvider(provider.DataProvider):$/;" c +LocalError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class LocalError(AbortionError):$/;" c +LocalFileSystem adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^class LocalFileSystem(object):$/;" c +LocalFSAdapter adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^class LocalFSAdapter(BaseAdapter):$/;" c +localize_config_file adpeps/utils/io.py /^def localize_config_file(filename):$/;" f +localize_data_file adpeps/utils/io.py /^def localize_data_file(filename):$/;" f +localKeyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^localKeyId = SingleAttribute()$/;" v +localKeyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^localKeyId = CMSSingleAttribute()$/;" v +LocalLinks adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^LocalLinks = _reflection.GeneratedProtocolMessageType('LocalLinks', (_message.Message,), {$/;" v +LocallyConnected1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^class LocallyConnected1D(Layer):$/;" c +LocallyConnected2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^class LocallyConnected2D(Layer):$/;" c +locally_modified_subplot_params adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def locally_modified_subplot_params(self):$/;" m class:GridSpec +LocalManager adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^class LocalManager(object):$/;" c +LOCALMINIMUM adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^LOCALMINIMUM = 0 # Local minimum reached (|pg| ~= 0)$/;" v +LocalPostalAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class LocalPostalAttributes(PDSParameter):$/;" c +LocalPostalAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class LocalPostalAttributes(PDSParameter):$/;" c +LocalPostalAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class LocalPostalAttributes(PDSParameter):$/;" c +LocalProxy adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^class LocalProxy(object):$/;" c +LocalResponseNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^class LocalResponseNorm(Module):$/;" c +LocalResponseNormalizationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LocalResponseNormalizationOptions = 13$/;" v class:BuiltinOptions +LocalResponseNormalizationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LocalResponseNormalizationOptions(object):$/;" c +LocalResponseNormalizationOptionsAddAlpha adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsAddAlpha(builder, alpha): builder.PrependFloat32Slot(2, alp/;" f +LocalResponseNormalizationOptionsAddBeta adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsAddBeta(builder, beta): builder.PrependFloat32Slot(3, beta,/;" f +LocalResponseNormalizationOptionsAddBias adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsAddBias(builder, bias): builder.PrependFloat32Slot(1, bias,/;" f +LocalResponseNormalizationOptionsAddRadius adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsAddRadius(builder, radius): builder.PrependInt32Slot(0, rad/;" f +LocalResponseNormalizationOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LocalResponseNormalizationOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=Fal/;" m class:LocalResponseNormalizationOptions +LocalResponseNormalizationOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsEnd(builder): return builder.EndObject()$/;" f +LocalResponseNormalizationOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LocalResponseNormalizationOptionsStart(builder): builder.StartObject(4)$/;" f +LocalResponseNormalizationOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LocalResponseNormalizationOptionsT(object):$/;" c +LocalRRefTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class LocalRRefTest:$/;" c +LocalSearchWrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^class LocalSearchWrapper(object):$/;" c +LocalSession adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^class LocalSession(Session):$/;" c +LocalShutdownError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class LocalShutdownError(AbortionError):$/;" c +LocalStack adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^class LocalStack(object):$/;" c +locals_in_original_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def locals_in_original_context(caller_fn_scope):$/;" f +LocalType adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ LocalType = Union[$/;" v +local_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^def local_add(t1, t2):$/;" f +local_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def local_channel_credentials(local_connect_type=LocalConnectionType.LOCAL_TCP):$/;" f +local_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def local_conv(inputs,$/;" f +local_conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def local_conv1d(inputs, kernel, kernel_size, strides, data_format=None):$/;" f +local_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def local_conv2d(inputs,$/;" f +local_conv_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^def local_conv_matmul(inputs, kernel, kernel_mask, output_shape):$/;" f +local_conv_sparse_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^def local_conv_sparse_matmul(inputs, kernel, kernel_idxs, kernel_shape,$/;" f +local_copy_op adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^def local_copy_op(src, dest):$/;" f +local_devices adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def local_devices(host_id: Optional[int] = None,$/;" f +local_devices_from_num_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^def local_devices_from_num_gpus(num_gpus):$/;" f +local_device_count adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def local_device_count(backend: Optional[str] = None) -> int:$/;" f +local_exit adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def local_exit(self, name=None):$/;" m class:Operations +LOCAL_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ LOCAL_FAILURE = 'local failure'$/;" v class:Outcome.Kind +LOCAL_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ LOCAL_FAILURE = 'local failure'$/;" v class:Abortion.Kind +local_init adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def local_init(self):$/;" m class:Operations +local_init_feed_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def local_init_feed_dict(self):$/;" m class:Scaffold +LOCAL_INIT_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ LOCAL_INIT_OP = "local_init_op"$/;" v class:GraphKeys +local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def local_init_op(self):$/;" m class:Scaffold +local_mesh adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def local_mesh(self):$/;" m class:Mesh +local_norm_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def local_norm_func(x):$/;" f member:TestNonlin.test_tol_norm_called file: +local_open adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def local_open(url):$/;" f +local_over_kwdict adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def local_over_kwdict(local_var, kwargs, *keys):$/;" f +local_postal_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^local_postal_attributes = univ.Integer(21)$/;" v +local_postal_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^local_postal_attributes = univ.Integer(21)$/;" v +local_postal_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^local_postal_attributes = univ.Integer(21)$/;" v +local_reporter adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def local_reporter(self, interval_ms=1000, name=None):$/;" m class:Operations +LOCAL_RESOURCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ LOCAL_RESOURCES = "local_resources"$/;" v class:GraphKeys +local_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^def local_resources():$/;" f +local_response_norm adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def local_response_norm(input, size, alpha=1e-4, beta=0.75, k=1.):$/;" f +LOCAL_RESPONSE_NORMALIZATION adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOCAL_RESPONSE_NORMALIZATION = 13$/;" v class:BuiltinOperator +local_response_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^local_response_normalization = gen_nn_ops.lrn$/;" v +local_response_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.gen_nn_ops import lrn as local_response_normalization$/;" x +local_response_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.gen_nn_ops import lrn as local_response_normalization$/;" x +local_response_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.gen_nn_ops import lrn as local_response_normalization$/;" x +local_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def local_search(self):$/;" m class:StrategyChain +local_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def local_search(self, x, e):$/;" m class:LocalSearchWrapper +local_server_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def local_server_credentials(local_connect_type=LocalConnectionType.LOCAL_TCP):$/;" f +LOCAL_SETUP adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ LOCAL_SETUP = 'local_setup'$/;" v class:TaskGroup +local_shard_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ local_shard_func = lambda index, _: index % multiprocessing.cpu_count()$/;" f function:snapshot._apply_fn file: +LOCAL_SHUTDOWN adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ LOCAL_SHUTDOWN = 'local shutdown'$/;" v class:Outcome.Kind +LOCAL_SHUTDOWN adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ LOCAL_SHUTDOWN = 'local shutdown'$/;" v class:Abortion.Kind +LOCAL_TCP adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ LOCAL_TCP = _cygrpc.LocalConnectionType.local_tcp$/;" v class:LocalConnectionType +local_test adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def local_test(d):$/;" f function:get_installed_distributions file: +LOCAL_TESTS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^LOCAL_TESTS = [$/;" v +LOCAL_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ LOCAL_VARIABLES = "local_variables"$/;" v class:GraphKeys +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^local_variables = _variables.local_variables_initializer$/;" v +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def local_variables(self):$/;" m class:EagerTemplate +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def local_variables(self):$/;" m class:Template +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def local_variables(scope=None):$/;" f +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def local_variables(self):$/;" m class:VariableScope +local_variables adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.variables import local_variables_initializer as local_variables$/;" x +local_variables_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def local_variables_initializer():$/;" f +locate adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def locate(self, nx, ny, nx1=None, ny1=None, axes=None, renderer=None):$/;" m class:Divider +locate adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def locate(self, nx, ny, nx1=None, ny1=None, axes=None, renderer=None):$/;" m class:HBoxDivider +locate adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def locate(self, nx, ny, nx1=None, ny1=None, axes=None, renderer=None):$/;" m class:VBoxDivider +locate adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def locate(self, requirement, prereleases=False):$/;" m class:Locator +locate adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^locate = default_locator.locate$/;" v +locatedExpr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def locatedExpr(expr):$/;" f +locatedExpr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def locatedExpr(expr):$/;" f +locatedExpr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def locatedExpr(expr):$/;" f +locatedExpr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def locatedExpr(expr):$/;" f +locate_label adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def locate_label(self, linecontour, labelwidth):$/;" m class:ContourLabeler +locate_tensor_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def locate_tensor_element(formatted, indices):$/;" f +location adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def location(self):$/;" m class:_BodyTracer +Location adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Location = _reflection.GeneratedProtocolMessageType('Location', (_message.Message,), {$/;" v +Location adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^class Location($/;" c +location adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ location = header_property($/;" v class:CommonResponseDescriptorsMixin +LocationEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class LocationEvent(Event):$/;" c +LocationParseError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class LocationParseError(LocationValueError):$/;" c +LocationParseError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class LocationParseError(LocationValueError):$/;" c +LocationValueError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class LocationValueError(ValueError, HTTPError):$/;" c +LocationValueError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class LocationValueError(ValueError, HTTPError):$/;" c +location_tag adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def location_tag(storage: Storage):$/;" f +locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def locator(self):$/;" m class:Ticker +locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def locator(self, locator):$/;" m class:Ticker +Locator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class Locator(TickHelper):$/;" c +locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ locator = cbook._deprecate_privatize_attribute($/;" v class:CbarAxesBase +Locator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class Locator(object):$/;" c +locator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def locator(self):$/;" m class:BaseDigest +LocatorBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorBase:$/;" c +LocatorD adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorD(LocatorBase):$/;" c +LocatorDM adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorDM(LocatorBase):$/;" c +LocatorDMS adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorDMS(LocatorBase):$/;" c +LocatorH adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorH(LocatorBase):$/;" c +LocatorHM adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorHM(LocatorBase):$/;" c +LocatorHMS adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^class LocatorHMS(LocatorBase):$/;" c +locator_params adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def locator_params(self, axis='both', tight=None, **kwargs):$/;" m class:_AxesBase +locator_params adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def locator_params(axis='both', tight=None, **kwargs):$/;" f +locator_params adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def locator_params(self, axis='both', tight=None, **kwargs):$/;" m class:Axes3D +lock adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ lock = threading.Lock()$/;" v class:PythonOpStats +lock adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ lock = threading.RLock()$/;" v class:RendererAgg +lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^lock = threading.Lock()$/;" v +lock adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ lock = threading.Lock()$/;" v class:MyModule +LockableBbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class LockableBbox(BboxBase):$/;" c +LockDraw adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class LockDraw:$/;" c +locked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def locked(self):$/;" m class:LockDraw +Locked adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Locked(HTTPException):$/;" c +locked_x0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_x0(self):$/;" m class:LockableBbox +locked_x0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_x0(self, x0):$/;" m class:LockableBbox +locked_x1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_x1(self):$/;" m class:LockableBbox +locked_x1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_x1(self, x1):$/;" m class:LockableBbox +locked_y0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_y0(self):$/;" m class:LockableBbox +locked_y0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_y0(self, y0):$/;" m class:LockableBbox +locked_y1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_y1(self):$/;" m class:LockableBbox +locked_y1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def locked_y1(self, y1):$/;" m class:LockableBbox +LockingLogger adpepsenv/lib/python3.8/site-packages/torch/jit/_logging.py /^LockingLogger = torch._C.LockingLogger$/;" v +lock_class adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ lock_class = Lock$/;" v class:Map +locs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ locs = []$/;" v class:Formatter +loc_begin adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def loc_begin(self):$/;" m class:TokenGenerator +loc_end adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def loc_end(self):$/;" m class:TokenGenerator +loc_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def loc_mle(loc, data):$/;" f member:rayleigh_gen.fit file: +loc_mle_scale_fixed adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def loc_mle_scale_fixed(loc, scale, data):$/;" f member:rayleigh_gen.fit file: +log adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def log(self, level, msg, *args, **kwargs):$/;" m class:ABSLLogger +log adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def log(level, msg, *args, **kwargs):$/;" f +log adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^log = logging.getLogger("AnyExp")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^log = logging.getLogger("AnyExpOnTerm")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^log = logging.getLogger("AnyExpOnTerm")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^log = logging.getLogger("ModuleRegister")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^log = logging.getLogger("AnyExp")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^log = logging.getLogger("net_construct_bench")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^log = logging.getLogger("caffe_translator")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^log = logging.getLogger("data_parallel_model")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^log = logging.getLogger("data_workers")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/embedding_generation_benchmark.py /^log = logging.getLogger("embedding_generation_benchmark")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^log = logging.getLogger("char_rnn")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^log = logging.getLogger("Imagenet_trainer")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^log = logging.getLogger("Imagenet_trainer")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^ def log(self, input_count, batch_count, additional_values):$/;" m class:ModelTrainerLog +log adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^ def log(self, log_dict):$/;" m class:ExternalLogger +log adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^log = logging.getLogger("lstm_bench")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^log = logging.getLogger("memonger")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^log = logging.getLogger("resnext_builder")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^log = logging.getLogger("parallelize_bmuf_distributed_test")$/;" v +log adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^log = logging.getLogger("parallel_workers")$/;" v +log adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^log = np.log$/;" v +log adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def log(x: Array) -> Array:$/;" f +log adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^log = _one_to_one_unop(np.log, lax.log, True)$/;" v +log adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^log = logging.getLogger('MARKDOWN')$/;" v +Log adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^class Log(old_Log):$/;" c +log adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def log(x):$/;" f +log adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^log = _MaskedUnaryOperation(umath.log, 1.0,$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^log = logging.getLogger('oauthlib')$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/access_token.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/request_token.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/resource.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/signature_only.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/client_credentials.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/refresh_token.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/resource_owner_password_credentials.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/__init__.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/userinfo.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/authorization_code.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/hybrid.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^log = partial($/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def log(function):$/;" f function:getPhases file: +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/ntlmpool.py /^log = getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^log = logging.getLogger(__name__)$/;" v +LOG adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^LOG = debug.registerLoggee(__name__, flags=debug.DEBUG_DECODER)$/;" v +LOG adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^LOG = debug.registerLoggee(__name__, flags=debug.DEBUG_ENCODER)$/;" v +LOG adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^LOG = debug.registerLoggee(__name__, flags=debug.DEBUG_DECODER)$/;" v +LOG adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^LOG = debug.registerLoggee(__name__, flags=debug.DEBUG_ENCODER)$/;" v +log adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/rsa/key.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def log(self, message):$/;" m class:TestGIL +log adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def log(self, level, msg, *args):$/;" m class:Log +Log adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^class Log:$/;" c +log adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^log = _global_log.log$/;" v +log adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def log(function):$/;" f function:getPhases file: +log adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^log = _unary_op(math_ops.log)$/;" v +LOG adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOG = 73$/;" v class:BuiltinOperator +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def log(level, msg, *args, **kwargs):$/;" f +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def log(x):$/;" f +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def log(x, name=None):$/;" f +Log adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Log = tf_export("raw_ops.Log")(_ops.to_raw_op(log))$/;" v +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def log(x):$/;" f +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def log(level, msg, *args, **kwargs):$/;" f +log adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def log(level, message, *args):$/;" f +log adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def log(self):$/;" m class:_PastaEditVisitor +log adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def log(self, msg, *args, **kw):$/;" m class:PeriodicLogger +log adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def log(g, self):$/;" f +log adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/contrib/ntlmpool.py /^log = getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^log = logging.getLogger(__name__)$/;" v +log adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def log(self, logfile=None):$/;" m class:Traceback +log adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log(self, type, message, *args):$/;" m class:BaseWSGIServer +log adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log(self, type, message, *args):$/;" m class:WSGIRequestHandler +log10 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def log10(x):$/;" f +log10 adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def log10(x):$/;" f +log10 adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^log10 = _MaskedUnaryOperation(umath.log10, 1.0,$/;" v +log10 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def log10(x):$/;" f +log1p adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def log1p(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +log1p adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^log1p = np.log1p$/;" v +log1p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def log1p(x: Array) -> Array:$/;" f +log1p adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^log1p = _one_to_one_unop(np.log1p, lax.log1p, True)$/;" v +log1p adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t log1p(Dd_number_t x0) nogil$/;" f +log1p adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^log1p = _unary_op(math_ops.log1p)$/;" v +log1p adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def log1p(x, name=None):$/;" f +Log1p adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Log1p = tf_export("raw_ops.Log1p")(_ops.to_raw_op(log1p))$/;" v +log1p adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def log1p(x):$/;" f +log1p adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def log1p(g, self):$/;" f +log1p_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def log1p_eager_fallback(x, name, ctx):$/;" f +log1p_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^log1p_p = standard_unop(_float | _complex, 'log1p')$/;" v +log2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def log2(x):$/;" f +log2 adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def log2(x):$/;" f +log2 adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^log2 = _MaskedUnaryOperation(umath.log2, 1.0,$/;" v +log2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def log2(x):$/;" f +log2 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def log2(g, self):$/;" f +logaddexp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def logaddexp(x1, x2):$/;" f +logaddexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logaddexp(x1, x2):$/;" f +logaddexp2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def logaddexp2(x1, x2):$/;" f +logaddexp2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logaddexp2(x1, x2):$/;" f +LogBarrier adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class LogBarrier(Regularizer):$/;" c +logcdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^def logcdf(x, loc=0, scale=1):$/;" f +logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logcdf(self, k, *args, **kwds):$/;" m class:rv_discrete +logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logcdf(self, x):$/;" m class:rv_frozen +logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logcdf(self, x, *args, **kwds):$/;" m class:rv_continuous +logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logcdf(self, x):$/;" m class:multivariate_normal_frozen +logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logcdf(self, x, mean=None, cov=1, allow_singular=False, maxpts=None,$/;" m class:multivariate_normal_gen +LogCollectorConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^LogCollectorConfig = _reflection.GeneratedProtocolMessageType('LogCollectorConfig', (_message.Me/;" v +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import log_cosh as logcosh$/;" x +LogCosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class LogCosh(LossFunctionWrapper):$/;" c +logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^logcosh = log_cosh$/;" v +LogCoshError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class LogCoshError(MeanMetricWrapper):$/;" c +logdet adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def logdet(matrix, name=None):$/;" f +logdet adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def logdet(g, input):$/;" f +LogdirLoader adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^class LogdirLoader(object):$/;" c +LogFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogFormatter(Formatter):$/;" c +LogFormatter adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^class LogFormatter(logging.Formatter):$/;" c +LogFormatterExponent adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogFormatterExponent(LogFormatter):$/;" c +LogFormatterMathtext adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogFormatterMathtext(LogFormatter):$/;" c +LogFormatterSciNotation adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogFormatterSciNotation(LogFormatterMathtext):$/;" c +loggam adpepsenv/lib/python3.8/site-packages/scipy/special/spfun_stats.py /^from scipy.special import gammaln as loggam$/;" x +loggamma adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t loggamma(Dd_number_t x0) nogil$/;" f +loggamma adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^loggamma = loggamma_gen(name='loggamma')$/;" v +loggamma_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class loggamma_gen(rv_continuous):$/;" c +LOGGAMMA_TAYLOR_RADIUS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^LOGGAMMA_TAYLOR_RADIUS = 0.2$/;" v +LOGGEE_MAP adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^LOGGEE_MAP = {}$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^logger = logging.getLogger(os.path.basename(__file__))$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bucket_weighted.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/concat.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/functional.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/position_weighted.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^logger = logging.getLogger('MARKDOWN')$/;" v +logger adpepsenv/lib/python3.8/site-packages/markdown/core.py /^logger = logging.getLogger('MARKDOWN')$/;" v +logger adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^logger = logging.getLogger('MARKDOWN')$/;" v +LOGGER adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^LOGGER = logging.getLogger("concurrent.futures")$/;" v +logger adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/main.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/check.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/download.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/wheel.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/network/xmlrpc.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/metadata_legacy.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/wheel_legacy.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/editable_legacy.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/legacy.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/direct_url_helpers.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/packaging.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/main.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/metadata.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^logger = tb_logging.get_logger()$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/raw_to_tool_data.py /^logger = logging.getLogger('tensorboard')$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^logger = logging.getLogger('tensorboard')$/;" v +logger adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^logger = logging.getLogger('tensorboard')$/;" v +logger adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^logger = logging.getLogger(__name__)$/;" v +Logger adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^class Logger(nn.Module):$/;" c +logger adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^from distutils import log as logger$/;" x +logger adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^logger = logging.getLogger(__name__)$/;" v +logger adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^from distutils import log as logger$/;" x +LoggerWrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class LoggerWrapper(threading.Thread):$/;" c +logging adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^from tensorflow.python.autograph.utils import ag_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^from tensorflow.python.autograph.utils import ag_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^from tensorflow.python.autograph.utils import ag_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_tensorflow_server.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/imdb.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/datasets/reuters.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/reduction.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_gradient.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +logging adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^from tensorflow.python.platform import tf_logging as logging$/;" x +LoggingConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^LoggingConfig = _reflection.GeneratedProtocolMessageType('LoggingConfig', (_message.Message,), d/;" v +LoggingTensorHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class LoggingTensorHook(session_run_hook.SessionRunHook):$/;" c +LogicalAnd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^LogicalAnd = tf_export("raw_ops.LogicalAnd")(_ops.to_raw_op(logical_and))$/;" v +LogicalAndOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LogicalAndOptions = 62$/;" v class:BuiltinOptions +LogicalAndOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalAndOptions(object):$/;" c +LogicalAndOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LogicalAndOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LogicalAndOptions +LogicalAndOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalAndOptionsEnd(builder): return builder.EndObject()$/;" f +LogicalAndOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalAndOptionsStart(builder): builder.StartObject(0)$/;" f +LogicalAndOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalAndOptionsT(object):$/;" c +LogicalBufferProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^LogicalBufferProto = _reflection.GeneratedProtocolMessageType('LogicalBufferProto', (_message.Me/;" v +LogicalDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class LogicalDevice($/;" c +LogicalDeviceConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class LogicalDeviceConfiguration($/;" c +LogicalExpressionTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^class LogicalExpressionTransformer(converter.Base):$/;" c +LogicalNot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^LogicalNot = tf_export("raw_ops.LogicalNot")(_ops.to_raw_op(logical_not))$/;" v +LogicalNotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LogicalNotOptions = 63$/;" v class:BuiltinOptions +LogicalNotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalNotOptions(object):$/;" c +LogicalNotOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LogicalNotOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LogicalNotOptions +LogicalNotOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalNotOptionsEnd(builder): return builder.EndObject()$/;" f +LogicalNotOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalNotOptionsStart(builder): builder.StartObject(0)$/;" f +LogicalNotOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalNotOptionsT(object):$/;" c +LogicalOr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^LogicalOr = tf_export("raw_ops.LogicalOr")(_ops.to_raw_op(logical_or))$/;" v +LogicalOrOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LogicalOrOptions = 60$/;" v class:BuiltinOptions +LogicalOrOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalOrOptions(object):$/;" c +LogicalOrOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LogicalOrOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LogicalOrOptions +LogicalOrOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalOrOptionsEnd(builder): return builder.EndObject()$/;" f +LogicalOrOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogicalOrOptionsStart(builder): builder.StartObject(0)$/;" f +LogicalOrOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogicalOrOptionsT(object):$/;" c +logical_and adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^logical_and = _logical_op(np.logical_and, lax.bitwise_and)$/;" v +logical_and adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^logical_and = _MaskedBinaryOperation(umath.logical_and)$/;" v +logical_and adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def logical_and(image1, image2):$/;" f +logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^logical_and = _broadcasting_binary_op(math_ops.logical_and)$/;" v +LOGICAL_AND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOGICAL_AND = 86$/;" v class:BuiltinOperator +logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_and(x, y, name=None):$/;" f +logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def logical_and(x, y, name=None):$/;" f +logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logical_and(x1, x2):$/;" f +logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def logical_and(a, b):$/;" f +logical_and_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_and_eager_fallback(x, y, name, ctx):$/;" f +LOGICAL_HEBREW_NAME adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ LOGICAL_HEBREW_NAME = "windows-1255"$/;" v class:HebrewProber +LOGICAL_HEBREW_NAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ LOGICAL_HEBREW_NAME = "windows-1255"$/;" v class:HebrewProber +logical_not adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^logical_not = _logical_op(np.logical_not, lax.bitwise_not)$/;" v +logical_not adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^logical_not = _MaskedUnaryOperation(umath.logical_not)$/;" v +logical_not adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^logical_not = _unary_op(math_ops.logical_not)$/;" v +LOGICAL_NOT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOGICAL_NOT = 87$/;" v class:BuiltinOperator +logical_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_not(x, name=None):$/;" f +logical_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logical_not(x):$/;" f +logical_not_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_not_eager_fallback(x, name, ctx):$/;" f +LOGICAL_OPERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^LOGICAL_OPERATORS = {$/;" v +logical_or adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^logical_or = _logical_op(np.logical_or, lax.bitwise_or)$/;" v +logical_or adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^logical_or = _MaskedBinaryOperation(umath.logical_or)$/;" v +logical_or adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def logical_or(image1, image2):$/;" f +logical_or adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^logical_or = _broadcasting_binary_op(math_ops.logical_or)$/;" v +LOGICAL_OR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOGICAL_OR = 84$/;" v class:BuiltinOperator +logical_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_or(x, y, name=None):$/;" f +logical_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logical_or(x1, x2):$/;" f +logical_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def logical_or(a, b):$/;" f +logical_or_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def logical_or_eager_fallback(x, y, name, ctx):$/;" f +logical_shape adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def logical_shape(poly_shape, padded_val):$/;" f function:mask.wrapped_fun file: +logical_xor adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^logical_xor = _logical_op(np.logical_xor, lax.bitwise_xor)$/;" v +logical_xor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def logical_xor(self, obj):$/;" m class:TestUfuncGenericLoops.foo +logical_xor adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^logical_xor = _MaskedBinaryOperation(umath.logical_xor)$/;" v +logical_xor adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def logical_xor(image1, image2):$/;" f +logical_xor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^logical_xor = _broadcasting_binary_op(math_ops.logical_xor)$/;" v +logical_xor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def logical_xor(x, y, name="LogicalXor"):$/;" f +logical_xor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logical_xor(x1, x2):$/;" f +LogInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def LogInfo(self, *msg_or_blobs):$/;" m class:Net +LoginRequired adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class LoginRequired(OAuth2Error):$/;" c +LoginRequired adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class LoginRequired(OpenIDClientError):$/;" c +logistic adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def logistic(key, shape=(), dtype=dtypes.float_):$/;" f +logistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^logistic = logistic_gen(name='logistic')$/;" v +LOGISTIC adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOGISTIC = 14$/;" v class:BuiltinOperator +LOGISTIC adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ LOGISTIC = 'logistic'$/;" v class:PredictionKeys +LogisticNormal adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^class LogisticNormal(TransformedDistribution):$/;" c +LogisticRegressionHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^class LogisticRegressionHead(RegressionHead):$/;" c +LogisticTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LogisticTransform(Transform):$/;" c +logistic_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class logistic_gen(rv_continuous):$/;" c +logit adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def logit(x):$/;" f +logit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def logit(x):$/;" f function:test_check_grad file: +logit adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef dfg_number_t logit(dfg_number_t x0) nogil$/;" f +LogitFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogitFormatter(Formatter):$/;" c +LogitLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogitLocator(MaxNLocator):$/;" c +LogitRelaxedBernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^class LogitRelaxedBernoulli(Distribution):$/;" c +logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def logits(self):$/;" m class:Bernoulli +logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def logits(self):$/;" m class:Categorical +logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def logits(self):$/;" m class:Multinomial +LOGITS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ LOGITS = 'logits'$/;" v class:PredictionKeys +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def logits(self):$/;" m class:Bernoulli +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def logits(self):$/;" m class:Binomial +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def logits(self):$/;" m class:Categorical +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def logits(self):$/;" m class:ContinuousBernoulli +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def logits(self):$/;" m class:Geometric +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def logits(self):$/;" m class:Multinomial +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def logits(self):$/;" m class:NegativeBinomial +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def logits(self):$/;" m class:OneHotCategorical +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def logits(self):$/;" m class:LogitRelaxedBernoulli +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def logits(self):$/;" m class:RelaxedBernoulli +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def logits(self):$/;" m class:ExpRelaxedCategorical +logits adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def logits(self):$/;" m class:RelaxedOneHotCategorical +LogitScale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LogitScale(ScaleBase):$/;" c +LOGITS_BIASES_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^LOGITS_BIASES_NAME = 'dnn\/logits\/bias'$/;" v +LOGITS_BIASES_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^LOGITS_BIASES_NAME = 'dnn\/logits\/bias'$/;" v +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def logits_dimension(self):$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def logits_dimension(self):$/;" m class:_Head +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def logits_dimension(self):$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def logits_dimension(self):$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def logits_dimension(self):$/;" m class:TimeSeriesRegressionHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def logits_dimension(self):$/;" m class:Head +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def logits_dimension(self):$/;" m class:BinaryClassHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def logits_dimension(self):$/;" m class:MultiClassHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def logits_dimension(self):$/;" m class:MultiHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def logits_dimension(self):$/;" m class:MultiLabelHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def logits_dimension(self):$/;" m class:RegressionHead +logits_dimension adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def logits_dimension(self):$/;" m class:SequentialHeadWrapper +logits_to_probs adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def logits_to_probs(logits, is_binary=False):$/;" f +LOGITS_WEIGHTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^LOGITS_WEIGHTS_NAME = 'dnn\/logits\/kernel'$/;" v +LOGITS_WEIGHTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^LOGITS_WEIGHTS_NAME = 'dnn\/logits\/kernel'$/;" v +LogitTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LogitTransform(Transform):$/;" c +logit_deformatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def logit_deformatter(string):$/;" m class:TestLogitFormatter +loglaplace adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^loglaplace = loglaplace_gen(a=0.0, name='loglaplace')$/;" v +loglaplace_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class loglaplace_gen(rv_continuous):$/;" c +LogLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class LogLocator(Locator):$/;" c +loglog adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def loglog(self, *args, **kwargs):$/;" m class:Axes +loglog adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def loglog(*args, **kwargs):$/;" f +logm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def logm(A, disp=True):$/;" f +logm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^logm = gen_linalg_ops.matrix_logarithm$/;" v +logm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_logarithm as logm$/;" x +logm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_logarithm as logm$/;" x +logm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_logarithm as logm$/;" x +LogMatrixDeterminant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^LogMatrixDeterminant = tf_export("raw_ops.LogMatrixDeterminant")(_ops.to_raw_op(log_matrix_deter/;" v +logmeanexp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def logmeanexp(x):$/;" f +LogmError adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class LogmError(np.linalg.LinAlgError):$/;" c +LogMessage adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^LogMessage = _reflection.GeneratedProtocolMessageType('LogMessage', (_message.Message,), {$/;" v +LogMessage adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^LogMessage = _reflection.GeneratedProtocolMessageType('LogMessage', (_message.Message,), {$/;" v +LogmExactlySingularWarning adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class LogmExactlySingularWarning(LogmRankWarning):$/;" c +LogmNearlySingularWarning adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class LogmNearlySingularWarning(LogmRankWarning):$/;" c +LogmRankWarning adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class LogmRankWarning(UserWarning):$/;" c +logn adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def logn(n, x):$/;" f +LOGNDTR_FLOAT32_LOWER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^LOGNDTR_FLOAT32_LOWER = np.array(-10, np.float32)$/;" v +LOGNDTR_FLOAT32_UPPER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^LOGNDTR_FLOAT32_UPPER = np.array(5, np.float32)$/;" v +LOGNDTR_FLOAT64_LOWER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^LOGNDTR_FLOAT64_LOWER = np.array(-20, np.float64)$/;" v +LOGNDTR_FLOAT64_UPPER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^LOGNDTR_FLOAT64_UPPER = np.array(8, np.float64)$/;" v +LogNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class LogNorm(Normalize):$/;" c +lognorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^lognorm = lognorm_gen(a=0.0, name='lognorm')$/;" v +LogNormal adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^class LogNormal(TransformedDistribution):$/;" c +LogNormalDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^LogNormalDistribution = _reflection.GeneratedProtocolMessageType('LogNormalDistribution', (_mess/;" v +lognorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class lognorm_gen(rv_continuous):$/;" c +logo adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^logo = Response($/;" v +LogotypeAudio adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeAudio(univ.Sequence):$/;" c +LogotypeAudioInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeAudioInfo(univ.Sequence):$/;" c +LogotypeData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeData(univ.Sequence):$/;" c +LogotypeDetails adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeDetails(univ.Sequence):$/;" c +LogotypeExtn adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeExtn(univ.Sequence):$/;" c +LogotypeImage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeImage(univ.Sequence):$/;" c +LogotypeImageInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeImageInfo(univ.Sequence):$/;" c +LogotypeImageResolution adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeImageResolution(univ.Choice):$/;" c +LogotypeImageType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeImageType(univ.Integer):$/;" c +LogotypeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeInfo(univ.Choice):$/;" c +LogotypeReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class LogotypeReference(univ.Sequence):$/;" c +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/beta.py /^def logpdf(x, a, b, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/cauchy.py /^def logpdf(x, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/dirichlet.py /^def logpdf(x, alpha):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/expon.py /^def logpdf(x, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/gamma.py /^def logpdf(x, a, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/laplace.py /^def logpdf(x, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def logpdf(x):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/multivariate_normal.py /^def logpdf(x, mean, cov, allow_singular=None):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^def logpdf(x, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/pareto.py /^def logpdf(x, b, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/t.py /^def logpdf(x, df, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/uniform.py /^def logpdf(x, loc=0, scale=1):$/;" f +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def logpdf(self, x):$/;" m class:gaussian_kde +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logpdf(self, x):$/;" m class:rv_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logpdf(self, x, *args, **kwds):$/;" m class:rv_continuous +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x):$/;" m class:dirichlet_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x):$/;" m class:invwishart_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, X):$/;" m class:matrix_normal_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x):$/;" m class:multivariate_normal_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x):$/;" m class:multivariate_t_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x):$/;" m class:wishart_frozen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x, alpha):$/;" m class:dirichlet_gen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x, df, scale):$/;" m class:invwishart_gen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x, df, scale):$/;" m class:wishart_gen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x, loc=None, shape=1, df=1):$/;" m class:multivariate_t_gen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, x, mean=None, cov=1, allow_singular=False):$/;" m class:multivariate_normal_gen +logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpdf(self, X, mean=None, rowcov=1, colcov=1):$/;" m class:matrix_normal_gen +logpdf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def logpdf_single(x, p, b):$/;" f member:geninvgauss_gen._logpdf file: +logpmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/bernoulli.py /^def logpmf(k, p, loc=0):$/;" f +logpmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/geom.py /^def logpmf(k, p, loc=0):$/;" f +logpmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/poisson.py /^def logpmf(k, mu, loc=0):$/;" f +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logpmf(self, k):$/;" m class:rv_frozen +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logpmf(self, k, *args, **kwds):$/;" m class:rv_discrete +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpmf(self, x):$/;" m class:multinomial_frozen +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpmf(self, x):$/;" m class:multivariate_hypergeom_frozen +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpmf(self, x, m, n):$/;" m class:multivariate_hypergeom_gen +logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def logpmf(self, x, n, p):$/;" m class:multinomial_gen +logqpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ logqpdf = lambda x: self._logquasipdf(x, p, b) - lm$/;" f member:geninvgauss_gen._rvs_scalar file: +logqpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ logqpdf = lambda x: self._logquasipdf(x, p, b)$/;" f member:geninvgauss_gen._rvs_scalar file: +LogScale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LogScale(ScaleBase):$/;" c +logser adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^logser = logser_gen(a=1, name='logser', longname='A logarithmic')$/;" v +logser_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class logser_gen(rv_discrete):$/;" c +logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logsf(self, k, *args, **kwds):$/;" m class:rv_discrete +logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logsf(self, x):$/;" m class:rv_frozen +logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def logsf(self, x, *args, **kwds):$/;" m class:rv_continuous +logsigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^logsigmoid = _add_docstr(torch._C._nn.log_sigmoid, r"""$/;" v +LogSigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class LogSigmoid(Module):$/;" c +LogSoftmax adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^LogSoftmax = elementwise(log_softmax, axis=-1)$/;" v +logsoftmax adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^logsoftmax = log_softmax$/;" v +LogSoftmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^LogSoftmax = tf_export("raw_ops.LogSoftmax")(_ops.to_raw_op(log_softmax))$/;" v +LogSoftmax adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class LogSoftmax(Module):$/;" c +LogSoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LogSoftmaxOptions = 36$/;" v class:BuiltinOptions +LogSoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogSoftmaxOptions(object):$/;" c +LogSoftmaxOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LogSoftmaxOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LogSoftmaxOptions +LogSoftmaxOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogSoftmaxOptionsEnd(builder): return builder.EndObject()$/;" f +LogSoftmaxOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LogSoftmaxOptionsStart(builder): builder.StartObject(0)$/;" f +LogSoftmaxOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LogSoftmaxOptionsT(object):$/;" c +logspace adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def logspace(start, stop, num=50, endpoint=True, base=10.0, dtype=None,$/;" f +logspace adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def logspace(start, stop, num=50, endpoint=True, base=10.0, dtype=None,$/;" f +logspace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def logspace(start, stop, num=50, endpoint=True, base=10.0, dtype=None, axis=0):$/;" f +logstr adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^ def logstr(self, str):$/;" m class:ModelTrainerLog +logsumexp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def logsumexp(x):$/;" f +logsumexp adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^logsumexp = _logsumexp$/;" v +logsumexp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def logsumexp(a, axis=None, b=None, keepdims=False, return_sign=False):$/;" f +logsumexp adpepsenv/lib/python3.8/site-packages/scipy/special/_logsumexp.py /^def logsumexp(a, axis=None, b=None, keepdims=False, return_sign=False):$/;" f +logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def logsumexp(x, axis=None, keepdims=False):$/;" f +logsumexp adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def logsumexp(g, input, dim, keepdim):$/;" f +logsumexp_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def logsumexp_grad(grad_out, outputs, inputs):$/;" f +LogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def LogTransform(self):$/;" m class:LogScale +LogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class LogTransform(Transform):$/;" c +loguniform adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^loguniform = reciprocal_gen(name="loguniform")$/;" v +LogUniformCandidateSampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^LogUniformCandidateSampler = tf_export("raw_ops.LogUniformCandidateSampler")(_ops.to_raw_op(log_/;" v +log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def log_abs_determinant(self, name="log_abs_det"):$/;" m class:LinearOperator +LOG_ABS_DETERMINANT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ LOG_ABS_DETERMINANT = "log_abs_determinant"$/;" v class:CheckTapeSafeSkipOptions +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:AffineTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:CatTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:ComposeTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:ExpTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:PowerTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:SigmoidTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:StackTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:StickBreakingTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:TanhTransform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:Transform +log_abs_det_jacobian adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def log_abs_det_jacobian(self, x, y):$/;" m class:_InverseTransform +log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def log_cdf(self, value, name="log_cdf"):$/;" m class:Distribution +log_cdf_laplace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def log_cdf_laplace(x, name="log_cdf_laplace"):$/;" f +log_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def log_combinations(n, counts, name="log_combinations"):$/;" f +log_cosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def log_cosh(y_true, y_pred):$/;" f +log_cosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^log_cosh = logcosh$/;" v +log_debug adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def log_debug(self, msg, *args):$/;" m class:DistutilsRefactoringTool +log_debug adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ def log_debug(self, msg, *args):$/;" m class:run_2to3.DistutilsRefactoringTool +log_device_compatibility_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^def log_device_compatibility_check(policy_name):$/;" f +log_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def log_device_placement(self):$/;" m class:Context +log_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def log_device_placement(self, enable):$/;" m class:Context +LOG_DIVIDER adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^LOG_DIVIDER = '----------------------------------------'$/;" v +log_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def log_eager_fallback(x, name, ctx):$/;" f +log_err adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def log_err(*args):$/;" f member:TestSeterr.test_errobj file: +log_error adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def log_error(self, msg, *args, **kw):$/;" m class:DistutilsRefactoringTool +log_error adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ def log_error(self, msg, *args, **kw):$/;" m class:run_2to3.DistutilsRefactoringTool +log_error adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log_error(self, *args):$/;" m class:WSGIRequestHandler +log_every_n adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def log_every_n(level, msg, n, *args):$/;" f +log_every_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def log_every_n(level, msg, n, *args):$/;" f +log_every_n_seconds adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def log_every_n_seconds(level, msg, n_seconds, *args):$/;" f +log_first_n adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def log_first_n(level, msg, n, *args):$/;" f +log_first_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def log_first_n(level, msg, n, *args): # pylint: disable=g-bad-name$/;" f +log_if adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def log_if(level, msg, condition, *args):$/;" f +log_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def log_if(level, msg, condition, *args):$/;" f +LOG_INT_SECS adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^LOG_INT_SECS = 60$/;" v +LOG_INT_SECS adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^LOG_INT_SECS = 60$/;" v +log_latency adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^def log_latency(region_name_or_function_to_decorate, log_level=None):$/;" f +log_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def log_loss(labels, predictions, weights=1.0, epsilon=1e-7, scope=None,$/;" f +log_matrix_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def log_matrix_determinant(input, name=None):$/;" f +log_matrix_determinant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def log_matrix_determinant_eager_fallback(input, name, ctx):$/;" f +log_message adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def log_message(self, format, *args):$/;" m class:_WSGIRequestHandler +log_message adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def log_message(extra):$/;" f member:JaxToTfTestCase.ConvertAndCompare file: +log_message adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def log_message(self, msg, *args):$/;" m class:DistutilsRefactoringTool +log_message adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ def log_message(self, msg, *args):$/;" m class:run_2to3.DistutilsRefactoringTool +log_message adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log_message(self, format, *args):$/;" m class:WSGIRequestHandler +log_metrics adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def log_metrics(self):$/;" m class:Metrics +log_ndtr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def log_ndtr(x, series_order=3):$/;" f +log_ndtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t log_ndtr(Dd_number_t x0) nogil$/;" f +log_ndtr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def log_ndtr(x, series_order=3, name="log_ndtr"):$/;" f +log_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def log_op(X):$/;" f member:TestElementwiseOps.test_log file: +log_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^log_p = standard_unop(_float | _complex, 'log')$/;" v +log_pin_request adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def log_pin_request(self):$/;" m class:DebuggedApplication +log_poisson_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def log_poisson_loss(targets, log_input, compute_full_loss=False, name=None):$/;" f +log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def log_prob(self, value, name="log_prob"):$/;" m class:Distribution +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def log_prob(self, value):$/;" m class:Bernoulli +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def log_prob(self, value):$/;" m class:Beta +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def log_prob(self, value):$/;" m class:Binomial +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def log_prob(self, value):$/;" m class:Categorical +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def log_prob(self, value):$/;" m class:Cauchy +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def log_prob(self, value):$/;" m class:ContinuousBernoulli +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def log_prob(self, value):$/;" m class:Dirichlet +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def log_prob(self, value):$/;" m class:Distribution +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def log_prob(self, value):$/;" m class:Exponential +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def log_prob(self, value):$/;" m class:FisherSnedecor +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def log_prob(self, value):$/;" m class:Gamma +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def log_prob(self, value):$/;" m class:Geometric +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def log_prob(self, value):$/;" m class:Gumbel +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def log_prob(self, value):$/;" m class:HalfCauchy +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def log_prob(self, value):$/;" m class:HalfNormal +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def log_prob(self, value):$/;" m class:Independent +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def log_prob(self, value):$/;" m class:Laplace +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def log_prob(self, value):$/;" m class:LowRankMultivariateNormal +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def log_prob(self, x):$/;" m class:MixtureSameFamily +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def log_prob(self, value):$/;" m class:Multinomial +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def log_prob(self, value):$/;" m class:MultivariateNormal +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def log_prob(self, value):$/;" m class:NegativeBinomial +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def log_prob(self, value):$/;" m class:Normal +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def log_prob(self, value):$/;" m class:OneHotCategorical +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def log_prob(self, value):$/;" m class:Poisson +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def log_prob(self, value):$/;" m class:LogitRelaxedBernoulli +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def log_prob(self, value):$/;" m class:ExpRelaxedCategorical +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def log_prob(self, value):$/;" m class:StudentT +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def log_prob(self, value):$/;" m class:TransformedDistribution +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def log_prob(self, value):$/;" m class:Uniform +log_prob adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def log_prob(self, value):$/;" m class:VonMises +log_prob adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def log_prob(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveLogSoftmaxWithLoss +log_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def log_ref(input_tensor):$/;" f member:TestOperators.test_log file: +log_reload adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def log_reload(self, filename):$/;" m class:ReloaderLoop +log_request adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log_request(self, code="-", size="-"):$/;" m class:WSGIRequestHandler +log_sigm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^ def log_sigm(x):$/;" f member:TestListwiseL2rOps.ref_lambda_rank_loss file: +log_sigmoid adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def log_sigmoid(x: Array) -> Array:$/;" f +log_sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def log_sigmoid(x, name=None):$/;" f +log_sigmoid adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def log_sigmoid(g, input):$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def log_softmax(x: Array, axis: Optional[Union[int, Tuple[int, ...]]] = -1) -> Array:$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/scipy/special/_logsumexp.py /^def log_softmax(x, axis=None):$/;" f +LOG_SOFTMAX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LOG_SOFTMAX = 50$/;" v class:BuiltinOperator +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def log_softmax(logits, name=None):$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def log_softmax(logits, axis=None, name=None, dim=None):$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import log_softmax_v2 as log_softmax$/;" x +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import log_softmax_v2 as log_softmax$/;" x +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import log_softmax_v2 as log_softmax$/;" x +log_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import log_softmax_v2 as log_softmax$/;" x +log_softmax adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def log_softmax(input, dim=None, _stacklevel=3, dtype=None):$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def log_softmax(g, input, dim, dtype=None):$/;" f +log_softmax adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^def log_softmax(input: Tensor, dim: int, dtype: Optional[DType] = None) -> Tensor:$/;" f +log_softmax_2d_expected adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def log_softmax_2d_expected():$/;" f +log_softmax_2d_x adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def log_softmax_2d_x():$/;" f +log_softmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def log_softmax_eager_fallback(logits, name, ctx):$/;" f +log_softmax_expected adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def log_softmax_expected():$/;" f +log_softmax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def log_softmax_v2(logits, axis=None, name=None):$/;" f +log_softmax_x adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def log_softmax_x():$/;" f +log_startup adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def log_startup(sock):$/;" f function:run_simple file: +log_std_init adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def log_std_init(self):$/;" m class:AdaptiveWeight +log_std_reg adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def log_std_reg(self, net, reg):$/;" m class:AdaptiveWeight +log_std_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def log_std_weight(self, x, net, weight):$/;" m class:AdaptiveWeight +log_step_count_steps adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def log_step_count_steps(self):$/;" m class:RunConfig +log_streams adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^ log_streams = ("ext:\/\/sys.stderr", "ext:\/\/sys.stderr")$/;" v class:FreezeCommand +LOG_SUFFIX adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^LOG_SUFFIX = args.log_suffix$/;" v +log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def log_survival_function(self, value, name="log_survival_function"):$/;" m class:Distribution +log_uniform_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/candidate_sampling_ops.py /^def log_uniform_candidate_sampler(true_classes, num_true, num_sampled, unique,$/;" f +log_uniform_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def log_uniform_candidate_sampler(true_classes, num_true, num_sampled, unique, range_max, seed=0/;" f +log_uniform_candidate_sampler_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def log_uniform_candidate_sampler_eager_fallback(true_classes, num_true, num_sampled, unique, ra/;" f +lomax adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^lomax = lomax_gen(a=0.0, name="lomax")$/;" v +lomax_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class lomax_gen(rv_continuous):$/;" c +lombscargle adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def lombscargle(x,$/;" f +long adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ long = int$/;" v +long adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ long = int$/;" v +long adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ long = int # pylint: disable=redefined-builtin,invalid-name$/;" v +long adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^long = int$/;" v +LONG adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^LONG = 4$/;" v +long adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ long = int$/;" v +long adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def long(self):$/;" m class:PackedSequence +long adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def long(self):$/;" m class:_StorageBase +longdouble_longer_than_double adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^longdouble_longer_than_double = (LD_INFO.eps < np.finfo(np.double).eps)$/;" v +longest_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/_base.py /^ def longest_prefix(self, prefix):$/;" m class:Trie +longest_prefix adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def longest_prefix(self, prefix):$/;" m class:Trie +longest_prefix adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/_base.py /^ def longest_prefix(self, prefix):$/;" m class:Trie +longest_prefix_item adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/_base.py /^ def longest_prefix_item(self, prefix):$/;" m class:Trie +longest_prefix_item adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def longest_prefix_item(self, prefix):$/;" m class:Trie +longest_prefix_item adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/_base.py /^ def longest_prefix_item(self, prefix):$/;" m class:Trie +longMessage adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ longMessage = True$/;" v class:TestCase +longMessage adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ longMessage = True$/;" v class:TestCase +longopt_pat adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^longopt_pat = r'[a-zA-Z](?:[a-zA-Z0-9-]*)'$/;" v +longopt_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^longopt_re = re.compile(r'^%s$' % longopt_pat)$/;" v +longopt_xlate adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^longopt_xlate = str.maketrans('-', '_')$/;" v +LongStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class LongStorage(_CudaBase, torch._C.CudaLongStorageBase, _StorageBase):$/;" c +LongStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class LongStorage(_C.LongStorageBase, _StorageBase):$/;" c +long_double_representation adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def long_double_representation(lines):$/;" f +LONG_DOUBLE_REPRESENTATION_SRC adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^LONG_DOUBLE_REPRESENTATION_SRC = r"""$/;" v +LONG_FIELDS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^LONG_FIELDS = ["sessionlog:start", "sessionlog:stop"]$/;" v +LONG_SIZE adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^LONG_SIZE = struct.Struct('=l').size$/;" v +long_ton adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^long_ton = 2240 * pound$/;" v +LONG_VERSION_PY adpeps/_version.py /^LONG_VERSION_PY = {}$/;" v +LONG_VERSION_PY versioneer.py /^LONG_VERSION_PY = {}$/;" v +lookfor adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def lookfor(what, module=None, import_modules=True, regenerate=False,$/;" f +LookingAt adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def LookingAt(self, token):$/;" m class:Tokenizer +looks_like_ci adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^def looks_like_ci():$/;" f +looks_like_hash adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^def looks_like_hash(sha):$/;" f +lookup adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^def lookup(tag):$/;" f +lookup adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def lookup(label):$/;" f +lookup adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def lookup(self, key, name):$/;" m class:RegistryInfo +lookup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def lookup(label):$/;" f +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^ def lookup(self, name):$/;" m class:Registry +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def lookup(self, inputs):$/;" m class:TableHandler +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, dynamic_default_values=None, name=None):$/;" m class:MutableHashTable +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, name=None):$/;" m class:DenseHashTable +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, name=None):$/;" m class:IdTableWithHashBuckets +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, name=None):$/;" m class:InitializableLookupTableBase +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, name=None):$/;" m class:LookupInterface +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def lookup(self, keys, name=None):$/;" m class:StaticVocabularyTable +lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def lookup(self, keys, default):$/;" m class:CheckpointedOp +lookup adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def lookup(self):$/;" m class:_CacheTrainingStatesUsingHashTable +lookup adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def lookup(self):$/;" m class:_CacheTrainingStatesUsingVariables +lookup adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def lookup(self, keys, name=None):$/;" m class:_MutableDenseHashTable +lookup adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def lookup(self, keys, name=None):$/;" m class:_ShardedMutableDenseHashTable +lookup adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def lookup(self, obj):$/;" m class:environ_property +lookup adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def lookup(self, obj):$/;" m class:header_property +LookupDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^class LookupDict(dict):$/;" c +LookupDict adpepsenv/lib/python3.8/site-packages/requests/structures.py /^class LookupDict(dict):$/;" c +lookupEncoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^def lookupEncoding(encoding):$/;" f +lookupEncoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^def lookupEncoding(encoding):$/;" f +LookupGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def LookupGrad(op, dval):$/;" f +lookupInModule adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def lookupInModule(qualified_name, module):$/;" f function:createResolutionCallbackFromEnv file: +LookupInterface adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class LookupInterface(trackable.TrackableResource):$/;" c +LookupTableExport adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableExport = tf_export("raw_ops.LookupTableExport")(_ops.to_raw_op(lookup_table_export))$/;" v +LookupTableExportV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableExportV2 = tf_export("raw_ops.LookupTableExportV2")(_ops.to_raw_op(lookup_table_expor/;" v +LookupTableFind adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableFind = tf_export("raw_ops.LookupTableFind")(_ops.to_raw_op(lookup_table_find))$/;" v +LookupTableFindV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableFindV2 = tf_export("raw_ops.LookupTableFindV2")(_ops.to_raw_op(lookup_table_find_v2))$/;" v +LookupTableImport adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableImport = tf_export("raw_ops.LookupTableImport")(_ops.to_raw_op(lookup_table_import))$/;" v +LookupTableImportV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableImportV2 = tf_export("raw_ops.LookupTableImportV2")(_ops.to_raw_op(lookup_table_impor/;" v +LookupTableInsert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableInsert = tf_export("raw_ops.LookupTableInsert")(_ops.to_raw_op(lookup_table_insert))$/;" v +LookupTableInsertV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableInsertV2 = tf_export("raw_ops.LookupTableInsertV2")(_ops.to_raw_op(lookup_table_inser/;" v +LookupTableRemoveV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableRemoveV2 = tf_export("raw_ops.LookupTableRemoveV2")(_ops.to_raw_op(lookup_table_remov/;" v +LookupTableSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableSize = tf_export("raw_ops.LookupTableSize")(_ops.to_raw_op(lookup_table_size))$/;" v +LookupTableSizeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^LookupTableSizeV2 = tf_export("raw_ops.LookupTableSizeV2")(_ops.to_raw_op(lookup_table_size_v2))$/;" v +lookup_arg adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def lookup_arg(match):$/;" f function:format_string file: +lookup_attribute adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def lookup_attribute(parent, attribute):$/;" f function:resolve_path file: +lookup_dylib adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^ def lookup_dylib(name, path):$/;" f function:profile file: +lookup_exactly_one_of adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def lookup_exactly_one_of(d: AxisNamePos, names: Set[AxisName]) -> Optional[int]:$/;" f +lookup_in_class adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def lookup_in_class(key):$/;" f function:createResolutionCallbackForClassMethods file: +lookup_name adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def lookup_name(self, name):$/;" m class:Name +lookup_name adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def lookup_name(self, name):$/;" m class:Scope +lookup_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def lookup_prefix(self, prefix, n):$/;" m class:CommandHistory +lookup_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def lookup_replicas(self, task_id, logical_core):$/;" m class:DeviceAssignment +lookup_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def lookup_scope(self, node):$/;" m class:RootScope +lookup_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def lookup_scope(self, node):$/;" m class:Scope +lookup_table_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_export(table_handle, Tkeys, Tvalues, name=None):$/;" f +lookup_table_export_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_export_eager_fallback(table_handle, Tkeys, Tvalues, name, ctx):$/;" f +lookup_table_export_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_export_v2(table_handle, Tkeys, Tvalues, name=None):$/;" f +lookup_table_export_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_export_v2_eager_fallback(table_handle, Tkeys, Tvalues, name, ctx):$/;" f +lookup_table_find adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_find(table_handle, keys, default_value, name=None):$/;" f +lookup_table_find_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_find_eager_fallback(table_handle, keys, default_value, name, ctx):$/;" f +lookup_table_find_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_find_v2(table_handle, keys, default_value, name=None):$/;" f +lookup_table_find_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_find_v2_eager_fallback(table_handle, keys, default_value, name, ctx):$/;" f +lookup_table_import adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_import(table_handle, keys, values, name=None):$/;" f +lookup_table_import_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_import_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +lookup_table_import_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_import_v2(table_handle, keys, values, name=None):$/;" f +lookup_table_import_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_import_v2_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +lookup_table_insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_insert(table_handle, keys, values, name=None):$/;" f +lookup_table_insert_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_insert_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +lookup_table_insert_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_insert_v2(table_handle, keys, values, name=None):$/;" f +lookup_table_insert_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_insert_v2_eager_fallback(table_handle, keys, values, name, ctx):$/;" f +lookup_table_remove_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_remove_v2(table_handle, keys, name=None):$/;" f +lookup_table_remove_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_remove_v2_eager_fallback(table_handle, keys, name, ctx):$/;" f +lookup_table_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_size(table_handle, name=None):$/;" f +lookup_table_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_size_eager_fallback(table_handle, name, ctx):$/;" f +lookup_table_size_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_size_v2(table_handle, name=None):$/;" f +lookup_table_size_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def lookup_table_size_v2_eager_fallback(table_handle, name, ctx):$/;" f +loop adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/control_ops.py /^def loop(model, cond_blob, external_blobs, loop_model, cond_model=None):$/;" f +loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def loop(self, iters=None, name=None):$/;" m class:Operations +loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def loop(coord, timer_interval_secs, target, args=None, kwargs=None):$/;" m class:LooperThread +loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def loop(self, timer_interval_secs, target, args=None, kwargs=None):$/;" m class:Supervisor +LoopCond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^LoopCond = tf_export("raw_ops.LoopCond")(_ops.to_raw_op(loop_cond))$/;" v +LooperThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^class LooperThread(threading.Thread):$/;" c +loop_body adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def loop_body(i, encoded_images, images):$/;" f function:create_sprite_image file: +loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ loop_body = lambda i: math_ops.add(i, 2)$/;" f member:SessionDebugTestBase.testDebugWhileLoopWatchingWholeGraphWorks file: +loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def loop_body(has_data, data, state):$/;" f member:_IterableInput.reduce file: +loop_cond adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def loop_cond(carry):$/;" f function:_gmres_batched file: +loop_cond adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def loop_cond(carry):$/;" f function:_gmres_incremental file: +loop_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ loop_cond = lambda i: math_ops.less(i, 16)$/;" f member:SessionDebugTestBase.testDebugWhileLoopWatchingWholeGraphWorks file: +loop_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def loop_cond(input, name=None):$/;" f +loop_cond_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def loop_cond_eager_fallback(input, name, ctx):$/;" f +loop_cond_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def loop_cond_op(op):$/;" m class:TensorTracer +loop_enters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def loop_enters(self):$/;" m class:WhileContext +loop_exits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def loop_exits(self):$/;" m class:WhileContext +loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def loop_fn(i):$/;" f member:GradientTape.batch_jacobian file: +loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def loop_fn(i):$/;" f member:GradientTape.jacobian file: +loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def loop_fn(i):$/;" f function:vectorized_map file: +loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/gradients.py /^ def loop_fn(i):$/;" f function:batch_jacobian file: +loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/gradients.py /^ def loop_fn(i):$/;" f function:jacobian file: +loop_len_vector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def loop_len_vector(self):$/;" m class:PFor +loop_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def loop_var(self):$/;" m class:PFor +looseDetab adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def looseDetab(self, text, level=1):$/;" m class:BlockProcessor +LooseVersion adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^class LooseVersion (Version):$/;" c +lorentz adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def lorentz(self, beta, x):$/;" m class:TestODR +loss adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def loss(self):$/;" m class:LayerModelHelper +loss adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def loss(self, loss):$/;" m class:LayerModelHelper +loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^ def loss(probs, labels):$/;" f function:main file: +loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def loss(x):$/;" f member:DistributionTestBase._test_minimize_loss_eager file: +loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def loss(x):$/;" f member:DistributionTestBase._test_minimize_loss_graph file: +Loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class Loss(object):$/;" c +loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def loss(self):$/;" m class:_SupervisedOutput +LOSS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ LOSS = model_fn.LOSS_METRIC_KEY$/;" v class:MetricKeys +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def loss(self,$/;" m class:Head +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def loss(self,$/;" m class:BinaryClassHead +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def loss(self,$/;" m class:MultiClassHead +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def loss(self,$/;" m class:MultiHead +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def loss(self,$/;" m class:MultiLabelHead +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def loss(self,$/;" m class:RegressionHead +loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def loss(self,$/;" m class:SequentialHeadWrapper +LOSSES adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^LOSSES = list(IMPLEMENTED_LOSSES.keys()) + [cubic_soft_l1]$/;" v +LOSSES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ LOSSES = "losses"$/;" v class:GraphKeys +losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def losses(self):$/;" m class:Layer +losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def losses(self):$/;" m class:Layer +losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def losses(self):$/;" m class:_CuDNNRNN +losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def losses(self):$/;" m class:TrackableDataStructure +losses adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^ losses = keras.losses$/;" v +losses adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^ losses = keras.losses$/;" v +losses adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^ losses = keras.losses$/;" v +losses adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ losses = keras.losses$/;" v +losses adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ losses = keras.losses$/;" v +LossesContainer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^class LossesContainer(Container):$/;" c +losses_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^from tensorflow.python.keras import losses as losses_mod$/;" x +losses_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^from tensorflow.python.ops.losses import util as losses_util$/;" x +LossFunctionMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class LossFunctionMixin(object):$/;" c +LossFunctionWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class LossFunctionWrapper(Loss):$/;" c +LossScale adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^class LossScale(trackable.Trackable):$/;" c +LossScaleGradientTape adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^class LossScaleGradientTape(backprop.GradientTape):$/;" c +LossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.loss_scale_optimizer import LossScaleOptimizerV1 as/;" x +LossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.loss_scale_optimizer import LossScaleOptimizerV1 as/;" x +LossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.loss_scale_optimizer import LossScaleOptimizerV1 as/;" x +LossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.loss_scale_optimizer import LossScaleOptimizerV1 as/;" x +LossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class LossScaleOptimizer(_DelegatingTrackableMixin, optimizer_v2.OptimizerV2):$/;" c +LossScaleOptimizerV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class LossScaleOptimizerV1(LossScaleOptimizer):$/;" c +LossSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^LossSpec = collections.namedtuple($/;" v +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def loss_fn():$/;" f function:batchnorm_example.model_fn file: +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def loss_fn():$/;" f function:minimize_loss_example.model_fn file: +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def loss_fn(ctx, x):$/;" f function:single_loss_example file: +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def loss_fn(_, y_pred):$/;" m class:TestRegularizerLoss +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def loss_fn(self):$/;" m class:_TrainingEndpoint +loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def loss_fn(labels, logits):$/;" f function:_multiclass_head file: +loss_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^ def loss_function(f, cost_only=False):$/;" f function:construct_loss_function file: +LOSS_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ LOSS_MEAN = model_fn.AVERAGE_LOSS_METRIC_KEY$/;" v class:MetricKeys +LOSS_METRIC_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^LOSS_METRIC_KEY = 'loss'$/;" v +loss_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def loss_name(self):$/;" m class:_TrainingEndpoint +LOSS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ LOSS_NAME = 'loss'$/;" v class:_SupervisedOutput +loss_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def loss_op(self, targets, prediction_ops):$/;" m class:ARModel +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def loss_reduction(self):$/;" m class:Head +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def loss_reduction(self):$/;" m class:BinaryClassHead +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def loss_reduction(self):$/;" m class:MultiClassHead +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def loss_reduction(self):$/;" m class:MultiHead +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def loss_reduction(self):$/;" m class:MultiLabelHead +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def loss_reduction(self):$/;" m class:RegressionHead +loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def loss_reduction(self):$/;" m class:SequentialHeadWrapper +loss_reference_fns adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^loss_reference_fns = {$/;" v +LOSS_REGULARIZATION adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ LOSS_REGULARIZATION = 'regularization_loss'$/;" v class:MetricKeys +loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def loss_scale(self):$/;" m class:LossScaleOptimizer +loss_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def loss_scale(self):$/;" m class:PolicyV1 +loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale.py /^from tensorflow.python.training.experimental import loss_scale as loss_scale_module$/;" x +loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^from tensorflow.python.training.experimental import loss_scale as loss_scale_module$/;" x +loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^from tensorflow.python.training.experimental import loss_scale as loss_scale_module$/;" x +loss_scale_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^from tensorflow.python.training.experimental import loss_scale as loss_scale_module$/;" x +loss_scale_optimizer_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^from tensorflow.python.training.experimental import loss_scale_optimizer as loss_scale_optimizer/;" x +loss_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def loss_weight(self):$/;" m class:_TrainingEndpoint +loss_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def loss_weight(self, value):$/;" m class:_TrainingEndpoint +low adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def low(self):$/;" m class:Uniform +lower adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def lower(self):$/;" m class:chararray +lower adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def lower(a):$/;" f +lower adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_lower as lower$/;" x +lower adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_lower as lower$/;" x +lower adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_lower as lower$/;" x +lowerBidiagonalMatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^def lowerBidiagonalMatrix(m, n):$/;" f +LowerBound adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^LowerBound = tf_export("raw_ops.LowerBound")(_ops.to_raw_op(lower_bound))$/;" v +lowercase adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^lowercase = "abcdefghijklmnopqrstuvwxyz"$/;" v +LowerCholeskyTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class LowerCholeskyTransform(Transform):$/;" c +lowered adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def lowered(item):$/;" f member:Headers.__eq__ file: +lowergreek adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^lowergreek = ("\\\\alpha \\\\beta \\\\gamma \\\\delta \\\\epsilon \\\\zeta \\\\eta \\\\theta \\\\/;" v +LOWER_AND_STRIP_PUNCTUATION adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^LOWER_AND_STRIP_PUNCTUATION = "lower_and_strip_punctuation"$/;" v +lower_bound adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def lower_bound(sorted_inputs, values, out_type=_dtypes.int32, name=None):$/;" f +lower_bound_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def lower_bound_eager_fallback(sorted_inputs, values, out_type, name, ctx):$/;" f +lower_cholesky adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^lower_cholesky = _LowerCholesky()$/;" v +lower_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def lower_fun(fun, multiple_results, parallel=False, with_avals=False):$/;" f +lower_fun_initial_style adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def lower_fun_initial_style(fun):$/;" f +lower_items adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def lower_items(self):$/;" m class:CaseInsensitiveDict +lower_items adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def lower_items(self):$/;" m class:CaseInsensitiveDict +LOWER_TABLE adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^LOWER_TABLE = "".join(_all_chars[:65] + _ascii_lower + _all_chars[65+26:])$/;" v +lower_triangular adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^lower_triangular = _LowerTriangular()$/;" v +lower_xlim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def lower_xlim(self):$/;" m class:SkewXAxes +LowLevelCallable adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^class LowLevelCallable(tuple):$/;" c +LowRankMatrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class LowRankMatrix(object):$/;" c +LowRankMultivariateNormal adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^class LowRankMultivariateNormal(Distribution):$/;" c +lp2bp adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2bp(b, a, wo=1.0, bw=1.0):$/;" f +lp2bp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2bp_zpk(z, p, k, wo=1.0, bw=1.0):$/;" f +lp2bs adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2bs(b, a, wo=1.0, bw=1.0):$/;" f +lp2bs_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2bs_zpk(z, p, k, wo=1.0, bw=1.0):$/;" f +lp2hp adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2hp(b, a, wo=1.0):$/;" f +lp2hp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2hp_zpk(z, p, k, wo=1.0):$/;" f +lp2lp adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2lp(b, a, wo=1.0):$/;" f +lp2lp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def lp2lp_zpk(z, p, k, wo=1.0):$/;" f +LPAREN adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^LPAREN = L("(").suppress()$/;" v +LPAREN adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^LPAREN = L("(").suppress()$/;" v +LPAREN adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^LPAREN = L("(").suppress()$/;" v +LPAREN adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^LPAREN = L("(").suppress()$/;" v +LPAREN adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^LPAREN = L("(").suppress()$/;" v +LPAREN adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^LPAREN = L("(").suppress()$/;" v +lpgen_2d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def lpgen_2d(m, n):$/;" f +lpmn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def lpmn(m, n, z):$/;" f +lpmv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double lpmv(double x0, double x1, double x2) nogil$/;" f +lpn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def lpn(n, z):$/;" f +lpnm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def lpnm(n, m, z):$/;" f member:TestSystematic.test_legenp file: +lpnm_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def lpnm_2(n, m, z):$/;" f member:TestSystematic.test_legenp file: +LpNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class LpNorm(Regularizer):$/;" c +LpnormTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lpnorm_op_test.py /^class LpnormTest(hu.HypothesisTestCase):$/;" c +lpn_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def lpn_(n, x):$/;" f +LPPool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class LPPool1d(_LPPoolNd):$/;" c +LPPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class LPPool2d(_LPPoolNd):$/;" c +lpsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/_gcrotmk.py /^ lpsolve = lambda x: x$/;" f function:_fgmres file: +lp_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def lp_pool1d(input, norm_type, kernel_size, stride=None, ceil_mode=False):$/;" f +lp_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def lp_pool2d(input, norm_type, kernel_size, stride=None, ceil_mode=False):$/;" f +lqmn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def lqmn(m, n, z):$/;" f +lqn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def lqn(n, z):$/;" f +lqnm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def lqnm(n, m, z):$/;" f member:TestSystematic.test_legenq file: +lqnm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def lqnm(n, m, z):$/;" f member:TestSystematic.test_legenq_complex file: +lqn_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def lqn_(n, x):$/;" f +LraPopWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class LraPopWitness(univ.Sequence):$/;" c +LraPopWitness adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class LraPopWitness(univ.Sequence):$/;" c +LRC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LRC = 0x0028$/;" v class:WAVE_FORMAT +LRModificationTestBase adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^class LRModificationTestBase(object):$/;" c +LRN adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def LRN(self, *args, **kwargs):$/;" m class:CNNModelHelper +lrn adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def lrn(model, blob_in, blob_out, order="NCHW", use_cudnn=False, **kwargs):$/;" f +lrn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def lrn(input, depth_radius=5, bias=1, alpha=1, beta=0.5, name=None):$/;" f +LRN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^LRN = tf_export("raw_ops.LRN")(_ops.to_raw_op(lrn))$/;" v +LRNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^LRNGrad = tf_export("raw_ops.LRNGrad")(_ops.to_raw_op(lrn_grad))$/;" v +LRNTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/LRN_op_test.py /^class LRNTest(hu.HypothesisTestCase):$/;" c +lrn_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def lrn_eager_fallback(input, depth_radius, bias, alpha, beta, name, ctx):$/;" f +lrn_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def lrn_grad(input_grads, input_image, output_image, depth_radius=5, bias=1, alpha=1, beta=0.5, /;" f +lrn_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def lrn_grad_eager_fallback(input_grads, input_image, output_image, depth_radius, bias, alpha, b/;" f +LRUCache adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^class LRUCache(Cache):$/;" c +LruCache adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ class LruCache(Protocol):$/;" c +LRUCache adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^class LRUCache(object):$/;" c +LRUDict adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^class LRUDict(collections.OrderedDict):$/;" c +lru_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def lru_cache(maxsize=128, typed=False):$/;" f +ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^import scipy.optimize.linesearch as ls$/;" I +ls32 adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^ls32 = r"(?: (?: %(h16)s : %(h16)s ) | %(IPv4address)s )" % locals()$/;" v +LS32_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^LS32_PAT = "(?:{hex}:{hex}|{ipv4})".format(hex=HEX_PAT, ipv4=IPV4_PAT)$/;" v +LS32_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^LS32_PAT = "(?:{hex}:{hex}|{ipv4})".format(hex=HEX_PAT, ipv4=IPV4_PAT)$/;" v +lsame adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef bint lsame(char *ca, char *cb) nogil$/;" f +lsb_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def lsb_release_attr(self, attribute):$/;" m class:LinuxDistribution +lsb_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def lsb_release_attr(attribute):$/;" f +lsb_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def lsb_release_info(self):$/;" m class:LinuxDistribution +lsb_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def lsb_release_info():$/;" f +LSFAIL adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^LSFAIL = 4 # Linear search failed$/;" v +LSHProjectionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LSHProjectionOptions = 4$/;" v class:BuiltinOptions +LSHProjectionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSHProjectionOptions(object):$/;" c +LSHProjectionOptionsAddType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSHProjectionOptionsAddType(builder, type): builder.PrependInt8Slot(0, type, 0)$/;" f +LSHProjectionOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LSHProjectionOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LSHProjectionOptions +LSHProjectionOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSHProjectionOptionsEnd(builder): return builder.EndObject()$/;" f +LSHProjectionOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSHProjectionOptionsStart(builder): builder.StartObject(1)$/;" f +LSHProjectionOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSHProjectionOptionsT(object):$/;" c +LSHProjectionType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSHProjectionType(object):$/;" c +LSH_PROJECTION adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LSH_PROJECTION = 15$/;" v class:BuiltinOperator +lsim adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def lsim(system, U, T, X0=None, interp=True):$/;" f +lsim2 adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def lsim2(system, U=None, T=None, X0=None, **kwargs):$/;" f +lsmr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsmr.py /^def lsmr(A, b, damp=0.0, atol=1e-6, btol=1e-6, conlim=1e8,$/;" f +lsmrtest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^def lsmrtest(m, n, damp):$/;" f +lsmr_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^def lsmr_operator(Jop, d, active_set):$/;" f +lso adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.keras.mixed_precision import loss_scale_optimizer as lso$/;" x +LSODA adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^class LSODA(OdeSolver):$/;" c +lsoda adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class lsoda(IntegratorBase):$/;" c +LsodaDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^class LsodaDenseOutput(DenseOutput):$/;" c +LSQBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class LSQBivariateSpline(BivariateSpline):$/;" c +lsqr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsqr.py /^def lsqr(A, b, damp=0.0, atol=1e-8, btol=1e-8, conlim=1e8,$/;" f +LSQSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class LSQSphereBivariateSpline(SphereBivariateSpline):$/;" c +LSQUnivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class LSQUnivariateSpline(UnivariateSpline):$/;" c +lsq_linear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/lsq_linear.py /^def lsq_linear(A, b, bounds=(-np.inf, np.inf), method='trf', tol=1e-10,$/;" f +lsq_solvers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ lsq_solvers = ['exact', 'lsmr']$/;" v class:TestTRF +lsq_solvers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ lsq_solvers = ['exact']$/;" v class:TestBVLS +LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^LSTM = functools.partial(_LSTM, LSTMCell)$/;" v +LSTM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LSTM = 16$/;" v class:BuiltinOperator +LSTM adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class LSTM(RNN):$/;" c +LSTM adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^class LSTM(recurrent.DropoutRNNCellMixin, recurrent.LSTM):$/;" c +lstm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def lstm():$/;" f +LSTM adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class LSTM(RNNBase):$/;" c +LSTM adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class LSTM(RNNBase):$/;" c +lstm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def lstm(g, *args):$/;" f +LSTMAutoRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^class LSTMAutoRegressor(TimeSeriesRegressor):$/;" c +LSTMBlockCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^LSTMBlockCell = tf_export("raw_ops.LSTMBlockCell")(_ops.to_raw_op(lstm_block_cell))$/;" v +LSTMBlockCellGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^LSTMBlockCellGrad = tf_export("raw_ops.LSTMBlockCellGrad")(_ops.to_raw_op(lstm_block_cell_grad))$/;" v +LSTMCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class LSTMCell(RNNCell):$/;" c +LSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class LSTMCell(LayerRNNCell):$/;" c +LSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class LSTMCell(DropoutRNNCellMixin, Layer):$/;" c +LSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^class LSTMCell(recurrent.LSTMCell):$/;" c +LSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^LSTMCell = rnn_cell_impl.LSTMCell$/;" v +LSTMCell adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class LSTMCell(RNNCellBase):$/;" c +LSTMCell adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class LSTMCell(RNNCellBase):$/;" c +LSTMCellV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent import LSTMCell as LSTMCellV1$/;" x +LSTMCellV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ LSTMCellV1 = LSTMCell$/;" v +LSTMCellV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent_v2 import LSTMCell as LSTMCellV2$/;" x +LSTMCellV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ LSTMCellV2 = LSTMCell$/;" v +LSTMInitializer adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class LSTMInitializer(object):$/;" c +LSTMKernelType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSTMKernelType(object):$/;" c +LSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ LSTMOptions = 14$/;" v class:BuiltinOptions +LSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSTMOptions(object):$/;" c +LSTMOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs): builder.PrependBo/;" f +LSTMOptionsAddCellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsAddCellClip(builder, cellClip): builder.PrependFloat32Slot(1, cellClip, 0.0)$/;" f +LSTMOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8/;" f +LSTMOptionsAddKernelType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsAddKernelType(builder, kernelType): builder.PrependInt8Slot(3, kernelType, 0)$/;" f +LSTMOptionsAddProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsAddProjClip(builder, projClip): builder.PrependFloat32Slot(2, projClip, 0.0)$/;" f +LSTMOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def LSTMOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:LSTMOptions +LSTMOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsEnd(builder): return builder.EndObject()$/;" f +LSTMOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def LSTMOptionsStart(builder): builder.StartObject(5)$/;" f +LSTMOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class LSTMOptionsT(object):$/;" c +LSTMPredictionModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^class LSTMPredictionModel(training.Model):$/;" c +LSTMStateTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class LSTMStateTuple(_LSTMStateTuple):$/;" c +LSTMStateTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^LSTMStateTuple = rnn_cell_impl.LSTMStateTuple$/;" v +LSTMV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent import LSTM as LSTMV1$/;" x +LSTMV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ LSTMV1 = LSTM$/;" v +LSTMV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.recurrent_v2 import LSTM as LSTMV2$/;" x +LSTMV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ LSTMV2 = LSTM$/;" v +LSTMWithAttention adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def LSTMWithAttention($/;" f +LSTMWithAttentionCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class LSTMWithAttentionCell(AttentionCell):$/;" c +LSTMWithAttentionDecoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^class LSTMWithAttentionDecoder(object):$/;" c +LSTMwithHiddenDynamicModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class LSTMwithHiddenDynamicModel(torch.nn.Module):$/;" c +lstm_base adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def lstm_base(self, seed, lstm_type, outputs_with_grads, memory_optim,$/;" m class:RNNCellTest +lstm_block_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def lstm_block_cell(x, cs_prev, h_prev, w, wci, wcf, wco, b, forget_bias=1, cell_clip=3, use_pee/;" f +lstm_block_cell_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def lstm_block_cell_eager_fallback(x, cs_prev, h_prev, w, wci, wcf, wco, b, forget_bias, cell_cl/;" f +lstm_block_cell_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def lstm_block_cell_grad(x, cs_prev, h_prev, w, wci, wcf, wco, b, i, cs, f, o, ci, co, cs_grad, /;" f +lstm_block_cell_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^def lstm_block_cell_grad_eager_fallback(x, cs_prev, h_prev, w, wci, wcf, wco, b, i, cs, f, o, ci/;" f +lstm_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_input():$/;" f +lstm_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_reference(input, hidden_input, cell_input,$/;" f +lstm_unit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_unit(*args, **kwargs):$/;" f +lstm_unit_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def lstm_unit_reference(*args, **kwargs):$/;" f member:RNNCellTest.test_lstm_unit_recurrent_network file: +lstm_with_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def lstm_with_attention($/;" m class:RNNCellTest +lstm_with_attention_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_attention_reference($/;" f +lstm_with_backend_selection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def lstm_with_backend_selection(inputs, init_h, init_c, kernel,$/;" f +lstm_with_coverage_attention_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_coverage_attention_reference($/;" f +lstm_with_dot_attention_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_dot_attention_reference($/;" f +lstm_with_dot_attention_reference_different_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_dot_attention_reference_different_dim($/;" f +lstm_with_dot_attention_reference_same_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_dot_attention_reference_same_dim($/;" f +lstm_with_recurrent_attention_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_recurrent_attention_reference($/;" f +lstm_with_regular_attention_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def lstm_with_regular_attention_reference($/;" f +lstrip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def lstrip(self, chars=None):$/;" m class:chararray +lstrip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def lstrip(a, chars=None):$/;" f +lstsq adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def lstsq(a, b, rcond=None, *, numpy_resid=False):$/;" f +lstsq adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^lstsq = linpkg.lstsq$/;" v +lstsq adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def lstsq(a, b, rcond="warn"):$/;" f +lstsq adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def lstsq(a, b, cond=None, overwrite_a=False, overwrite_b=False,$/;" f +lstsq adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^lstsq = linalg_ops.matrix_solve_ls$/;" v +lstsq adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_solve_ls as lstsq$/;" x +lstsq adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_solve_ls as lstsq$/;" x +lstsq adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_solve_ls as lstsq$/;" x +LstsqCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class LstsqCases(LinalgSquareTestCase, LinalgNonsquareTestCase):$/;" c +ls_mapper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ls_mapper = {'-': 'solid', '--': 'dashed', '-.': 'dashdot', ':': 'dotted'}$/;" v +ls_mapper_r adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ls_mapper_r = {v: k for k, v in ls_mapper.items()}$/;" v +LS_MAXITER_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ LS_MAXITER_MAX = 1000$/;" v class:LocalSearchWrapper +LS_MAXITER_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ LS_MAXITER_MIN = 100$/;" v class:LocalSearchWrapper +LS_MAXITER_RATIO adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ LS_MAXITER_RATIO = 6$/;" v class:LocalSearchWrapper +lt adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def lt(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +lt adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^lt = np.less$/;" v +lt adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def lt(x: Array, y: Array) -> Array:$/;" f +lt adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^lt = _broadcasting_binary_op(math_ops.less)$/;" v +lt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def lt(g, input, other):$/;" f +lt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def lt(g, input, other):$/;" f +lti adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class lti(LinearTimeInvariant):$/;" c +lti_nowarn adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def lti_nowarn(self, *args):$/;" m class:TestLsim +lt_impl adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def lt_impl(g, input, other):$/;" f +lt_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^lt_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'lt')$/;" v +LT_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def LT_solve(b):$/;" f member:TestQMR.test_leftright_precond file: +lu adpepsenv/lib/python3.8/site-packages/jax/api.py /^from . import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^from . import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/core.py /^from . import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^from . import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^import jax.linear_util as lu$/;" I +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def lu(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^import jax.linear_util as lu$/;" I +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^from .. import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^from jax import linear_util as lu$/;" x +lu adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def lu(x):$/;" f +lu adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def lu(a, permute_l=False, overwrite_a=False, check_finite=True):$/;" f +lu adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def lu(A):$/;" f member:BDF.__init__ file: +lu adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def lu(A):$/;" f member:Radau.__init__ file: +lu adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_lu.py /^def lu(a, permute_l=False, overwrite_a=False, check_finite=True):$/;" f +lu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def lu(input, output_idx_type=_dtypes.int32, name=None):$/;" f +Lu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Lu = tf_export("raw_ops.Lu")(_ops.to_raw_op(lu))$/;" v +lu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^lu = gen_linalg_ops.lu$/;" v +lu adpepsenv/lib/python3.8/site-packages/torch/functional.py /^lu = boolean_dispatch($/;" v +lu adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def lu(self, pivot=True, get_infos=False):$/;" m class:Tensor +LUCENT_G723 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LUCENT_G723 = 0x0059$/;" v class:WAVE_FORMAT +LUCENT_SX5363S adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LUCENT_SX5363S = 0x1C0C$/;" v class:WAVE_FORMAT +LUCENT_SX8300P adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ LUCENT_SX8300P = 0x1C07$/;" v class:WAVE_FORMAT +LuInv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class LuInv(LinearOperator):$/;" c +LUT adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^LUT = "Lut"$/;" v +LutBuilder adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^class LutBuilder:$/;" c +LUTSIZE adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^LUTSIZE = mpl.rcParams['image.lut']$/;" v +LUT_SIZE adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^LUT_SIZE = 1 << 9$/;" v +lu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def lu_eager_fallback(input, output_idx_type, name, ctx):$/;" f +lu_factor adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def lu_factor(a, overwrite_a=False, check_finite=True):$/;" f +lu_factor adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_lu.py /^def lu_factor(a, overwrite_a=False, check_finite=True):$/;" f +lu_matrix_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def lu_matrix_inverse(lower_upper, perm, validate_args=False, name=None):$/;" f +lu_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^lu_p = Primitive('lu')$/;" v +lu_pivots_to_permutation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def lu_pivots_to_permutation(swaps, m):$/;" f +lu_reconstruct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def lu_reconstruct(lower_upper, perm, validate_args=False, name=None):$/;" f +lu_reconstruct_assertions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def lu_reconstruct_assertions(lower_upper, perm, validate_args):$/;" f +lu_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def lu_solve(lu, permutation, b, trans=0):$/;" f +lu_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def lu_solve(lu_and_piv, b, trans=0, overwrite_b=False, check_finite=True):$/;" f +lu_solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_lu.py /^def lu_solve(lu_and_piv, b, trans=0, overwrite_b=False, check_finite=True):$/;" f +lu_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def lu_solve(lower_upper, perm, rhs, validate_args=False, name=None):$/;" f +lu_unpack adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def lu_unpack(LU_data, LU_pivots, unpack_data=True, unpack_pivots=True):$/;" f +l_and adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def l_and(*f):$/;" f +l_not adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def l_not(f):$/;" f +l_or adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def l_or(*f):$/;" f +L_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def L_solve(b):$/;" f member:TestQMR.test_leftright_precond file: +m adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^m = UnitDbl(1.0, "m")$/;" v +M adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ M = np.array([$/;" v class:_ReducedHCT_Element +m adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ m = lambda self, *args, **kw: func(self, *args, **kw)$/;" f function:replace_method file: +m adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ m = re.search(r'([\\S]+)=([\\S]+)', options.define_variable)$/;" v +m adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ m = re.match(r'^(\\s+)[-=]+\\s*$', line)$/;" v +M adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ class M(MaskedArray):$/;" c member:TestMaskedArrayFunctions.test_compressed file: +m adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ m = matrix([[1], [2]])$/;" v class:TestShape +M adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ class M:$/;" c member:TestRegression.test_permutation_subclass file: +M adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ class M:$/;" c member:TestRegression.test_permutation_subclass file: +M adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ class M:$/;" c member:TestRegression.test_permutation_subclass file: +m adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gendata.py /^m = loadmat('test.mat', squeeze_me=True, struct_as_record=True,$/;" v +m adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ m = 1.0$/;" v class:SimpleOscillator +M adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ M = spdiags([1.0\/arange(1,n+1,dtype=float)], [0], n, n, format='csr')$/;" v +M adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^M = 100$/;" v +M adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^M = 10$/;" v +M adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^M = 10$/;" v +M0 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ M0 = np.array([$/;" v class:_ReducedHCT_Element +m0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ m0 = np.zeros((3, 0))$/;" v class:TestMatmul +m0 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^m0 = MO[0,0]$/;" v +M1 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ M1 = np.array([$/;" v class:_ReducedHCT_Element +m1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ m1 = np.arange(15.).reshape(5, 3)$/;" v class:TestMatmul +m1 adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^m1 = [[True, False, False], [False, False, True]]$/;" v +M2 adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ M2 = np.array([$/;" v class:_ReducedHCT_Element +m2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ m2 = np.arange(21.).reshape(3, 7)$/;" v class:TestMatmul +m2 adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^m2 = [[True, False, True], [False, False, True]]$/;" v +M29 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(-29, 0)), list(range(-30, 0)), list(range(-31, 0))$/;" v +M29 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(1, 30)), list(range(1, 31)), list(range(1, 32))$/;" v +m3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ m3 = np.arange(30.).reshape(5, 6)[:, ::2] # non-contiguous$/;" v class:TestMatmul +M30 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(-29, 0)), list(range(-30, 0)), list(range(-31, 0))$/;" v +M30 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(1, 30)), list(range(1, 31)), list(range(1, 32))$/;" v +M31 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(-29, 0)), list(range(-30, 0)), list(range(-31, 0))$/;" v +M31 adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M29, M30, M31 = list(range(1, 30)), list(range(1, 31)), list(range(1, 32))$/;" v +M365MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M365MASK = list(M366MASK)$/;" v +M365MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M365MASK = tuple(M365MASK)$/;" v +M365RANGE adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M365RANGE = (0, 31, 59, 90, 120, 151, 181, 212, 243, 273, 304, 334, 365)$/;" v +M366MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M366MASK = tuple([1]*31+[2]*29+[3]*31+[4]*30+[5]*31+[6]*30 +$/;" v +M366RANGE adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^M366RANGE = (0, 31, 60, 91, 121, 152, 182, 213, 244, 274, 305, 335, 366)$/;" v +m5u adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^import scipy.io.matlab.mio5_utils as m5u$/;" I +ma adpepsenv/lib/python3.8/site-packages/matplotlib/pylab.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^from . import core as ma$/;" x +ma adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^import numpy.ma as ma$/;" I +ma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^import numpy.ma as ma$/;" I +MacCyrillicModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^MacCyrillicModel = {$/;" v +macCyrillic_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^macCyrillic_char_to_order_map = ($/;" v +MACCYRILLIC_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^MACCYRILLIC_RUSSIAN_CHAR_TO_ORDER = {$/;" v +MACCYRILLIC_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^MACCYRILLIC_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='MacCyrillic',$/;" v +MacData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class MacData(univ.Sequence):$/;" c +mach adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mach = speed_of_sound = 340.5 # approx value at 15 degrees in 1 atm. Is this a common value?$/;" v +MachAr adpepsenv/lib/python3.8/site-packages/numpy/core/machar.py /^class MachAr:$/;" c +MachArLike adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^class MachArLike:$/;" c +MachHeader adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class MachHeader(base_class):$/;" c function:read_mach_header file: +machine adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^machine = platform.machine()$/;" v +MachineConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^MachineConfiguration = _reflection.GeneratedProtocolMessageType('MachineConfiguration', (_messag/;" v +MachineState adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class MachineState(object):$/;" c +MachineState adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class MachineState(object):$/;" c +mach_header_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^mach_header_fields = [$/;" v +mach_header_fields_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^mach_header_fields_64 = mach_header_fields + [("reserved", ctypes.c_uint32)]$/;" v +macosVersionString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^macosVersionString = re.compile(r"macosx-(\\d+)\\.(\\d+)-(.*)")$/;" v +macosVersionString adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^macosVersionString = re.compile(r"macosx-(\\d+)\\.(\\d+)-(.*)")$/;" v +MacroExpander adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^class MacroExpander:$/;" c +MacroExpander adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^class MacroExpander:$/;" c +MacVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ MacVersion = Tuple[int, int]$/;" v +MacVersion adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ MacVersion = Tuple[int, int]$/;" v +MacViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class MacViewer(Viewer):$/;" c +mac_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ mac_address = Regex(r'[0-9a-fA-F]{2}([:.-])[0-9a-fA-F]{2}(?:\\1[0-9a-fA-F]{2}){4}').setName(/;" v class:pyparsing_common +mac_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ mac_address = Regex(r'[0-9a-fA-F]{2}([:.-])[0-9a-fA-F]{2}(?:\\1[0-9a-fA-F]{2}){4}').setName(/;" v class:pyparsing_common +mac_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ mac_address = Regex(r'[0-9a-fA-F]{2}([:.-])[0-9a-fA-F]{2}(?:\\1[0-9a-fA-F]{2}){4}').setName(/;" v class:pyparsing_common +mac_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ mac_address = Regex(r'[0-9a-fA-F]{2}([:.-])[0-9a-fA-F]{2}(?:\\1[0-9a-fA-F]{2}){4}').setName(/;" v class:pyparsing_common +mac_platforms adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def mac_platforms(version=None, arch=None):$/;" f +mac_platforms adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def mac_platforms(version=None, arch=None):$/;" f +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +MAE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_error as MAE$/;" x +mae adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^mae = MAE = mean_absolute_error$/;" v +mae adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^mae = MAE = mean_absolute_error$/;" v +MAError adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MAError(Exception):$/;" c +mafromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def mafromtxt(fname, **kwargs):$/;" f +MAGENTA adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ MAGENTA = 35$/;" v class:AnsiFore +MAGENTA adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ MAGENTA = 45$/;" v class:AnsiBack +MAGENTA adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ MAGENTA = 5$/;" v class:WinColor +magic adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def magic(major, minor):$/;" f +MAGIC adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^MAGIC = 0x3ADE68B1 # QUIZ: what's this value, then?$/;" v +MAGIC adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^MAGIC = b"FTEX"$/;" v +magic_check adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^magic_check = re.compile('([*?[])')$/;" v +magic_check_bytes adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^magic_check_bytes = re.compile(b'([*?[])')$/;" v +MAGIC_LEN adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^MAGIC_LEN = len(MAGIC_PREFIX) + 2$/;" v +magic_line adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^magic_line = b'three is the magic number'$/;" v +magic_methods adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^magic_methods = dict({$/;" v +MAGIC_NUMBER adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^MAGIC_NUMBER = 0x1950a86a20f9469cfc6c$/;" v +MAGIC_PREFIX adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^MAGIC_PREFIX = b'\\x93NUMPY'$/;" v +magic_square adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def magic_square(n):$/;" f +magma adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def magma():$/;" f +magnitude_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def magnitude_spectrum(self, x, Fs=None, Fc=None, window=None,$/;" m class:Axes +magnitude_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^magnitude_spectrum = functools.partial(_single_spectrum_helper, "magnitude")$/;" v +magnitude_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def magnitude_spectrum($/;" f +mahalanobis adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def mahalanobis(u, v, VI):$/;" f +mailchimp_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/mailchimp.py /^def mailchimp_compliance_fix(session):$/;" f +main adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def main(*args, **kwargs):$/;" f +main adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^def main(args):$/;" f +main adpepsenv/lib/python3.8/site-packages/astunparse/__main__.py /^def main(args):$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/benchmark_generator.py /^def main(args):$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^def main(argv):$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/lmdb_create_example.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def main(argv):$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_benchmark.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/chardet/cli/chardetect.py /^def main(argv=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/tool/__main__.py /^def main(client_secrets, scope, save, credentials, headless):$/;" f +main adpepsenv/lib/python3.8/site-packages/jax/tools/jax_to_hlo.py /^def main(argv):$/;" f +main adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def main(self, s, loc, toks):$/;" m class:Parser +main adpepsenv/lib/python3.8/site-packages/mpi4py/bench.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/mpi4py/futures/__main__.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/numpy/f2py/__init__.py /^main = f2py2e.main$/;" v +main adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def main(self, args):$/;" m class:Command +main adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/main.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_internal/main.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_internal/__init__.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/_cmd.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cli/chardetect.py /^def main(argv=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def main(args):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^def main(argv=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/pip/__init__.py /^def main(args=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/requests/help.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/expn_asy.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/lambertw.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/loggamma.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/struve_convergence.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/wrightomega.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/zetac.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def main(argv=None, **kw):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def main(self, ignored_argv=("",)):$/;" m class:TensorBoard +main adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/python/toco_from_protos.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/offline_analyzer.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/debug_mnist.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_errors.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_keras.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_fibonacci_v2.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_tensorflow_server.py /^def main(unused_args):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/test_util.py /^def main(enable_v2_behavior=True):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/test.py /^def main(argv=None): # pylint: disable=function-redefined$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^def main(argv=None): # pylint: disable=function-redefined$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def main(argv=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def main(unused_args, flags):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/import_pb_to_tensorboard.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^def main(unused_argv):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference.py /^def main(unused_args):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/print_selective_registration_header.py /^def main(unused_argv):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/strip_unused.py /^def main(unused_args):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_main.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/simple_console.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def main(_):$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/distributed/launch.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def main(args):$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/fuzzer.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/op_benchmark.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/simple_timeit.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^def main(argv, output_stream=None):$/;" f +main adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def main():$/;" f +main adpepsenv/lib/python3.8/site-packages/wheel/__main__.py /^def main(): # needed for console script$/;" f +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def mainloop():$/;" m class:_BackendGTK3 +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def mainloop():$/;" m class:_BackendMac +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mainloop():$/;" m class:_BackendQT5 +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def mainloop():$/;" m class:_BackendWx +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def mainloop():$/;" m class:_BackendTk +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def mainloop(self):$/;" m class:_Backend.export.Show +mainloop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ mainloop = None$/;" v class:_Backend +mainloop adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def mainloop(self):$/;" m class:Window +mainLoop adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def mainLoop(self):$/;" m class:HTMLParser +mainLoop adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def mainLoop(self):$/;" m class:HTMLParser +MainOpFunctionThatThrowsCustomError adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^def MainOpFunctionThatThrowsCustomError(inputs, _):$/;" f +MainOpFunctionThatThrowsCustomErrorInBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^def MainOpFunctionThatThrowsCustomErrorInBuilder(inputs, _):$/;" f +MainTrace adpepsenv/lib/python3.8/site-packages/jax/core.py /^class MainTrace:$/;" c +MainWindow adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class MainWindow(QtWidgets.QMainWindow):$/;" c +main_context adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/command_context.py /^ def main_context(self):$/;" m class:CommandContextMixIn +main_function adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def main_function(argv):$/;" f function:_run_in_app file: +MAIN_MENU_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^MAIN_MENU_KEY = "mm:"$/;" v +main_module_help adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def main_module_help(self):$/;" m class:FlagValues +main_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/main_op_impl.py /^def main_op():$/;" f +MAIN_OP_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^MAIN_OP_KEY = "saved_model_main_op"$/;" v +main_op_with_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/main_op_impl.py /^def main_op_with_restore(restore_op_name):$/;" f +main_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def main_path():$/;" f function:_tf_dataset_for_stmt.scan_body file: +main_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def main_path():$/;" f function:_tf_iterator_for_stmt.aug_body file: +MAIN_PROCESS_RANK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ MAIN_PROCESS_RANK = -1$/;" v class:MultiProcessTestCase +MAIN_RUN_NAME adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^MAIN_RUN_NAME = '__worker__'$/;" v +main_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def main_wrapper():$/;" f function:main file: +major adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ major, minor, rest = msvcrt.CRT_ASSEMBLY_VERSION.split(".", 2)$/;" v +major adpepsenv/lib/python3.8/site-packages/numpy/f2py/__version__.py /^major = 2$/;" v +major adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def major(self):$/;" m class:Version +major adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ major = torch.cuda.get_device_capability(d)[0]$/;" v +MAJORING adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ MAJORING = 'majoring'$/;" v class:AUCSummationMethod +majorstep_minordivisions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ majorstep_minordivisions = [(1, 5),$/;" v class:TestAutoMinorLocator +majorTicks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ majorTicks = _LazyTickList(major=True)$/;" v class:Axis +major_ticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def major_ticklabels(self):$/;" m class:SimpleAxisArtist +major_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def major_ticks(self):$/;" m class:SimpleAxisArtist +major_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def major_version(self, best=False):$/;" m class:LinuxDistribution +major_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def major_version(best=False):$/;" f +make adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def make(self, specification, options=None):$/;" m class:PipScriptMaker +make adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def make(self, specification, options=None):$/;" m class:ScriptMaker +make adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def make(self, src_templ, evaldict=None, addsource=False, **attrs):$/;" m class:FunctionMaker +MakeArgument adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def MakeArgument(key, value):$/;" f +MAKEAVIS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MAKEAVIS = 0x3313$/;" v class:WAVE_FORMAT +MakeBatchedFeaturesDatasetTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^class MakeBatchedFeaturesDatasetTestBase(test_base.DatasetTestBase):$/;" c +MakeClass adpepsenv/lib/python3.8/site-packages/google/protobuf/reflection.py /^def MakeClass(descriptor):$/;" f +makeDesc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def makeDesc(title, args):$/;" f member:OperatorDoc.generateInterface file: +MakeDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def MakeDescriptor(desc_proto, package='', build_file_if_cpp=True,$/;" f +makedev adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makedev(self, tarinfo, targetpath):$/;" m class:TarFile +makedir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makedir(self, tarinfo, targetpath):$/;" m class:TarFile +makedirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/py31compat.py /^makedirs = _makedirs_31 if needs_makedirs else os.makedirs$/;" v +makedirs adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def makedirs(self, dirname):$/;" m class:S3FileSystem +makedirs adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def makedirs(self, path):$/;" m class:LocalFileSystem +makedirs adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def makedirs(path):$/;" f +MakeDirs adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import recursive_create_dir as MakeDirs$/;" x +MakeDirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import recursive_create_dir as MakeDirs$/;" x +makedirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import recursive_create_dir_v2 as makedirs$/;" x +makedirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import recursive_create_dir_v2 as makedirs$/;" x +makedirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import recursive_create_dir_v2 as makedirs$/;" x +makedirs adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def makedirs(self, dn, exist_ok=False):$/;" m class:GeneratedFileCleaner +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/extra.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/nl2br.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makeExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^def makeExtension(**kwargs): # pragma: no cover$/;" f +makefifo adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makefifo(self, tarinfo, targetpath):$/;" m class:TarFile +makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makefile(self, tarinfo, targetpath):$/;" m class:TarFile +makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def makefile(self, mode, bufsize=-1):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def makefile(self, mode, bufsize=-1):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def makefile(self, mode="r", buffering=None, *args, **kwargs):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def makefile(self, mode, bufsize=-1):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def makefile(self, mode, bufsize=-1):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def makefile(self, mode="r", buffering=None, *args, **kwargs):$/;" f +makefile adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def makefile($/;" m class:SSLTransport +makefile_edit adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{makefile_edit}$/;" l +makeFootnoteId adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def makeFootnoteId(self, id):$/;" m class:FootnoteExtension +makeFootnoteRefId adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def makeFootnoteRefId(self, id, found=False):$/;" m class:FootnoteExtension +makeFootnotesDiv adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def makeFootnotesDiv(self, root):$/;" m class:FootnoteExtension +makegen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def makegen(self):$/;" m class:TestFromiter +makeHTMLTags adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def makeHTMLTags(tagStr):$/;" f +makeHTMLTags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def makeHTMLTags(tagStr):$/;" f +makeHTMLTags adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def makeHTMLTags(tagStr):$/;" f +makeHTMLTags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def makeHTMLTags(tagStr):$/;" f +MakeInputSignature adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def MakeInputSignature(self, *in_shapes):$/;" m class:JaxToTfTestCase +MakeIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MakeIterator = tf_export("raw_ops.MakeIterator")(_ops.to_raw_op(make_iterator))$/;" v +makelink adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makelink(self, tarinfo, targetpath):$/;" m class:TarFile +makeMappingArray adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def makeMappingArray(N, data, gamma=1.0):$/;" f +makemat adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ makemat = staticmethod(matrixlib.matrix)$/;" v class:AxisConcatenator +makemat adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def makemat(cls, arr):$/;" m class:MAxisConcatenator +MakeMessageMapDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakeMessageMapDefault(message):$/;" f function:_GetInitializeDefaultForMap file: +MakeNdarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def MakeNdarray(tensor):$/;" f +makeOptionalList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def makeOptionalList(n):$/;" f member:ParserElement.__mul__ file: +makeOptionalList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def makeOptionalList(n):$/;" f member:ParserElement.__mul__ file: +makeOptionalList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def makeOptionalList(n):$/;" f member:ParserElement.__mul__ file: +makeOptionalList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def makeOptionalList(n):$/;" f member:ParserElement.__mul__ file: +makepairs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def makepairs(x, y):$/;" f +MakePrimitiveMapDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakePrimitiveMapDefault(message):$/;" f function:_GetInitializeDefaultForMap file: +MakeRepeatedMessageDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakeRepeatedMessageDefault(message):$/;" f function:_DefaultValueConstructorForField file: +MakeRepeatedScalarDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakeRepeatedScalarDefault(message):$/;" f function:_DefaultValueConstructorForField file: +MakeScalarDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakeScalarDefault(message):$/;" f function:_DefaultValueConstructorForField file: +MakeSimpleProtoClass adpepsenv/lib/python3.8/site-packages/google/protobuf/proto_builder.py /^def MakeSimpleProtoClass(fields, full_name=None, pool=None):$/;" f +makeSpiderHeader adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def makeSpiderHeader(im):$/;" f +MakeSubMessageDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MakeSubMessageDefault(message):$/;" f function:_DefaultValueConstructorForField file: +makeTag adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def makeTag(self, href, title, text):$/;" m class:ImageReferenceInlineProcessor +makeTag adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def makeTag(self, href, title, text):$/;" m class:ReferenceInlineProcessor +maketrans adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ maketrans = string.maketrans$/;" v +makeunknown adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def makeunknown(self, tarinfo, targetpath):$/;" m class:TarFile +makevar adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def makevar(self, tracer):$/;" m class:DynamicJaxprTrace +makeXMLTags adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def makeXMLTags(tagStr):$/;" f +makeXMLTags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def makeXMLTags(tagStr):$/;" f +makeXMLTags adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def makeXMLTags(tagStr):$/;" f +makeXMLTags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def makeXMLTags(tagStr):$/;" f +make_2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^def make_2d(tensor, split_dim):$/;" f +make_alias adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def make_alias(name): # Enforce a closure over *name*.$/;" f function:_define_aliases file: +make_alias_redirect_url adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def make_alias_redirect_url(self, path, endpoint, values, method, query_args):$/;" m class:MapAdapter +make_all adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def make_all(blas_signature_file="cython_blas_signatures.txt",$/;" f +make_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^def make_all(module_name, doc_string_modules=None):$/;" f +make_animation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def make_animation(**kwargs):$/;" f +make_archive adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def make_archive(base_name, format, root_dir=None, base_dir=None, verbose=0,$/;" f +make_archive adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def make_archive(base_name, format, root_dir=None, base_dir=None, verbose=0,$/;" f +make_archive adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def make_archive(self, base_name, format, root_dir=None, base_dir=None,$/;" m class:Command +make_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def make_array(size, offset, strides):$/;" f member:TestAttributes.test_set_stridesattr file: +make_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def make_array(size, offset, strides):$/;" f member:TestAttributes.test_stridesattr file: +make_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def make_attr(attr_type, value):$/;" f +make_axes adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^def make_axes(parents, location=None, orientation=None, fraction=0.15,$/;" f +make_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^def make_axes(parent, *, fraction=0.15, shrink=1.0, aspect=20, **kw):$/;" f +make_axes_area_auto_adjustable adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^def make_axes_area_auto_adjustable(ax,$/;" f +make_axes_gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^def make_axes_gridspec(parent, *, fraction=0.15, shrink=1.0, aspect=20, **kw):$/;" f +make_axes_kw_doc adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^make_axes_kw_doc = _make_axes_param_doc + _make_axes_other_param_doc$/;" v +make_axes_kw_doc adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^make_axes_kw_doc = '''$/;" v +make_axes_locatable adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^def make_axes_locatable(axes):$/;" f +make_axis_env adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def make_axis_env(nreps):$/;" f function:xla_computation file: +make_batched_features_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ make_batched_features_dataset = make_batched_features_dataset_v1$/;" v +make_batched_features_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ make_batched_features_dataset = make_batched_features_dataset_v2$/;" v +make_batched_features_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_batched_features_dataset_v1 as /;" x +make_batched_features_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_batched_features_dataset_v2 as /;" x +make_batched_features_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_batched_features_dataset_v2 as /;" x +make_batched_features_dataset_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def make_batched_features_dataset_v1(file_pattern, # pylint: disable=missing-docstring$/;" f +make_batched_features_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def make_batched_features_dataset_v2(file_pattern,$/;" f +make_batches adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def make_batches(size, batch_size):$/;" f +make_batch_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def make_batch_feature(self,$/;" m class:MakeBatchedFeaturesDatasetTestBase +make_blas_pyx_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def make_blas_pyx_preamble(all_sigs):$/;" f +make_blob_on_context adpepsenv/lib/python3.8/site-packages/caffe2/python/benchmark_generator.py /^ def make_blob_on_context(blob_name, blob_data, context):$/;" f function:main file: +make_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_bool(v, arg_name):$/;" f +make_bound_param_test adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def make_bound_param_test(testcase_params):$/;" f member:_ParameterizedTestIter.__iter__ file: +make_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def make_builder(t):$/;" f member:Net.Python file: +make_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def make_callable(self, fetches, feed_list=None, accept_options=False):$/;" m class:BaseSession +make_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def make_callable(self,$/;" m class:BaseDebugWrapperSession +make_candidate_evaluator adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def make_candidate_evaluator($/;" m class:PackageFinder +make_cases adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def make_cases(original, dtype):$/;" f member:TestAsLinearOperator.setup_method file: +make_cell adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def make_cell(*args, **kwargs):$/;" f member:Caffe2Backend._create_rnn_variant file: +make_chunk_iter adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def make_chunk_iter($/;" f +make_cleanup adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def make_cleanup(func):$/;" f function:cleanup file: +make_command adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def make_command(*args):$/;" f +make_compound_path adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def make_compound_path(cls, *args):$/;" m class:Path +make_compound_path_from_polys adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def make_compound_path_from_polys(cls, XY):$/;" m class:Path +make_computation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def make_computation(name, jaxpr, op_shape):$/;" f function:_cond_translation_rule file: +make_computation_builder adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def make_computation_builder(name):$/;" f +make_conditional adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def make_conditional($/;" m class:ETagResponseMixin +make_config_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def make_config_py(self,name='__config__'):$/;" m class:Configuration +make_connection adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def make_connection(self, host):$/;" m class:SafeTransport +make_connection adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def make_connection(self, host):$/;" m class:Transport +make_content_range adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def make_content_range(self, length):$/;" m class:Range +make_convolution_dimension_numbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def make_convolution_dimension_numbers($/;" f +make_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ make_csv_dataset = make_csv_dataset_v1$/;" v +make_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ make_csv_dataset = make_csv_dataset_v2$/;" v +make_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_csv_dataset_v1 as make_csv_data/;" x +make_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_csv_dataset_v2 as make_csv_data/;" x +make_csv_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import make_csv_dataset_v2 as make_csv_data/;" x +make_csv_dataset_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def make_csv_dataset_v1($/;" f +make_csv_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def make_csv_dataset_v2($/;" f +make_ctype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def make_ctype(shape, scalar_type):$/;" f member:TestNewBufferProtocol.test_error_too_many_dims file: +make_cycle adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ make_cycle = True$/;" v class:TestAssertNoGcCycles.test_fails.ReferenceCycleInDel +make_cycle adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def make_cycle():$/;" f member:TestAssertNoGcCycles.test_asserts file: +make_c_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def make_c_args(args):$/;" f +make_D adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def make_D(self, n):$/;" m class:TestNumObsDM +make_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def make_dataset(_):$/;" f member:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function file: +make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def make_dataset_iterator(self, dataset):$/;" m class:StrategyBase +make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def make_dataset_iterator(self, dataset):$/;" m class:StrategyV1 +make_data_scheme_file adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def make_data_scheme_file(record_path):$/;" f function:_install_wheel.data_scheme_file_maker file: +make_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^def make_decorator(target,$/;" f +make_destination_dataset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^def make_destination_dataset(ws, schema, name=None):$/;" f +make_device_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def make_device_array($/;" f +make_dist adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^def make_dist(name, version, **kwargs):$/;" f +make_distributed_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def make_distributed_dataset(self,$/;" m class:TestBase +make_distributed_range_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def make_distributed_range_dataset(self,$/;" m class:TestBase +make_distribution adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def make_distribution(self):$/;" m class:sdist +make_distribution adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def make_distribution(self):$/;" m class:sdist +make_distribution_for_install_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/__init__.py /^def make_distribution_for_install_requirement(install_req):$/;" f +make_dot_dimension_numbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def make_dot_dimension_numbers($/;" f +make_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def make_dtype(off):$/;" f member:TestRecord.test_nonint_offsets file: +make_dvi adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def make_dvi(self, tex, fontsize):$/;" m class:TexManager +make_dvi_preview adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def make_dvi_preview(self, tex, fontsize):$/;" m class:TexManager +make_early_stopping_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def make_early_stopping_hook(estimator,$/;" f +make_environ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def make_environ(self):$/;" m class:WSGIRequestHandler +make_external_conversion_command adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def make_external_conversion_command(cmd):$/;" f +make_fapl adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def make_fapl(driver, libver, rdcc_nslots, rdcc_nbytes, rdcc_w0, **kwds):$/;" f +make_fcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def make_fcpl(track_order=False):$/;" f +make_fid adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def make_fid(name, mode, userblock_size, fapl, fcpl=None, swmr=False):$/;" f +make_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def make_file(self, infiles, outfile, func, args,$/;" m class:Command +make_file_with_directories adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def make_file_with_directories(path, private=False):$/;" f +make_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_float(v, arg_name):$/;" f +make_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^def make_fn(shared_variable_store, device_id):$/;" f +make_form_data_parser adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def make_form_data_parser(self):$/;" m class:BaseRequest +make_gamma_lut adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def make_gamma_lut(exp):$/;" f +make_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def make_generator(seed=None):$/;" f +make_global_gradient_clipnorm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def make_global_gradient_clipnorm_fn(clipnorm):$/;" f +make_gradient_clipnorm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def make_gradient_clipnorm_fn(clipnorm):$/;" f +make_gradient_clipvalue_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def make_gradient_clipvalue_fn(clipvalue):$/;" f +make_graph adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^def make_graph(dists, scheme='default'):$/;" f +make_grid adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^def make_grid(I, ncols=8):$/;" f +make_hamiltonian adpeps/ipeps/models/heisenberg.py /^def make_hamiltonian(J=1):$/;" f +make_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^def make_headers($/;" f +make_headers adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^def make_headers($/;" f +make_hg_version_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def make_hg_version_py(self, delete=True):$/;" m class:Configuration +make_histogram adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def make_histogram(values, bins, max_bins=None):$/;" f +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:AxesImage +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:BboxImage +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:FigureImage +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:NonUniformImage +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:PcolorImage +make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def make_image(self, renderer, magnification=1.0, unsampled=False):$/;" m class:_ImageBase +make_image adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def make_image(tensor, rescale=1, rois=None, labels=None):$/;" f +make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def make_initializable_iterator(self, shared_name=None):$/;" m class:DatasetV1 +make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def make_initializable_iterator(dataset, shared_name=None):$/;" f +make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def make_initializable_iterator(self):$/;" m class:DistributedDatasetV1 +make_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def make_initializer(self, dataset, name=None):$/;" m class:Iterator +make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def make_input_fn_iterator(self, # pylint: disable=useless-super-delegation$/;" m class:StrategyV1 +make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def make_input_fn_iterator(self,$/;" m class:StrategyBase +make_install_req_from_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^def make_install_req_from_dist(dist, template):$/;" f +make_install_req_from_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^def make_install_req_from_editable(link, template):$/;" f +make_install_req_from_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^def make_install_req_from_link(link, template):$/;" f +make_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_int(v, arg_name):$/;" f +make_interp_full_matr adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def make_interp_full_matr(x, y, t, k):$/;" f +make_interp_per_full_matr adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def make_interp_per_full_matr(x, y, t, k):$/;" f +make_interp_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def make_interp_spline(x, y, k=3, t=None, bc_type=None, axis=0,$/;" f +make_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def make_iterator(dataset, iterator, name=None):$/;" f +make_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def make_iterator_eager_fallback(dataset, iterator, name, ctx):$/;" f +make_jacobian adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def make_jacobian(input, num_out):$/;" f +make_jaxpr adpepsenv/lib/python3.8/site-packages/jax/api.py /^def make_jaxpr(fun: Callable,$/;" f +make_json_formatted_for_single_chart adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def make_json_formatted_for_single_chart(mutant_features,$/;" f +make_lapack_pyx_preamble adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def make_lapack_pyx_preamble(all_sigs):$/;" f +make_linear_lut adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def make_linear_lut(black, white):$/;" f +make_line_iter adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def make_line_iter(stream, limit=None, buffer_size=10 * 1024, cap_at_buffer=False):$/;" f +make_link_evaluator adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def make_link_evaluator(self, project_name):$/;" m class:PackageFinder +make_list_of_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def make_list_of_op(tops, check_graph=True, allow_graph=True, ignore_ts=False):$/;" f +make_list_of_t adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def make_list_of_t(ts, check_graph=True, allow_graph=True, ignore_ops=False):$/;" f +make_literal_wrapper adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def make_literal_wrapper(reference):$/;" f +make_logs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^def make_logs(model, logs, outputs, mode, prefix=''):$/;" f +make_lsq_full_matrix adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def make_lsq_full_matrix(x, y, t, k=3):$/;" f +make_lsq_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def make_lsq_spline(x, y, t, k=3, w=None, axis=0, check_finite=True):$/;" f +make_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def make_mask(m, copy=False, shrink=True, dtype=MaskType):$/;" f +make_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def make_mask(t, dim, indices):$/;" f member:LnStructured.compute_mask file: +make_mask adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def make_mask(t, dim, nchannels, nchannels_toprune):$/;" f member:RandomStructured.compute_mask file: +make_mask_descr adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def make_mask_descr(ndtype):$/;" f +make_mask_none adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def make_mask_none(newshape, dtype=None):$/;" f +make_mat adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_embedding.py /^def make_mat(matlist, save_path):$/;" f +make_merged_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def make_merged_spec(self, dev):$/;" m class:DeviceSpecV2 +make_middleware adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def make_middleware(self, app):$/;" m class:LocalManager +make_module adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def make_module(mod, _module_class, _compilation_unit):$/;" f +make_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def make_module(script):$/;" f function:create_script_module.script_module file: +make_momentum_path adpeps/ipeps/make_momentum_path.py /^def make_momentum_path(name, with_plot_info=False):$/;" f +make_multipart adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def make_multipart($/;" m class:RequestField +make_multipart adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def make_multipart($/;" m class:RequestField +make_multiple adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def make_multiple(self, specifications, options=None):$/;" m class:ScriptMaker +make_mutant_features adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def make_mutant_features(original_feature, index_to_mutate, viz_params):$/;" f +make_mutant_tuples adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def make_mutant_tuples(example_protos, original_feature, index_to_mutate,$/;" f +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def make_ndarray(tensor):$/;" f +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/framework_lib.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.tensor_util import MakeNdarray as make_ndarray$/;" x +make_net adpepsenv/lib/python3.8/site-packages/caffe2/python/test/do_op_test.py /^ def make_net():$/;" f member:DoOpTest.test_operator file: +make_net adpepsenv/lib/python3.8/site-packages/caffe2/python/test/do_op_test.py /^ def make_net():$/;" f member:DoOpTest.test_reuse_workspace file: +make_new adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def make_new(self, rev):$/;" m class:RevOptions +make_new_dset adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^def make_new_dset(parent, shape=None, dtype=None, data=None,$/;" f +make_new_virtual_dset adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^def make_new_virtual_dset(parent, shape, sources, dtype=None,$/;" f +make_nonnative adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def make_nonnative(arrs):$/;" f +make_nonzero_det adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def make_nonzero_det(A, sign=None, min_singular_value=0.1):$/;" f +make_non_contiguous adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def make_non_contiguous(tensor: torch.Tensor) -> torch.Tensor:$/;" f +make_np adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_convert_np.py /^def make_np(x):$/;" f +make_obj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def make_obj(base, array_priority=False, array_ufunc=False,$/;" f member:TestBinop.test_ufunc_binop_interaction file: +make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def make_one_shot_iterator(self):$/;" m class:_CopyToDeviceDataset +make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def make_one_shot_iterator(self):$/;" m class:DatasetV1 +make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def make_one_shot_iterator(dataset):$/;" f +make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def make_one_shot_iterator(self):$/;" m class:DistributedDatasetV1 +make_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def make_op():$/;" f function:_build_cond file: +make_option_group adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def make_option_group(group, parser):$/;" f +make_out_path adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def make_out_path(p):$/;" f member:MSVCCompiler.object_filenames file: +make_padded_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def make_padded_shapes(shapes, none_filler=None):$/;" f function:bucket_by_sequence_length file: +make_padding_config adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def make_padding_config($/;" f +make_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def make_parse_example_spec(feature_columns):$/;" f +make_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import make_parse_example_spec_v2 as mak/;" x +make_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import make_parse_example_spec_v2 as mak/;" x +make_parse_example_spec_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def make_parse_example_spec_v2(feature_columns):$/;" f +make_patch_spines_invisible adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def make_patch_spines_invisible(ax):$/;" f function:test_twin_spines file: +make_path_regular adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def make_path_regular(p):$/;" f +make_pdf_to_png_converter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def make_pdf_to_png_converter():$/;" f +make_plugin_loader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def make_plugin_loader(plugin_spec):$/;" f +make_png adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def make_png(self, tex, fontsize, dpi):$/;" m class:TexManager +make_predict_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def make_predict_function(self):$/;" m class:Model +make_processor adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def make_processor(processor, reader=None):$/;" f +make_process_name_useful adpepsenv/lib/python3.8/site-packages/absl/command_name.py /^def make_process_name_useful():$/;" f +make_pyproject_path adpepsenv/lib/python3.8/site-packages/pip/_internal/pyproject.py /^def make_pyproject_path(unpacked_source_directory):$/;" f +make_python_instance adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def make_python_instance(self, suffix, node, args=None, kwds=None, newobj=False):$/;" m class:UnsafeConstructor +make_python_instance adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def make_python_instance(self, suffix, node,$/;" m class:FullConstructor +make_quantile_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_make_quantile_summaries as/;" x +make_redirect_url adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def make_redirect_url(self, path_info, query_args=None, domain_part=None):$/;" m class:MapAdapter +make_regularizer_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def make_regularizer_op():$/;" f member:_VariableStore._get_single_variable file: +make_relative adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def make_relative(self, path):$/;" m class:PthDistributions +make_release_tree adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def make_release_tree(self, base_dir, files):$/;" m class:sdist +make_release_tree adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def make_release_tree(self, base_dir, files):$/;" m class:sdist +make_release_tree versioneer.py /^ def make_release_tree(self, base_dir, files):$/;" m class:get_cmdclass.cmd_sdist +make_replica_groups adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def make_replica_groups(replica_groups):$/;" f +make_requirement_from_candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def make_requirement_from_candidate(self, candidate):$/;" m class:Factory +make_requirement_from_install_req adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def make_requirement_from_install_req(self, ireq, requested_extras):$/;" m class:Factory +make_requirement_from_spec adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def make_requirement_from_spec($/;" m class:Factory +make_requirement_preparer adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def make_requirement_preparer($/;" m class:RequirementCommand +make_requires_python_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def make_requires_python_requirement(self, specifier):$/;" m class:Factory +make_resolver adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def make_resolver($/;" m class:RequirementCommand +make_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def make_rev_args(username, password):$/;" m class:Subversion +make_rev_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def make_rev_args(username, password):$/;" m class:VersionControl +make_rev_options adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def make_rev_options(cls, rev=None, extra_args=None):$/;" m class:VersionControl +make_rgb_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^def make_rgb_axes(ax, pad=0.01, axes_class=None, add_all=True, **kwargs):$/;" f +make_rhs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_rhs(self, operator, adjoint, with_batch=True):$/;" m class:LinearOperatorDerivedClassTest +make_rhs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_rhs(self, operator, adjoint, with_batch=True):$/;" m class:NonSquareLinearOperatorDerivedClassTest +make_rhs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_rhs(self, operator, adjoint, with_batch=True):$/;" m class:SquareLinearOperatorDerivedClassTest +make_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def make_rnn(direction_offset):$/;" f member:Caffe2Backend._create_rnn_variant file: +make_root_scheme_file adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def make_root_scheme_file(record_path):$/;" f function:_install_wheel.root_scheme_file_maker file: +make_safe_alias_node adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^ def make_safe_alias_node(alias_name, asname):$/;" f function:add_import file: +make_sampling_table adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^def make_sampling_table(size, sampling_factor=1e-5):$/;" f +make_sampling_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/sequence.py /^make_sampling_table = sequence.make_sampling_table$/;" v +make_saveable_from_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^def make_saveable_from_iterator(iterator, external_state_policy="fail"):$/;" f +make_save_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def make_save_spec(tensor, suffix):$/;" f member:QuantileAccumulatorSaveable.__init__ file: +make_scale adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def make_scale(self, name=''):$/;" m class:Dataset +make_schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def make_schedule(scalar_or_schedule: Union[float, Schedule]) -> Schedule:$/;" f +make_seeds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def make_seeds(self, count=1):$/;" m class:Generator +make_sequence adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def make_sequence(self):$/;" m class:BaseResponse +make_server adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def make_server($/;" f +make_session_run_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def make_session_run_hook(self, is_chief, num_tokens=-1):$/;" m class:SyncReplicasOptimizer +make_setuptools_bdist_wheel_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_bdist_wheel_args($/;" f +make_setuptools_clean_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_clean_args($/;" f +make_setuptools_develop_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_develop_args($/;" f +make_setuptools_egg_info_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_egg_info_args($/;" f +make_setuptools_install_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_install_args($/;" f +make_setuptools_shim_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^def make_setuptools_shim_args($/;" f +make_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_shape(v, arg_name):$/;" f +make_shaped_array adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^def make_shaped_array(x):$/;" f +make_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def make_shape_tensor(x):$/;" f function:prefer_static_broadcast_shape file: +make_sharded_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def make_sharded_variable_creator($/;" f +make_signature adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^def make_signature(filename):$/;" f +make_simple adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def make_simple(*args, **kwargs):$/;" f +make_source_dataset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^def make_source_dataset(ws, size=100, offset=0, name=None):$/;" f +make_sparse adpepsenv/lib/python3.8/site-packages/torch/optim/sparse_adam.py /^ def make_sparse(values):$/;" f member:SparseAdam.step file: +make_spline_knot_matrix adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_splines.py /^def make_spline_knot_matrix(n, order, mode='mirror'):$/;" f +make_sprite adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_embedding.py /^def make_sprite(label_img, save_path):$/;" f +make_ssl_devcert adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def make_ssl_devcert(base_path, host=None, cn=None):$/;" f +make_stats_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_make_stats_summary as make/;" x +make_stop_at_checkpoint_step_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^def make_stop_at_checkpoint_step_hook(estimator,$/;" f +make_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def make_str(a, width, **kw):$/;" f member:TestArray2String.test_linewidth file: +make_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_str(v, arg_name):$/;" f +make_strictly_feasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def make_strictly_feasible(x, lb, ub, rstep=1e-10):$/;" f +make_strided adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def make_strided(arrs):$/;" f +make_stub adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def make_stub(func, name):$/;" f +make_stubs adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def make_stubs(module):$/;" f function:ScriptMeta.__init__.init_then_script file: +make_stubs_for_overloads adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def make_stubs_for_overloads(overload_info):$/;" f +make_stubs_from_exported_methods adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def make_stubs_from_exported_methods(mod):$/;" f +make_stub_from_method adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def make_stub_from_method(nn_module, method_name):$/;" f +make_subprocess_output_error adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def make_subprocess_output_error($/;" f +make_summary adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def make_summary(tag, metadata, data):$/;" f +make_svn_version_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def make_svn_version_py(self, delete=True):$/;" m class:Configuration +make_system adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^def make_system(A, M, x0, b):$/;" f +make_table adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^def make_table(contents, headers=None):$/;" f +make_table_row adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^def make_table_row(contents, tag="td"):$/;" f +make_tarball adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def make_tarball(base_name, base_dir, compress="gzip", verbose=0, dry_run=0,$/;" f +make_target_python adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def make_target_python(options):$/;" f +make_template adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^def make_template(name_,$/;" f +make_template_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^def make_template_internal(name_,$/;" f +make_temp_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def make_temp_file(suffix='', prefix='', text=True):$/;" f +make_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_tensor(v, arg_name):$/;" f +make_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def make_tensor(size, device: torch.device, dtype: torch.dtype, *,$/;" f +make_tensor_proto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def make_tensor_proto(values, dtype=None, shape=None, verify_shape=False):$/;" f +make_tensor_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def make_tensor_proto(values, dtype=None, shape=None, verify_shape=False,$/;" f +make_test_filename adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def make_test_filename(fname, purpose):$/;" f +make_test_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def make_test_function(self):$/;" m class:Model +make_tex adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def make_tex(self, tex, fontsize):$/;" m class:TexManager +make_tex_preview adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def make_tex_preview(self, tex, fontsize):$/;" m class:TexManager +make_tf_record_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def make_tf_record_dataset(file_pattern,$/;" f +make_train_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def make_train_function(self):$/;" m class:Model +make_tsv adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_embedding.py /^def make_tsv(metadata, save_path, metadata_header=None):$/;" f +make_tuple adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def make_tuple(s, absent):$/;" f function:_semantic_key file: +make_tuple adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def make_tuple(example_inputs):$/;" f +make_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def make_type(v, arg_name):$/;" f +make_unique_blob_name adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def make_unique_blob_name(self, base_str):$/;" m class:Optimizer +make_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def make_variable(name,$/;" f +make_vcs_requirement_url adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^def make_vcs_requirement_url(repo_url, rev, project_name, subdir=None):$/;" f +make_video adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def make_video(tensor, fps):$/;" f +make_vjp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def make_vjp(f, params=None, persistent=True):$/;" f +make_wedged_bezier2 adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def make_wedged_bezier2(bezier2, width, w1=1., wm=0.5, w2=0.):$/;" f +make_worker_thread adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def make_worker_thread(self, target, args):$/;" m class:TestGIL +make_writable adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^def make_writable(target):$/;" f +make_x adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_x(self, operator, adjoint, with_batch=True):$/;" m class:LinearOperatorDerivedClassTest +make_x adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_x(self, operator, adjoint, with_batch=True):$/;" m class:NonSquareLinearOperatorDerivedClassTest +make_x adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def make_x(self, operator, adjoint, with_batch=True):$/;" m class:SquareLinearOperatorDerivedClassTest +make_xmap_callable adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def make_xmap_callable(fun: lu.WrappedFun,$/;" f +make_y adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def make_y(self, n):$/;" m class:TestNumObsY +make_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def make_zero(t):$/;" f function:_padding_values_or_default file: +make_zipfile adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def make_zipfile(zip_filename, base_dir, verbose=0, dry_run=0, compress=True,$/;" f +make_zipfile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def make_zipfile(base_name, base_dir, verbose=0, dry_run=0):$/;" f +MALDEN_PHONYTALK adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MALDEN_PHONYTALK = 0x00A0$/;" v class:WAVE_FORMAT +MalformedHeader adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^class MalformedHeader(Exception):$/;" c +malformed_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^malformed_magic = [$/;" v +malicious_files adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^malicious_files = ['\/etc\/shadow', '..\/..\/shadow',$/;" v +managed_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def managed_session(self,$/;" m class:Supervisor +manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def manager():$/;" f +ManagerWatchdog adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ class ManagerWatchdog(object): # type: ignore[no-redef]$/;" c +ManagerWatchdog adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ class ManagerWatchdog(object):$/;" c +manager_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def manager_path():$/;" f +MANDATORY_FUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^MANDATORY_FUNCS = ["sin", "cos", "tan", "sinh", "cosh", "tanh", "fabs",$/;" v +MANDATORY_KEYS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ MANDATORY_KEYS = {$/;" v class:Metadata +Manifest adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^class Manifest(object):$/;" c +manifest adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ manifest = _DEFAULT_MANIFEST$/;" v class:ScriptMaker +Manifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^class Manifest(univ.Sequence):$/;" c +Manifest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class Manifest(univ.SequenceOf):$/;" c +manifest_get_embed_info adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def manifest_get_embed_info(self, target_desc, ld_args):$/;" m class:MSVCCompiler +manifest_maker adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^class manifest_maker(sdist):$/;" c +manifest_mod adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ manifest_mod = collections.namedtuple('manifest_mod', 'manifest mtime')$/;" v class:MemoizedZipManifests +manifest_mod adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ manifest_mod = collections.namedtuple('manifest_mod', 'manifest mtime')$/;" v class:MemoizedZipManifests +manifest_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def manifest_name(config):$/;" f +manifest_rc adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def manifest_rc(name, type='dll'):$/;" f +manifest_setup_ldargs adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^ def manifest_setup_ldargs(self, output_filename, build_temp, ld_args):$/;" m class:MSVCCompiler +manifest_setup_ldargs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def manifest_setup_ldargs(self, output_filename, build_temp, ld_args):$/;" m class:MSVCCompiler +manifest_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ manifest_src = csp_property("manifest-src")$/;" v class:ContentSecurityPolicy +manip adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.ops import manip_ops as manip$/;" x +mannwhitneyu adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def mannwhitneyu(x,y, use_continuity=True):$/;" f +mannwhitneyu adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def mannwhitneyu(x, y, use_continuity=True, alternative=None):$/;" f +MannwhitneyuResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^MannwhitneyuResult = namedtuple('MannwhitneyuResult', ('statistic',$/;" v +MannwhitneyuResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^MannwhitneyuResult = namedtuple('MannwhitneyuResult', ('statistic', 'pvalue'))$/;" v +ManualConvLinearQATModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ManualConvLinearQATModel(torch.nn.Module):$/;" c +ManualEditsTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class ManualEditsTest(test_utils.TestCase):$/;" c +ManualLinearQATModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ManualLinearQATModel(torch.nn.Module):$/;" c +manual_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg_filter.py /^ def manual_alpha(im, dpi):$/;" f function:test_agg_filter_alpha file: +manual_seed adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def manual_seed(seed: int) -> None:$/;" f +manual_seed adpepsenv/lib/python3.8/site-packages/torch/random.py /^def manual_seed(seed) -> torch._C.Generator:$/;" f +manual_seed_all adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def manual_seed_all(seed: int) -> None:$/;" f +manual_symbol_renames adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/all_renames_v2.py /^manual_symbol_renames = {$/;" v +manual_to_auto_spmd_partition adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def manual_to_auto_spmd_partition(tensor, manual_sharding, full_shape):$/;" f +manual_variable_initialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def manual_variable_initialization(value):$/;" f +Map adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def Map($/;" f +map adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def map(self, func, *iterables, **kwargs):$/;" m class:_LoggingPool +map adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/api.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/core.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^map, unsafe_map = safe_map, map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^unsafe_map, map = map, safe_map # type: ignore$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^map, unsafe_map = safe_map, map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^map, unsafe_map = util.safe_map, map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^map = safe_map$/;" v +map adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def map(f, xs):$/;" f +map adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^from jax._src.util import safe_map as map, safe_zip as zip$/;" x +map adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^from jax._src.util import safe_map as map$/;" x +map adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def map(self, fn, *iterables, **kwargs):$/;" m class:MPIPoolExecutor +map adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def map(self, fn, *iterables, **kwargs):$/;" m class:Executor +Map adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Map:$/;" c member:TestCreation.test_non_sequence_sequence file: +map adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def map(self, map_func, num_parallel_calls=None, deterministic=None):$/;" m class:DatasetV1 +map adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def map(self, map_func, num_parallel_calls=None, deterministic=None):$/;" m class:DatasetV2 +Map adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ Map = 0$/;" v class:_DatasetKind +Map adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class Map(object):$/;" c +MapAdapter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class MapAdapter(object):$/;" c +MapAndBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^MapAndBatchDataset = tf_export("raw_ops.MapAndBatchDataset")(_ops.to_raw_op(map_and_batch_datase/;" v +MapClear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapClear = tf_export("raw_ops.MapClear")(_ops.to_raw_op(map_clear))$/;" v +MapDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class MapDataset(UnaryDataset):$/;" c +MapDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MapDataset = tf_export("raw_ops.MapDataset")(_ops.to_raw_op(map_dataset))$/;" v +MapDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def MapDecoder(field_descriptor, new_default, is_message_map):$/;" f +MapDefun adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MapDefun = tf_export("raw_ops.MapDefun")(_ops.to_raw_op(map_defun))$/;" v +mapdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def mapdomain(x, old, new):$/;" f +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +MAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_absolute_percentage_error as MAPE$/;" x +mape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^mape = MAPE = mean_absolute_percentage_error$/;" v +mape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^mape = MAPE = mean_absolute_percentage_error$/;" v +MapEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MapEncoder(field_descriptor):$/;" f +MapFieldEntry adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^MapFieldEntry = _reflection.GeneratedProtocolMessageType('MapFieldEntry', (_message.Message,), {$/;" v +MapFn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^ def MapFn(unused_input):$/;" f function:StreamingFilesDataset file: +MapIncompleteSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapIncompleteSize = tf_export("raw_ops.MapIncompleteSize")(_ops.to_raw_op(map_incomplete_size))$/;" v +mapparms adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def mapparms(old, new):$/;" f +mapparms adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def mapparms(self):$/;" m class:ABCPolyBase +mapped_aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^def mapped_aval(size: int, axis: int, aval: AbstractValue) -> AbstractValue:$/;" f +mapped_keys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ mapped_keys = {$/;" v class:Metadata +MapPeek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapPeek = tf_export("raw_ops.MapPeek")(_ops.to_raw_op(map_peek))$/;" v +Mapping adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ class Mapping(object):$/;" c +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform01 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform02 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform03 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform04 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform05 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform06 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform07 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform08 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform10 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform13 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform14 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform15 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform16 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform17 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform18 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform19 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform20 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform21 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform23 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform_endianness_with_output_parameter file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform_grid_constant_order1 file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform_vs_padded file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform_with_string_output file: +mapping adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping(x, a, b):$/;" f member:TestNdimageInterpolation.test_geometric_transform24 file: +Mapping adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Mapping = _reflection.GeneratedProtocolMessageType('Mapping', (_message.Message,), {$/;" v +Mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class Mapping(TrackableDataStructure, collections_abc.Mapping):$/;" c +mapping1 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping1(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform22 file: +mapping2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def mapping2(x):$/;" f member:TestNdimageInterpolation.test_geometric_transform22 file: +MappingEndEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class MappingEndEvent(CollectionEndEvent):$/;" c +MappingHDF5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class MappingHDF5(Mapping):$/;" c +MappingNode adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^class MappingNode(CollectionNode):$/;" c +MappingStartEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class MappingStartEvent(CollectionStartEvent):$/;" c +MapPrimitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^class MapPrimitive(Primitive):$/;" c +MapSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapSize = tf_export("raw_ops.MapSize")(_ops.to_raw_op(map_size))$/;" v +MapSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MapSizer(field_descriptor, is_message_map):$/;" f +MapStage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapStage = tf_export("raw_ops.MapStage")(_ops.to_raw_op(map_stage))$/;" v +MapStagingArea adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class MapStagingArea(BaseStagingArea):$/;" c +MapToRange adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/build_index.py /^class MapToRange(ModelLayer):$/;" c +MapUnstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapUnstage = tf_export("raw_ops.MapUnstage")(_ops.to_raw_op(map_unstage))$/;" v +MapUnstageNoKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^MapUnstageNoKey = tf_export("raw_ops.MapUnstageNoKey")(_ops.to_raw_op(map_unstage_no_key))$/;" v +MapVectorizationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^class MapVectorizationOptions(options.OptionsBase):$/;" c +MapWithEvicted adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def MapWithEvicted($/;" f +MapWrapper adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^class MapWrapper(object):$/;" c +map_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def map_(fn, *iterables):$/;" f +map_and_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^def map_and_batch(map_func,$/;" f +map_and_batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def map_and_batch_dataset(input_dataset, other_arguments, batch_size, num_parallel_calls, drop_r/;" f +map_and_batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def map_and_batch_dataset_eager_fallback(input_dataset, other_arguments, batch_size, num_paralle/;" f +map_and_batch_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ map_and_batch_fusion = options.create_option($/;" v class:OptimizationOptions +map_and_batch_with_legacy_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^def map_and_batch_with_legacy_function(map_func,$/;" f +map_and_filter_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ map_and_filter_fusion = options.create_option($/;" v class:OptimizationOptions +map_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def map_arg(arg):$/;" f function:create_input file: +map_arg adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def map_arg(a: Argument, fn: Callable[[Node], Argument]) -> Argument:$/;" f +map_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def map_arguments(self, tensor_dict):$/;" m class:Node +map_clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_clear(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +map_clear_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_clear_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name, ctx):$/;" f +map_coordinates adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^def map_coordinates($/;" f +map_coordinates adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def map_coordinates(input, coordinates, output=None, order=3,$/;" f +map_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def map_dataset(input_dataset, other_arguments, f, output_types, output_shapes, use_inter_op_par/;" f +map_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def map_dataset_eager_fallback(input_dataset, other_arguments, f, output_types, output_shapes, u/;" f +map_defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/map_defun.py /^def map_defun(fn,$/;" f +map_defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def map_defun(arguments, captured_inputs, output_types, output_shapes, f, max_intra_op_paralleli/;" f +map_defun_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def map_defun_eager_fallback(arguments, captured_inputs, output_types, output_shapes, f, max_int/;" f +MAP_DTYPE_TO_RECORD adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/types.py /^MAP_DTYPE_TO_RECORD = {$/;" v +map_flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_functional_ops.py /^def map_flat_values(op, *args, **kwargs):$/;" f +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ map_fn = lambda *value: nest.map_structure(to_ragged_variant, value)$/;" f member:_DenseToRaggedDataset.__init__ file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def map_fn(*columns):$/;" f function:make_csv_dataset_v2 file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def map_fn(fn, elems, name=None, dtype=None):$/;" f +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def map_fn(img, lbl, weight):$/;" f member:TestDistributionStrategyWithDatasets.test_fit_with_dictionary_in_the_dataset_b135161171 file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def map_fn(*x):$/;" f function:FunctionalPreprocessingStage.adapt.build_map_fn file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def map_fn(x):$/;" f member:PreprocessingStage.adapt file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^ def map_fn(*x, j=i):$/;" f function:_unzip_dataset file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def map_fn(x):$/;" f member:WhileContext._BuildLoop file: +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def map_fn(fn,$/;" f +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_map_ops.py /^def map_fn(fn,$/;" f +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.map_fn import map_fn_v2 as map_fn$/;" x +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.map_fn import map_fn_v2 as map_fn$/;" x +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.map_fn import map_fn_v2 as map_fn$/;" x +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.map_fn import map_fn_v2 as map_fn$/;" x +map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.map_fn import map_fn_v2 as map_fn$/;" x +map_fn adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def map_fn(args):$/;" f +map_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.ops import map_fn as map_fn_lib$/;" x +map_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_map_ops.py /^map_fn_lib = LazyLoader($/;" v +map_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^map_fn_lib = LazyLoader("map_fn_lib", globals(),$/;" v +map_fn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def map_fn_v2(fn,$/;" f +map_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ map_fusion = options.create_option($/;" v class:OptimizationOptions +map_incomplete_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_incomplete_size(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=N/;" f +map_incomplete_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_incomplete_size_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, n/;" f +map_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def map_jaxpr(self, f):$/;" m class:ClosedJaxpr +map_missing_dict_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def map_missing_dict_keys(y_pred, struct):$/;" f +map_multiprocess adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ map_multiprocess = map_multithread = _map_fallback$/;" v +map_multithread adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ map_multithread = _map_multithread$/;" v +map_on_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^def map_on_gpu(map_func):$/;" f +map_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def map_op(self):$/;" m class:_SparseMetaData +map_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def map_ops(proto):$/;" f function:_InferBlobDevice file: +map_parallelization adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ map_parallelization = options.create_option($/;" v class:OptimizationOptions +map_peek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_peek(key, indices, dtypes, capacity=0, memory_limit=0, container="", shared_name="", nam/;" f +map_peek_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_peek_eager_fallback(key, indices, dtypes, capacity, memory_limit, container, shared_name/;" f +map_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ map_primitive = False # set for map primitives processed in final style$/;" v class:Primitive +map_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ map_primitive = True$/;" v class:MapPrimitive +map_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ map_primitive = True # Not really, but it gives us a few good behaviors$/;" v class:XMapPrimitive +map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def map_resources(self):$/;" m class:_SaveableView +map_rois_to_fpn_levels adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^def map_rois_to_fpn_levels($/;" f +map_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_size(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +map_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_size_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name, ctx):$/;" f +map_stage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_stage(key, indices, values, dtypes, capacity=0, memory_limit=0, container="", shared_nam/;" f +map_stage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_stage_eager_fallback(key, indices, values, dtypes, capacity, memory_limit, container, sh/;" f +map_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def map_structure(func, *structure, **check_types_dict):$/;" f +map_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def map_structure(func, *structure, **kwargs):$/;" f +map_structure_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def map_structure_up_to(shallow_tree, func, *inputs):$/;" f +map_structure_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def map_structure_up_to(shallow_tree, func, *inputs, **kwargs):$/;" f +map_structure_with_atomic adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def map_structure_with_atomic(is_atomic_fn, map_fn, nested):$/;" f +map_structure_with_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def map_structure_with_paths(func, *structure, **kwargs):$/;" f +map_structure_with_tuple_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def map_structure_with_tuple_paths(func, *structure, **kwargs):$/;" f +map_structure_with_tuple_paths_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def map_structure_with_tuple_paths_up_to(shallow_tree, func, *inputs, **kwargs):$/;" f +map_subgraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def map_subgraph(init_tensor, sources, disallowed_placeholders, visited_ops,$/;" f +map_tensor_sizes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def map_tensor_sizes(sizes):$/;" f member:TestBase._get_arg file: +map_to_dual_list adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^ def map_to_dual_list(m):$/;" f function:recurrent_net file: +map_to_output_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def map_to_output_names(y_pred, output_names, struct):$/;" f +map_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def map_transpose(primitive, params, call_jaxpr, args, ct, _):$/;" f +map_unstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_unstage(key, indices, dtypes, capacity=0, memory_limit=0, container="", shared_name="", /;" f +map_unstage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_unstage_eager_fallback(key, indices, dtypes, capacity, memory_limit, container, shared_n/;" f +map_unstage_no_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_unstage_no_key(indices, dtypes, capacity=0, memory_limit=0, container="", shared_name=""/;" f +map_unstage_no_key_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def map_unstage_no_key_eager_fallback(indices, dtypes, capacity, memory_limit, container, shared/;" f +map_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def map_values(op, *args, **kwargs):$/;" f +map_variables adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def map_variables(i):$/;" f member:InputVariableMixin._get_input file: +map_vectorization adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ map_vectorization = options.create_option($/;" v class:OptimizationOptions +map_with_legacy_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def map_with_legacy_function(self,$/;" m class:DatasetV1 +MAP_XLA_TYPE_TO_RECORD adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/types.py /^MAP_XLA_TYPE_TO_RECORD = {$/;" v +Maratos adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class Maratos:$/;" c +MaratosGradInFunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class MaratosGradInFunc:$/;" c +MaratosTestArgs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class MaratosTestArgs:$/;" c +MarginChartContent adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^MarginChartContent = _reflection.GeneratedProtocolMessageType('MarginChartContent', (_message.Me/;" v +MarginRankingLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class MarginRankingLoss(_Loss):$/;" c +marginrankingloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def marginrankingloss_reference(input1, input2, target, margin=0, reduction='mean'):$/;" f +MarginRankLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/margin_rank_loss.py /^class MarginRankLoss(ModelLayer):$/;" c +margins adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def margins(self, *margins, x=None, y=None, tight=True):$/;" m class:_AxesBase +margins adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def margins(*margins, x=None, y=None, tight=True):$/;" f +margins adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def margins(self, *margins, x=None, y=None, z=None, tight=True):$/;" m class:Axes3D +margins adpepsenv/lib/python3.8/site-packages/scipy/stats/contingency.py /^def margins(a):$/;" f +margin_ranking_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def margin_ranking_loss(input1, input2, target, margin=0, size_average=None,$/;" f +mark adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^def mark(msg):$/;" f +Mark adpepsenv/lib/python3.8/site-packages/yaml/error.py /^class Mark:$/;" c +markA adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ markA = _fail$/;" v class:_NVTXStub +Markdown adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^class Markdown(Formatter):$/;" c +markdown adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def markdown(self):$/;" m class:BlockParser +Markdown adpepsenv/lib/python3.8/site-packages/markdown/core.py /^class Markdown:$/;" c +markdown adpepsenv/lib/python3.8/site-packages/markdown/core.py /^def markdown(text, **kwargs):$/;" f +markdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def markdown(self):$/;" m class:SubstituteTextPattern +markdown adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def markdown(self):$/;" m class:Pattern +markdown adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def markdown(self):$/;" m class:InlineProcessor +markdown adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def markdown(self):$/;" m class:Processor +markdownFromFile adpepsenv/lib/python3.8/site-packages/markdown/core.py /^def markdownFromFile(**kwargs):$/;" f +MarkdownInHtmlExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^class MarkdownInHtmlExtension(Extension):$/;" c +MarkdownInHTMLPostprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^class MarkdownInHTMLPostprocessor(RawHtmlPostprocessor):$/;" c +MarkdownInHtmlProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^class MarkdownInHtmlProcessor(BlockProcessor):$/;" c +markdowns_to_safe_html adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^def markdowns_to_safe_html(markdown_strings, combine):$/;" f +markdown_to_safe_html adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^def markdown_to_safe_html(markdown_string):$/;" f +MarkedYAMLError adpepsenv/lib/python3.8/site-packages/yaml/error.py /^class MarkedYAMLError(YAMLError):$/;" c +MARKER adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^MARKER = {$/;" v +marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def marker(remaining):$/;" f function:parse_marker file: +Marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^Marker = None$/;" v +Marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Marker(object):$/;" c +MARKER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER = stringStart + MARKER_EXPR + stringEnd$/;" v +MARKER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^MARKER = MARKER_SEPARATOR + MARKER_EXPR$/;" v +Marker adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class Marker(object):$/;" c +MARKER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER = stringStart + MARKER_EXPR + stringEnd$/;" v +MARKER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^MARKER = MARKER_SEPARATOR + MARKER_EXPR$/;" v +Marker adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class Marker(object):$/;" c +MARKER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER = stringStart + MARKER_EXPR + stringEnd$/;" v +MARKER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^MARKER = MARKER_SEPARATOR + MARKER_EXPR$/;" v +Marker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^Marker = None$/;" v +markerObject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def markerObject(self, path, trans, fill, stroke, lw, joinstyle,$/;" m class:PdfFile +MARKERS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^MARKERS = markers.MarkerStyle.markers$/;" v +markers adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ markers = MarkerStyle.markers$/;" v class:Line2D +markers adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ markers = {$/;" v class:MarkerStyle +MarkerStyle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^class MarkerStyle:$/;" c +markers_pass adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def markers_pass(self, req, extras=None):$/;" m class:_ReqExtras +markers_pass adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def markers_pass(self, req, extras=None):$/;" m class:_ReqExtras +marker_and adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def marker_and(remaining):$/;" f function:parse_marker file: +MARKER_ATOM adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_ATOM = MARKER_ITEM | Group(LPAREN + MARKER_EXPR + RPAREN)$/;" v +MARKER_ATOM adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_ATOM = MARKER_ITEM | Group(LPAREN + MARKER_EXPR + RPAREN)$/;" v +MARKER_ATOM adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_ATOM = MARKER_ITEM | Group(LPAREN + MARKER_EXPR + RPAREN)$/;" v +marker_expr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def marker_expr(remaining):$/;" f function:parse_marker file: +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_EXPR = Forward()$/;" v +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^MARKER_EXPR = originalTextFor(MARKER_EXPR())("marker")$/;" v +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_EXPR = Forward()$/;" v +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^MARKER_EXPR = originalTextFor(MARKER_EXPR())("marker")$/;" v +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_EXPR = Forward()$/;" v +MARKER_EXPR adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^MARKER_EXPR = originalTextFor(MARKER_EXPR())("marker")$/;" v +MARKER_ITEM adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_ITEM = Group(MARKER_VAR + MARKER_OP + MARKER_VAR)$/;" v +MARKER_ITEM adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_ITEM = Group(MARKER_VAR + MARKER_OP + MARKER_VAR)$/;" v +MARKER_ITEM adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_ITEM = Group(MARKER_VAR + MARKER_OP + MARKER_VAR)$/;" v +MARKER_OP adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^MARKER_OP = re.compile(r'^((<=?)|(>=?)|={2,3}|[~!]=|in|not\\s+in)\\s*')$/;" v +MARKER_OP adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_OP = VERSION_CMP | L("not in") | L("in")$/;" v +MARKER_OP adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_OP = VERSION_CMP | L("not in") | L("in")$/;" v +MARKER_OP adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_OP = VERSION_CMP | L("not in") | L("in")$/;" v +MARKER_SEPARATOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^MARKER_SEPARATOR = SEMICOLON$/;" v +MARKER_SEPARATOR adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^MARKER_SEPARATOR = SEMICOLON$/;" v +MARKER_SEPARATOR adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^MARKER_SEPARATOR = SEMICOLON$/;" v +MARKER_VALUE adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_VALUE = QuotedString("'") | QuotedString('"')$/;" v +MARKER_VALUE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_VALUE = QuotedString("'") | QuotedString('"')$/;" v +MARKER_VALUE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_VALUE = QuotedString("'") | QuotedString('"')$/;" v +marker_var adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def marker_var(remaining):$/;" f function:parse_marker file: +MARKER_VAR adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^MARKER_VAR = VARIABLE | MARKER_VALUE$/;" v +MARKER_VAR adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^MARKER_VAR = VARIABLE | MARKER_VALUE$/;" v +MARKER_VAR adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^MARKER_VAR = VARIABLE | MARKER_VALUE$/;" v +markinnerspaces adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def markinnerspaces(line):$/;" f +markInputline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def markInputline(self, markerString=">!<"):$/;" m class:ParseBaseException +markInputline adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def markInputline( self, markerString = ">!<" ):$/;" m class:ParseBaseException +markInputline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def markInputline(self, markerString=">!<"):$/;" m class:ParseBaseException +markInputline adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def markInputline( self, markerString = ">!<" ):$/;" m class:ParseBaseException +markoutercomma adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def markoutercomma(line, comma=','):$/;" f +markouterparen adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def markouterparen(line):$/;" f +markupDeclarationOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def markupDeclarationOpenState(self):$/;" m class:HTMLTokenizer +markupDeclarationOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def markupDeclarationOpenState(self):$/;" m class:HTMLTokenizer +mark_as adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def mark_as(dispatch_type):$/;" f +mark_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def mark_as(self, value): # type: (MutationSentinel, bool) -> bool$/;" m class:MutationSentinel +mark_as_parsed adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def mark_as_parsed(self):$/;" m class:FlagValues +mark_as_return adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def mark_as_return(self, tensor):$/;" m class:AutomaticControlDependencies +mark_as_return adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def mark_as_return(outputs, acd):$/;" f +mark_as_unsaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def mark_as_unsaveable():$/;" f +mark_as_unsaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def mark_as_unsaveable(self, error_message):$/;" m class:FuncGraph +mark_bool_flags_as_mutual_exclusive adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def mark_bool_flags_as_mutual_exclusive(flag_names, required=False,$/;" f +mark_bool_flags_as_mutual_exclusive adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^mark_bool_flags_as_mutual_exclusive = _validators.mark_bool_flags_as_mutual_exclusive$/;" v +mark_cached adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def mark_cached(self, key):$/;" m class:AttributeSentinel +mark_cancelled adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def mark_cancelled(self):$/;" m class:Closure +mark_changed adpeps/utils/tlist.py /^ def mark_changed(self, linear_ix):$/;" m class:TList +mark_checked adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def mark_checked(tensors):$/;" f +mark_devices_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def mark_devices_fn():$/;" f member:DistributionTestBase._test_replica_id file: +mark_dirty adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def mark_dirty(self, *args):$/;" m class:_ContextMethodMixin +mark_dirty adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def mark_dirty(self, *args: Any, **kwargs: Any) -> None:$/;" m class:NestedIOFunction +mark_failed adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def mark_failed(self, e):$/;" m class:_CoordinatedClosureQueue +mark_finished adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def mark_finished(self):$/;" m class:_CoordinatedClosureQueue +mark_flags_as_mutual_exclusive adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def mark_flags_as_mutual_exclusive(flag_names, required=False,$/;" f +mark_flags_as_mutual_exclusive adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^mark_flags_as_mutual_exclusive = _validators.mark_flags_as_mutual_exclusive$/;" v +mark_flags_as_required adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def mark_flags_as_required(flag_names, flag_values=_flagvalues.FLAGS):$/;" f +mark_flags_as_required adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^mark_flags_as_required = _validators.mark_flags_as_required$/;" v +mark_flag_as_required adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def mark_flag_as_required(flag_name, flag_values=_flagvalues.FLAGS):$/;" f +mark_flag_as_required adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^mark_flag_as_required = _validators.mark_flag_as_required$/;" v +mark_inset adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^def mark_inset(parent_axes, inset_axes, loc1, loc2, **kwargs):$/;" f +mark_non_differentiable adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def mark_non_differentiable(self, *args):$/;" m class:_ContextMethodMixin +mark_non_differentiable adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def mark_non_differentiable(self, *args: Any, **kwargs: Any) -> None:$/;" m class:NestedIOFunction +mark_observed_custom_module adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def mark_observed_custom_module(module, custom_module_class):$/;" f +mark_op_as_used adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^ def mark_op_as_used(op):$/;" f function:ops_used_by_graph_def file: +mark_param adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def mark_param(self, name, owner):$/;" m class:Scope +mark_plot_labels adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def mark_plot_labels(app, document):$/;" f +mark_shared_storage adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def mark_shared_storage(self, *pairs):$/;" m class:_ContextMethodMixin +mark_uploaded adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def mark_uploaded(self, is_uploaded):$/;" m class:_BlobTracker +martist adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.artist as martist$/;" I +martist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^from matplotlib import artist as martist, cbook, transforms as mtransforms$/;" x +martist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^import matplotlib.artist as martist$/;" I +mask adpepsenv/lib/python3.8/site-packages/jax/api.py /^def mask(fun: Callable, in_shapes, out_shape=None) -> Callable:$/;" f +mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def mask(self):$/;" m class:MaskedArray +mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def mask(self, value):$/;" m class:MaskedArray +mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ mask = [0, 1, 0, 0, 1]$/;" v class:TestMRecords +mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.array_ops import sparse_mask as mask$/;" x +mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.array_ops import sparse_mask as mask$/;" x +mask adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.array_ops import sparse_mask as mask$/;" x +mask2grid adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def mask2grid(self, xm, ym):$/;" m class:DomainMap +masked adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def masked(*args):$/;" f function:_masked_scan_jaxpr file: +masked adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^masked = masked_singleton = MaskedConstant()$/;" v +MaskedArray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MaskedArray(ndarray):$/;" c +MaskedArrayFutureWarning adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MaskedArrayFutureWarning(FutureWarning):$/;" c +MaskedConstant adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MaskedConstant(MaskedArray):$/;" c +MaskedIterator adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MaskedIterator:$/;" c +MaskedRecords adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^class MaskedRecords(MaskedArray):$/;" c +masked_all adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def masked_all(shape, dtype=float):$/;" f +masked_all_like adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def masked_all_like(arr):$/;" f +masked_array adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^masked_array = MaskedArray$/;" v +masked_crc32c adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def masked_crc32c(data):$/;" f +masked_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_equal(x, value, copy=True):$/;" f +masked_fill adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def masked_fill(g, self, mask, value):$/;" f +masked_greater adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_greater(x, value, copy=True):$/;" f +masked_greater_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_greater_equal(x, value, copy=True):$/;" f +masked_inside adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_inside(x, v1, v2, copy=True):$/;" f +masked_invalid adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_invalid(a, copy=True):$/;" f +masked_less adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_less(x, value, copy=True):$/;" f +masked_less_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_less_equal(x, value, copy=True):$/;" f +masked_not_equal adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_not_equal(x, value, copy=True):$/;" f +masked_object adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_object(x, value, copy=True, shrink=True):$/;" f +masked_outside adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_outside(x, v1, v2, copy=True):$/;" f +masked_print_option adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^masked_print_option = _MaskedPrintOption('--')$/;" v +masked_scatter adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def masked_scatter(g, self, mask, source):$/;" f +masked_select adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def masked_select(g, self, mask):$/;" f +masked_values adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_values(x, value, rtol=1e-5, atol=1e-8, copy=True, shrink=True):$/;" f +masked_where adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def masked_where(condition, a, copy=True):$/;" f +MaskError adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class MaskError(MAError):$/;" c +MaskGenAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^class MaskGenAlgorithm(rfc5280.AlgorithmIdentifier):$/;" c +MaskGenAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class MaskGenAlgorithm(AlgorithmIdentifier):$/;" c +Masking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Masking(Layer):$/;" c +masking_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def masking_fn(time):$/;" f function:rnn file: +masking_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def masking_fn(time):$/;" f function:rnn file: +masking_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^masking_rules: Dict[core.Primitive, Callable] = {}$/;" v +maskNallocate adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^def maskNallocate(weight_name):$/;" f +MaskTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class MaskTrace(Trace):$/;" c +MaskTracer adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class MaskTracer(Tracer):$/;" c +MaskType adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^MaskType = np.bool_$/;" v +maskx adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^maskx = xl > 0.8$/;" v +masky adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^masky = yl < -0.8$/;" v +mask_cols adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def mask_cols(a, axis=np._NoValue):$/;" f +mask_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def mask_fun(fun, logical_env, padded_env, in_vals, polymorphic_shapes):$/;" f +mask_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^mask_indices = _wrap_indices_function(np.mask_indices)$/;" v +mask_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def mask_indices(n, mask_func, k=0):$/;" f +mask_not_all_zeros adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def mask_not_all_zeros(shape):$/;" f +mask_or adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def mask_or(m1, m2, copy=False, shrink=True):$/;" f +mask_rowcols adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def mask_rowcols(a, axis=None):$/;" f +mask_rows adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def mask_rows(a, axis=np._NoValue):$/;" f +mask_subtrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def mask_subtrace(main, shapes, padded_env, *in_vals):$/;" f +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:ClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:SimpleClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:UnionClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:GCEClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:KubernetesClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:SageMakerClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:SlurmClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:TFConfigClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def master(self, task_type=None, task_id=None, rpc_layer=None):$/;" m class:TPUClusterResolver +master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def master(self):$/;" m class:RunConfig +MASTER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ MASTER = 'master'$/;" v class:TaskType +master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def master(self):$/;" m class:RunConfig +MASTER_ADDR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^from torch._utils_internal import TEST_MASTER_ADDR as MASTER_ADDR$/;" x +MASTER_BRANCH adpepsenv/lib/python3.8/site-packages/torch/hub.py /^MASTER_BRANCH = 'master'$/;" v +master_job adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^def master_job(master, cluster_def):$/;" f +master_job adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def master_job(self):$/;" m class:_InternalTPUContext +MASTER_PORT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^from torch._utils_internal import TEST_MASTER_PORT as MASTER_PORT$/;" x +MASTER_RANK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^MASTER_RANK = REMOTE_WORKER_RANK + 1$/;" v +master_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def master_target(self):$/;" m class:_WorkerContext +mat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ mat = np.array([127, 39, 93, 87, 46])$/;" v class:TestNanFunctions_IntTypes +mat adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^mat = asmatrix$/;" v +mat adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^import numpy.ma.testutils as mat$/;" I +match adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def match(self, pattern):$/;" m class:NNModule +match adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def match(self, image):$/;" m class:MorphOp +match adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def match(self, version):$/;" m class:Matcher +match adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def match(self, expected, actual):$/;" m class:DatasetSerializationTestBase +match adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def match($/;" m class:MapAdapter +match adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def match(self, path, method=None):$/;" m class:Rule +matchaxis adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def matchaxis(sz, src, dst, x, sum_match=False):$/;" f +matchBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def matchBytes(self, bytes):$/;" m class:EncodingBytes +matchBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def matchBytes(self, bytes):$/;" m class:EncodingBytes +MatchDType adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/py_func.py /^class MatchDType(namedtuple('MatchDType', ('arg_number',))):$/;" c +matched_files_iter adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def matched_files_iter(root_path, includes=('*',), ignores=(), extensions=(), out_of_place_only=/;" f +matcher adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ def matcher(version_string):$/;" f function:CCompiler_get_version file: +matcher adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ def matcher(self, version_string):$/;" f function:simple_version_match file: +Matcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class Matcher(object):$/;" c +matches adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def matches(self, testString, parseAll=True):$/;" m class:ParserElement +matches adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def matches(self, testString, parseAll=True):$/;" m class:ParserElement +matches adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def matches(self, testString, parseAll=True):$/;" m class:ParserElement +matches adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def matches(self, testString, parseAll=True):$/;" m class:ParserElement +matches adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def matches(self, module_name):$/;" m class:Rule +matches adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def matches(node, pattern):$/;" f +matches adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def matches(self, parent, field, child):$/;" m class:ASTEdgePattern +matches adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^matches = []$/;" v +matches_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def matches_requirement(self, req):$/;" m class:Distribution +MatchFirst adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class MatchFirst(ParseExpression):$/;" c +MatchFirst adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class MatchFirst(ParseExpression):$/;" c +MatchFirst adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class MatchFirst(ParseExpression):$/;" c +MatchFirst adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class MatchFirst(ParseExpression):$/;" c +matchfunc adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def matchfunc(x):$/;" f member:Artist.findobj file: +matching adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def matching(u, v, w=None):$/;" f +MatchingFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^MatchingFiles = tf_export("raw_ops.MatchingFiles")(_ops.to_raw_op(matching_files))$/;" v +MatchingFilesDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/matching_files.py /^class MatchingFilesDataset(dataset_ops.DatasetSource):$/;" c +MatchingFilesDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^MatchingFilesDataset = tf_export("raw_ops.MatchingFilesDataset")(_ops.to_raw_op(matching_files_d/;" v +MatchingRuleAssertion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class MatchingRuleAssertion(univ.Sequence):$/;" c +MatchingRuleId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class MatchingRuleId(LDAPString):$/;" c +matching_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def matching_files(pattern, name=None):$/;" f +matching_files_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def matching_files_dataset(patterns, name=None):$/;" f +matching_files_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def matching_files_dataset_eager_fallback(patterns, name, ctx):$/;" f +matching_files_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def matching_files_eager_fallback(pattern, name, ctx):$/;" f +matchOnlyAtCol adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def matchOnlyAtCol(n):$/;" f +matchOnlyAtCol adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def matchOnlyAtCol(n):$/;" f +matchOnlyAtCol adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def matchOnlyAtCol(n):$/;" f +matchOnlyAtCol adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def matchOnlyAtCol(n):$/;" f +matchPreviousExpr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def matchPreviousExpr(expr):$/;" f +matchPreviousExpr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def matchPreviousExpr(expr):$/;" f +matchPreviousExpr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def matchPreviousExpr(expr):$/;" f +matchPreviousExpr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def matchPreviousExpr(expr):$/;" f +matchPreviousLiteral adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def matchPreviousLiteral(expr):$/;" f +matchPreviousLiteral adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def matchPreviousLiteral(expr):$/;" f +matchPreviousLiteral adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def matchPreviousLiteral(expr):$/;" f +matchPreviousLiteral adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def matchPreviousLiteral(expr):$/;" f +match_arg_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/py_func.py /^ def match_arg_dtype(arg_number):$/;" f function:wrap_py_func file: +match_bottom_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_bottom_margins(boxes, levels=1):$/;" f +match_compare_key adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def match_compare_key(self):$/;" m class:Rule +match_dtype_and_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def match_dtype_and_rank(y_t, y_p, sw):$/;" f +match_extensions adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def match_extensions(filename):$/;" f function:matched_files_iter file: +match_filenames_once adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def match_filenames_once(pattern, name=None):$/;" f +match_heights adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_heights(boxes, height_ratios=None, strength='medium'):$/;" f +match_height_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_height_margins(boxes, levels=1):$/;" f +match_hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def match_hostname(cert, hostname):$/;" f +match_hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^def match_hostname(cert, hostname):$/;" f +match_hostname adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ match_hostname = None$/;" v +match_hostname adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def match_hostname(cert, hostname):$/;" f +match_hostname adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^def match_hostname(cert, hostname):$/;" f +match_left_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_left_margins(boxes, levels=1):$/;" f +match_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_margins(boxes, levels=1):$/;" f +match_markers adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def match_markers(self, extras_requested=None):$/;" m class:InstallRequirement +match_maybe_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def match_maybe_append(pathname):$/;" f function:get_checkpoint_mtimes file: +match_next_flag adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def match_next_flag(flags, pos):$/;" m class:TTParameters +match_right_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_right_margins(boxes, levels=1):$/;" f +match_row_splits_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def match_row_splits_dtypes(*tensors, **kwargs):$/;" f +match_staging_level adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/special_functions.py /^def match_staging_level(value, like_value):$/;" f +match_top_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_top_margins(boxes, levels=1):$/;" f +match_widths adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_widths(boxes, width_ratios=None, strength='medium'):$/;" f +match_width_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def match_width_margins(boxes, levels=1):$/;" f +matdims adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def matdims(arr, oned_as='column'):$/;" f +MATERIALICONS_WOFF2_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^MATERIALICONS_WOFF2_ROUTE = '\/materialicons.woff2'$/;" v +matest adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^import numpy.ma.testutils as matest$/;" I +MatFile4Reader adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^class MatFile4Reader(MatFileReader):$/;" c +MatFile4Writer adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^class MatFile4Writer(object):$/;" c +MatFile5Reader adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^class MatFile5Reader(MatFileReader):$/;" c +MatFile5Writer adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^class MatFile5Writer(object):$/;" c +MatFileReader adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^class MatFileReader(object):$/;" c +math adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def math(self, s, loc, toks):$/;" m class:Parser +MathDirective adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^class MathDirective(Directive):$/;" c +mathieu_a adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double mathieu_a(double x0, double x1) nogil$/;" f +mathieu_b adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double mathieu_b(double x0, double x1) nogil$/;" f +mathieu_cem adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_cem(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_ce_rad adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_ce_rad(m, q, x):$/;" f +mathieu_even_coef adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def mathieu_even_coef(m, q):$/;" f +mathieu_mc1_scaled adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_mc1_scaled(m, q, x):$/;" f +mathieu_mc2_scaled adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_mc2_scaled(m, q, x):$/;" f +mathieu_modcem1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_modcem1(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_modcem2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_modcem2(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_modsem1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_modsem1(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_modsem2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_modsem2(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_ms1_scaled adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_ms1_scaled(m, q, x):$/;" f +mathieu_ms2_scaled adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_ms2_scaled(m, q, x):$/;" f +mathieu_odd_coef adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def mathieu_odd_coef(m, q):$/;" f +mathieu_sem adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void mathieu_sem(double x0, double x1, double x2, double *y0, double *y1) nogil$/;" f +mathieu_se_rad adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def mathieu_se_rad(m, q, x):$/;" f +mathmlTextIntegrationPointElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^mathmlTextIntegrationPointElements = frozenset([$/;" v +mathmlTextIntegrationPointElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^mathmlTextIntegrationPointElements = frozenset([$/;" v +mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.mathtext as mathtext$/;" I +MathtextBackend adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackend:$/;" c +MathtextBackendAgg adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendAgg(MathtextBackend):$/;" c +MathtextBackendBitmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendBitmap(MathtextBackendAgg):$/;" c +MathtextBackendCairo adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendCairo(MathtextBackend):$/;" c +MathtextBackendPath adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendPath(MathtextBackend):$/;" c +MathtextBackendPdf adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendPdf(MathtextBackend):$/;" c +MathtextBackendPs adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendPs(MathtextBackend):$/;" c +MathtextBackendSvg adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathtextBackendSvg(MathtextBackend):$/;" c +MathTextParser adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathTextParser:$/;" c +MathTextWarning adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class MathTextWarning(Warning):$/;" c +mathtext_parser adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^mathtext_parser = MathTextParser("Bitmap")$/;" v +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = supported_dtypes$/;" v class:_TestCommon +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.bool_, np.int_, np.float_, np.complex_]$/;" v class:TestCSC +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.bool_, np.int_, np.float_, np.complex_]$/;" v class:TestCSR +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.int_, np.float_, np.complex_]$/;" v class:TestBSR +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.int_, np.float_, np.complex_]$/;" v class:TestCOO +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.int_, np.float_, np.complex_]$/;" v class:TestDIA +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.int_, np.float_, np.complex_]$/;" v class:TestDOK +math_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ math_dtypes = [np.int_, np.float_, np.complex_]$/;" v class:TestLIL +math_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^math_ops = LazyLoader($/;" v +math_role adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^def math_role(role, rawtext, text, lineno, inliner,$/;" f +math_string adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def math_string(self, s, loc, toks):$/;" m class:Parser +math_tests adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^math_tests = [$/;" v +math_to_image adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^def math_to_image(s, filename_or_obj, prop=None, dpi=None, format=None):$/;" f +MATH_TRANSPILATIONS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^MATH_TRANSPILATIONS = collections.OrderedDict($/;" v +MatlabFunction adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^class MatlabFunction(np.ndarray):$/;" c +MatlabObject adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^class MatlabObject(np.ndarray):$/;" c +MatlabOpaque adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^class MatlabOpaque(np.ndarray):$/;" c +matmat adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def matmat(X):$/;" f function:left_multiplied_operator file: +matmat adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def matmat(X):$/;" f function:right_multiplied_operator file: +matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def matmat(self, other):$/;" m class:bsr_matrix +matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def matmat(self, X):$/;" m class:LinearOperator +MatmatOnly adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ class MatmatOnly(interface.LinearOperator):$/;" c function:test_inheritance file: +matmat_XH_X adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def matmat_XH_X(x):$/;" f function:svds file: +matmul adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def matmul(a, b, *, precision=None): # pylint: disable=missing-docstring$/;" f +matmul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ matmul = np.matmul$/;" v class:TestMatmul +matmul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ matmul = operator.matmul$/;" v class:TestMatmulOperator +matmul adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def matmul(self, a, b):$/;" m class:TestCDF2RDF +MatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^MatMul = tf_export("raw_ops.MatMul")(_ops.to_raw_op(mat_mul))$/;" v +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def matmul(p1, p2, p3):$/;" f member:ConvolutionOrthogonal3D._block_orth file: +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def matmul(self, x, adjoint=False, adjoint_arg=False, name="matmul"):$/;" m class:LinearOperator +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def matmul(lin_op_a, lin_op_b, name=None):$/;" f +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def matmul(self, x, adjoint=False, adjoint_arg=False, name="matmul"):$/;" m class:LinearOperatorBlockDiag +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def matmul(self, x, adjoint=False, adjoint_arg=False, name="matmul"):$/;" m class:LinearOperatorBlockLowerTriangular +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def matmul(a,$/;" f +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def matmul(a,$/;" f +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def matmul(x1, x2): # pylint: disable=missing-docstring$/;" f +matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_dense_matmul as matmul$/;" x +matmul adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^matmul = cuBLASModule()$/;" v +matmul adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def matmul(g, self, other):$/;" f +matmul adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def matmul(g, self, other):$/;" f +matmul adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def matmul(self, *args, **kwargs):$/;" m class:FauxTorch +matmul adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def matmul(A, B):$/;" f +MatmulCommon adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class MatmulCommon:$/;" c +matmul_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def matmul_ref(X, Y, axis_a, axis_b, trans_a, trans_b):$/;" f member:TestMatMul.test_matmul_axis file: +matmul_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def matmul_ref(X, Y, trans_a, trans_b):$/;" f member:TestMatMul.test_matmul file: +matmul_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def matmul_ref(X, Y, trans_a, trans_b, dtype):$/;" f member:TestBatchMatMul.test_batch_matmul file: +matmul_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def matmul_ref(X, Y, trans_a, trans_b, dtype):$/;" f member:TestBatchMatMul._test_batch_matmul_with_broadcast_common file: +matmul_shape_inference adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def matmul_shape_inference(a, b, c, transpose_a, transpose_b, adjoint_a,$/;" f +matmul_toeplitz adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def matmul_toeplitz(c_or_cr, x, check_finite=False, workers=None):$/;" f +matmul_without_tf32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def matmul_without_tf32(a, b, *args, **kwargs):$/;" f +matnorm_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^matnorm_docdict_noparams = {$/;" v +matnorm_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^matnorm_docdict_params = {$/;" v +MatplotlibDeprecationWarning adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^class MatplotlibDeprecationWarning(UserWarning):$/;" c +matplotlib_fname adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def matplotlib_fname():$/;" f +MatReadError adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^class MatReadError(Exception):$/;" c +MatReadWarning adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^class MatReadWarning(UserWarning):$/;" c +matrices adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def matrices(omega):$/;" f member:TestEig.test_bad_geneig file: +matrices adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def matrices(sparse_cls):$/;" f +matrices_to_flat_transforms adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops.py /^def matrices_to_flat_transforms(transform_matrices):$/;" f +matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^class matrix(N.ndarray):$/;" c +matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def matrix(*args, **kwargs):$/;" f +matrix adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def matrix(rows, cols):$/;" f +MatrixBandPart adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixBandPart = tf_export("raw_ops.MatrixBandPart")(_ops.to_raw_op(matrix_band_part))$/;" v +MatrixDeterminant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixDeterminant = tf_export("raw_ops.MatrixDeterminant")(_ops.to_raw_op(matrix_determinant))$/;" v +MatrixDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiag = tf_export("raw_ops.MatrixDiag")(_ops.to_raw_op(matrix_diag))$/;" v +MatrixDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MatrixDiagOptions = 88$/;" v class:BuiltinOptions +MatrixDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MatrixDiagOptions(object):$/;" c +MatrixDiagOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MatrixDiagOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:MatrixDiagOptions +MatrixDiagOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MatrixDiagOptionsEnd(builder): return builder.EndObject()$/;" f +MatrixDiagOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MatrixDiagOptionsStart(builder): builder.StartObject(0)$/;" f +MatrixDiagOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MatrixDiagOptionsT(object):$/;" c +MatrixDiagPart adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiagPart = tf_export("raw_ops.MatrixDiagPart")(_ops.to_raw_op(matrix_diag_part))$/;" v +MatrixDiagPartV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiagPartV2 = tf_export("raw_ops.MatrixDiagPartV2")(_ops.to_raw_op(matrix_diag_part_v2))$/;" v +MatrixDiagPartV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiagPartV3 = tf_export("raw_ops.MatrixDiagPartV3")(_ops.to_raw_op(matrix_diag_part_v3))$/;" v +MatrixDiagV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiagV2 = tf_export("raw_ops.MatrixDiagV2")(_ops.to_raw_op(matrix_diag_v2))$/;" v +MatrixDiagV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixDiagV3 = tf_export("raw_ops.MatrixDiagV3")(_ops.to_raw_op(matrix_diag_v3))$/;" v +MatrixExponential adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixExponential = tf_export("raw_ops.MatrixExponential")(_ops.to_raw_op(matrix_exponential))$/;" v +MatrixInverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixInverse = tf_export("raw_ops.MatrixInverse")(_ops.to_raw_op(matrix_inverse))$/;" v +matrixlib adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^import numpy.matrixlib as matrixlib$/;" I +MatrixLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class MatrixLinearOperator(LinearOperator):$/;" c +MatrixLogarithm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixLogarithm = tf_export("raw_ops.MatrixLogarithm")(_ops.to_raw_op(matrix_logarithm))$/;" v +matrixmultiply adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^def matrixmultiply(a, b):$/;" f +MatrixPowerOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^class MatrixPowerOperator(LinearOperator):$/;" c +MatrixProductOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^class MatrixProductOperator(scipy.sparse.linalg.LinearOperator):$/;" c +MatrixRankWarning adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^class MatrixRankWarning(UserWarning):$/;" c +MatrixSetDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixSetDiag = tf_export("raw_ops.MatrixSetDiag")(_ops.to_raw_op(matrix_set_diag))$/;" v +MatrixSetDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MatrixSetDiagOptions = 90$/;" v class:BuiltinOptions +MatrixSetDiagOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MatrixSetDiagOptions(object):$/;" c +MatrixSetDiagOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MatrixSetDiagOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:MatrixSetDiagOptions +MatrixSetDiagOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MatrixSetDiagOptionsEnd(builder): return builder.EndObject()$/;" f +MatrixSetDiagOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MatrixSetDiagOptionsStart(builder): builder.StartObject(0)$/;" f +MatrixSetDiagOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MatrixSetDiagOptionsT(object):$/;" c +MatrixSetDiagV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixSetDiagV2 = tf_export("raw_ops.MatrixSetDiagV2")(_ops.to_raw_op(matrix_set_diag_v2))$/;" v +MatrixSetDiagV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MatrixSetDiagV3 = tf_export("raw_ops.MatrixSetDiagV3")(_ops.to_raw_op(matrix_set_diag_v3))$/;" v +MatrixSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixSolve = tf_export("raw_ops.MatrixSolve")(_ops.to_raw_op(matrix_solve))$/;" v +MatrixSolveLs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixSolveLs = tf_export("raw_ops.MatrixSolveLs")(_ops.to_raw_op(matrix_solve_ls))$/;" v +MatrixSquareRoot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixSquareRoot = tf_export("raw_ops.MatrixSquareRoot")(_ops.to_raw_op(matrix_square_root))$/;" v +MatrixTestCase adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class MatrixTestCase(LinalgTestCase):$/;" c +MatrixTriangularSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^MatrixTriangularSolve = tf_export("raw_ops.MatrixTriangularSolve")(_ops.to_raw_op(matrix_triangu/;" v +matrix_balance adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def matrix_balance(A, permute=True, scale=True, separate=False,$/;" f +matrix_band_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_band_part(input, num_lower, num_upper, name=None):$/;" f +matrix_band_part_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_band_part_eager_fallback(input, num_lower, num_upper, name, ctx):$/;" f +matrix_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_determinant(input, name=None):$/;" f +matrix_determinant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_determinant_eager_fallback(input, name, ctx):$/;" f +MATRIX_DIAG adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MATRIX_DIAG = 113$/;" v class:BuiltinOperator +matrix_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def matrix_diag(diagonal,$/;" f +matrix_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag(diagonal, name=None):$/;" f +matrix_diag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_eager_fallback(diagonal, name, ctx):$/;" f +matrix_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def matrix_diag_part($/;" f +matrix_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part(input, name=None):$/;" f +matrix_diag_part_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part_eager_fallback(input, name, ctx):$/;" f +matrix_diag_part_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part_v2(input, k, padding_value, name=None):$/;" f +matrix_diag_part_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part_v2_eager_fallback(input, k, padding_value, name, ctx):$/;" f +matrix_diag_part_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part_v3(input, k, padding_value, align="RIGHT_LEFT", name=None):$/;" f +matrix_diag_part_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_part_v3_eager_fallback(input, k, padding_value, align, name, ctx):$/;" f +matrix_diag_transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def matrix_diag_transform(matrix, transform=None, name=None):$/;" f +matrix_diag_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_v2(diagonal, k, num_rows, num_cols, padding_value, name=None):$/;" f +matrix_diag_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_v2_eager_fallback(diagonal, k, num_rows, num_cols, padding_value, name, ctx):$/;" f +matrix_diag_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_v3(diagonal, k, num_rows, num_cols, padding_value, align="RIGHT_LEFT", name=None/;" f +matrix_diag_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_diag_v3_eager_fallback(diagonal, k, num_rows, num_cols, padding_value, align, name, c/;" f +matrix_exponential adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_exponential(input, name=None):$/;" f +matrix_exponential adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def matrix_exponential(input, name=None): # pylint: disable=redefined-builtin$/;" f +matrix_exponential_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_exponential_eager_fallback(input, name, ctx):$/;" f +matrix_from_values adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def matrix_from_values(a, b, c, d, e, f):$/;" m class:Affine2DBase +matrix_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_inverse(input, adjoint=False, name=None):$/;" f +matrix_inverse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_inverse_eager_fallback(input, adjoint, name, ctx):$/;" f +matrix_logarithm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_logarithm(input, name=None):$/;" f +matrix_logarithm_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_logarithm_eager_fallback(input, name, ctx):$/;" f +matrix_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^matrix_normal = matrix_normal_gen()$/;" v +matrix_normal_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class matrix_normal_frozen(multi_rv_frozen):$/;" c +matrix_normal_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class matrix_normal_gen(multi_rv_generic):$/;" c +matrix_power adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def matrix_power(a, n):$/;" f +matrix_power adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def matrix_power(a, n):$/;" f +matrix_rank adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def matrix_rank(M, tol=None):$/;" f +matrix_rank adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def matrix_rank(M, tol=None, hermitian=False):$/;" f +matrix_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def matrix_rank(a, tol=None, validate_args=False, name=None):$/;" f +MATRIX_SET_DIAG adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MATRIX_SET_DIAG = 115$/;" v class:BuiltinOperator +matrix_set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def matrix_set_diag($/;" f +matrix_set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag(input, diagonal, name=None):$/;" f +matrix_set_diag_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag_eager_fallback(input, diagonal, name, ctx):$/;" f +matrix_set_diag_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag_v2(input, diagonal, k, name=None):$/;" f +matrix_set_diag_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag_v2_eager_fallback(input, diagonal, k, name, ctx):$/;" f +matrix_set_diag_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag_v3(input, diagonal, k, align="RIGHT_LEFT", name=None):$/;" f +matrix_set_diag_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def matrix_set_diag_v3_eager_fallback(input, diagonal, k, align, name, ctx):$/;" f +matrix_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_solve(matrix, rhs, adjoint=False, name=None):$/;" f +matrix_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_solve_eager_fallback(matrix, rhs, adjoint, name, ctx):$/;" f +matrix_solve_ls adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_solve_ls(matrix, rhs, l2_regularizer, fast=True, name=None):$/;" f +matrix_solve_ls adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def matrix_solve_ls(matrix, rhs, l2_regularizer=0.0, fast=True, name=None):$/;" f +matrix_solve_ls_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_solve_ls_eager_fallback(matrix, rhs, l2_regularizer, fast, name, ctx):$/;" f +matrix_solve_with_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def matrix_solve_with_broadcast(matrix, rhs, adjoint=False, name=None):$/;" f +matrix_square_root adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_square_root(input, name=None):$/;" f +matrix_square_root_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_square_root_eager_fallback(input, name, ctx):$/;" f +matrix_to_tt adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core.py /^def matrix_to_tt(W, inp_sizes, out_sizes, tt_ranks):$/;" f +matrix_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def matrix_transpose(a, name="matrix_transpose", conjugate=False):$/;" f +matrix_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_triangular_solve(matrix, rhs, lower=True, adjoint=False, name=None):$/;" f +matrix_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def matrix_triangular_solve(matrix, rhs, lower=True, adjoint=False, name=None):$/;" f +matrix_triangular_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def matrix_triangular_solve_eager_fallback(matrix, rhs, lower, adjoint, name, ctx):$/;" f +matshow adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def matshow(self, Z, **kwargs):$/;" m class:Axes +matshow adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def matshow(A, fignum=None, **kwargs):$/;" f +MatVarReader adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^class MatVarReader(object):$/;" c +matvec adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matvec = lambda x: A.matvec(x)$/;" f function:svd file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matvec = lambda x: A. matvec(x)$/;" f function:estimate_spectral_norm file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, v):$/;" m class:asjacobian.Jac +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, f):$/;" m class:Anderson +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, f):$/;" m class:BroydenFirst +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, f):$/;" m class:DiagBroyden +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, f):$/;" m class:ExcitingMixing +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, f):$/;" m class:LinearMixing +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, v):$/;" m class:KrylovJacobian +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def matvec(self, v):$/;" m class:LowRankMatrix +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def matvec(x):$/;" f function:left_multiplied_operator file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def matvec(x):$/;" f function:regularized_lsq_operator file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def matvec(x):$/;" f function:right_multiplied_operator file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^ def matvec(x):$/;" f function:lsmr_operator file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^ def matvec(p):$/;" f function:_linear_operator_difference file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def matvec(p):$/;" f function:CanonicalConstraint.concatenate.hess file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def matvec(p):$/;" f member:HessianLinearOperator.__call__ file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def matvec(p):$/;" f member:LagrangianHessian.__call__ file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def matvec(vec):$/;" f member:BarrierSubproblem.lagrangian_hessian file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def matvec(vec):$/;" f member:BarrierSubproblem.scaling file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def matvec(self, other):$/;" m class:bsr_matrix +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def matvec(self, x):$/;" m class:LinearOperator +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^def matvec(v):$/;" f +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^def matvec(v):$/;" f +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def matvec(b):$/;" f function:check_precond_inverse file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def matvec(x):$/;" f function:_check_reentrancy file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^def matvec(v):$/;" f +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def matvec(v):$/;" f function:test_no_double_init file: +matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def matvec(x):$/;" f +matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def matvec(self, x, adjoint=False, name="matvec"):$/;" m class:LinearOperator +matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def matvec(self, x, adjoint=False, name="matvec"):$/;" m class:LinearOperatorBlockDiag +matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def matvec(self, x, adjoint=False, name="matvec"):$/;" m class:LinearOperatorBlockLowerTriangular +matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def matvec(a,$/;" f +matvec1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matvec1 = lambda x: A. matvec(x)$/;" f function:estimate_spectral_norm_diff file: +matvec2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matvec2 = lambda x: B. matvec(x)$/;" f function:estimate_spectral_norm_diff file: +matveca adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matveca = lambda x: A.rmatvec(x)$/;" f function:svd file: +matveca adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matveca = lambda x: A.rmatvec(x)$/;" f function:estimate_spectral_norm file: +matveca1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matveca1 = lambda x: A.rmatvec(x)$/;" f function:estimate_spectral_norm_diff file: +matveca2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^ matveca2 = lambda x: B.rmatvec(x)$/;" f function:estimate_spectral_norm_diff file: +matvec_XH_X adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def matvec_XH_X(x):$/;" f function:svds file: +MatWriteError adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^class MatWriteError(Exception):$/;" c +MAT_CLASSES adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ MAT_CLASSES = [bsr_matrix, coo_matrix, csc_matrix, csr_matrix, dia_matrix]$/;" v class:Test64Bit +mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mat_mul(a, b, transpose_a=False, transpose_b=False, name=None):$/;" f +mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mat_mul_eager_fallback(a, b, transpose_a, transpose_b, name, ctx):$/;" f +mat_reader_factory adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def mat_reader_factory(file_name, appendmat=True, **kwargs):$/;" f +mat_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^class mat_struct(object):$/;" c +mat_tag adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ mat_tag = np.zeros((), NDT_TAG_FULL)$/;" v class:VarWriter5 +max adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def max(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +max adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^max = np.maximum$/;" v +max adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def max(x: Array, y: Array) -> Array:$/;" f +max adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def max(self, values, indices_are_sorted=False, unique_indices=False):$/;" m class:_IndexUpdateRef +max adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def max(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +max adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def max(self):$/;" m class:BboxBase +max adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def max(self):$/;" m class:iinfo +max adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from .fromnumeric import amax as max, amin as min, round_ as round$/;" x +max adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def max(self, axis=None, out=None, fill_value=None, keepdims=np._NoValue):$/;" m class:MaskedArray +max adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def max(obj, axis=None, out=None, fill_value=None, keepdims=np._NoValue):$/;" f +max adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def max(self, axis=None, out=None):$/;" m class:matrix +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5083.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6019.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7914.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^MAX = float('inf')$/;" v +MAX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^MAX = float('inf')$/;" v +max adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def max(self, axis=None, out=None):$/;" m class:_minmax_mixin +max adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def max(self):$/;" m class:DType +max adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^max = _broadcasting_binary_op(math_ops.maximum)$/;" v +Max adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Max(self):$/;" m class:FakeQuantOptions +Max adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Max(self, j):$/;" m class:QuantizationParameters +max adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def max(self):$/;" m class:DType +max adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def max(x, axis=None, keepdims=False):$/;" f +Max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Max = tf_export("raw_ops.Max")(_ops.to_raw_op(_max))$/;" v +MAX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ MAX = 3$/;" v class:_UpdateMethod +max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/__init__.py /^def max(a, axis=None, keepdims=None):$/;" f +max adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset7.py /^def max(g, self, dim_or_y=None, keepdim=None):$/;" f +max adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def max(g, self, dim_or_y=None, keepdim=None):$/;" f +MaxAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MaxAsNumpy(self):$/;" m class:QuantizationParameters +MAXBIT adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ MAXBIT = 30$/;" v class:SobolEngine +MAXBLOCK adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^MAXBLOCK = 65536$/;" v +MAXCOVERAGE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MAXCOVERAGE = 1$/;" v +maxdict adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class maxdict(dict):$/;" c +maxDiff adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ maxDiff = 80 * 20$/;" v class:TestCase +maxDiff adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ maxDiff = None$/;" v class:PrefixSuffixGoldenTest +MAXDIM adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ MAXDIM = 1111$/;" v class:SobolEngine +maxdists adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def maxdists(Z):$/;" f +maxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^import matplotlib.axes as maxes$/;" I +maxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^import matplotlib.axes as maxes$/;" I +maxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^import matplotlib.axes as maxes$/;" I +maxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.axes as maxes$/;" I +MaxExtent adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class MaxExtent(_Base):$/;" c +MaxFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class MaxFilter(RankFilter):$/;" c +maxflat adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def maxflat():$/;" f +MAXFUN adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MAXFUN = 3 # Max. number of function evaluations reached$/;" v +MaxHeight adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class MaxHeight(MaxExtent):$/;" c +maximum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^maximum = _one_to_one_binop(np.maximum, lax.max)$/;" v +maximum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^maximum = _extrema_operation(umath.maximum, greater, maximum_fill_value)$/;" v +maximum adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def maximum(self):$/;" m class:Progress +maximum adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def maximum(input, labels=None, index=None):$/;" f +maximum adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def maximum(self, other):$/;" m class:spmatrix +maximum adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def maximum(self, other):$/;" m class:_cs_matrix +MAXIMUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MAXIMUM = 55$/;" v class:BuiltinOperator +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def maximum(self):$/;" m class:BoundedTensorSpec +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def maximum(x, y):$/;" f +Maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Maximum(_Merge):$/;" c +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def maximum(inputs, **kwargs):$/;" f +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def maximum(x, y, name=None):$/;" f +Maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Maximum = tf_export("raw_ops.Maximum")(_ops.to_raw_op(maximum))$/;" v +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def maximum(x1, x2): # pylint: disable=missing-function-docstring$/;" f +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_maximum as maximum$/;" x +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_maximum as maximum$/;" x +maximum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_maximum as maximum$/;" x +MaximumMinimumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MaximumMinimumOptions = 39$/;" v class:BuiltinOptions +MaximumMinimumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MaximumMinimumOptions(object):$/;" c +MaximumMinimumOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MaximumMinimumOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:MaximumMinimumOptions +MaximumMinimumOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MaximumMinimumOptionsEnd(builder): return builder.EndObject()$/;" f +MaximumMinimumOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MaximumMinimumOptionsStart(builder): builder.StartObject(0)$/;" f +MaximumMinimumOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MaximumMinimumOptionsT(object):$/;" c +maximum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def maximum_eager_fallback(x, y, name, ctx):$/;" f +maximum_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def maximum_fill_value(obj):$/;" f +maximum_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def maximum_filter(input, size=None, footprint=None, output=None,$/;" f +maximum_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def maximum_filter1d(input, size, axis=-1, output=None,$/;" f +maximum_iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def maximum_iterations(self):$/;" m class:WhileContext +maximum_position adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def maximum_position(input, labels=None, index=None):$/;" f +maximum_sctype adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def maximum_sctype(t):$/;" f +MAXIMUM_WAIT_TIMEOUT adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^MAXIMUM_WAIT_TIMEOUT = 0.1$/;" v +maxinconsts adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def maxinconsts(Z, R):$/;" f +maxInt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^maxInt = univ.Integer(2147483647)$/;" v +MaxIntraOpParallelismDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^MaxIntraOpParallelismDataset = tf_export("raw_ops.MaxIntraOpParallelismDataset")(_ops.to_raw_op(/;" v +maxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.axis as maxis$/;" I +maxis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^import matplotlib.axis as maxis$/;" I +maxis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.axis as maxis$/;" I +maxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^import matplotlib.axis as maxis$/;" I +maxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ artist, lines as mlines, axis as maxis, patches as mpatches, rcParams)$/;" x +MAxisConcatenator adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class MAxisConcatenator(AxisConcatenator):$/;" c +MaxIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MaxIsNone(self):$/;" m class:QuantizationParameters +maxit adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^maxit = None$/;" v +MaxLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MaxLength(self):$/;" m class:QuantizationParameters +MaxLevelFilter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^class MaxLevelFilter(Filter):$/;" c +MaxNLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class MaxNLocator(Locator):$/;" c +MaxNLocator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^class MaxNLocator(mticker.MaxNLocator):$/;" c +MaxNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class MaxNorm(Regularizer):$/;" c +maxnorm adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def maxnorm(x):$/;" f +MaxNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^class MaxNorm(Constraint):$/;" c +maxnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^maxnorm = max_norm$/;" v +MaxPool adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def MaxPool(self, *args, **kwargs):$/;" m class:CNNModelHelper +MaxPool adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^MaxPool = _pooling_layer(lax.max, -jnp.inf)$/;" v +MaxPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPool = tf_export("raw_ops.MaxPool")(_ops.to_raw_op(max_pool))$/;" v +MaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling1D as MaxPool1D$/;" x +MaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling1D as MaxPool1D$/;" x +MaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling1D as MaxPool1D$/;" x +MaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling1D as MaxPool1D$/;" x +MaxPool1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^MaxPool1D = MaxPooling1D$/;" v +MaxPool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxPool1d(_MaxPoolNd):$/;" c +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling2D as MaxPool2D$/;" x +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling2D as MaxPool2D$/;" x +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling2D as MaxPool2D$/;" x +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling2D as MaxPool2D$/;" x +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^MaxPool2D = MaxPooling2D$/;" v +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^MaxPool2D = MaxPooling2D$/;" v +MaxPool2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^MaxPool2D = MaxPooling2D$/;" v +MaxPool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxPool2d(_MaxPoolNd):$/;" c +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling3D as MaxPool3D$/;" x +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling3D as MaxPool3D$/;" x +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling3D as MaxPool3D$/;" x +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.pooling import MaxPooling3D as MaxPool3D$/;" x +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^MaxPool3D = MaxPooling3D$/;" v +MaxPool3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPool3D = tf_export("raw_ops.MaxPool3D")(_ops.to_raw_op(max_pool3d))$/;" v +MaxPool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxPool3d(_MaxPoolNd):$/;" c +MaxPool3DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPool3DGrad = tf_export("raw_ops.MaxPool3DGrad")(_ops.to_raw_op(max_pool3d_grad))$/;" v +MaxPool3DGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPool3DGradGrad = tf_export("raw_ops.MaxPool3DGradGrad")(_ops.to_raw_op(max_pool3d_grad_grad))$/;" v +MaxPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGrad = tf_export("raw_ops.MaxPoolGrad")(_ops.to_raw_op(max_pool_grad))$/;" v +MaxPoolGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGradGrad = tf_export("raw_ops.MaxPoolGradGrad")(_ops.to_raw_op(max_pool_grad_grad))$/;" v +MaxPoolGradGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGradGradV2 = tf_export("raw_ops.MaxPoolGradGradV2")(_ops.to_raw_op(max_pool_grad_grad_v2)/;" v +MaxPoolGradGradWithArgmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGradGradWithArgmax = tf_export("raw_ops.MaxPoolGradGradWithArgmax")(_ops.to_raw_op(max_po/;" v +MaxPoolGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGradV2 = tf_export("raw_ops.MaxPoolGradV2")(_ops.to_raw_op(max_pool_grad_v2))$/;" v +MaxPoolGradWithArgmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolGradWithArgmax = tf_export("raw_ops.MaxPoolGradWithArgmax")(_ops.to_raw_op(max_pool_grad_/;" v +MaxPooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class MaxPooling1D(Pooling1D):$/;" c +MaxPooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class MaxPooling1D(keras_layers.MaxPooling1D, base.Layer):$/;" c +MaxPooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^MaxPooling1D = pooling.MaxPooling1D$/;" v +MaxPooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class MaxPooling2D(Pooling2D):$/;" c +MaxPooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class MaxPooling2D(keras_layers.MaxPooling2D, base.Layer):$/;" c +MaxPooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^MaxPooling2D = pooling.MaxPooling2D$/;" v +MaxPooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class MaxPooling3D(Pooling3D):$/;" c +MaxPooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^class MaxPooling3D(keras_layers.MaxPooling3D, base.Layer):$/;" c +MaxPooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^MaxPooling3D = pooling.MaxPooling3D$/;" v +MaxPoolV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolV2 = tf_export("raw_ops.MaxPoolV2")(_ops.to_raw_op(max_pool_v2))$/;" v +MaxPoolWithArgmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^MaxPoolWithArgmax = tf_export("raw_ops.MaxPoolWithArgmax")(_ops.to_raw_op(max_pool_with_argmax))$/;" v +MaxPoolWithIndex adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def MaxPoolWithIndex(self, *args, **kwargs):$/;" m class:CNNModelHelper +maxpower adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ maxpower = 100$/;" v class:ABCPolyBase +MAXPRINT adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^MAXPRINT = 50$/;" v +MaxRetryError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class MaxRetryError(RequestError):$/;" c +MaxRetryError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class MaxRetryError(RequestError):$/;" c +maxRstat adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def maxRstat(Z, R, i):$/;" f +maxshape adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def maxshape(self):$/;" m class:Dataset +maxsize adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def maxsize(self):$/;" m class:Cache +maxsize adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def maxsize(self):$/;" m class:_UnboundCache +maxsize adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def maxsize(self):$/;" m class:_UnboundTTLCache +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ MAXSIZE = sys.maxsize$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ MAXSIZE = sys.maxsize$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ MAXSIZE = sys.maxsize$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ MAXSIZE = sys.maxsize$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/six.py /^ MAXSIZE = sys.maxsize$/;" v +maxsize adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^from sys import maxsize as maxsize$/;" x +MAXSIZE adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ MAXSIZE = int((1 << 31) - 1)$/;" v +MAXSIZE adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ MAXSIZE = sys.maxsize$/;" v +MaxSizePartitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class MaxSizePartitioner(Partitioner):$/;" c +MaxSkipSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MaxSkipSize(self):$/;" m class:SkipGramOptions +MAXTICKS adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ MAXTICKS = 1000$/;" v class:Locator +MaxUnpool1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxUnpool1d(_MaxUnpoolNd):$/;" c +MaxUnpool2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxUnpool2d(_MaxUnpoolNd):$/;" c +MaxUnpool3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class MaxUnpool3d(_MaxUnpoolNd):$/;" c +maxwell adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def maxwell(key, shape=(), dtype=dtypes.float_):$/;" f +maxwell adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^maxwell = maxwell_gen(a=0.0, name='maxwell')$/;" v +maxwell_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class maxwell_gen(rv_continuous):$/;" c +MaxWidth adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class MaxWidth(MaxExtent):$/;" c +max_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def max_(x, y):$/;" f function:resize_image_with_crop_or_pad file: +max_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def max_(x, y):$/;" f function:_resize_image_with_pad_common file: +max_age adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ max_age = cache_property("max-age", -1, int)$/;" v class:_CacheControl +max_bindings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^max_bindings = rfc1902.Integer(2147483647)$/;" v +MAX_BUFFER_SIZE adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ MAX_BUFFER_SIZE = 2**31$/;" v class:Builder +max_content_length adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ max_content_length = None$/;" v class:BaseRequest +max_cookie_size adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ max_cookie_size = 4093$/;" v class:BaseResponse +MAX_CPPTYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ MAX_CPPTYPE = 10$/;" v class:FieldDescriptor +MAX_DIRECTORY_CREATION_ATTEMPTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^MAX_DIRECTORY_CREATION_ATTEMPTS = 10$/;" v +MAX_DIRECTORY_CREATION_ATTEMPTS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^MAX_DIRECTORY_CREATION_ATTEMPTS = 10$/;" v +max_distance_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def max_distance_point(self, x, p=2.):$/;" m class:Rectangle +max_distance_rectangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def max_distance_rectangle(self, other, p=2.):$/;" m class:Rectangle +max_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def max_error(grad1, grad2):$/;" f +MAX_EXAMPLES_TO_SEND adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^MAX_EXAMPLES_TO_SEND = 10000$/;" v +MAX_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^MAX_FACTOR = 10$/;" v +MAX_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^MAX_FACTOR = 10 # Maximum allowed increase in a step size.$/;" v +MAX_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^MAX_FACTOR = 10 # Maximum allowed increase in a step size.$/;" v +MAX_FIELD_NUMBER adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ MAX_FIELD_NUMBER = (1 << 29) - 1$/;" v class:FieldDescriptor +max_filler adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^max_filler = ntypes._minvals$/;" v +max_form_memory_size adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ max_form_memory_size = None$/;" v class:BaseRequest +max_forwards adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ max_forwards = environ_property($/;" v class:CommonRequestDescriptorsMixin +max_fwd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def max_fwd(x):$/;" f +max_gpuid adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ max_gpuid = 0$/;" v +max_gpuid adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ max_gpuid = workspace.NumGpuDevices() - 1$/;" v +max_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def max_grad(grad_out, outputs, inputs):$/;" f +max_grad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def max_grad_op(mx, go, X, Y, Z):$/;" f member:TestUtilityOps.test_elementwise_max_grad file: +MAX_IMAGE_PIXELS adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MAX_IMAGE_PIXELS = int(1024 * 1024 * 1024 \/\/ 4 \/\/ 3)$/;" v +MAX_INT64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^MAX_INT64 = 2**63 - 1$/;" v +max_intra_op_parallelism adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threading_options.py /^ max_intra_op_parallelism = options.create_option($/;" v class:ThreadingOptions +max_intra_op_parallelism_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def max_intra_op_parallelism_dataset(input_dataset, max_intra_op_parallelism, output_types, outp/;" f +max_intra_op_parallelism_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def max_intra_op_parallelism_dataset_eager_fallback(input_dataset, max_intra_op_parallelism, out/;" f +MAX_ITER adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^ MAX_ITER = 10$/;" v class:RotationSpline +max_iterations adpeps/ipeps/config.py /^max_iterations: int = 100$/;" v +MAX_LABEL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ MAX_LABEL = 3$/;" v class:FieldDescriptor +MAX_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MAX_LENGTH = 14$/;" v class:UTCTimeEncoder +MAX_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MAX_LENGTH = 19$/;" v class:TimeEncoderMixIn +MAX_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MAX_LENGTH = 20$/;" v class:GeneralizedTimeEncoder +max_length adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def max_length(self):$/;" m class:BlobSequenceTimeSeries +max_len_seq adpepsenv/lib/python3.8/site-packages/scipy/signal/_max_len_seq.py /^def max_len_seq(nbits, state=None, length=None, taps=None):$/;" f +max_memory_allocated adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def max_memory_allocated(device: Union[Device, int] = None) -> int:$/;" f +max_memory_cached adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def max_memory_cached(device: Union[Device, int] = None) -> int:$/;" f +max_memory_reserved adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def max_memory_reserved(device: Union[Device, int] = None) -> int:$/;" f +max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MaxNorm as max_norm$/;" x +max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MaxNorm as max_norm$/;" x +max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MaxNorm as max_norm$/;" x +max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MaxNorm as max_norm$/;" x +max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^max_norm = MaxNorm$/;" v +max_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def max_op(X, Y, Z):$/;" f member:TestUtilityOps.test_elementwise_max file: +max_op_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def max_op_test($/;" m class:TestReduceFrontReductions +MAX_ORDER adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^MAX_ORDER = 5$/;" v +max_or_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def max_or_or(x1, x2):$/;" f function:maximum file: +max_over_ndim adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def max_over_ndim(input, axis_list, keepdim=False):$/;" f +max_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^max_p: core.Primitive = standard_naryop($/;" v +max_pool adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/pooling.py /^def max_pool(model, blob_in, blob_out, use_cudnn=False, order="NCHW", **kwargs):$/;" f +max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool(input, ksize, strides, padding, explicit_paddings=[], data_format="NHWC", name=None/;" f +max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool(value,$/;" f +max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import max_pool_v2 as max_pool$/;" x +max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import max_pool_v2 as max_pool$/;" x +max_pool1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool1d(input, ksize, strides, padding, data_format="NWC", name=None):$/;" f +max_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^max_pool1d = boolean_dispatch($/;" v +max_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def max_pool1d(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +max_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool1d = _max_pool("max_pool1d", _single, 1, return_indices=False)$/;" v +max_pool1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool1d = _max_pool("max_pool1d", _single, 1, return_indices=False)$/;" v +max_pool1d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_pool1d_with_indices(input, kernel_size, stride=None, padding=0,$/;" f +max_pool1d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool1d_with_indices = _max_pool("max_pool1d_with_indices", _single, 1, return_indices=True)$/;" v +max_pool1d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool1d_with_indices = _max_pool("max_pool1d_with_indices", _single, 1, return_indices=True)$/;" v +max_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^max_pool2d = max_pooling2d$/;" v +max_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^max_pool2d = max_pooling2d$/;" v +max_pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool2d(input, ksize, strides, padding, data_format="NHWC", name=None):$/;" f +max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^max_pool2d = boolean_dispatch($/;" v +max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def max_pool2d(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +max_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def max_pool2d(g, input, kernel_size, stride, padding, dilation, ceil_mode):$/;" f +max_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool2d = _max_pool("max_pool2d", _pair, 2, return_indices=False)$/;" v +max_pool2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool2d = _max_pool("max_pool2d", _pair, 2, return_indices=False)$/;" v +max_pool2d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_pool2d_with_indices(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +max_pool2d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool2d_with_indices = _max_pool("max_pool2d_with_indices", _pair, 2, return_indices=True)$/;" v +max_pool2d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool2d_with_indices = _max_pool("max_pool2d_with_indices", _pair, 2, return_indices=True)$/;" v +max_pool3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d(input, ksize, strides, padding, data_format="NDHWC", name=None):$/;" f +max_pool3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool3d(input, ksize, strides, padding, data_format="NDHWC", name=None):$/;" f +max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^max_pool3d = boolean_dispatch($/;" v +max_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool3d = _max_pool("max_pool3d", _triple, 3, return_indices=False)$/;" v +max_pool3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool3d = _max_pool("max_pool3d", _triple, 3, return_indices=False)$/;" v +max_pool3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d_eager_fallback(input, ksize, strides, padding, data_format, name, ctx):$/;" f +max_pool3d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d_grad(orig_input, orig_output, grad, ksize, strides, padding, data_format="NDHWC",/;" f +max_pool3d_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d_grad_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding, data_/;" f +max_pool3d_grad_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d_grad_grad(orig_input, orig_output, grad, ksize, strides, padding, data_format="ND/;" f +max_pool3d_grad_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool3d_grad_grad_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding, /;" f +max_pool3d_with_indices adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_pool3d_with_indices(input, kernel_size, stride=None, padding=0,$/;" f +max_pool3d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^max_pool3d_with_indices = _max_pool("max_pool3d_with_indices", _triple, 3, return_indices=True)$/;" v +max_pool3d_with_indices adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^max_pool3d_with_indices = _max_pool("max_pool3d_with_indices", _triple, 3, return_indices=True)$/;" v +max_pooling1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def max_pooling1d(inputs, pool_size, strides,$/;" f +max_pooling1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^max_pooling1d = pooling.max_pooling1d$/;" v +max_pooling2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def max_pooling2d(inputs,$/;" f +max_pooling2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^max_pooling2d = pooling.max_pooling2d$/;" v +max_pooling3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^def max_pooling3d(inputs,$/;" f +max_pooling3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/pooling.py /^max_pooling3d = pooling.max_pooling3d$/;" v +MAX_POOL_2D adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MAX_POOL_2D = 17$/;" v class:BuiltinOperator +max_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_eager_fallback(input, ksize, strides, padding, explicit_paddings, data_format, name/;" f +max_pool_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad(orig_input, orig_output, grad, ksize, strides, padding, explicit_paddings=[], /;" f +max_pool_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding, explici/;" f +max_pool_grad_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad(orig_input, orig_output, grad, ksize, strides, padding, data_format="NHWC/;" f +max_pool_grad_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding, da/;" f +max_pool_grad_grad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad_v2(orig_input, orig_output, grad, ksize, strides, padding, data_format="N/;" f +max_pool_grad_grad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad_v2_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding,/;" f +max_pool_grad_grad_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad_with_argmax(input, grad, argmax, ksize, strides, padding, include_batch_i/;" f +max_pool_grad_grad_with_argmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_grad_with_argmax_eager_fallback(input, grad, argmax, ksize, strides, padding, /;" f +max_pool_grad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_v2(orig_input, orig_output, grad, ksize, strides, padding, data_format="NHWC",/;" f +max_pool_grad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_v2_eager_fallback(orig_input, orig_output, grad, ksize, strides, padding, data/;" f +max_pool_grad_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_with_argmax(input, grad, argmax, ksize, strides, padding, include_batch_in_ind/;" f +max_pool_grad_with_argmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_grad_with_argmax_eager_fallback(input, grad, argmax, ksize, strides, padding, inclu/;" f +max_pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_v2(input, ksize, strides, padding, data_format="NHWC", name=None):$/;" f +max_pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool_v2(input, ksize, strides, padding, data_format=None, name=None):$/;" f +max_pool_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_v2_eager_fallback(input, ksize, strides, padding, data_format, name, ctx):$/;" f +max_pool_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_with_argmax(input, ksize, strides, padding, Targmax=_dtypes.int64, include_batch_in/;" f +max_pool_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import max_pool_with_argmax_v1 as max_pool_with_argmax$/;" x +max_pool_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import max_pool_with_argmax_v2 as max_pool_with_argmax$/;" x +max_pool_with_argmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import max_pool_with_argmax_v2 as max_pool_with_argmax$/;" x +max_pool_with_argmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def max_pool_with_argmax_eager_fallback(input, ksize, strides, padding, Targmax, include_batch_i/;" f +max_pool_with_argmax_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool_with_argmax_v1( # pylint: disable=missing-docstring,invalid-name$/;" f +max_pool_with_argmax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def max_pool_with_argmax_v2($/;" f +max_pool_with_index adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/pooling.py /^def max_pool_with_index(model, blob_in, blob_out, order="NCHW", **kwargs):$/;" f +max_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def max_prefixlen(self):$/;" m class:_BaseV4 +max_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def max_prefixlen(self):$/;" m class:_BaseV6 +max_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ max_rank = lambda a: [sum(i <= j for i in a) for j in a]$/;" f member:TestRankData.test_rankdata_object_string file: +MAX_RAW_TENSOR_SIZE adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^MAX_RAW_TENSOR_SIZE = 16$/;" v +MAX_REINIT_COUNT adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ MAX_REINIT_COUNT = 1000$/;" v class:EnergyState +MAX_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ MAX_REL_THRESHOLD = 1000$/;" v class:JapaneseContextAnalysis +MAX_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ MAX_REL_THRESHOLD = 1000$/;" v class:JapaneseContextAnalysis +MAX_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^ MAX_SIZE = sys.maxint$/;" v +MAX_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^ MAX_SIZE = sys.maxsize$/;" v +MAX_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^MAX_SIZE = 0$/;" v +max_size adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ max_size = cuFFTPlanCacheAttrContextProp(torch._cufft_get_plan_cache_max_size,$/;" v class:cuFFTPlanCache +max_stale adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ max_stale = cache_property("max-stale", "*", int)$/;" v class:RequestCacheControl +max_step adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def max_step(self):$/;" m class:_TimeSeries +MAX_TENSOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^MAX_TENSOR = 6$/;" v +MAX_TENSOR_DIM adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^MAX_TENSOR_DIM = 5$/;" v +MAX_TENSOR_SIZE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^MAX_TENSOR_SIZE = 2**20$/;" v +MAX_TEST_ALPHA adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MAX_TEST_ALPHA = 20000.0$/;" v +MAX_TEST_AMPLITUDE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MAX_TEST_AMPLITUDE = 10.0$/;" v +MAX_TEST_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MAX_TEST_BATCH_SIZE = 5$/;" v +MAX_TEST_EMBEDDING_SIZE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MAX_TEST_EMBEDDING_SIZE = 20$/;" v +MAX_TEST_SEQUENCE_LENGTH adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MAX_TEST_SEQUENCE_LENGTH = 10$/;" v +MAX_TEXT_CHUNK adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^MAX_TEXT_CHUNK = ImageFile.SAFEBLOCK$/;" v +MAX_TEXT_MEMORY adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^MAX_TEXT_MEMORY = 64 * MAX_TEXT_CHUNK$/;" v +MAX_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ MAX_TYPE = 18$/;" v class:FieldDescriptor +max_unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^max_unicode = int("FFFF", 16)$/;" v +max_unicode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^max_unicode = int("FFFF", 16)$/;" v +max_unpool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_unpool1d(input, indices, kernel_size, stride=None, padding=0,$/;" f +max_unpool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_unpool2d(input, indices, kernel_size, stride=None, padding=0,$/;" f +max_unpool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def max_unpool3d(input, indices, kernel_size, stride=None, padding=0,$/;" f +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**15) - 1$/;" v class:Int16Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**16) - 1$/;" v class:Uint16Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**31) - 1$/;" v class:Int32Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**32) - 1$/;" v class:Uint32Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**63) - 1$/;" v class:Int64Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**64) - 1$/;" v class:Uint64Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**7) - 1$/;" v class:Int8Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = (2**8) - 1$/;" v class:Uint8Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = None$/;" v class:Float32Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = None$/;" v class:Float64Flags +max_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ max_val = True$/;" v class:BoolFlags +max_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def max_value(self):$/;" m class:IntInterval +max_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def max_value(self):$/;" m class:RealInterval +MAX_VALUE_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ MAX_VALUE_IDX = 0$/;" v class:_CategoryEncodingCombiner +MAX_WAIT adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^MAX_WAIT = 1073741823$/;" v +max_wall_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def max_wall_time(self):$/;" m class:_TimeSeries +MaybeAddColor adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^def MaybeAddColor(s, color):$/;" f +MaybeCreateControlFlowState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^def MaybeCreateControlFlowState(between_op_list, between_ops,$/;" f +MaybeDistributionScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^class MaybeDistributionScope(object):$/;" c +MaybeEqn adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^MaybeEqn = Optional[core.JaxprEqn]$/;" v +MaybeOrderedDict adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ from collections import OrderedDict as MaybeOrderedDict$/;" x +MaybeOrderedDict adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ MaybeOrderedDict = dict$/;" v +maybeWarnsRegex adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def maybeWarnsRegex(self, category, regex=''):$/;" m class:TestCase +maybe_add_global_constant adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def maybe_add_global_constant(self, name, *args, **kwargs):$/;" m class:LayerModelHelper +maybe_add_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def maybe_add_training_arg($/;" f +maybe_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def maybe_batch(tensors, keep_input, batch_size, num_threads=1, capacity=32,$/;" f +maybe_batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def maybe_batch_join(tensors_list, keep_input, batch_size, capacity=32,$/;" f +maybe_captured adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def maybe_captured(tensor):$/;" f +maybe_close adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^def maybe_close(f):$/;" f +maybe_concatenate_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def maybe_concatenate_features(self, features):$/;" m class:TensorPacker +maybe_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/shape_ops.py /^ def maybe_constant(val):$/;" f function:frame file: +maybe_convert_to_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def maybe_convert_to_ragged(is_ragged_input, output, nested_row_lengths):$/;" f +maybe_create_event_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^def maybe_create_event_file(logdir):$/;" f +maybe_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def maybe_data(x):$/;" f function:_array_internal file: +maybe_extend_axis_env adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def maybe_extend_axis_env(*args, **kwargs):$/;" f function:omnistaging_disabler file: +maybe_extend_axis_env adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def maybe_extend_axis_env(*args, **kwargs):$/;" f +maybe_get_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def maybe_get_static_value(x, dtype=None):$/;" f +maybe_init_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^def maybe_init_scope():$/;" f +maybe_init_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def maybe_init_scope(layer):$/;" f +maybe_load_initial_epoch_from_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^ def maybe_load_initial_epoch_from_ckpt(self, initial_epoch, mode):$/;" m class:WorkerTrainingState +maybe_move adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def maybe_move(self, spec, dist_filename, setup_base):$/;" m class:easy_install +maybe_move_axis_to_last adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def maybe_move_axis_to_last(a, axis):$/;" f function:cross.f file: +maybe_new_sublevel adpepsenv/lib/python3.8/site-packages/jax/core.py /^def maybe_new_sublevel(trace):$/;" f +maybe_non_contig adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def maybe_non_contig(tensor):$/;" f function:create_input.map_arg file: +maybe_overwrite_model_dir_and_session_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def maybe_overwrite_model_dir_and_session_config(config, model_dir):$/;" f +maybe_pad_0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def maybe_pad_0(a, size_of_last_dim):$/;" f function:cross.f file: +maybe_propagate_compile_time_consts_in_xla adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def maybe_propagate_compile_time_consts_in_xla(op):$/;" f +maybe_saved_model_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def maybe_saved_model_directory(export_dir):$/;" f +maybe_set_lowering_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def maybe_set_lowering_attr(op, lower_using_switch_merge=None):$/;" f +maybe_set_static_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def maybe_set_static_shape(tensor, shape): # pylint: disable=invalid-name$/;" f +maybe_shuffle_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def maybe_shuffle_batch(tensors, batch_size, capacity, min_after_dequeue,$/;" f +maybe_shuffle_batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def maybe_shuffle_batch_join(tensors_list, batch_size, capacity,$/;" f +maybe_split_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def maybe_split_features(self, maybe_concatenated_features):$/;" m class:TensorPacker +maybe_squeeze_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ maybe_squeeze_dims = lambda: control_flow_ops.cond( # pylint: disable=g-long-lambda$/;" f function:squeeze_or_expand_dimensions file: +maybe_squeeze_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ maybe_squeeze_dims = lambda: control_flow_ops.cond( # pylint: disable=g-long-lambda$/;" f function:squeeze_or_expand_dimensions file: +maybe_squeeze_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ maybe_squeeze_weights = lambda: array_ops.squeeze(sample_weight, [-1])$/;" f function:squeeze_or_expand_dimensions file: +maybe_squeeze_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ maybe_squeeze_weights = lambda: array_ops.squeeze(sample_weight, [-1])$/;" f function:squeeze_or_expand_dimensions file: +maybe_squeeze_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ maybe_squeeze_weights = lambda: weights$/;" f function:_remove_squeezable_dimensions file: +maybe_start_on_next_line adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def maybe_start_on_next_line(string):$/;" f function:pretty_str file: +maybe_take adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def maybe_take(self, char: str, on_eof: bool = False):$/;" m class:XeinsumSpecParser +maybe_unexpand adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/utils.py /^def maybe_unexpand(tensor, old_size, check_same_size=True):$/;" f +maybe_view adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/utils.py /^def maybe_view(tensor, size, check_same_size=True):$/;" f +maybe_warn_nondeterministic adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def maybe_warn_nondeterministic():$/;" f function:_check_trace file: +maybe_warn_on_large_rejection adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^ def maybe_warn_on_large_rejection(accept_dist, initial_dist):$/;" f function:_filter_ds file: +MAY_ALIAS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^MAY_ALIAS = 1$/;" v +MAY_SHARE_BOUNDS adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^MAY_SHARE_BOUNDS = 0$/;" v +MAY_SHARE_EXACT adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^MAY_SHARE_EXACT = -1$/;" v +may_share_memory adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def may_share_memory(a, b, max_work=None):$/;" f +ma_npt adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^import numpy.ma.testutils as ma_npt$/;" I +mbbox_artist adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ FancyBboxPatch, FancyArrowPatch, bbox_artist as mbbox_artist)$/;" x +MBCSGroupProber adpepsenv/lib/python3.8/site-packages/chardet/mbcsgroupprober.py /^class MBCSGroupProber(CharSetGroupProber):$/;" c +MBCSGroupProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcsgroupprober.py /^class MBCSGroupProber(CharSetGroupProber):$/;" c +McIdasImageFile adpepsenv/lib/python3.8/site-packages/PIL/McIdasImagePlugin.py /^class McIdasImageFile(ImageFile.ImageFile):$/;" c +mclass_dtypes_template adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mclass_dtypes_template = {$/;" v +mclass_info adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^mclass_info = {$/;" v +mclass_info adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mclass_info = {$/;" v +mcoll adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.collections as mcoll$/;" I +mcoll adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.collections as mcoll$/;" I +mcoll adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^import matplotlib.collections as mcoll$/;" I +mcoll adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.collections as mcoll$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^import matplotlib.collections as mcollections$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.collections as mcollections$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.collections as mcollections$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_cairo.py /^ collections as mcollections, patches as mpatches, path as mpath)$/;" x +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^import matplotlib.collections as mcollections$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^import matplotlib.collections as mcollections$/;" I +mcollections adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ collections as mcollections, colors as mcolors, patches as mpatches,$/;" x +mcolorbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^import matplotlib.colorbar as mcolorbar$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^from matplotlib import colors as mcolors$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^from matplotlib import cbook, cm, colors as mcolors, markers, image as mimage$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^from matplotlib import cbook, colors as mcolors$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^from . import (_path, artist, cbook, cm, colors as mcolors, docstring,$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^from . import artist, cbook, colors as mcolors, docstring, rcParams$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^from matplotlib import cbook, colors as mcolors, rcParams$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^from matplotlib import colors as mcolors$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ collections as mcollections, colors as mcolors, patches as mpatches,$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ artist, colors as mcolors, lines, text as mtext, path as mpath)$/;" x +mcolors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.colors as mcolors$/;" I +mcolors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^from matplotlib import colors as mcolors$/;" x +mcontour adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.contour as mcontour$/;" I +md2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^md2 = _OID(1, 2, 840, 113549, 2, 2)$/;" v +md2WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^md2WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.2')$/;" v +md2WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^md2WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.2')$/;" v +md2WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^md2WithRSAEncryption = _OID(pkcs_1, 2)$/;" v +md2WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^md2WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.2')$/;" v +md2WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^md2WithRSAEncryption = rfc2437.md2WithRSAEncryption$/;" v +md4WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^md4WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.3')$/;" v +md5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^md5 = _OID(1, 2, 840, 113549, 2, 5)$/;" v +md5WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^md5WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.4')$/;" v +md5WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^md5WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.4')$/;" v +md5WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^md5WithRSAEncryption = _OID(pkcs_1, 4)$/;" v +md5WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^md5WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.4')$/;" v +md5WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^md5WithRSAEncryption = rfc2437.md5WithRSAEncryption$/;" v +md5_utf8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def md5_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +md5_utf8 adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def md5_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +MD5_XOR_EXPERIMENT adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6210.py /^class MD5_XOR_EXPERIMENT(univ.OctetString):$/;" c +MDATA adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^MDATA = np.load(join(dirname(__file__), 'test.npz'))$/;" v +MDATA_COUNT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^MDATA_COUNT = 8$/;" v +mdata_x adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def mdata_x(request):$/;" f +mdata_xy adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def mdata_xy(request):$/;" f +mdates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.dates as mdates$/;" I +mdates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^import matplotlib.dates as mdates$/;" I +mdates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^import matplotlib.dates as mdates$/;" I +MDAY365MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MDAY365MASK = list(MDAY366MASK)$/;" v +MDAY365MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MDAY365MASK = tuple(MDAY365MASK)$/;" v +MDAY366MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MDAY366MASK = tuple(M31+M29+M31+M30+M31+M30+M31+M31+M30+M31+M30+M31+M31[:7])$/;" v +mdayset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def mdayset(self, year, month, day):$/;" m class:_iterinfo +mda_xor_md5_EXPERIMENT adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6210.py /^mda_xor_md5_EXPERIMENT = rfc5280.AlgorithmIdentifier()$/;" v +mdct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def mdct(signals, frame_length, window_fn=window_ops.vorbis_window,$/;" f +MdlAdagradLightParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^MdlAdagradLightParameters = _reflection.GeneratedProtocolMessageType('MdlAdagradLightParameters'/;" v +MDTYPES adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^MDTYPES = {}$/;" v +mdtypes_template adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^mdtypes_template = {$/;" v +mdtypes_template adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mdtypes_template = {$/;" v +mean adpeps/utils/tlist.py /^ def mean(self):$/;" m class:TList +mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def mean(x):$/;" f +mean adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def mean(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +mean adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def mean(a, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" f +mean adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def mean(self, axis=None, dtype=None, out=None):$/;" m class:TestMedian.test_subclass.MySubClass +mean adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def mean(a):$/;" f member:TestVectorize.test_signature_mean_last file: +mean adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def mean(self, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" m class:MaskedArray +mean adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^mean = _frommethod('mean')$/;" v +mean adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def mean(self, axis=None, dtype=None, out=None):$/;" m class:matrix +mean adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def mean(input, labels=None, index=None):$/;" f +mean adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def mean(self, axis=None, dtype=None, out=None):$/;" m class:spmatrix +Mean adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^Mean = namedtuple('Mean', ('statistic', 'minmax'))$/;" v +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def mean(self):$/;" m class:rv_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def mean(self, *args, **kwds):$/;" m class:rv_generic +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self):$/;" m class:dirichlet_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self):$/;" m class:invwishart_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self):$/;" m class:multinomial_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self):$/;" m class:multivariate_hypergeom_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self):$/;" m class:wishart_frozen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self, alpha):$/;" m class:dirichlet_gen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self, df, scale):$/;" m class:invwishart_gen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self, df, scale):$/;" m class:wishart_gen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self, m, n):$/;" m class:multivariate_hypergeom_gen +mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mean(self, n, p):$/;" m class:multinomial_gen +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MEAN = 1$/;" v class:CombinerType +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MEAN = 40$/;" v class:BuiltinOperator +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/reduce_util.py /^ MEAN = "MEAN"$/;" v class:ReduceOp +mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def mean(x, axis=None, keepdims=False):$/;" f +Mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Mean(Reduce):$/;" c +mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def mean(self, name="mean"):$/;" m class:Distribution +mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mean(input, axis, keep_dims=False, name=None):$/;" f +Mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Mean = tf_export("raw_ops.Mean")(_ops.to_raw_op(mean))$/;" v +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ MEAN = "weighted_mean"$/;" v class:Reduction +mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean(values,$/;" f +mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def mean(a, axis=None, dtype=None, keepdims=None):$/;" f +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ MEAN = 2$/;" v class:VariableAggregation +MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ MEAN = 2$/;" v class:VariableAggregationV2 +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def mean(self):$/;" m class:Bernoulli +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def mean(self):$/;" m class:Beta +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def mean(self):$/;" m class:Binomial +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def mean(self):$/;" m class:Categorical +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def mean(self):$/;" m class:Cauchy +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def mean(self):$/;" m class:ContinuousBernoulli +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def mean(self):$/;" m class:Dirichlet +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def mean(self):$/;" m class:Distribution +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def mean(self):$/;" m class:Exponential +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def mean(self):$/;" m class:FisherSnedecor +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def mean(self):$/;" m class:Gamma +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def mean(self):$/;" m class:Geometric +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def mean(self):$/;" m class:Gumbel +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def mean(self):$/;" m class:HalfCauchy +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def mean(self):$/;" m class:HalfNormal +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def mean(self):$/;" m class:Independent +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def mean(self):$/;" m class:Laplace +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def mean(self):$/;" m class:LogNormal +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def mean(self):$/;" m class:LowRankMultivariateNormal +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def mean(self):$/;" m class:MixtureSameFamily +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def mean(self):$/;" m class:Multinomial +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def mean(self):$/;" m class:MultivariateNormal +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def mean(self):$/;" m class:NegativeBinomial +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def mean(self):$/;" m class:Normal +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def mean(self):$/;" m class:OneHotCategorical +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def mean(self):$/;" m class:Pareto +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def mean(self):$/;" m class:Poisson +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def mean(self):$/;" m class:StudentT +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def mean(self):$/;" m class:Uniform +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def mean(self):$/;" m class:VonMises +mean adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ def mean(self):$/;" m class:Weibull +mean adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^mean = _reduce_with_dtype('ReduceMean', 'mean')$/;" v +mean adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def mean(self) -> float:$/;" m class:Measurement +MeanAbsoluteError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class MeanAbsoluteError(LossFunctionWrapper):$/;" c +MeanAbsoluteError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanAbsoluteError(MeanMetricWrapper):$/;" c +MeanAbsolutePercentageError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class MeanAbsolutePercentageError(LossFunctionWrapper):$/;" c +MeanAbsolutePercentageError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanAbsolutePercentageError(MeanMetricWrapper):$/;" c +MeanIoU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanIoU(Metric):$/;" c +MeanMetricWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanMetricWrapper(Mean):$/;" c +MeanRelativeError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanRelativeError(Mean):$/;" c +MeanShadowLogger adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^class MeanShadowLogger(ns.Logger):$/;" c +MeanSquaredError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class MeanSquaredError(LossFunctionWrapper):$/;" c +MeanSquaredError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanSquaredError(MeanMetricWrapper):$/;" c +MeanSquaredLogarithmicError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class MeanSquaredLogarithmicError(LossFunctionWrapper):$/;" c +MeanSquaredLogarithmicError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanSquaredLogarithmicError(MeanMetricWrapper):$/;" c +MeanTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class MeanTensor(Metric):$/;" c +mean_absolute_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def mean_absolute_error(y_true, y_pred):$/;" f +mean_absolute_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_absolute_error(labels,$/;" f +mean_absolute_percentage_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def mean_absolute_percentage_error(y_true, y_pred):$/;" f +mean_cosine_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_cosine_distance(labels,$/;" f +mean_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mean_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +mean_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def mean_grad(grad_out, outputs, inputs):$/;" f +MEAN_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ MEAN_IDX = 1$/;" v class:_NormalizingCombiner +mean_iou adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_iou(labels,$/;" f +mean_pairwise_squared_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def mean_pairwise_squared_error($/;" f +mean_per_class_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_per_class_accuracy(labels,$/;" f +mean_reduce_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def mean_reduce_fn(v):$/;" f member:StrategyBase.reduce file: +mean_reduce_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def mean_reduce_helper(v, axis=axis):$/;" f member:StrategyBase.reduce file: +mean_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^ def mean_ref(*args):$/;" f member:TestMean.test_mean file: +mean_relative_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_relative_error(labels,$/;" f +mean_squared_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def mean_squared_error(y_true, y_pred):$/;" f +mean_squared_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def mean_squared_error($/;" f +mean_squared_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_squared_error(labels,$/;" f +mean_squared_logarithmic_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def mean_squared_logarithmic_error(y_true, y_pred):$/;" f +mean_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def mean_tensor(values,$/;" f +mean_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def mean_update():$/;" f member:BatchNormalizationBase.call file: +mean_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def mean_update():$/;" f member:BatchNormalizationBase._fused_batch_norm file: +measure adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def measure(code_str, times=1, label=None):$/;" f +MeasureCosts adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def MeasureCosts(self, item):$/;" m class:Cluster +Measurement adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^class Measurement:$/;" c +measure_performance adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^def measure_performance(model_fn,$/;" f +mebi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mebi = 2**20$/;" v +medazko_sparsity adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def medazko_sparsity(n):$/;" f +medfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def medfilt(volume, kernel_size=None):$/;" f +medfilt2d adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def medfilt2d(input, kernel_size=3):$/;" f +median adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def median(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +median adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def median(a, axis=None, out=None, overwrite_input=False, keepdims=False):$/;" f +median adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def median(a, axis=None, out=None, overwrite_input=False, keepdims=False):$/;" f +median adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def median(y):$/;" f +median adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def median(input, labels=None, index=None):$/;" f +median adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def median(self):$/;" m class:rv_frozen +median adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def median(self, *args, **kwds):$/;" m class:rv_generic +median adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def median(self) -> float:$/;" m class:Measurement +MEDIANCUT adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MEDIANCUT = 0$/;" v +MedianFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class MedianFilter(RankFilter):$/;" c +median_absolute_deviation adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def median_absolute_deviation(x, axis=0, center=np.median, scale=1.4826,$/;" f +median_abs_deviation adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def median_abs_deviation(x, axis=0, center=np.median, scale=1.0,$/;" f +median_cihs adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def median_cihs(data, alpha=0.05, axis=None):$/;" f +median_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def median_filter(input, size=None, footprint=None, output=None,$/;" f +median_test adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def median_test(*args, ties='below', correction=True, lambda_=1,$/;" f +MEDIASONIC_G723 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MEDIASONIC_G723 = 0x0093$/;" v class:WAVE_FORMAT +MEDIASPACE_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MEDIASPACE_ADPCM = 0x0012$/;" v class:WAVE_FORMAT +MEDIAVISION_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MEDIAVISION_ADPCM = 0x0018$/;" v class:WAVE_FORMAT +media_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ media_src = csp_property("media-src")$/;" v class:ContentSecurityPolicy +meets_confidence adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def meets_confidence(self, threshold=_IQR_WARN_THRESHOLD) -> bool:$/;" m class:Measurement +mega adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mega = 1e6$/;" v +MemAllocatorStats adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^MemAllocatorStats = _reflection.GeneratedProtocolMessageType('MemAllocatorStats', (_message.Mess/;" v +member_names adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def member_names(self):$/;" m class:EnumClassParser +MemChunk adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^MemChunk = _reflection.GeneratedProtocolMessageType('MemChunk', (_message.Message,), {$/;" v +memmap adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^class memmap(ndarray):$/;" c +MemmappedFileSystemDirectory adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^MemmappedFileSystemDirectory = _reflection.GeneratedProtocolMessageType('MemmappedFileSystemDire/;" v +MemmappedFileSystemDirectoryElement adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^MemmappedFileSystemDirectoryElement = _reflection.GeneratedProtocolMessageType('MemmappedFileSys/;" v +MemmapSubClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ class MemmapSubClass(memmap):$/;" c member:TestMemmap.test_memmap_subclass file: +memoize adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def memoize(f):$/;" f +memoize adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^def memoize(func):$/;" f +memoize adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^def memoize(func):$/;" f +memoized adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def memoized():$/;" f function:_memoize file: +memoized adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def memoized(_, *args, **kwargs):$/;" f function:memoize file: +MemoizeDer adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^class MemoizeDer(object):$/;" c +MemoizedZipManifests adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class MemoizedZipManifests(ZipManifests):$/;" c +MemoizedZipManifests adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class MemoizedZipManifests(ZipManifests):$/;" c +memoized_fun adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def memoized_fun(fun: WrappedFun, *args):$/;" f function:cache file: +MemoizeJac adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class MemoizeJac(object):$/;" c +MemongerTest adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^class MemongerTest(hu.HypothesisTestCase):$/;" c +Memory adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^Memory = _reflection.GeneratedProtocolMessageType('Memory', (_message.Message,), {$/;" v +Memory adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^Memory = _reflection.GeneratedProtocolMessageType('Memory', (_message.Message,), {$/;" v +MemoryChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^class MemoryChecker(object):$/;" c +MemoryDump adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^MemoryDump = _reflection.GeneratedProtocolMessageType('MemoryDump', (_message.Message,), {$/;" v +MemoryInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^MemoryInfo = _reflection.GeneratedProtocolMessageType('MemoryInfo', (_message.Message,), {$/;" v +MemoryLogRawAllocation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogRawAllocation = _reflection.GeneratedProtocolMessageType('MemoryLogRawAllocation', (_me/;" v +MemoryLogRawDeallocation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogRawDeallocation = _reflection.GeneratedProtocolMessageType('MemoryLogRawDeallocation', /;" v +MemoryLogStep adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogStep = _reflection.GeneratedProtocolMessageType('MemoryLogStep', (_message.Message,), {$/;" v +MemoryLogTensorAllocation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogTensorAllocation = _reflection.GeneratedProtocolMessageType('MemoryLogTensorAllocation'/;" v +MemoryLogTensorDeallocation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogTensorDeallocation = _reflection.GeneratedProtocolMessageType('MemoryLogTensorDeallocat/;" v +MemoryLogTensorOutput adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^MemoryLogTensorOutput = _reflection.GeneratedProtocolMessageType('MemoryLogTensorOutput', (_mess/;" v +MemoryStats adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^MemoryStats = _reflection.GeneratedProtocolMessageType('MemoryStats', (_message.Message,), {$/;" v +MemoryStats adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^MemoryStats = _reflection.GeneratedProtocolMessageType('MemoryStats', (_message.Message,), {$/;" v +memoryview_type adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ memoryview_type = buffer$/;" v +memoryview_type adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ memoryview_type = memoryview$/;" v +memory_allocated adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_allocated(device: Union[Device, int] = None) -> int:$/;" f +memory_cached adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_cached(device: Union[Device, int] = None) -> int:$/;" f +memory_limit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def memory_limit(self):$/;" m class:BaseStagingArea +memory_limit_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def memory_limit_bytes(self):$/;" m class:_DeviceAttributes +memory_profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/memory_tests/memory_test_util.py /^ memory_profiler = None$/;" v +memory_profiler_is_available adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/memory_tests/memory_test_util.py /^def memory_profiler_is_available():$/;" f +memory_reserved adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_reserved(device: Union[Device, int] = None) -> int:$/;" f +memory_snapshot adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_snapshot():$/;" f +memory_stats adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_stats(device: Union[Device, int] = None) -> Dict[str, Any]:$/;" f +memory_stats_as_nested_dict adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_stats_as_nested_dict(device: Union[Device, int] = None) -> Dict[str, Any]:$/;" f +memory_summary adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def memory_summary(device: Union[Device, int] = None, abbreviated: bool = False) -> str:$/;" f +memusage adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def memusage():$/;" f +memusage adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def memusage(processName="python", instance=0):$/;" f +memusage adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def memusage(_proc_pid_stat='\/proc\/%s\/stat' % (os.getpid())):$/;" f +Menu adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class Menu(object):$/;" c +MenuItem adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class MenuItem(object):$/;" c +meppf adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^meppf = plotting_positions$/;" v +Mercurial adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^class Mercurial(VersionControl):$/;" c +Merge adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def Merge(text,$/;" f +merge adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def merge(l1, l2):$/;" f function:partition_list file: +merge adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def merge(new_lhs, new_rhs):$/;" f function:split_merge file: +merge adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def merge(self, other):$/;" m class:CharacterTracker +merge adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def merge(mode, bands):$/;" f +merge adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def merge(self, x, y):$/;" m class:DisjointSet +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def merge(self, options):$/;" m class:Options +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def merge(self, options):$/;" m class:Options +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def merge(self, accumulators):$/;" m class:Combiner +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def merge(self, accumulators):$/;" m class:_CategoryEncodingCombiner +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def merge(self, accumulators):$/;" m class:_IndexLookupCombiner +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def merge(self, accumulators):$/;" m class:_NormalizingCombiner +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def merge(inputs, name=None):$/;" f +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def merge(self, x=None, y=None, ildj_map=None, kwargs=None, mapping=None):$/;" m class:_Mapping +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def merge(inputs, name=None):$/;" f +Merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^Merge = tf_export("raw_ops.Merge")(_ops.to_raw_op(merge))$/;" v +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def merge(inputs, collections=None, name=None):$/;" f +merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def merge(self, other):$/;" m class:ShardingPolicy +merge adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_merge as merge$/;" x +merge adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def merge(measurements):$/;" f +merge adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def merge(measurements):$/;" m class:Measurement +MergeConditionNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def MergeConditionNets(name, condition_nets, relation):$/;" f +MergeDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^class MergeDevice(object):$/;" c +merged_with adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def merged_with(self, provider, requirement, parent):$/;" m class:Criterion +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def MergeFrom(self, other):$/;" m class:MessageMap +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def MergeFrom(self, other):$/;" m class:RepeatedCompositeFieldContainer +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def MergeFrom(self, other):$/;" m class:RepeatedScalarFieldContainer +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def MergeFrom(self, other):$/;" m class:ScalarMap +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MergeFrom(self, msg):$/;" f function:_AddMergeFromMethod file: +MergeFrom adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def MergeFrom(self, other_msg):$/;" m class:Message +MergeFromFieldMask adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def MergeFromFieldMask(self, field_mask):$/;" m class:_FieldMaskTree +MergeFromString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def MergeFromString(self, serialized):$/;" f function:_AddMergeFromStringMethod file: +MergeFromString adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def MergeFromString(self, serialized):$/;" m class:Message +MergeIdLists adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/merge_id_lists.py /^class MergeIdLists(ModelLayer):$/;" c +MergeLines adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def MergeLines(self, lines, message):$/;" m class:_Parser +MergeLines adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def MergeLines(lines,$/;" f +MergeMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def MergeMessage($/;" m class:FieldMask +MergeMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def MergeMessage($/;" m class:_FieldMaskTree +MergeOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MergeOutputs(self):$/;" m class:BidirectionalSequenceLSTMOptions +MergeOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MergeOutputs(self):$/;" m class:BidirectionalSequenceRNNOptions +MergeSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^MergeSummary = tf_export("raw_ops.MergeSummary")(_ops.to_raw_op(merge_summary))$/;" v +MergeV2Checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^MergeV2Checkpoints = tf_export("raw_ops.MergeV2Checkpoints")(_ops.to_raw_op(merge_v2_checkpoints/;" v +merge_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def merge_all(key=_ops.GraphKeys.SUMMARIES, scope=None, name=None):$/;" f +merge_all_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def merge_all_summaries(key=ops.GraphKeys.SUMMARIES):$/;" f +merge_arrays adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^ def merge_arrays(vs, offs, j):$/;" f function:merge_id_lists_ref file: +merge_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def merge_arrays(seqarrays, fill_value=-1, flatten=False,$/;" f +merge_caches_on_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def merge_caches_on_tpu(self, local_tpu_cache_tensor):$/;" m class:TensorTracer +merge_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def merge_call(self, merge_fn, args=(), kwargs=None):$/;" m class:ReplicaContextBase +merge_const_sizes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def merge_const_sizes(shape):$/;" f function:_reshape_masking_rule file: +merge_cookies adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def merge_cookies(cookiejar, cookies):$/;" f +merge_cookies adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def merge_cookies(cookiejar, cookies):$/;" f +merge_default_with_oplog adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^def merge_default_with_oplog(graph, op_log=None, run_meta=None,$/;" f +merge_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^def merge_device(spec):$/;" f +merge_dicts adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^def merge_dicts(*dicts):$/;" f +merge_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def merge_dims(self, outer_axis, inner_axis):$/;" m class:RaggedTensor +merge_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def merge_dims(value, outer_axis, inner_axis):$/;" f +merge_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def merge_dims(self, outer_axis, inner_axis):$/;" m class:StructuredTensor +merge_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def merge_eager_fallback(inputs, name, ctx):$/;" f +merge_environment_settings adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def merge_environment_settings(self, url, proxies, stream, verify, cert):$/;" m class:Session +merge_environment_settings adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def merge_environment_settings(self, url, proxies, stream, verify, cert):$/;" m class:Session +merge_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def merge_fn(distribution, value):$/;" f member:MultiStepContext.set_last_step_output file: +merge_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def merge_fn(distribution, value):$/;" f member:MultiStepContext.set_non_tensor_output file: +merge_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def merge_fn(strategy,$/;" f member:AggregatingVariable._assign_func file: +merge_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def merge_fn(strategy, value, **kwargs):$/;" f function:_on_write_update_replica file: +merge_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def merge_fn(strategy, v, value):$/;" f function:assign_moving_average file: +merge_fn_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ def merge_fn_wrapper(distribution, merge_fn, *args):$/;" f function:result_wrapper.decorated file: +merge_from adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def merge_from(self, other):$/;" m class:Scope +merge_from adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def merge_from(self, dev):$/;" m class:DeviceSpecV1 +merge_group adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def merge_group(task_spec, group):$/;" f member:Measurement.merge file: +merge_hooks adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^def merge_hooks(request_hooks, session_hooks, dict_class=OrderedDict):$/;" f +merge_hooks adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^def merge_hooks(request_hooks, session_hooks, dict_class=OrderedDict):$/;" f +merge_id_lists_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^def merge_id_lists_ref(*args):$/;" f +merge_linear_aux adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def merge_linear_aux(aux1, aux2):$/;" f +merge_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def merge_nets(nets_or_builders, outer_blob_names):$/;" m class:NetBuilder +merge_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^def merge_options(*options_list):$/;" f +merge_precomputed_encodings adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def merge_precomputed_encodings(self, other, validate=True):$/;" m class:RowPartition +merge_setting adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^def merge_setting(request_setting, session_setting, dict_class=OrderedDict):$/;" f +merge_setting adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^def merge_setting(request_setting, session_setting, dict_class=OrderedDict):$/;" f +merge_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def merge_summary(inputs, name=None):$/;" f +merge_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def merge_summary(inputs, collections=None, name=None):$/;" f +merge_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def merge_summary_eager_fallback(inputs, name, ctx):$/;" f +merge_used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def merge_used_characters(self, *args, **kwargs):$/;" m class:RendererPdf +merge_used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def merge_used_characters(self, *args, **kwargs):$/;" m class:RendererPS +merge_v2_checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def merge_v2_checkpoints(checkpoint_prefixes, destination_prefix, delete_old_dirs=True, name=Non/;" f +merge_v2_checkpoints_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def merge_v2_checkpoints_eager_fallback(checkpoint_prefixes, destination_prefix, delete_old_dirs/;" f +merge_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def merge_with(self, other):$/;" m class:Dimension +merge_with adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def merge_with(self, other):$/;" m class:TensorShape +merge_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def merge_with(self, other):$/;" m class:Dimension +merge_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def merge_with(self, other):$/;" m class:TensorShape +merge_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def merge_with(self, other):$/;" m class:_SparseMetaData +merge_with_known adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def merge_with_known(self, val: core.Value) -> core.Value:$/;" m class:PartialVal +mesh adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def mesh(*args, **kwargs):$/;" f +Mesh adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^Mesh = pxla.Mesh$/;" v +Mesh adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class Mesh:$/;" c +MESH adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MESH = 4$/;" v +mesh adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^mesh = summary_v2.mesh$/;" v +mesh adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary_v2.py /^def mesh($/;" f +MESH adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ MESH = 2$/;" v class:DeviceOrderMode +mesh adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def mesh(tag, vertices, colors, faces, config_dict, display_name=None, description=None):$/;" f +MeshAxisName adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^MeshAxisName = Any$/;" v +MeshDimAssignment adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^MeshDimAssignment = Union[ShardedAxis, Replicated]$/;" v +meshgrid adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def meshgrid(*args, **kwargs):$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def meshgrid(*xi, copy=True, sparse=False, indexing='xy'):$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def meshgrid(*args, **kwargs):$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def meshgrid(*xi, **kwargs):$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/torch/functional.py /^ def meshgrid(*tensors):$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/torch/functional.py /^ def meshgrid(*tensors: Union[Tensor, List[Tensor]]) -> Tuple[Tensor, ...]:$/;" f +meshgrid adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def meshgrid(g, tensor_list):$/;" f +meshgrid_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def meshgrid_triangles(n):$/;" f +MeshPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^class MeshPlugin(base_plugin.TBPlugin):$/;" c +MeshPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^MeshPluginData = _reflection.GeneratedProtocolMessageType('MeshPluginData', (_message.Message,),/;" v +MeshTensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^MeshTensor = collections.namedtuple($/;" v +MeshTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^class MeshTransform(Transform):$/;" c +mesh_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^mesh_pb = summary_v2.mesh_pb$/;" v +mesh_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary_v2.py /^def mesh_pb($/;" f +mesh_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def mesh_rank(self):$/;" m class:Topology +mesh_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def mesh_shape(self):$/;" m class:ShardingSpec +mesh_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def mesh_shape(self):$/;" m class:Topology +mesh_sharding_specs adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def mesh_sharding_specs(axis_sizes, axis_names):$/;" f +mesh_split adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def mesh_split(tensor,$/;" f +mesh_tiled_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def mesh_tiled_callable(fun: lu.WrappedFun,$/;" f +Message adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^class Message(object):$/;" c +message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ message = QtCore.Signal(str)$/;" v class:NavigationToolbar2QT +Message adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Message [$/;" c +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = "Converting .* to a dtype .*is deprecated"$/;" v class:TestDTypeCoercion +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = "elementwise.* comparison failed; .*"$/;" v class:TestComparisonDeprecations +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = "string or file could not be read to its end"$/;" v class:TestFromStringAndFileInvalidData +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = ''$/;" v class:_DeprecationTestCase +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = '.*truth value of an empty array is ambiguous.*'$/;" v class:TestTruthTestingEmptyArrays +message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ message = re.escape("tostring() is deprecated. Use tobytes() instead.")$/;" v class:TestToString +message adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ message = "%(percent)d%%"$/;" v class:BaseDownloadProgressBar +Message adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class Message(univ.Sequence):$/;" c +Message adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1901.py /^class Message(univ.Sequence):$/;" c +message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def message(self):$/;" m class:OpError +message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/common_utils.py /^ def message(self):$/;" m class:InvalidUserInputError +message adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def message(self):$/;" m class:OpError +MessageAuthenticationCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class MessageAuthenticationCode(univ.OctetString):$/;" c +MessageAuthenticationCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class MessageAuthenticationCode(univ.OctetString):$/;" c +MessageAuthenticationCodeAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class MessageAuthenticationCodeAlgorithm(rfc3280.AlgorithmIdentifier):$/;" c +MessageAuthenticationCodeAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class MessageAuthenticationCodeAlgorithm(rfc5280.AlgorithmIdentifier):$/;" c +MessageAuthenticationCodeAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^MessageAuthenticationCodeAlgorithm = rfc5652.MessageAuthenticationCodeAlgorithm$/;" v +MessageByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def MessageByteSize(field_number, message):$/;" f +MessageDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def MessageDecoder(field_number, is_repeated, is_packed, key, new_default):$/;" f +messageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^messageDigest = CMSSingleAttribute()$/;" v +MessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^MessageDigest = rfc5652.MessageDigest$/;" v +MessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class MessageDigest(univ.OctetString):$/;" c +MessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class MessageDigest(univ.OctetString):$/;" c +MessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^MessageDigest = rfc5652.MessageDigest$/;" v +MessageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^MessageDigest = rfc5652.MessageDigest$/;" v +MessageEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MessageEncoder(field_number, is_repeated, is_packed):$/;" f +MessageFactory adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^class MessageFactory(object):$/;" c +MessageID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class MessageID(univ.Integer):$/;" c +MessageImprint adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class MessageImprint(univ.Sequence):$/;" c +MessageListener adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/message_listener.py /^class MessageListener(object):$/;" c +MessageMap adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class MessageMap(MutableMapping):$/;" c +MessageOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^MessageOptions = _reflection.GeneratedProtocolMessageType('MessageOptions', (_message.Message,),/;" v +MESSAGES adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^MESSAGES = {0: "The solver successfully reached the end of the integration interval.",$/;" v +messages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ messages = {$/;" v class:lsoda +messages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ messages = {-1: 'Excess work done on this call. (Perhaps wrong MF.)',$/;" v class:vode +messages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ messages = {1: 'computation successful',$/;" v class:dopri5 +MessageSetItemByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def MessageSetItemByteSize(field_number, msg):$/;" f +MessageSetItemDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def MessageSetItemDecoder(descriptor):$/;" f +MessageSetItemEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MessageSetItemEncoder(field_number):$/;" f +MessageSetItemSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MessageSetItemSizer(field_number):$/;" f +MessageSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def MessageSizer(field_number, is_repeated, is_packed):$/;" f +MessageToBytes adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def MessageToBytes(message, **kwargs):$/;" f +MessageToDict adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def MessageToDict($/;" f +MessageToJson adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def MessageToJson($/;" f +MessageToString adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def MessageToString($/;" f +MessageType adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^MessageType = _reflection.GeneratedProtocolMessageType('MessageType', (_message.Message,), {$/;" v +message_about_scripts_not_on_PATH adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def message_about_scripts_not_on_PATH(scripts):$/;" f +MESSAGE_CLASS_CACHE adpepsenv/lib/python3.8/site-packages/google/protobuf/reflection.py /^MESSAGE_CLASS_CACHE = {}$/;" v +message_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def message_event(self, message, sender=None):$/;" m class:ToolManager +message_impl adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ from google.protobuf.internal import python_message as message_impl$/;" x +message_impl adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ from google.protobuf.pyext import cpp_message as message_impl$/;" x +message_listener_mod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^from google.protobuf.internal import message_listener as message_listener_mod$/;" x +message_mod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^from google.protobuf import message as message_mod$/;" x +MESSAGE_SET_ITEM_TAG adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^MESSAGE_SET_ITEM_TAG = encoder.TagBytes(1, wire_format.WIRETYPE_START_GROUP)$/;" v +metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^ class metaclass(meta): # type: ignore$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ class metaclass(type):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ class metaclass(type):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^ class metaclass(meta):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ class metaclass(meta):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^ class metaclass(meta):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ class metaclass(meta):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/six.py /^ class metaclass(type):$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/torch/_six.py /^ class metaclass(meta): # type: ignore[misc, valid-type]$/;" c function:with_metaclass file: +metaclass adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ class metaclass(type):$/;" c function:with_metaclass file: +metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def metadata(self):$/;" m class:Scalar +Metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class Metadata($/;" c +Metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^class Metadata(abc.Mapping):$/;" c +metadata adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ import importlib_metadata as metadata$/;" I +metadata adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def metadata(self):$/;" m class:PdfPages +metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def metadata(self):$/;" m class:InstallRequirement +Metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class Metadata(object):$/;" c +metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def metadata(self):$/;" m class:Wheel +MetaData adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class MetaData(object):$/;" c +Metadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Metadata(self, j):$/;" m class:Model +Metadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Metadata(object):$/;" c +metadata adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ metadata: Optional[dict] = None$/;" v class:Measurement +MetadataAddBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MetadataAddBuffer(builder, buffer): builder.PrependUint32Slot(1, buffer, 0)$/;" f +MetadataAddName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MetadataAddName(builder, name): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.number_ty/;" f +MetadataBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataBuffer(self, j):$/;" m class:Model +MetadataBufferAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataBufferAsNumpy(self):$/;" m class:Model +MetadataBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Metadata +MetadataBufferIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataBufferIsNone(self):$/;" m class:Model +MetadataBufferLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataBufferLength(self):$/;" m class:Model +MetadataConflictError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class MetadataConflictError(DistlibException):$/;" c +MetadataEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MetadataEnd(builder): return builder.EndObject()$/;" f +MetadataEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^class MetadataEndpoint(BaseEndpoint):$/;" c +MetadataInconsistent adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class MetadataInconsistent(InstallationError):$/;" c +MetadataInvalidError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class MetadataInvalidError(DistlibException):$/;" c +MetadataIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataIsNone(self):$/;" m class:Model +MetadataKey adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^MetadataKey = str$/;" v +MetadataLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MetadataLength(self):$/;" m class:Model +MetadataMissingError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class MetadataMissingError(DistlibException):$/;" c +MetadataStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MetadataStart(builder): builder.StartObject(2)$/;" f +MetadataT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MetadataT(object):$/;" c +MetadataType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^MetadataType = Metadata$/;" v +MetadataUnrecognizedVersionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^class MetadataUnrecognizedVersionError(DistlibException):$/;" c +MetadataValue adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^MetadataValue = Union[str, bytes]$/;" v +metadata_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def metadata_call_credentials(metadata_plugin, name=None):$/;" f +metadata_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def metadata_call_credentials(metadata_plugin, name=None):$/;" f +METADATA_FILENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^METADATA_FILENAME = 'pydist.json'$/;" v +METADATA_FILE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^METADATA_FILE_PREFIX = "_tfdbg_"$/;" v +METADATA_FN adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^METADATA_FN = 'METADATA'$/;" v +metadata_isdir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def metadata_isdir(self, name):$/;" m class:DictMetadata +metadata_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def metadata_isdir(name):$/;" m class:IMetadataProvider +metadata_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def metadata_isdir(self, name):$/;" m class:NullProvider +metadata_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def metadata_isdir(name):$/;" m class:IMetadataProvider +metadata_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def metadata_isdir(self, name):$/;" m class:NullProvider +metadata_listdir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def metadata_listdir(self, name):$/;" m class:DictMetadata +metadata_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def metadata_listdir(name):$/;" m class:IMetadataProvider +metadata_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def metadata_listdir(self, name):$/;" m class:NullProvider +metadata_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def metadata_listdir(name):$/;" m class:IMetadataProvider +metadata_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def metadata_listdir(self, name):$/;" m class:NullProvider +metadata_plugin_call_credentials adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^def metadata_plugin_call_credentials(metadata_plugin, name):$/;" f +METADATA_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^METADATA_ROUTE = "\/metadata"$/;" v +METADATA_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ METADATA_VERSION = '2.0'$/;" v class:Metadata +METADATA_VERSION_MATCHER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ METADATA_VERSION_MATCHER = re.compile(r'^\\d+(\\.\\d+)*$')$/;" v class:Metadata +MetadatumType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^MetadatumType = Tuple[MetadataKey, MetadataValue]$/;" v +MetaExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^class MetaExtension (Extension):$/;" c +MetaGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def MetaGraph(self):$/;" m class:EventAccumulator +MetaGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def MetaGraph(self, run):$/;" m class:EventMultiplexer +MetaGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def MetaGraph(self):$/;" m class:EventAccumulator +MetaGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def MetaGraph(self, run):$/;" m class:EventMultiplexer +metagraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def metagraph(self):$/;" m class:Item +MetaGraphDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^MetaGraphDef = _reflection.GeneratedProtocolMessageType('MetaGraphDef', (_message.Message,), {$/;" v +MetaGraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^MetaGraphDef = _reflection.GeneratedProtocolMessageType('MetaGraphDef', (_message.Message,), {$/;" v +MetaNetDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^MetaNetDef = _reflection.GeneratedProtocolMessageType('MetaNetDef', (_message.Message,), {$/;" v +MetaNetDefTest adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^class MetaNetDefTest(unittest.TestCase):$/;" c +MetaPreprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^class MetaPreprocessor(Preprocessor):$/;" c +meta_data adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ meta_data = value[1:]$/;" v +META_GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^META_GRAPH = tag_types.META_GRAPH$/;" v +META_GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^META_GRAPH = tag_types.META_GRAPH$/;" v +META_GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^META_GRAPH = "meta_graph"$/;" v +meta_graph_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def meta_graph_filename(checkpoint_filename, meta_graph_suffix="meta"):$/;" f +meta_graph_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^from tensorflow.python.framework import meta_graph as meta_graph_lib$/;" x +META_MORE_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^META_MORE_RE = re.compile(r'^[ ]{4,}(?P.*)')$/;" v +META_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^META_RE = re.compile(r'^[ ]{0,3}(?P[A-Za-z0-9_-]+):\\s*(?P.*)')$/;" v +meta_requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def meta_requires(self):$/;" m class:Distribution +Meter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^import caffe2.contrib.playground.meter as Meter$/;" I +Meter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^import caffe2.contrib.playground.meter as Meter$/;" I +Meter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/meter.py /^class Meter(object):$/;" c +meth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def meth(self):$/;" m class:test_setattr_cm.A +method adpeps/ipeps/config.py /^method: str = None$/;" v +Method adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^Method = _reflection.GeneratedProtocolMessageType('Method', (_message.Message,), {$/;" v +method adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def method(self, *args, **kwargs):$/;" f function:_define_aliases.make_alias file: +method adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ method = Image.AFFINE$/;" v class:AffineTransform +method adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ method = Image.EXTENT$/;" v class:ExtentTransform +method adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ method = Image.MESH$/;" v class:MeshTransform +method adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ method = Image.QUAD$/;" v class:QuadTransform +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ method = "trust-constr"$/;" v class:TestOldToNew +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ method = 'cobyla'$/;" v class:TestNewToOldCobyla +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ method = 'slsqp'$/;" v class:TestNewToOldSLSQP +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ method = 'dogbox'$/;" v class:TestDogbox +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ method = 'lm'$/;" v class:TestLM +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ method = 'trf'$/;" v class:TestTRF +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "highs-ds"$/;" v class:TestLinprogHiGHSSimplexDual +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "highs-ipm"$/;" v class:TestLinprogHiGHSIPM +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "interior-point"$/;" v class:LinprogIPTests +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "interior-point"$/;" v class:RRTests +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "interior-point"$/;" v class:TestAutoscaleIP +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "interior-point"$/;" v class:TestLinprogIPSpecific +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "revised simplex"$/;" v class:LinprogRSTests +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "revised simplex"$/;" v class:TestAutoscaleRS +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "simplex"$/;" v class:LinprogSimplexTests +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ method = "simplex"$/;" v class:TestAutoscaleSimplex +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ method = 'bvls'$/;" v class:TestBVLS +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ method = 'trf'$/;" v class:TestTRF +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ method = "2opt"$/;" v class:Test2opt +method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ method = "faq"$/;" v class:TestFAQ +method adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def method(self):$/;" f function:_create_method file: +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = dirichlet_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = invwishart_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = matrix_normal_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = multinomial_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = multivariate_hypergeom_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = multivariate_normal_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = multivariate_t_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method = wishart_gen.__dict__[name]$/;" v +method adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def method(self, *args, **kwargs):$/;" f function:_make_master_method file: +method adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def method(self, *args, **kwargs):$/;" f function:_make_op_method file: +method adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ def method(self, *args, **kwargs):$/;" f function:method_factory file: +method adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ method = environ_property($/;" v class:BaseRequest +method1 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ def method1(views):$/;" f function:test_sharing_nesting file: +method2 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ def method2(views):$/;" f function:test_sharing_nesting file: +MethodDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class MethodDescriptor(DescriptorBase):$/;" c +MethodDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^MethodDescriptorProto = _reflection.GeneratedProtocolMessageType('MethodDescriptorProto', (_mess/;" v +MethodDispatcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^class MethodDispatcher(dict):$/;" c +MethodDispatcher adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^class MethodDispatcher(dict):$/;" c +MethodImplementation adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class MethodImplementation(six.with_metaclass(abc.ABCMeta)):$/;" c +MethodName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MethodName(self):$/;" m class:SignatureDef +MethodNameUpdater adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/method_name_updater.py /^class MethodNameUpdater(object):$/;" c +MethodNotAllowed adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class MethodNotAllowed(HTTPException):$/;" c +MethodOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^MethodOptions = _reflection.GeneratedProtocolMessageType('MethodOptions', (_message.Message,), {$/;" v +METHODS adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^METHODS = {'RK23': RK23,$/;" v +methods adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^methods = [cc.bisect, cc.ridder, cc.brenth, cc.brentq]$/;" v +methods adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^methods = ['auto', 'FW', 'D', 'BF', 'J']$/;" v +methods adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ methods = ["average", "min", "max", "dense", "ordinal"]$/;" v class:TestRankData +methods_IO adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^methods_IO = ['node', 'offset', 'debugName']$/;" v +methods_OP adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^methods_OP = ['attributeNames', 'hasMultipleOutputs', 'hasUses', 'inputs',$/;" v +method_decorator_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def method_decorator_metaclass(function):$/;" f +method_decorator_metaclass adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def method_decorator_metaclass(function):$/;" f +method_factory adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def method_factory(method_name, docstring):$/;" f +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = dirichlet_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = matrix_normal_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = multinomial_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = multivariate_hypergeom_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = multivariate_normal_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = multivariate_t_frozen.__dict__[name]$/;" v +method_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ method_frozen = wishart_frozen.__dict__[name]$/;" v +method_handlers_generic_handler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def method_handlers_generic_handler(service, method_handlers):$/;" f +METHOD_TEMPLATE adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^METHOD_TEMPLATE = """$/;" v +method_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def method_tests():$/;" f +method_with_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def method_with_name_scope(self, *args, **kwargs):$/;" f member:Module.with_name_scope file: +method_with_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^ def method_with_print(self):$/;" m class:SimpleClass +meth_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^meth_call = torch._C.ScriptMethod.__call__$/;" v +Metric adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^Metric = summary_v2.Metric$/;" v +Metric adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class Metric(object):$/;" c +Metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class Metric(object):$/;" c +Metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Metric(base_layer.Layer):$/;" c +MetricAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class MetricAttributes($/;" c +MetricEntry adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^MetricEntry = _reflection.GeneratedProtocolMessageType('MetricEntry', (_message.Message,), {$/;" v +MetricInfo adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^MetricInfo = namedtuple("MetricInfo", 'aka types validator ')$/;" v +MetricInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^MetricInfo = _reflection.GeneratedProtocolMessageType('MetricInfo', (_message.Message,), {$/;" v +MetricInfosList adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^MetricInfosList = _reflection.GeneratedProtocolMessageType('MetricInfosList', (_message.Message,/;" v +MetricKeys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^class MetricKeys(object):$/;" c +MetricName adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^MetricName = _reflection.GeneratedProtocolMessageType('MetricName', (_message.Message,), {$/;" v +Metrics adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^class Metrics(object):$/;" c +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def metrics(self):$/;" m class:Layer +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def metrics(self):$/;" m class:Layer +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def metrics(self):$/;" m class:LossesContainer +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def metrics(self):$/;" m class:MetricsContainer +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def metrics(self):$/;" m class:Model +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def metrics(self):$/;" m class:Model +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^metrics = LazyLoader("metrics", globals(),$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^metrics = LazyLoader("metrics", globals(),$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^metrics = LazyLoader("metrics", globals(),$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def metrics(self):$/;" m class:_SupervisedOutput +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^ metrics = keras.metrics$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^ metrics = keras.metrics$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^ metrics = keras.metrics$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ metrics = keras.metrics$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ metrics = keras.metrics$/;" v +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:Head +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:BinaryClassHead +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:MultiClassHead +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:MultiHead +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:MultiLabelHead +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:RegressionHead +metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def metrics(self, regularization_losses=None):$/;" m class:SequentialHeadWrapper +MetricsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class MetricsAggregator(Aggregator):$/;" c +MetricSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/metric_serialization.py /^class MetricSavedModelSaver(layer_serialization.LayerSavedModelSaver):$/;" c +MetricsContainer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^class MetricsContainer(Container):$/;" c +MetricsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^class MetricsPlugin(base_plugin.TBPlugin):$/;" c +metrics_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def metrics_impl(self, ctx, experiment):$/;" m class:NpmiPlugin +metrics_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^metrics_lib = LazyLoader("metrics_lib", globals(),$/;" v +metrics_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^metrics_mod = generic_utils.LazyLoader($/;" v +metrics_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^from tensorflow.python.keras import metrics as metrics_mod$/;" x +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^from tensorflow.python.keras import metrics as metrics_module$/;" x +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ from tensorflow.python.keras import metrics as metrics_module # pylint: disable=g-import-not-/;" x function:create_mean_metric file: +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ from tensorflow.python.keras import metrics as metrics_module # pylint: disable=g-import-no/;" x member:Layer.__setattr__ file: +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^from tensorflow.python.keras import metrics as metrics_module$/;" x +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^from tensorflow.python.keras import metrics as metrics_module$/;" x +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^from tensorflow.python.keras import metrics as metrics_module$/;" x +metrics_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ from tensorflow.python.keras import metrics as metrics_module # pylint:disable=g-import-not-a/;" x function:_deserialize_metric file: +METRICS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ METRICS_NAME = 'metrics'$/;" v class:_SupervisedOutput +metrics_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def metrics_names(self):$/;" m class:Model +metrics_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def metrics_names(self):$/;" m class:Model +metrics_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def metrics_schema(self):$/;" m class:LayerModelHelper +METRICS_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^METRICS_TAG = "_npmi_\/metrics"$/;" v +MetricValue adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^MetricValue = _reflection.GeneratedProtocolMessageType('MetricValue', (_message.Message,), {$/;" v +metric_ton adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^metric_ton = 1e3$/;" v +METRIC_UPDATE_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ METRIC_UPDATE_SUFFIX = 'update_op'$/;" v class:_SupervisedOutput +METRIC_VALUE_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ METRIC_VALUE_SUFFIX = 'value'$/;" v class:_SupervisedOutput +metric_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def metric_variable(shape, dtype, validate_shape=True, name=None):$/;" f +METRIC_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ METRIC_VARIABLES = "metric_variables"$/;" v class:GraphKeys +Metropolis adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class Metropolis(object):$/;" c +Mf32precond adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^ def Mf32precond(x):$/;" f function:test_diagonal_data_types file: +Mf64precond adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^ def Mf64precond(x):$/;" f function:test_diagonal_data_types file: +mfcc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def mfcc(spectrogram, sample_rate, upper_frequency_limit=4000, lower_frequency_limit=20, filterb/;" f +Mfcc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^Mfcc = tf_export("raw_ops.Mfcc")(_ops.to_raw_op(mfcc))$/;" v +mfccs_from_log_mel_spectrograms adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mfcc_ops.py /^def mfccs_from_log_mel_spectrograms(log_mel_spectrograms, name=None):$/;" f +mfcc_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^def mfcc_eager_fallback(spectrogram, sample_rate, upper_frequency_limit, lower_frequency_limit, /;" f +mfigure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^import matplotlib.figure as mfigure$/;" I +mfont_manager adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.font_manager as mfont_manager$/;" I +mg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ mg = np.amax(G - G.T)$/;" v +MGCResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^MGCResult = namedtuple('MGCResult', ('stat', 'pvalue', 'mgc_dict'))$/;" v +mgf1 adpepsenv/lib/python3.8/site-packages/rsa/pkcs1_v2.py /^def mgf1(seed: bytes, length: int, hasher: str = 'SHA-1') -> bytes:$/;" f +mgf1SHA1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^mgf1SHA1 = rfc4055.mgf1SHA1Identifier$/;" v +mgf1SHA1Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^mgf1SHA1Identifier = rfc4055.mgf1SHA1Identifier$/;" v +mgf1SHA1Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^mgf1SHA1Identifier = rfc5280.AlgorithmIdentifier()$/;" v +mgf1SHA224Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^mgf1SHA224Identifier = rfc5280.AlgorithmIdentifier()$/;" v +mgf1SHA256Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^mgf1SHA256Identifier = rfc4055.mgf1SHA256Identifier$/;" v +mgf1SHA256Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^mgf1SHA256Identifier = rfc5280.AlgorithmIdentifier()$/;" v +mgf1SHA384Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^mgf1SHA384Identifier = rfc4055.mgf1SHA384Identifier$/;" v +mgf1SHA384Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^mgf1SHA384Identifier = rfc5280.AlgorithmIdentifier()$/;" v +mgf1SHA512Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^mgf1SHA512Identifier = rfc4055.mgf1SHA512Identifier$/;" v +mgf1SHA512Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^mgf1SHA512Identifier = rfc5280.AlgorithmIdentifier()$/;" v +mgrid adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^mgrid = MGridClass()$/;" v +MGridClass adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class MGridClass(nd_grid):$/;" c +mhg_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mhg_docdict_noparams = {$/;" v +mhg_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mhg_docdict_params = {$/;" v +MH_CIGAM adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^MH_CIGAM = 0xcefaedfe$/;" v +MH_CIGAM_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^MH_CIGAM_64 = 0xcffaedfe$/;" v +MH_MAGIC adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^MH_MAGIC = 0xfeedface$/;" v +MH_MAGIC_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^MH_MAGIC_64 = 0xfeedfacf$/;" v +MicImageFile adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^class MicImageFile(TiffImagePlugin.TiffImageFile):$/;" c +miCOMPRESSED adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miCOMPRESSED = 15$/;" v +micro adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def micro(self):$/;" m class:Version +micro adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^micro = 1e-6$/;" v +MicroBenchmarksBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^class MicroBenchmarksBase(test.Benchmark):$/;" c +micron adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^micron = 1e-6$/;" v +MICRONAS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MICRONAS = 0x0350$/;" v class:WAVE_FORMAT +MICRONAS_CELP833 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MICRONAS_CELP833 = 0x0351$/;" v class:WAVE_FORMAT +MicrosecondLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class MicrosecondLocator(DateLocator):$/;" c +MICROSECONDLY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MICROSECONDLY = SECONDLY + 1$/;" v +microsoft adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def microsoft(self, key, x86=False):$/;" m class:RegistryInfo +microsoft_sdk adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def microsoft_sdk(self):$/;" m class:RegistryInfo +MIDDLE adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ MIDDLE = 2$/;" v class:MouseButton +middleware adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def middleware(self, func):$/;" m class:LocalManager +miDOUBLE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miDOUBLE = 0$/;" v +miDOUBLE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miDOUBLE = 9$/;" v +midpoints adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def midpoints(x):$/;" f member:TestVoxels.test_xyz file: +mielke adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^mielke = mielke_gen(a=0.0, name='mielke')$/;" v +mielke_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class mielke_gen(rv_continuous):$/;" c +migrate_event adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def migrate_event(event, initial_metadata):$/;" f +migrate_event adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def migrate_event(event):$/;" f +migrate_value adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def migrate_value(value):$/;" f +miINT16 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miINT16 = 3$/;" v +miINT16 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miINT16 = 3$/;" v +miINT32 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miINT32 = 2$/;" v +miINT32 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miINT32 = 5$/;" v +miINT64 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miINT64 = 12$/;" v +miINT8 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miINT8 = 1$/;" v +MikotaPair adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def MikotaPair(n):$/;" f +mil adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mil = inch \/ 1000$/;" v +mile adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^mile = UnitDbl(1.0, "mile")$/;" v +mile adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mile = 1760 * yard$/;" v +miller_rabin_primality_testing adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^def miller_rabin_primality_testing(n: int, k: int) -> bool:$/;" f +milli adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^milli = 1e-3$/;" v +MILSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^MILSTM = functools.partial(_LSTM, MILSTMCell)$/;" v +MILSTMCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class MILSTMCell(LSTMCell):$/;" c +MILSTMWithAttentionCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class MILSTMWithAttentionCell(AttentionCell):$/;" c +milstm_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def milstm_reference($/;" f +mimage adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.image as mimage$/;" I +mimage adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.image as mimage$/;" I +mimage adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^from matplotlib import cbook, cm, colors as mcolors, markers, image as mimage$/;" x +mimage adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib.image as mimage$/;" I +mimage adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^ colors, image as mimage, patches, pyplot as plt, style, rcParams)$/;" x +miMATRIX adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miMATRIX = 14$/;" v +MIME adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MIME = {}$/;" v +MIMEAccept adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class MIMEAccept(Accept):$/;" c +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def mimetype(self):$/;" m class:FileStorage +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def mimetype(self):$/;" m class:EnvironBuilder +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def mimetype(self, value):$/;" m class:EnvironBuilder +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def mimetype(self):$/;" m class:CommonRequestDescriptorsMixin +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def mimetype(self):$/;" m class:CommonResponseDescriptorsMixin +mimetype adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def mimetype(self, value):$/;" m class:CommonResponseDescriptorsMixin +mimetype_params adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def mimetype_params(self):$/;" m class:FileStorage +mimetype_params adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def mimetype_params(self):$/;" m class:EnvironBuilder +mimetype_params adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def mimetype_params(self):$/;" m class:CommonRequestDescriptorsMixin +mimetype_params adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def mimetype_params(self):$/;" m class:CommonResponseDescriptorsMixin +min adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def min(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +min adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^min = np.minimum$/;" v +min adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def min(x: Array, y: Array) -> Array:$/;" f +min adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def min(self, values, indices_are_sorted=False, unique_indices=False):$/;" m class:_IndexUpdateRef +min adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def min(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +min adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^min = UnitDbl(1.0, "min")$/;" v +min adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def min(self):$/;" m class:BboxBase +min adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def min(self):$/;" m class:iinfo +min adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from .fromnumeric import amax as max, amin as min, round_ as round$/;" x +min adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def min(self, axis=None, out=None, fill_value=None, keepdims=np._NoValue):$/;" m class:MaskedArray +min adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def min(obj, axis=None, out=None, fill_value=None, keepdims=np._NoValue):$/;" f +min adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def min(self, axis=None, out=None):$/;" m class:matrix +min adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def min(self, axis=None, out=None):$/;" m class:_minmax_mixin +min adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def min(self):$/;" m class:DType +min adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^min = _broadcasting_binary_op(math_ops.minimum)$/;" v +Min adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Min(self):$/;" m class:FakeQuantOptions +Min adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Min(self, j):$/;" m class:QuantizationParameters +min adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def min(self):$/;" m class:DType +min adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def min(x, axis=None, keepdims=False):$/;" f +Min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Min = tf_export("raw_ops.Min")(_ops.to_raw_op(_min))$/;" v +MIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ MIN = 2$/;" v class:_UpdateMethod +min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/__init__.py /^def min(a, axis=None, keepdims=None):$/;" f +min adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset7.py /^def min(g, self, dim_or_y=None, keepdim=None):$/;" f +min adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def min(g, self, dim_or_y=None, keepdim=None):$/;" f +MinAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MinAsNumpy(self):$/;" m class:QuantizationParameters +MinFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class MinFilter(RankFilter):$/;" c +mingw32 adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def mingw32():$/;" f +Mingw32CCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^class Mingw32CCompiler(distutils.cygwinccompiler.CygwinCCompiler):$/;" c +Mingw32CCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^class Mingw32CCompiler(CygwinCCompiler):$/;" c +mini adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def mini(self, axis=None):$/;" m class:MaskedArray +MinimalDistribution adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ class MinimalDistribution(distutils.core.Distribution):$/;" c function:_install_setup_requires file: +MinimalSubclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ class MinimalSubclass(np.ndarray):$/;" c member:TestApplyAlongAxis.test_subclass file: +MinimalSubclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ class MinimalSubclass(np.ndarray):$/;" c member:TestApplyAlongAxis.test_subclass_preservation file: +minimal_function adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def minimal_function(array):$/;" f member:TestApplyAlongAxis.test_subclass file: +minimiser adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def minimiser(self):$/;" m class:Vertex +minimise_pool adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def minimise_pool(self, force_iter=False):$/;" m class:SHGO +minimize adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/minimize.py /^def minimize($/;" f +minimize adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^def minimize(fun, x0, args=(), method=None, jac=None, hess=None,$/;" f +minimize adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def minimize(self, x_min, ind=None):$/;" m class:SHGO +minimize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def minimize(self, loss, var_list, grad_loss=None, tape=None):$/;" m class:TFOptimizer +minimize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def minimize(self, loss, var_list, grad_loss=None, name=None, tape=None):$/;" m class:OptimizerV2 +minimize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def minimize(self, loss, global_step=None, var_list=None,$/;" m class:Optimizer +minimize adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def minimize(self, global_step=None, name=None):$/;" m class:_SDCAModel +minimizer1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def minimizer1():$/;" f function:test_cobyla_threadsafe file: +minimizer2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def minimizer2():$/;" f function:test_cobyla_threadsafe file: +minimizers_1D adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def minimizers_1D(self):$/;" m class:SHGO +MinimizerWrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class MinimizerWrapper(object):$/;" c +minimize_bfgs adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^def minimize_bfgs($/;" f +minimize_boolean_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ minimize_boolean_attributes = True$/;" v class:HTMLSerializer +minimize_boolean_attributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ minimize_boolean_attributes = True$/;" v class:HTMLSerializer +minimize_loss_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^def minimize_loss_example(optimizer, use_bias=False, use_callable_loss=True):$/;" f +MINIMIZE_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^MINIMIZE_METHODS = ['nelder-mead', 'powell', 'cg', 'bfgs', 'newton-cg',$/;" v +minimize_quadratic_1d adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def minimize_quadratic_1d(a, b, lb, ub, c=0):$/;" f +minimize_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^def minimize_scalar(fun, bracket=None, bounds=None, args=(),$/;" f +MINIMIZE_SCALAR_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^MINIMIZE_SCALAR_METHODS = ['brent', 'bounded', 'golden']$/;" v +minimum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^minimum = _one_to_one_binop(np.minimum, lax.min)$/;" v +minimum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^minimum = _extrema_operation(umath.minimum, less, minimum_fill_value)$/;" v +minimum adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def minimum(input, labels=None, index=None):$/;" f +minimum adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def minimum(self, other):$/;" m class:spmatrix +minimum adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def minimum(self, other):$/;" m class:_cs_matrix +MINIMUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MINIMUM = 57$/;" v class:BuiltinOperator +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def minimum(self):$/;" m class:BoundedTensorSpec +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def minimum(x, y):$/;" f +Minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Minimum(_Merge):$/;" c +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def minimum(inputs, **kwargs):$/;" f +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def minimum(x, y, name=None):$/;" f +Minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Minimum = tf_export("raw_ops.Minimum")(_ops.to_raw_op(minimum))$/;" v +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def minimum(x1, x2):$/;" f +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_minimum as minimum$/;" x +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_minimum as minimum$/;" x +minimum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_minimum as minimum$/;" x +MINIMUM_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ MINIMUM_DATA_THRESHOLD = 3$/;" v class:CharDistributionAnalysis +MINIMUM_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ MINIMUM_DATA_THRESHOLD = 4$/;" v class:JapaneseContextAnalysis +MINIMUM_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ MINIMUM_DATA_THRESHOLD = 3$/;" v class:CharDistributionAnalysis +MINIMUM_DATA_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ MINIMUM_DATA_THRESHOLD = 4$/;" v class:JapaneseContextAnalysis +minimum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def minimum_eager_fallback(x, y, name, ctx):$/;" f +minimum_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def minimum_fill_value(obj):$/;" f +minimum_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def minimum_filter(input, size=None, footprint=None, output=None,$/;" f +minimum_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def minimum_filter1d(input, size, axis=-1, output=None,$/;" f +MINIMUM_GCC_VERSION adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^MINIMUM_GCC_VERSION = (5, 0, 0)$/;" v +MINIMUM_MSVC_VERSION adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^MINIMUM_MSVC_VERSION = (19, 0, 24215)$/;" v +minimum_phase adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def minimum_phase(h, method='homomorphic', n_fft=None):$/;" f +minimum_position adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def minimum_position(input, labels=None, index=None):$/;" f +MINIMUM_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ MINIMUM_THRESHOLD = 0.20$/;" v class:UniversalDetector +MINIMUM_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ MINIMUM_THRESHOLD = 0.20$/;" v class:UniversalDetector +MinIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MinIsNone(self):$/;" m class:QuantizationParameters +minit adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def minit(self, n):$/;" m class:TestNumObsY +minkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def minkowski(u, v, p=2, w=None):$/;" f +minkowski_distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^def minkowski_distance(x, y, p=2):$/;" f +minkowski_distance_p adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^def minkowski_distance_p(x, y, p=2):$/;" f +MinLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MinLength(self):$/;" m class:QuantizationParameters +minmax adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def minmax(histogram):$/;" f member:Stat._getextrema file: +MinMaxDynamicQuantObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class MinMaxDynamicQuantObserver(MinMaxObserver):$/;" c +MinMaxNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^class MinMaxNorm(Constraint):$/;" c +MinMaxObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class MinMaxObserver(_ObserverBase):$/;" c +minor adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def minor(self):$/;" m class:LogitLocator +minor adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def minor(self, value):$/;" m class:LogitLocator +minor adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ major, minor, rest = msvcrt.CRT_ASSEMBLY_VERSION.split(".", 2)$/;" v +minor adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def minor(self):$/;" m class:Version +MINORING adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ MINORING = 'minoring'$/;" v class:AUCSummationMethod +minorTicks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ minorTicks = _LazyTickList(major=False)$/;" v class:Axis +minorticksubplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def minorticksubplot(xminor, yminor, i):$/;" f function:test_minorticks_rc file: +minorticks_off adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def minorticks_off(self):$/;" m class:_AxesBase +minorticks_off adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def minorticks_off(self):$/;" m class:ColorbarBase +minorticks_off adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def minorticks_off():$/;" f +minorticks_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def minorticks_on(self):$/;" m class:_AxesBase +minorticks_on adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def minorticks_on(self):$/;" m class:ColorbarBase +minorticks_on adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def minorticks_on():$/;" f +minor_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def minor_version(self, best=False):$/;" m class:LinuxDistribution +minor_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def minor_version(best=False):$/;" f +minpos adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def minpos(self):$/;" m class:Bbox +minposx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def minposx(self):$/;" m class:Bbox +minposy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def minposy(self):$/;" m class:Bbox +minrelpath adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def minrelpath(path):$/;" f +minres adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^def minres(A, b, x0=None, shift=0.0, tol=1e-5, maxiter=None,$/;" f +MinSizePartitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class MinSizePartitioner(Partitioner):$/;" c +minTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def minTagSet(self):$/;" m class:NamedTypes +mintypecode adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def mintypecode(typechars, typeset='GDFgdf', default='d'):$/;" f +minumumSizeHint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def minumumSizeHint(self):$/;" m class:FigureCanvasQT +MINUS_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MINUS_CHAR = ord('-')$/;" v class:TimeEncoderMixIn +minute adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^minute = 60.0$/;" v +MinuteLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class MinuteLocator(RRuleLocator):$/;" c +MINUTES_PER_DAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MINUTES_PER_DAY = MIN_PER_HOUR * HOURS_PER_DAY$/;" v +min_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def min_(x, y):$/;" f function:resize_image_with_crop_or_pad file: +min_distance_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def min_distance_point(self, x, p=2.):$/;" m class:Rectangle +min_distance_rectangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def min_distance_rectangle(self, other, p=2.):$/;" m class:Rectangle +MIN_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^MIN_FACTOR = 0.2$/;" v +MIN_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^MIN_FACTOR = 0.2 # Minimum allowed decrease in a step size.$/;" v +MIN_FACTOR adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^MIN_FACTOR = 0.2 # Minimum allowed decrease in a step size.$/;" v +min_filler adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^min_filler = ntypes._maxvals$/;" v +MIN_FINAL_CHAR_DISTANCE adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ MIN_FINAL_CHAR_DISTANCE = 5$/;" v class:HebrewProber +MIN_FINAL_CHAR_DISTANCE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ MIN_FINAL_CHAR_DISTANCE = 5$/;" v class:HebrewProber +min_fresh adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ min_fresh = cache_property("min-fresh", "*", int)$/;" v class:RequestCacheControl +min_grad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def min_grad_op(mx, go, X, Y, Z):$/;" f member:TestUtilityOps.test_elementwise_min_grad file: +MIN_INT64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^MIN_INT64 = -(2**63)$/;" v +MIN_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MIN_LENGTH = 10$/;" v class:UTCTimeEncoder +MIN_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MIN_LENGTH = 12$/;" v class:GeneralizedTimeEncoder +MIN_LENGTH adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ MIN_LENGTH = 12$/;" v class:TimeEncoderMixIn +min_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ min_mark = r"^\\mathrm{m}"$/;" v class:FormatterHMS +min_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ min_mark = r"^{\\prime}"$/;" v class:FormatterDMS +min_max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MinMaxNorm as min_max_norm$/;" x +min_max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MinMaxNorm as min_max_norm$/;" x +min_max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MinMaxNorm as min_max_norm$/;" x +min_max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import MinMaxNorm as min_max_norm$/;" x +min_max_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^min_max_norm = MinMaxNorm$/;" v +min_max_variable_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^def min_max_variable_partitioner(max_partitions=1, axis=0,$/;" f +MIN_MODEL_DISTANCE adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ MIN_MODEL_DISTANCE = 0.01$/;" v class:HebrewProber +MIN_MODEL_DISTANCE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ MIN_MODEL_DISTANCE = 0.01$/;" v class:HebrewProber +min_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def min_op(X, Y, Z):$/;" f member:TestUtilityOps.test_elementwise_min file: +min_or_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def min_or_and(x1, x2):$/;" f function:minimum file: +min_over_ndim adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^def min_over_ndim(input, axis_list, keepdim=False):$/;" f +min_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^min_p: core.Primitive = standard_naryop($/;" v +MIN_PER_HOUR adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MIN_PER_HOUR = 60.$/;" v +min_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ min_rank = lambda a: [1 + sum(i < j for i in a) for j in a]$/;" f member:TestRankData.test_rankdata_object_string file: +min_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def min_scalar_type(a):$/;" f +MIN_TEST_ALPHA adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MIN_TEST_ALPHA = 5000.0$/;" v +MIN_TEST_AMPLITUDE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^MIN_TEST_AMPLITUDE = 0.1$/;" v +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = -(2**15)$/;" v class:Int16Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = -(2**31)$/;" v class:Int32Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = -(2**63)$/;" v class:Int64Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = -(2**7)$/;" v class:Int8Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = 0$/;" v class:Uint16Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = 0$/;" v class:Uint32Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = 0$/;" v class:Uint64Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = 0$/;" v class:Uint8Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = False$/;" v class:BoolFlags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = None$/;" v class:Float32Flags +min_val adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ min_val = None$/;" v class:Float64Flags +min_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def min_value(self):$/;" m class:IntInterval +min_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def min_value(self):$/;" m class:RealInterval +MIN_VISIT_BOUND adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ MIN_VISIT_BOUND = 1.e-10$/;" v class:VisitingDistribution +mio5p adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^from scipy.io.matlab import mio5_params as mio5p$/;" x +mio5p adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^import scipy.io.matlab.mio5_params as mio5p$/;" I +MIOPEN_HOME adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^MIOPEN_HOME = _join_rocm_home('miopen') if ROCM_HOME else None$/;" v +MIPSFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^class MIPSFCompiler(FCompiler):$/;" c +mirr adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def mirr(values, finance_rate, reinvest_rate):$/;" f +mirror adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def mirror(image):$/;" f +Mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class Mirrored(DistributedDelegate):$/;" c +MirroredExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^class MirroredExtended(distribute_lib.StrategyExtendedV1):$/;" c +MirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^class MirroredStrategy(distribute_lib.Strategy):$/;" c +MirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^MirroredStrategy = _version_chooser(mirrored_lib.MirroredStrategyV1,$/;" v +MirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from tensorflow.python.distribute.mirrored_strategy import MirroredStrategyV1 as MirroredStrateg/;" x +MirroredStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^class MirroredStrategyV1(distribute_lib.StrategyV1): # pylint: disable=g-missing-docstring$/;" c +MirroredVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class MirroredVariable(DistributedVariable, Mirrored):$/;" c +mirrored_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^from tensorflow.python.distribute import mirrored_strategy as mirrored_lib$/;" x +mirrored_strategy_with_cpu_1_and_2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^mirrored_strategy_with_cpu_1_and_2 = combinations.NamedDistribution($/;" v +mirrored_strategy_with_gpu_and_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^mirrored_strategy_with_gpu_and_cpu = combinations.NamedDistribution($/;" v +mirrored_strategy_with_one_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^mirrored_strategy_with_one_cpu = combinations.NamedDistribution($/;" v +mirrored_strategy_with_one_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^mirrored_strategy_with_one_gpu = combinations.NamedDistribution($/;" v +mirrored_strategy_with_two_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^mirrored_strategy_with_two_gpus = combinations.NamedDistribution($/;" v +MirrorPad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MirrorPad = tf_export("raw_ops.MirrorPad")(_ops.to_raw_op(mirror_pad))$/;" v +MirrorPadGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^MirrorPadGrad = tf_export("raw_ops.MirrorPadGrad")(_ops.to_raw_op(mirror_pad_grad))$/;" v +MirrorPadMode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MirrorPadMode(object):$/;" c +MirrorPadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MirrorPadOptions = 77$/;" v class:BuiltinOptions +MirrorPadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MirrorPadOptions(object):$/;" c +MirrorPadOptionsAddMode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MirrorPadOptionsAddMode(builder, mode): builder.PrependInt8Slot(0, mode, 0)$/;" f +MirrorPadOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MirrorPadOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:MirrorPadOptions +MirrorPadOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MirrorPadOptionsEnd(builder): return builder.EndObject()$/;" f +MirrorPadOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MirrorPadOptionsStart(builder): builder.StartObject(1)$/;" f +MirrorPadOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MirrorPadOptionsT(object):$/;" c +MIRROR_MATRIX adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^MIRROR_MATRIX = [$/;" v +MIRROR_PAD adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MIRROR_PAD = 100$/;" v class:BuiltinOperator +mirror_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def mirror_pad(input, paddings, mode, name=None):$/;" f +mirror_pad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def mirror_pad_eager_fallback(input, paddings, mode, name, ctx):$/;" f +mirror_pad_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def mirror_pad_grad(input, paddings, mode, name=None):$/;" f +mirror_pad_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def mirror_pad_grad_eager_fallback(input, paddings, mode, name, ctx):$/;" f +mish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def mish(X):$/;" f member:TestElementwiseOps.test_mish file: +mish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def mish(X):$/;" f member:TestElementwiseOps.test_mish_gradient_inplace file: +mish_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def mish_gradient(X, Y, dY):$/;" f member:TestElementwiseOps.test_mish_gradient_inplace file: +miSINGLE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miSINGLE = 1$/;" v +miSINGLE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miSINGLE = 7$/;" v +MismatchCAPIWarning adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^class MismatchCAPIWarning(Warning):$/;" c +MismatchingRedirectURIError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MismatchingRedirectURIError(InvalidRequestFatalError):$/;" c +MismatchingStateError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MismatchingStateError(OAuth2Error):$/;" c +Missing adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ Missing = Tuple[str, Any]$/;" v +missing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^missing = pjoin(data_path, 'missing.arff')$/;" v +missing adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^missing = object()$/;" v +MissingCallableSuffix adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^class MissingCallableSuffix(InstallationError):$/;" c +MissingClientIdError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingClientIdError(InvalidRequestFatalError):$/;" c +MissingCodeChallengeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingCodeChallengeError(InvalidRequestError):$/;" c +MissingCodeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingCodeError(OAuth2Error):$/;" c +MissingCodeVerifierError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingCodeVerifierError(InvalidRequestError):$/;" c +MissingDict adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ MissingDict = Dict[str, List[Missing]]$/;" v +MissingHashes adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^class MissingHashes(Hashes):$/;" c +MissingModule adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^class MissingModule(object):$/;" c +missingRanges adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^def missingRanges(charList):$/;" f +missingRanges adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^def missingRanges(charList):$/;" f +MissingRedirectURIError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingRedirectURIError(InvalidRequestFatalError):$/;" c +MissingResponseTypeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingResponseTypeError(InvalidRequestError):$/;" c +MissingSchema adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class MissingSchema(RequestException, ValueError):$/;" c +MissingSchema adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class MissingSchema(RequestException, ValueError):$/;" c +MissingTokenError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingTokenError(OAuth2Error):$/;" c +MissingTokenTypeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class MissingTokenTypeError(OAuth2Error):$/;" c +MISSING_CFFI adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ MISSING_CFFI = False$/;" v +MISSING_CFFI adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ MISSING_CFFI = True$/;" v +MISSING_CHECKPOINT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ MISSING_CHECKPOINT = 'missing checkpoint'$/;" v class:_EvalStatus +MISSING_CTYPES adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ MISSING_CTYPES = False$/;" v +missing_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def missing_devices(self):$/;" m class:Topology +missing_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def missing_scopes(self):$/;" m class:OAuth2Token +missing_tf_kernel adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^def missing_tf_kernel($/;" f +MITCHELLCUBIC adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ MITCHELLCUBIC = 'mitchellcubic'$/;" v class:ResizeMethod +MITR adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^XTOL, RTOL, MITR = 0.001, 0.001, 10$/;" v +miUINT16 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miUINT16 = 4$/;" v +miUINT16 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUINT16 = 4$/;" v +miUINT32 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUINT32 = 6$/;" v +miUINT64 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUINT64 = 13$/;" v +miUINT8 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^miUINT8 = 5$/;" v +miUINT8 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUINT8 = 2$/;" v +miUTF16 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUTF16 = 17$/;" v +miUTF32 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUTF32 = 18$/;" v +miUTF8 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^miUTF8 = 16$/;" v +MixedModeRenderer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_mixed.py /^class MixedModeRenderer:$/;" c +MixedPrecisionLossScaleOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^class MixedPrecisionLossScaleOptimizer(optimizer.Optimizer):$/;" c +MixedStruct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^MixedStruct = tf_export("raw_ops.MixedStruct")(_ops.to_raw_op(mixed_struct))$/;" v +mixed_integer adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ mixed_integer = (fraction | signed_integer + Optional(Optional('-').suppress() + fraction))./;" v class:pyparsing_common +mixed_integer adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ mixed_integer = (fraction | signed_integer + Optional(Optional('-').suppress() + fraction))./;" v class:pyparsing_common +mixed_integer adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ mixed_integer = (fraction | signed_integer + Optional(Optional('-').suppress() + fraction))./;" v class:pyparsing_common +mixed_integer adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ mixed_integer = (fraction | signed_integer + Optional(Optional('-').suppress() + fraction))./;" v class:pyparsing_common +mixed_precision_graph_rewrite_is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision_global_state.py /^mixed_precision_graph_rewrite_is_enabled = False$/;" v +mixed_struct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def mixed_struct(n_a, name=None):$/;" f +mixed_struct_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def mixed_struct_eager_fallback(n_a, name, ctx):$/;" f +Mixin adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^Mixin = _reflection.GeneratedProtocolMessageType('Mixin', (_message.Message,), {$/;" v +Mixin2to3 adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ class Mixin2to3:$/;" c +Mixin2to3 adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^class Mixin2to3(_Mixin2to3):$/;" c +Mixin2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^class Mixin2to3:$/;" c +MixtureSameFamily adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^class MixtureSameFamily(Distribution):$/;" c +mixture_distribution adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def mixture_distribution(self):$/;" m class:MixtureSameFamily +mix_entropy adpepsenv/lib/python3.8/site-packages/numpy/random/bit_generator.pxd /^ cdef mix_entropy(self, np.ndarray[np.npy_uint32, ndim=1] mixer,$/;" m class:SeedSequence +mjci adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def mjci(data, prob=[0.25,0.5,0.75], axis=None):$/;" f +MKCopyTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^class MKCopyTest(hu.HypothesisTestCase):$/;" c +mkdir adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def mkdir(self, dir_path=None):$/;" m class:_TempDir +MkDir adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import create_dir as MkDir$/;" x +MkDir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import create_dir as MkDir$/;" x +mkdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import create_dir_v2 as mkdir$/;" x +mkdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import create_dir_v2 as mkdir$/;" x +mkdir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import create_dir_v2 as mkdir$/;" x +mkdir_and_copy_file adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def mkdir_and_copy_file(self, header):$/;" m class:InstallHeaders +mkdir_p adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/dirtools.py /^def mkdir_p(*args, **kwargs):$/;" f +mkdtemp adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def mkdtemp():$/;" f +MKLConcatTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_concat_op_test.py /^class MKLConcatTest(hu.HypothesisTestCase):$/;" c +MKLConvTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_conv_op_test.py /^class MKLConvTest(hu.HypothesisTestCase):$/;" c +MKLConvTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_conv_op_test.py /^class MKLConvTest(hu.HypothesisTestCase):$/;" c +MkldnnBatchNorm adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class MkldnnBatchNorm(torch.jit.ScriptModule):$/;" c +MkldnnConv1d adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class MkldnnConv1d(_MkldnnConvNd):$/;" c +MkldnnConv2d adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class MkldnnConv2d(_MkldnnConvNd):$/;" c +MkldnnConv3d adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class MkldnnConv3d(_MkldnnConvNd):$/;" c +MkldnnLinear adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class MkldnnLinear(torch.jit.ScriptModule):$/;" c +MkldnnModule adpepsenv/lib/python3.8/site-packages/torch/backends/mkldnn/__init__.py /^class MkldnnModule(PropModule):$/;" c +MKLElementwiseAddTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_add_op_test.py /^class MKLElementwiseAddTest(hu.HypothesisTestCase):$/;" c +MKLElementwiseSumTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_sum_op_test.py /^class MKLElementwiseSumTest(hu.HypothesisTestCase):$/;" c +MKLFcTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_op_test.py /^class MKLFcTest(hu.HypothesisTestCase):$/;" c +MKLFillTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fill_op_test.py /^class MKLFillTest(hu.HypothesisTestCase):$/;" c +MKLLRNTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_op_test.py /^class MKLLRNTest(hu.HypothesisTestCase):$/;" c +MKLPoolTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_op_test.py /^class MKLPoolTest(hu.HypothesisTestCase):$/;" c +MKLReluTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_relu_op_test.py /^class MKLReluTest(hu.HypothesisTestCase):$/;" c +MKLRewriteTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^class MKLRewriteTest(hu.HypothesisTestCase):$/;" c +MKLSigmoidTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sigmoid_op_test.py /^class MKLSigmoidTest(hu.HypothesisTestCase):$/;" c +MKLSpatialBNTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^class MKLSpatialBNTest(hu.HypothesisTestCase):$/;" c +MKLSqueezeTest adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_squeeze_op_test.py /^class MKLSqueezeTest(hu.HypothesisTestCase):$/;" c +mkl_do adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^mkl_do = caffe2_pb2.DeviceOption(device_type=caffe2_pb2.MKLDNN)$/;" v +mkl_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class mkl_info(system_info):$/;" c +mkl_tmp adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^ def mkl_tmp(name):$/;" f function:rewrite_run_net_simple file: +mkl_tmp adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^ def mkl_tmp(name):$/;" f function:rewrite_run_net_simple_xrayocr_lstm file: +mkpath adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def mkpath (self, name, mode=0o777):$/;" m class:CCompiler +mkpath adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def mkpath(self, name, mode=0o777):$/;" m class:Command +mkpath adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def mkpath(name, mode=0o777, verbose=1, dry_run=0):$/;" f +mktemp adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def mktemp(self, suffix='.hdf5', prefix='', dir=None):$/;" m class:TestCase +mktemp_mpi adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def mktemp_mpi(self, comm=None, suffix='.hdf5', prefix='', dir=None):$/;" m class:TestCase +mkurl_pypi_url adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ def mkurl_pypi_url(url):$/;" f member:SearchScope.get_index_urls_locations file: +mk_repl adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def mk_repl(templ):$/;" f function:preprocessor file: +mk_sharded adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ mk_sharded = lambda f: jax.pmap(lambda x: x)(f([n]))$/;" f member:Jax2TfTest.test_converts_jax_arrays file: +mk_sharding_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def mk_sharding_spec(aval, aval_axes):$/;" f function:mesh_sharding_specs file: +mk_tzaware adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def mk_tzaware(cls, datetime_obj):$/;" m class:test_date2num_dst.dt_tzaware +mlab adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.mlab as mlab$/;" I +mlab adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^import matplotlib.mlab as mlab$/;" I +mlarr adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def mlarr(*args, **kwargs):$/;" f +MLData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class MLData(univ.Sequence):$/;" c +MLData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^MLData = rfc2634.MLData$/;" v +mlefunc adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def mlefunc(a, b, x):$/;" f member:TestFitMethod.test_fix_fit_beta file: +mlegend adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.legend as mlegend$/;" I +mlegend adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib.legend as mlegend$/;" I +mlegend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^import matplotlib.legend as mlegend$/;" I +MLExpansionHistory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class MLExpansionHistory(univ.SequenceOf):$/;" c +MLExpansionHistory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^MLExpansionHistory = rfc2634.MLExpansionHistory$/;" v +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ lines as mlines, path as mpath, transforms)$/;" x +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^from . import artist, cbook, colors, docstring, lines as mlines, transforms$/;" x +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^import matplotlib.lines as mlines$/;" I +mlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ artist, lines as mlines, axis as maxis, patches as mpatches, rcParams)$/;" x +MlirPassthroughOp adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^MlirPassthroughOp = tf_export("raw_ops.MlirPassthroughOp")(_ops.to_raw_op(mlir_passthrough_op))$/;" v +mlir_passthrough_op adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^def mlir_passthrough_op(inputs, mlir_module, Toutputs, name=None):$/;" f +mlir_passthrough_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^def mlir_passthrough_op_eager_fallback(inputs, mlir_module, Toutputs, name, ctx):$/;" f +mlir_quantize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def mlir_quantize(input_data_str,$/;" f +mlir_sparsify adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def mlir_sparsify(input_data_str):$/;" f +MLP adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def MLP(order):$/;" f +MLP adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def MLP(order, cudnn_ws):$/;" f +MLReceiptPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class MLReceiptPolicy(univ.Choice):$/;" c +MLReceiptPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^MLReceiptPolicy = rfc2634.MLReceiptPolicy$/;" v +ML_ALWAYS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_ALWAYS = ML_VERBOSE | ML_DETAILED | ML_MINIMAL$/;" v +ML_DETAILED adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_DETAILED = 2$/;" v +ML_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_MAX = ML_ALWAYS$/;" v +ML_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_MIN = 0$/;" v +ML_MINIMAL adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_MINIMAL = 4$/;" v +ML_NONE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_NONE = ML_MIN$/;" v +ML_VERBOSE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsIO.pxd /^ ML_VERBOSE = 1$/;" v +MM adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^MM = b"MM" # big-endian (Motorola style)$/;" v +mm adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^import mmap as mm$/;" I +mm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^mm = np.lib._datasource.Repository('ftp:\/\/math.nist.gov\/pub\/MatrixMarket2\/')$/;" v +mm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def mm(g, self, other):$/;" f +mm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def mm(g, self, other):$/;" f +mm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def mm(g, self, other):$/;" f +mm adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^def mm(mat1: Tensor, mat2: Tensor) -> Tensor:$/;" f +mmarkers adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.markers as mmarkers$/;" I +mmarkers adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.markers as mmarkers$/;" I +mmarkers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.markers as mmarkers$/;" I +MMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^class MMatrix(MaskedArray, np.matrix,):$/;" c +MMFile adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^class MMFile:$/;" c +mminfo adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^def mminfo(source):$/;" f +mmread adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^def mmread(source):$/;" f +mmwrite adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^def mmwrite(target, a, comment='', field=None, precision=None, symmetry=None):$/;" f +mnist_synthetic_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/multi_worker_testing_utils.py /^def mnist_synthetic_dataset(batch_size, steps_per_epoch):$/;" f +MO adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +MO adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +MO adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^MO = MatlabObject(np.zeros((1,1), dtype=ODT), 'inline')$/;" v +MobileApplicationClient adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/mobile_application.py /^class MobileApplicationClient(Client):$/;" c +MobileApplicationServer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^class MobileApplicationServer(AuthorizationEndpoint, IntrospectEndpoint,$/;" c +MobileNet adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^def MobileNet(input_shape=None,$/;" f +MobileNetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^def MobileNetV2(input_shape=None,$/;" f +MobileNetV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def MobileNetV3(stack_fn,$/;" f +MobileNetV3Large adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def MobileNetV3Large(input_shape=None,$/;" f +MobileNetV3Small adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def MobileNetV3Small(input_shape=None,$/;" f +mock adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ mock = None$/;" v +MockedObject adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^class MockedObject:$/;" c +MockOsEnv adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class MockOsEnv(collections_abc.Mapping):$/;" c +MockRequest adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^class MockRequest(object):$/;" c +MockRequest adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^class MockRequest(object):$/;" c +MockResponse adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^class MockResponse(object):$/;" c +MockResponse adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^class MockResponse(object):$/;" c +mocks adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^mocks = (mock_backend.fft, mock_backend.fft2, mock_backend.fftn,$/;" v +MockSeries adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ class MockSeries(object):$/;" c class:_TestRFFTBase +MockSeries adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ class MockSeries(object):$/;" c class:_TestRFFTBase +MockZipReader adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^class MockZipReader(object):$/;" c +mock_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def mock_axis(self, request):$/;" m class:TestStrCategoryConverter +mock_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def mock_head(testcase, hidden_units, logits_dimension, expected_logits):$/;" f +mock_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def mock_head(testcase, hidden_units, logits_dimension, expected_logits):$/;" f +mock_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def mock_module(self, module_name: str):$/;" m class:PackageExporter +mock_modules adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def mock_modules(self, module_names):$/;" m class:PackageExporter +mock_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def mock_optimizer(testcase, hidden_units, expected_loss=None):$/;" f +mock_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def mock_optimizer(testcase, expected_loss=None):$/;" f +mock_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def mock_optimizer(testcase, hidden_units, expected_loss=None):$/;" f +mod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^mod = _wraps(np.mod)(remainder)$/;" v +mod adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def mod(a, values):$/;" f +mod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^mod = _DomainedBinaryOperation(umath.mod, _DomainSafeDivide(), 0, 1)$/;" v +mod adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class mod:$/;" c function:test_warn_len_equal_call_scenarios file: +mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mod(x, y, name=None):$/;" f +Mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Mod = tf_export("raw_ops.Mod")(_ops.to_raw_op(mod))$/;" v +mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^mod = gen_math_ops.floor_mod$/;" v +mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def mod(x1, x2): # pylint: disable=missing-function-docstring$/;" f +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import floor_mod as mod$/;" x +mod adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ mod = runpy.run_path(path, init_globals=globals())$/;" v +ModCertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class ModCertTemplate(univ.Sequence):$/;" c +ModCertTemplate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class ModCertTemplate(univ.Sequence):$/;" c +mode adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def mode(self):$/;" m class:File +MODE adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^MODE = "Image type"$/;" v +mode adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def mode(self):$/;" m class:LazyZipOverHTTP +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def mode(a, axis=0):$/;" f +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def mode(a, axis=0, nan_policy='propagate'):$/;" f +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mode(self):$/;" m class:invwishart_frozen +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mode(self):$/;" m class:wishart_frozen +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mode(self, df, scale):$/;" m class:invwishart_gen +mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def mode(self, df, scale):$/;" m class:wishart_gen +Mode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Mode(self):$/;" m class:MirrorPadOptions +mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def mode(self):$/;" m class:FileIO +mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def mode(self, name="mode"):$/;" m class:Distribution +mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def mode(self):$/;" m class:_InternalTPUContext +mode adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ mode = "wb+"$/;" v class:ResponseStream +ModeDescriptor adpepsenv/lib/python3.8/site-packages/PIL/ImageMode.py /^class ModeDescriptor:$/;" c +ModeFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class ModeFilter(Filter):$/;" c +ModeKeyMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^class ModeKeyMap(collections_abc.Mapping):$/;" c +ModeKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/mode_keys.py /^from tensorflow.python.saved_model.model_utils.mode_keys import KerasModeKeys as ModeKeys$/;" x +ModeKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^from tensorflow.python.saved_model.model_utils.mode_keys import KerasModeKeys as ModeKeys$/;" x +ModeKeys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/mode_keys.py /^from tensorflow.python.saved_model.model_utils.mode_keys import EstimatorModeKeys as ModeKeys$/;" x +model adpeps/ipeps/config.py /^model: str = None$/;" v +Model adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class Model(object):$/;" c +Model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Model(object):$/;" c +model adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^def model():$/;" f +model adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^ def model(x):$/;" f function:main file: +Model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^class Model(base_layer.Layer, version_utils.ModelVersionSelector):$/;" c +Model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^class Model(training_lib.Model):$/;" c +Model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^Model = training.Model # pylint: disable=invalid-name$/;" v +ModelAddBuffers adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddBuffers(builder, buffers): builder.PrependUOffsetTRelativeSlot(4, flatbuffers.number/;" f +ModelAddDescription adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddDescription(builder, description): builder.PrependUOffsetTRelativeSlot(3, flatbuffer/;" f +ModelAddMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddMetadata(builder, metadata): builder.PrependUOffsetTRelativeSlot(6, flatbuffers.numb/;" f +ModelAddMetadataBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddMetadataBuffer(builder, metadataBuffer): builder.PrependUOffsetTRelativeSlot(5, flat/;" f +ModelAddOperatorCodes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddOperatorCodes(builder, operatorCodes): builder.PrependUOffsetTRelativeSlot(1, flatbu/;" f +ModelAddSignatureDefs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddSignatureDefs(builder, signatureDefs): builder.PrependUOffsetTRelativeSlot(7, flatbu/;" f +ModelAddSubgraphs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddSubgraphs(builder, subgraphs): builder.PrependUOffsetTRelativeSlot(2, flatbuffers.nu/;" f +ModelAddVersion adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelAddVersion(builder, version): builder.PrependUint32Slot(0, version, 0)$/;" f +ModelAndInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_collection_base.py /^class ModelAndInput(object):$/;" c +ModelAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class ModelAttributes(SerializedAttributes.with_attributes($/;" c +ModelBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ModelBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Model +ModelCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class ModelCheckpoint(Callback):$/;" c +ModelConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^ModelConfig = _reflection.GeneratedProtocolMessageType('ModelConfig', (_message.Message,), dict($/;" v +ModelConfigList adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^ModelConfigList = _reflection.GeneratedProtocolMessageType('ModelConfigList', (_message.Message,/;" v +ModelDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ModelDataset = tf_export("raw_ops.ModelDataset")(_ops.to_raw_op(model_dataset))$/;" v +ModelDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^ModelDef = _reflection.GeneratedProtocolMessageType('ModelDef', (_message.Message,), {$/;" v +ModelDownloader adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^class ModelDownloader:$/;" c +ModelEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelEnd(builder): return builder.EndObject()$/;" f +ModelFlags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^ModelFlags = _reflection.GeneratedProtocolMessageType('ModelFlags', (_message.Message,), {$/;" v +ModelFn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ModelFn = collections.namedtuple('ModelFn',$/;" v +ModelForFusion adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelForFusion(nn.Module):$/;" c +ModelForFusionWithBias adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelForFusionWithBias(nn.Module):$/;" c +ModelFunction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^class ModelFunction(tracking.AutoTrackable):$/;" c +ModelHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^class ModelHelper(object):$/;" c +ModelHelperTest adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper_test.py /^class ModelHelperTest(unittest.TestCase):$/;" c +ModelInfo adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^ModelInfo = _reflection.GeneratedProtocolMessageType('ModelInfo', (_message.Message,), {$/;" v +ModelInputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class ModelInputs(object):$/;" c +ModelLayer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^class ModelLayer(object):$/;" c +ModelMultipleOps adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelMultipleOps(torch.nn.Module):$/;" c +ModelMultipleOpsNoAvgPool adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelMultipleOpsNoAvgPool(torch.nn.Module):$/;" c +ModelOutputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ModelOutputs = collections.namedtuple( # pylint: disable=invalid-name$/;" v +models adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^import torchvision.models as models$/;" I +ModelSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^class ModelSavedModelSaver(layer_serialization.LayerSavedModelSaver):$/;" c +ModelServerConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^ModelServerConfig = _reflection.GeneratedProtocolMessageType('ModelServerConfig', (_message.Mess/;" v +ModelServiceServicer adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^class ModelServiceServicer(object):$/;" c +ModelServiceStub adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^class ModelServiceStub(object):$/;" c +ModelSpec adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^ModelSpec = _reflection.GeneratedProtocolMessageType('ModelSpec', (_message.Message,), dict($/;" v +ModelStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStart(builder): builder.StartObject(8)$/;" f +ModelStartBuffersVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartBuffersVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +ModelStartMetadataBufferVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartMetadataBufferVector(builder, numElems): return builder.StartVector(4, numElems, 4/;" f +ModelStartMetadataVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartMetadataVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +ModelStartOperatorCodesVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartOperatorCodesVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +ModelStartSignatureDefsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartSignatureDefsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +ModelStartSubgraphsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ModelStartSubgraphsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +models_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^models_lib = LazyLoader("models_lib", globals(),$/;" v +models_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^models_lib = LazyLoader("models_lib", globals(),$/;" v +ModelT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ModelT(object):$/;" c +ModelTrainerLog adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^class ModelTrainerLog():$/;" c +ModelType adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^ModelType = enum_type_wrapper.EnumTypeWrapper(_MODELTYPE)$/;" v +ModelVersionSelector adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^class ModelVersionSelector(object):$/;" c +ModelVersionStatus adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^ModelVersionStatus = _reflection.GeneratedProtocolMessageType('ModelVersionStatus', (_message.Me/;" v +ModelWithFunctionals adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelWithFunctionals(torch.nn.Module):$/;" c +ModelWithSequentialFusion adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ModelWithSequentialFusion(nn.Module):$/;" c +model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def model_build_fun(model, loss_scale):$/;" f member:DataParallelModelTest.run_model file: +model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def model_build_fun(model, loss_scale):$/;" f member:RecurrentNetworkParallelTest.run_model file: +model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def model_build_fun(model, loss_scale):$/;" f member:SparseDataParallelModelTest.run_model file: +model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def model_build_fun(model, loss_scale):$/;" f member:SparseDataParallelModelTestWithSharedIndices.run_model file: +model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def model_build_fun(self, model, forward_only=False, loss_scale=None):$/;" m class:Seq2SeqModelCaffe2 +model_config_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^from tensorflow.python.keras.saving import model_config as model_config_lib$/;" x +model_creator adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def model_creator(model, loss_scale):$/;" f function:gen_forward_pass_builder_fun file: +model_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def model_dataset(input_dataset, output_types, output_shapes, algorithm=0, cpu_budget=0, ram_bud/;" f +model_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def model_dataset_eager_fallback(input_dataset, output_types, output_shapes, algorithm, cpu_budg/;" f +model_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def model_dir(self):$/;" m class:Estimator +model_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def model_dir(self):$/;" m class:RunConfig +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def model_fn(x):$/;" f function:batchnorm_example file: +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^ def model_fn(x):$/;" f function:minimize_loss_example file: +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def model_fn():$/;" f member:DistributionTestBase._test_global_step_update file: +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def model_fn(self, features, mode, config):$/;" m class:_ModelFn +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def model_fn(self):$/;" m class:Estimator +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def model_fn(self):$/;" m class:_EstimatorWrappedGraph +model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^ def model_fn(features, labels, mode):$/;" f function:_create_keras_model_fn file: +model_fn_inference_on_tpu adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def model_fn_inference_on_tpu(model_fn,$/;" f +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_fn_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow_estimator.python.estimator import model_fn as model_fn_lib$/;" x +model_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^model_from_config = model_config.model_from_config$/;" v +model_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/model_config.py /^def model_from_config(config, custom_objects=None):$/;" f +model_from_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^model_from_json = model_config.model_from_json$/;" v +model_from_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/model_config.py /^def model_from_json(json_string, custom_objects=None):$/;" f +model_from_yaml adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^model_from_yaml = model_config.model_from_yaml$/;" v +model_from_yaml adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/model_config.py /^def model_from_yaml(yaml_string, custom_objects=None):$/;" f +model_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/keras/saving/saving_utils.py /^def model_input_signature(model, keep_original_batch_size=False):$/;" f +model_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def model_input_signature(model, keep_original_batch_size=False):$/;" f +model_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def model_iteration(model,$/;" f +model_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def model_iteration(model,$/;" f +model_layer_subcls adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/__init__.py /^model_layer_subcls = set()$/;" v +model_map adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^ model_map = {$/;" v +model_map adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^ model_map = {$/;" v +model_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def model_metadata(model, include_optimizer=True, require_config=True):$/;" f +model_parallelism_enabled adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def model_parallelism_enabled(self):$/;" m class:_InternalTPUContext +model_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def model_parameters(m):$/;" f function:DistributedDataParallel._ddp_init_helper.parameters file: +model_params adpeps/ipeps/config.py /^model_params: dict = None$/;" v +MODEL_STATUS_RDOVUB adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_highs.py /^ as MODEL_STATUS_RDOVUB,$/;" x +model_to_dot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^def model_to_dot(model,$/;" f +model_to_estimator adpepsenv/lib/python3.8/site-packages/tensorflow/keras/estimator/__init__.py /^from tensorflow.python.keras.estimator import model_to_estimator_v2 as model_to_estimator$/;" x +model_to_estimator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/estimator/__init__.py /^from tensorflow.python.keras.estimator import model_to_estimator_v2 as model_to_estimator$/;" x +model_to_estimator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/estimator/__init__.py /^def model_to_estimator($/;" f +model_to_estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def model_to_estimator(keras_model=None,$/;" f +model_to_estimator_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/estimator/__init__.py /^def model_to_estimator_v2(keras_model=None,$/;" f +model_to_graph_def adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def model_to_graph_def(model, **kwargs):$/;" f +model_type_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def model_type_scope(value):$/;" f +MODEL_TYPE_UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^MODEL_TYPE_UNSPECIFIED = 0$/;" v +MODEL_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ MODEL_VARIABLES = "model_variables"$/;" v class:GraphKeys +model_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def model_variables(scope=None):$/;" f +ModeResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ModeResult = namedtuple('ModeResult', ('mode', 'count'))$/;" v +ModeResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ModeResult = namedtuple('ModeResult', ('mode', 'count'))$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^MODES = {$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^MODES = sorted(_MODEINFO)$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^MODES = {$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^MODES = {$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^MODES = {$/;" v +MODES adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^MODES = {$/;" v +mode_equivalents adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^mode_equivalents = {$/;" v +mode_map adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ mode_map = {1: "L", 2: "LAB", 3: "RGB", 4: "CMYK"}$/;" v class:EpsImageFile +mode_map adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^mode_map = {$/;" v +modf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def modf(x, out=None):$/;" f +modfresnelm adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void modfresnelm(double x0, double complex *y0, double complex *y1) nogil$/;" f +modfresnelp adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void modfresnelp(double x0, double complex *y0, double complex *y1) nogil$/;" f +Modified adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/message_listener.py /^ def Modified(self):$/;" m class:MessageListener +Modified adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/message_listener.py /^ def Modified(self):$/;" m class:NullMessageListener +Modified adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def Modified(self):$/;" f function:_AddPrivateHelperMethods file: +Modified adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def Modified(self):$/;" m class:_Listener +Modified adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def Modified(self):$/;" m class:_OneofListener +modified_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def modified_arguments(self, kwargs, requested_parameters):$/;" m class:ClusterParameters +modified_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def modified_arguments(self, kwargs, requested_parameters):$/;" m class:DistributionParameter +modified_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def modified_arguments(self, kwargs, requested_parameters):$/;" m class:OptionalParameter +modified_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def modified_arguments(self, kwargs, requested_parameters):$/;" m class:ParameterModifier +modified_dogleg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def modified_dogleg(A, Y, b, trust_radius, lb, ub):$/;" f +ModifierContext adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^class ModifierContext(object):$/;" c +modifiers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def modifiers(self): return qt_mod$/;" m class:test_correct_key._Event +MODIFIER_KEYS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^MODIFIER_KEYS = [ # Deprecated.$/;" v +modify adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def modify(self, name, value):$/;" m class:AttributeManager +ModifyDNRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ModifyDNRequest(univ.Sequence):$/;" c +ModifyDNResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ModifyDNResponse(LDAPResult):$/;" c +ModifyRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ModifyRequest(univ.Sequence):$/;" c +ModifyResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class ModifyResponse(LDAPResult):$/;" c +modify_mesh adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def modify_mesh(x, insert_1, insert_2):$/;" f +modify_model_io_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def modify_model_io_type($/;" f +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:ComputeHistogramForBlobs +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:ComputeNormForBlobs +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:ComputeStatisticsForBlobs +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:GetEntryFromBlobs +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:GradientClipping +modify_net adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/net_modifier.py /^ def modify_net(self, net, init_net=None, grad_map=None, blob_to_device=None):$/;" m class:NetModifier +modify_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def modify_ops(net):$/;" f function:_RemapParameterBlobsForSharedModel file: +modify_vertices adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^ def modify_vertices():$/;" f function:test_readonly_path file: +modsign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def modsign2map(m):$/;" f +modstruve adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double modstruve(double x0, double x1) nogil$/;" f +module adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ module = None$/;" v class:F2PyTest +module adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def module(f):$/;" f +MODULE adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^MODULE = re.compile(r"\\w+(\\.\\w+)*$").match$/;" v +MODULE adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^MODULE = re.compile(r"\\w+(\\.\\w+)*$").match$/;" v +Module adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Module = functools.partial(gast.Module, type_ignores=None) # pylint:disable=invalid-name$/;" v +Module adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Module = gast.Module$/;" v +Module adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^class Module(tracking.AutoTrackable):$/;" c +Module adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^class Module(object):$/;" c +Module adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^class Module:$/;" c +module adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^ module = importlib.import_module('torch.onnx.symbolic_opset{}'.format(opset_version))$/;" v +ModuleAttributeError adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^class ModuleAttributeError(AttributeError):$/;" c +ModuleClass1 adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module1.py /^class ModuleClass1(object):$/;" c +ModuleClass2 adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module2.py /^class ModuleClass2(object):$/;" c +ModuleCreationMode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class ModuleCreationMode(enum.Enum):$/;" c +ModuleDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^ModuleDef = _reflection.GeneratedProtocolMessageType('ModuleDef', (_message.Message,), {$/;" v +ModuleDeprecationWarning adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^class ModuleDeprecationWarning(DeprecationWarning):$/;" c +ModuleDict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class ModuleDict(Module):$/;" c +moduleFactory adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def moduleFactory(baseModule, *args, **kwargs):$/;" f function:moduleFactoryFactory file: +moduleFactory adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ def moduleFactory(baseModule, *args, **kwargs):$/;" f function:moduleFactoryFactory file: +moduleFactoryFactory adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^def moduleFactoryFactory(factory):$/;" f +moduleFactoryFactory adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^def moduleFactoryFactory(factory):$/;" f +ModuleList adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class ModuleList(Module):$/;" c +modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ modulename = 'numarray'$/;" v class:numarray_info +modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ modulename = 'Numeric'$/;" v class:Numeric_info +modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ modulename = 'Numeric'$/;" v class:_numpy_info +modulename adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ modulename = 'numpy'$/;" v class:numpy_info +ModuleNotFoundError adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^ ModuleNotFoundError = ImportError$/;" v +ModuleRegister adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^from . import ModuleRegister as ModuleRegister$/;" x +ModuleReLUFusion adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^class ModuleReLUFusion():$/;" c +modules adpepsenv/lib/python3.8/site-packages/PIL/features.py /^modules = {$/;" v +MODULES adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_import_cycles.py /^MODULES = [$/;" v +modules adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def modules(self) -> Iterator["Module"]:$/;" m class:_RemoteModule +modules adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def modules(self) -> Iterator['Module']:$/;" m class:Module +ModuleTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class ModuleTest(TestBase):$/;" c +ModuleTester adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^class ModuleTester:$/;" c +ModuleWrapper adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^class ModuleWrapper(object):$/;" c +ModuleWrapper adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^class ModuleWrapper(nn.Module):$/;" c +module_call_wrapper adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def module_call_wrapper(mod, *args, **kwargs):$/;" f member:Tracer.trace file: +MODULE_CTOR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ MODULE_CTOR = "module_ctor"$/;" v class:ModuleCreationMode +MODULE_CTOR_WITH_INTERFACE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ MODULE_CTOR_WITH_INTERFACE = "module_ctor_with_interface"$/;" v class:ModuleCreationMode +MODULE_DEPRECATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^MODULE_DEPRECATIONS = {$/;" v +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ module_dir_switch = None$/;" v class:AbsoftFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ module_dir_switch = '-module ' # not tested$/;" v class:CompaqFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ module_dir_switch = '\/module:'$/;" v class:CompaqVisualFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ module_dir_switch = '-fmod='$/;" v class:G95FCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ module_dir_switch = '-J'$/;" v class:Gnu95FCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ module_dir_switch = None$/;" v class:GnuFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ module_dir_switch = None #XXX: fix me$/;" v class:HPUXFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ module_dir_switch = '-module ' # Don't remove ending space!$/;" v class:IntelFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ module_dir_switch = '\/module:' # No space after \/module:$/;" v class:IntelVisualFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ module_dir_switch = None #XXX Fix me$/;" v class:LaheyFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ module_dir_switch = None #XXX: fix me$/;" v class:MIPSFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ module_dir_switch = '-module '$/;" v class:NVHPCFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ module_dir_switch = '-module ' # Don't remove ending space!$/;" v class:PathScaleFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ module_dir_switch = '-module ' # Don't remove ending space!$/;" v class:PGroupFlangCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ module_dir_switch = '-module '$/;" v class:PGroupFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ module_dir_switch = '-moddir='$/;" v class:SunFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ module_dir_switch = None #XXX Fix me$/;" v class:VastFCompiler +module_dir_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ module_dir_switch = None$/;" v class:FCompiler +module_from_spec adpepsenv/lib/python3.8/site-packages/setuptools/py34compat.py /^ def module_from_spec(spec):$/;" f +module_has_exports adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def module_has_exports(mod):$/;" f +module_has_qparams_attr_with_index adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^ def module_has_qparams_attr_with_index(module, qparams, i):$/;" f function:quantize_node file: +module_help adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def module_help(self, module):$/;" m class:FlagValues +MODULE_HUBCONF adpepsenv/lib/python3.8/site-packages/torch/hub.py /^MODULE_HUBCONF = 'hubconf.py'$/;" v +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ module_include_switch = '-p'$/;" v class:AbsoftFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ module_include_switch = '-I'$/;" v class:CompaqFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ module_include_switch = '\/I'$/;" v class:CompaqVisualFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ module_include_switch = '-I'$/;" v class:G95FCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ module_include_switch = '-I'$/;" v class:Gnu95FCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ module_include_switch = None$/;" v class:GnuFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ module_include_switch = None #XXX: fix me$/;" v class:HPUXFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ module_include_switch = '-I'$/;" v class:IntelFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ module_include_switch = '\/I'$/;" v class:IntelVisualFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ module_include_switch = None #XXX Fix me$/;" v class:LaheyFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ module_include_switch = None #XXX: fix me$/;" v class:MIPSFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ module_include_switch = '-I'$/;" v class:NVHPCFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ module_include_switch = '-I'$/;" v class:PathScaleFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ module_include_switch = '-I'$/;" v class:PGroupFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ module_include_switch = '-M'$/;" v class:SunFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ module_include_switch = None #XXX Fix me$/;" v class:VastFCompiler +module_include_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ module_include_switch = '-I'$/;" v class:FCompiler +module_map adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^from . import module_map as module_map$/;" x +MODULE_MAPS adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^MODULE_MAPS = []$/;" v +module_name adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ module_name = "c_ext_return_real"$/;" v class:TestCReturnReal +module_name adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ module_name = "callstatement"$/;" v class:TestCallstatement +module_name adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ module_name = "multiline"$/;" v class:TestMultiline +module_name adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ module_name = None$/;" v class:F2PyTest +module_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def module_options(self, module_dirs, module_build_dir):$/;" m class:FCompiler +module_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ module_path = None$/;" v class:EmptyProvider +module_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ module_path = None$/;" v class:EmptyProvider +module_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^module_rules = {$/;" v +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib(types.ModuleType):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_error adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib_error(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_parse adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib_parse(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_request adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib_request(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_response adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib_response(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +Module_six_moves_urllib_robotparser adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class Module_six_moves_urllib_robotparser(_LazyModule):$/;" c +module_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^module_tests = [$/;" v +mod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mod_eager_fallback(x, y, name, ctx):$/;" f +MollweideAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class MollweideAxes(GeoAxes):$/;" c +MollweideTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class MollweideTransform(_GeoTransform):$/;" c class:MollweideAxes +moment adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def moment(a, moment=1, axis=0):$/;" f +moment adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def moment(a, moment=1, axis=0, nan_policy='propagate'):$/;" f +moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def moment(n):$/;" f member:TestTrapezoid.test_moments_and_entropy file: +moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def moment(self, n):$/;" m class:rv_frozen +moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def moment(self, n, *args, **kwds):$/;" m class:rv_generic +moments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def moments($/;" f +moments adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import moments_v2 as moments$/;" x +moments adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import moments_v2 as moments$/;" x +Moments adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^Moments = collections.namedtuple("Moments", ["mean", "variance"])$/;" v +moments_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def moments_v2($/;" f +moments_with_running_stats adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def moments_with_running_stats(model, blob_in, blob_out, dim_in,$/;" f +momentum adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def momentum(step_size: Schedule, mass: float):$/;" f +MomentumOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^class MomentumOptimizer(optimizer.Optimizer):$/;" c +MomentumParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^MomentumParameters = _reflection.GeneratedProtocolMessageType('MomentumParameters', (_message.Me/;" v +MomentumParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class MomentumParameters(_OptimizationParameters):$/;" c +MomentumSlotVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^MomentumSlotVariable = collections.namedtuple('MomentumSlotVariable',$/;" v +MomentumSlotVariableName adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^MomentumSlotVariableName = collections.namedtuple('MomentumSlotVariableName',$/;" v +momentum_path adpeps/ipeps/config.py /^momentum_path: str = 'Bril1'$/;" v +momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def momentum_sgd(grad, m, lr):$/;" f member:TestOperators.test_momentum_sgd file: +momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def momentum_sgd(grad, m, lr):$/;" f member:TestMomentumSGD.test_sparse_momentum_sgd file: +momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def momentum_sgd(grad, param_momentum, lr, param=None):$/;" f member:TestMomentumSGD.test_fp16momentum_sgd file: +momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def momentum_sgd(grad, param_momentum, lr, param=None):$/;" f member:TestMomentumSGD.test_momentum_sgd file: +Mon adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class Mon(dict):$/;" c +MONDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +Monitor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^ def Monitor(self, request, context):$/;" m class:ProfilerServiceServicer +monitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler_client.py /^def monitor(service_addr,$/;" f +monitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_client.py /^def monitor(service_addr, duration_ms, level=1):$/;" f +MonitoredSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class MonitoredSession(_MonitoredSession):$/;" c +MonitoredTimer adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class MonitoredTimer(object):$/;" c +MonitoredTrainingSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^def MonitoredTrainingSession($/;" f +monitored_timer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/metric_utils.py /^def monitored_timer(metric_name, state_tracker=None):$/;" f +monitored_timer adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^def monitored_timer(cell):$/;" f +MonitorRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^MonitorRequest = _reflection.GeneratedProtocolMessageType('MonitorRequest', (_message.Message,),/;" v +MonitorResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^MonitorResponse = _reflection.GeneratedProtocolMessageType('MonitorResponse', (_message.Message,/;" v +MonoidRecord adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^MonoidRecord = collections.namedtuple('MonoidRecord', ['reducer', 'identity'])$/;" v +MONOLITHIC_BUILD adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^MONOLITHIC_BUILD = __monolithic_build__$/;" v +MonomorphicDim adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class MonomorphicDim(object):$/;" c +monospace adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def monospace(self):$/;" m class:TexManager +monotonic adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ from time import time as monotonic$/;" x +monotonic adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^ from time import time as monotonic$/;" x +monotonic adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^ from time import time as monotonic$/;" x +month adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def month(self, name):$/;" m class:parserinfo +MonthLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class MonthLocator(RRuleLocator):$/;" c +MONTHS adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ MONTHS = [("Jan", "January"),$/;" v class:parserinfo +MONTHS_PER_YEAR adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONTHS_PER_YEAR = 12.$/;" v +mood adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def mood(x, y, axis=0):$/;" f +MoonSpinner adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^class MoonSpinner(Spinner):$/;" c +morlet adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def morlet(M, w=5.0, s=1.0, complete=True):$/;" f +morlet2 adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def morlet2(M, s, w=5):$/;" f +morphological_gradient adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def morphological_gradient(input, size=None, footprint=None, structure=None,$/;" f +morphological_laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def morphological_laplace(input, size=None, footprint=None,$/;" f +MorphOp adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^class MorphOp:$/;" c +morsel_to_cookie adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def morsel_to_cookie(morsel):$/;" f +morsel_to_cookie adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def morsel_to_cookie(morsel):$/;" f +most_recent_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def most_recent_n(self, n):$/;" m class:CommandHistory +most_specific_compatible_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def most_specific_compatible_shape(self, other):$/;" m class:NoneTensorSpec +most_specific_compatible_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def most_specific_compatible_shape(self, other):$/;" m class:TensorShape +most_specific_compatible_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def most_specific_compatible_type(self, other):$/;" m class:DistributedIteratorSpec +most_specific_compatible_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def most_specific_compatible_type(self, other):$/;" m class:DenseSpec +most_specific_compatible_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def most_specific_compatible_type(self, other):$/;" m class:TypeSpec +most_specific_compatible_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def most_specific_compatible_type(self, other):$/;" m class:TensorArraySpec +most_specific_convertible_shape adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def most_specific_convertible_shape(self, other):$/;" m class:TensorShape +motion_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def motion_notify_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +motion_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def motion_notify_event(self, event):$/;" m class:FigureCanvasTk +motion_notify_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def motion_notify_event(self, x, y, guiEvent=None):$/;" m class:FigureCanvasBase +mount adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def mount(self, append=False):$/;" m class:Wheel +mount adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def mount(self, prefix, adapter):$/;" m class:Session +mount adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def mount(self, prefix, adapter):$/;" m class:Session +Mounter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^class Mounter(object):$/;" c +MouseButton adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class MouseButton(IntEnum):$/;" c +mouseDoubleClickEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mouseDoubleClickEvent(self, event):$/;" m class:FigureCanvasQT +MouseEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class MouseEvent(LocationEvent):$/;" c +mouseEventCoords adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mouseEventCoords(self, pos):$/;" m class:FigureCanvasQT +mouseMoveEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mouseMoveEvent(self, event):$/;" m class:FigureCanvasQT +mouseover adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def mouseover(self):$/;" m class:Artist +mouseover adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def mouseover(self, val):$/;" m class:Artist +mousePressEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mousePressEvent(self, event):$/;" m class:FigureCanvasQT +mouseReleaseEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def mouseReleaseEvent(self, event):$/;" m class:FigureCanvasQT +mouse_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def mouse_event(self):$/;" m class:BlockingMouseInput +mouse_event_add adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def mouse_event_add(self, event):$/;" m class:BlockingMouseInput +mouse_event_pop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def mouse_event_pop(self, event):$/;" m class:BlockingMouseInput +mouse_event_stop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def mouse_event_stop(self, event):$/;" m class:BlockingMouseInput +mouse_init adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def mouse_init(self, rotate_btn=1, zoom_btn=3):$/;" m class:Axes3D +mouse_move adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def mouse_move(self, event):$/;" m class:NavigationToolbar2 +move adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def move(self, source, dest):$/;" m class:Group +MOVE adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ HAND, POINTER, SELECT_REGION, MOVE, WAIT = range(5)$/;" v class:Cursors +move adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def move(src, dst):$/;" f +moveaxis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def moveaxis(a, source: Union[int, Sequence[int]],$/;" f +moveaxis adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def moveaxis(x, src, dst):$/;" f +moveaxis adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def moveaxis(a, source, destination):$/;" f +moveaxis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def moveaxis(a, source, destination): # pylint: disable=missing-docstring$/;" f +MovedAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedAttribute adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedAttribute adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedAttribute adpepsenv/lib/python3.8/site-packages/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedAttribute adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class MovedAttribute(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class MovedModule(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class MovedModule(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class MovedModule(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class MovedModule(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/six.py /^class MovedModule(_LazyDescr):$/;" c +MovedModule adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class MovedModule(_LazyDescr):$/;" c +moves adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +moves adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +moves adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +moves adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +moves adpepsenv/lib/python3.8/site-packages/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +moves adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^moves = _MovedItems(__name__ + ".moves")$/;" v +MOVETO adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ MOVETO = code_type(1) # 1 vertex$/;" v class:Path +move_axis_to_last adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def move_axis_to_last(a, axis):$/;" f function:cross.f.maybe_move_axis_to_last file: +move_binders_to_front adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def move_binders_to_front(closed_jaxpr: ClosedJaxpr, to_move: Sequence[bool]) -> ClosedJaxpr:$/;" f +move_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def move_file(self, src, dst):$/;" m class:CCompiler +move_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def move_file (self, src, dst, level=1):$/;" m class:Command +move_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/file_util.py /^def move_file (src, dst,$/;" f +move_from_center adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^def move_from_center(coord, centers, deltas, axmask=(True, True, True)):$/;" f +move_last_to_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def move_last_to_axis(a, axis):$/;" f function:cross.f file: +MovieWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class MovieWriter(AbstractMovieWriter):$/;" c +MovieWriterRegistry adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class MovieWriterRegistry:$/;" c +MovingAverageMinMaxObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class MovingAverageMinMaxObserver(MinMaxObserver):$/;" c +MovingAveragePerChannelMinMaxObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class MovingAveragePerChannelMinMaxObserver(PerChannelMinMaxObserver):$/;" c +moving_average_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def moving_average_update(x, value, momentum):$/;" f +MOVING_AVERAGE_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ MOVING_AVERAGE_VARIABLES = "moving_average_variables"$/;" v class:GraphKeys +moving_average_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def moving_average_variables(scope=None):$/;" f +moving_stddev_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def moving_stddev_initializer(*args, **kwargs):$/;" f member:BatchNormalizationBase.build file: +moyal adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^moyal = moyal_gen(name="moyal")$/;" v +moyal_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class moyal_gen(rv_continuous):$/;" c +mp adpepsenv/lib/python3.8/site-packages/rsa/parallel.py /^import multiprocessing as mp$/;" I +mp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^ import mpmath as mp # type: ignore[import]$/;" I +mp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^ mp = MissingModule('mpmath')$/;" v +mp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^ import mpmath as mp # type: ignore[import]$/;" I +mp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^ mp = MissingModule('mpmath')$/;" v +mp adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_asy.py /^ import mpmath as mp # type: ignore[import]$/;" I +mp adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/gammainc_data.py /^ import mpmath as mp # type: ignore[import]$/;" I +mp adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/utils.py /^ import mpmath as mp # type: ignore[import]$/;" I +mpatch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^import matplotlib.patches as mpatch$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^from matplotlib import patches as mpatches$/;" x +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_cairo.py /^ collections as mcollections, patches as mpatches, path as mpath)$/;" x +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ collections as mcollections, colors as mcolors, patches as mpatches,$/;" x +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^import matplotlib.patches as mpatches$/;" I +mpatches adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ artist, lines as mlines, axis as maxis, patches as mpatches, rcParams)$/;" x +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ lines as mlines, path as mpath, transforms)$/;" x +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_cairo.py /^ collections as mcollections, patches as mpatches, path as mpath)$/;" x +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^import matplotlib.path as mpath$/;" I +mpath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ path as mpath, style as mstyle, transforms as mtransforms)$/;" x +mpath adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ artist, colors as mcolors, lines, text as mtext, path as mpath)$/;" x +mpbessely adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpbessely(v, x):$/;" f member:TestSystematic.test_bessely file: +mpbessely adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpbessely(v, x):$/;" f member:TestSystematic.test_bessely_complex file: +mpbessely adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpbessely(v, x):$/;" f member:TestSystematic.test_bessely_int file: +mpc2complex adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def mpc2complex(x):$/;" f +MPEG adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG = 0x0050$/;" v class:WAVE_FORMAT +MPEG4_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG4_AAC = 0xA106$/;" v class:WAVE_FORMAT +MpegImageFile adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^class MpegImageFile(ImageFile.ImageFile):$/;" c +MPEGLAYER3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEGLAYER3 = 0x0055$/;" v class:WAVE_FORMAT +MPEG_ADTS_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG_ADTS_AAC = 0x1600$/;" v class:WAVE_FORMAT +MPEG_HEAAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG_HEAAC = 0x1610$/;" v class:WAVE_FORMAT +MPEG_LOAS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG_LOAS = 0x1602$/;" v class:WAVE_FORMAT +MPEG_RAW_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MPEG_RAW_AAC = 0x1601$/;" v class:WAVE_FORMAT +mpf2float adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def mpf2float(x):$/;" f +mph adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mph = mile \/ hour$/;" v +mpi adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^mpi = h5py.get_config().mpi$/;" v +MPI adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^MPI = h5.get_config().mpi$/;" v +mpi adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^mpi = h5.get_config().mpi$/;" v +MPI adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ MPI = "mpi"$/;" v class:Backend +MPICommExecutor adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^class MPICommExecutor(object):$/;" c +MPIPoolExecutor adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^class MPIPoolExecutor(Executor):$/;" c +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/pylab.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid.py /^import matplotlib as mpl$/;" I +mpl adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^import matplotlib as mpl$/;" I +mpl3d_image_comparison adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^mpl3d_image_comparison = functools.partial($/;" v +mplDeprecation adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^mplDeprecation = MatplotlibDeprecationWarning$/;" v +mplegenp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mplegenp(nu, mu, x):$/;" f function:test_lpmv file: +MplJs adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ class MplJs(tornado.web.RequestHandler):$/;" c class:WebAggApplication +mplU adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^ import matplotlib.units as mplU$/;" I function:register file: +mpl_connect adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def mpl_connect(self, s, func):$/;" m class:FigureCanvasBase +mpl_disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def mpl_disconnect(self, cid):$/;" m class:FigureCanvasBase +mpl_image_comparison_parameters adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^def mpl_image_comparison_parameters(request, extension):$/;" f +mpl_in_to_pt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^mpl_in_to_pt = 1. \/ mpl_pt_to_in$/;" v +mpl_pt_to_in adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^mpl_pt_to_in = 1. \/ 72.$/;" v +mpl_test_settings adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^def mpl_test_settings(request):$/;" f +mpmath adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^ mpmath = None$/;" v +mpmath adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ mpmath = None$/;" v +mpmath adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ mpmath = MissingModule('mpmath')$/;" v +mpmath adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ mpmath = MissingModule('mpmath')$/;" v +MpmathData adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^class MpmathData(object):$/;" c +mpmath_check adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^def mpmath_check(min_ver):$/;" f +mpmath_dn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpmath_dn(u, m):$/;" f function:test_dn_quarter_period file: +mpmath_dpcfw adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpmath_dpcfw(a, x):$/;" f member:TestSystematic.test_pcfw file: +mpmath_hyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpmath_hyp1f1(a, b, x):$/;" f member:TestSystematic.test_hyp1f1 file: +mpmath_loggamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpmath_loggamma(z):$/;" f member:TestSystematic.test_loggamma file: +mpmath_wrightomega adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/wrightomega.py /^def mpmath_wrightomega(x):$/;" f +mpmath_wrightomega_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mpmath_wrightomega_real(x):$/;" f member:TestSystematic.test_wrightomega_real file: +MpoImageFile adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^class MpoImageFile(JpegImagePlugin.JpegImageFile):$/;" c +mppoch adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mppoch(a, m):$/;" f member:TestSystematic.test_rf file: +mprojections adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^import matplotlib.projections as mprojections$/;" I +mptype adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def mptype(x):$/;" f member:MpmathData.check file: +mpy adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^ from moviepy import editor as mpy$/;" x function:make_video file: +mp_assert_allclose adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def mp_assert_allclose(res, std, atol=0, rtol=1e-17):$/;" f +mp_boxcox adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_boxcox(x, lmbda):$/;" f member:TestSystematic.test_boxcox file: +mp_boxcox1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_boxcox1p(x, lmbda):$/;" f member:TestSystematic.test_boxcox1p file: +MP_HELPERS_AND_SUFFIXES adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^MP_HELPERS_AND_SUFFIXES = {$/;" v +mp_igam_fac adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_igam_fac(a, x):$/;" f member:TestSystematic.test_igam_fac file: +mp_lgam1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_lgam1p(z):$/;" f member:TestSystematic.test_lgam1p file: +mp_spherical_in adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_in(n, z):$/;" f member:TestSystematic.test_spherical_in file: +mp_spherical_in adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_in(n, z):$/;" f member:TestSystematic.test_spherical_in_complex file: +mp_spherical_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_jn(n, z):$/;" f member:TestSystematic.test_spherical_jn file: +mp_spherical_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_jn(n, z):$/;" f member:TestSystematic.test_spherical_jn_complex file: +mp_spherical_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_kn(n, z):$/;" f member:TestSystematic.test_spherical_kn file: +mp_spherical_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_kn(n, z):$/;" f member:TestSystematic.test_spherical_kn_complex file: +mp_spherical_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_yn(n, z):$/;" f member:TestSystematic.test_spherical_yn file: +mp_spherical_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_spherical_yn(n, z):$/;" f member:TestSystematic.test_spherical_yn_complex file: +MP_STATUS_CHECK_INTERVAL adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/__init__.py /^MP_STATUS_CHECK_INTERVAL = 5.0$/;" v +mp_struvel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def mp_struvel(v, z):$/;" f member:TestSystematic.test_struvel file: +mquantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def mquantiles(a, prob=list([.25,.5,.75]), alphap=.4, betap=.4, axis=None,$/;" f +mquantiles_cimj adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def mquantiles_cimj(data, prob=[0.25,0.50,0.75], alpha=0.05, axis=None):$/;" f +mquiver adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.quiver as mquiver$/;" I +mrec adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ mrec = fromarrays([_a, _b, _c], dtype=ddtype,$/;" v class:TestMRecordsImport +mrecarray adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^mrecarray = MaskedRecords$/;" v +MRUCache adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^class MRUCache(Cache):$/;" c +mru_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def mru_cache(maxsize=128, typed=False):$/;" f +mr_ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^mr_ = mr_class()$/;" v +mr_class adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class mr_class(MAxisConcatenator):$/;" c +ms adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^import scipy.stats.mstats as ms$/;" I +Ms32precond adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^ def Ms32precond(x):$/;" f function:test_diagonal_data_types file: +Ms64precond adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^ def Ms64precond(x):$/;" f function:test_diagonal_data_types file: +MSAUDIO1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MSAUDIO1 = 0x0160$/;" v class:WAVE_FORMAT +MSBuild adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def MSBuild(self):$/;" m class:EnvironmentInfo +mscale adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.scale as mscale$/;" I +mscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.scale as mscale$/;" I +mse adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_fp16_test.py /^def mse(x, xh):$/;" f +mse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def mse(self, h, bands):$/;" m class:TestFirwin +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +MSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_error as MSE$/;" x +mse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^mse = MSE = mean_squared_error$/;" v +mse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^mse = MSE = mean_squared_error$/;" v +MSELoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class MSELoss(_Loss):$/;" c +mseloss_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def mseloss_no_reduce_scalar_test():$/;" f +mseloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def mseloss_no_reduce_test():$/;" f +mse_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def mse_loss(input, target, size_average=None, reduce=None, reduction='mean'):$/;" f +MSFolders adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^MSFolders = \\$/;" v +MSFontDirectories adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^MSFontDirectories = [$/;" v +msg adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^ msg = 'This version of jax requires jaxlib version >= {}.'$/;" v +msg adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^ msg = """$/;" v +msg adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^ msg = ("Something is wrong with the numpy installation. "$/;" v +msg adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ msg = ($/;" v +msg adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ msg = """Error importing numpy: you should not try to import numpy from$/;" v +msg adpepsenv/lib/python3.8/site-packages/requests_oauthlib/__init__.py /^ msg = ($/;" v +msg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^msg = "pydata\/sparse (0.8) does not implement necessary operations"$/;" v +msg adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ msg = """Error importing SciPy: you cannot import SciPy while$/;" v +msg adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^ msg = """%s\\n\\nFailed to load the native TensorFlow runtime.\\n$/;" v +MSG723 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MSG723 = 0x0042$/;" v class:WAVE_FORMAT +MSGS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSGS = {$/;" v +MsgSigDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class MsgSigDigest(univ.OctetString):$/;" c +MsgSigDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^MsgSigDigest = rfc2634.MsgSigDigest$/;" v +MSG_ALL adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_ALL = MSG_ITER + MSG_INFO + MSG_VERS + MSG_EXIT$/;" v +MSG_EXIT adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_EXIT = 8 # Exit reasons$/;" v +MSG_INFO adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_INFO = 2 # Informational messages$/;" v +MSG_ITER adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_ITER = 1 # One line per iteration$/;" v +MSG_NONE adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_NONE = 0 # No messages$/;" v +MSG_VERS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^MSG_VERS = 4 # Version info$/;" v +mshape adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def mshape(self):$/;" m class:FancySelection +mshape adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def mshape(self):$/;" m class:Selection +mshape adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def mshape(self):$/;" m class:SimpleSelection +msign adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def msign(x):$/;" f +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +MSLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from tensorflow.python.keras.losses import mean_squared_logarithmic_error as MSLE$/;" x +msle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^msle = MSLE = mean_squared_logarithmic_error$/;" v +msle adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^msle = MSLE = mean_squared_logarithmic_error$/;" v +MSNAUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MSNAUDIO = 0x0032$/;" v class:WAVE_FORMAT +msort adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def msort(a):$/;" f +msort adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def msort(a):$/;" f +MspDecoder adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^class MspDecoder(ImageFile.PyDecoder):$/;" c +MspImageFile adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^class MspImageFile(ImageFile.ImageFile):$/;" c +mspines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.spines as mspines$/;" I +mspines adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^import matplotlib.spines as mspines$/;" I +mspines adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.spines as mspines$/;" I +mspines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^import matplotlib.spines as mspines$/;" I +MSRAInit adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def MSRAInit(self):$/;" m class:CNNModelHelper +MSRT24 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MSRT24 = 0x0082$/;" v class:WAVE_FORMAT +mstack adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.stackplot as mstack$/;" I +mstats adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^from . import mstats_basic as mstats$/;" x +mstats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^import scipy.stats.mstats as mstats$/;" I +mstats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^import scipy.stats.mstats as mstats$/;" I +mstats_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^import scipy.stats.mstats_basic as mstats_basic$/;" I +mstream adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.streamplot as mstream$/;" I +mstrings adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^mstrings = ['cc.bisect', 'cc.ridder', 'cc.brenth', 'cc.brentq']$/;" v +mstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ path as mpath, style as mstyle, transforms as mtransforms)$/;" x +MSubArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class MSubArray(SubArray, MaskedArray):$/;" c +msubarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^msubarray = MSubArray$/;" v +MSUserFontDirectories adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^MSUserFontDirectories = [$/;" v +msvc14_gen_lib_options adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def msvc14_gen_lib_options(*args, **kwargs):$/;" f +msvc14_get_vc_env adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def msvc14_get_vc_env(plat_spec):$/;" f +msvc9_find_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def msvc9_find_vcvarsall(version):$/;" f +msvc9_query_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def msvc9_query_vcvarsall(ver, arch='x86', *args, **kwargs):$/;" f +MSVCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^class MSVCCompiler(_MSVCCompiler):$/;" c +MSVCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvccompiler.py /^class MSVCCompiler(_MSVCCompiler):$/;" c +MSVCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^class MSVCCompiler(CCompiler) :$/;" c +MSVCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^class MSVCCompiler(CCompiler) :$/;" c +MSVCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^class MSVCCompiler(CCompiler) :$/;" c +MSVCP_DLL_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/self_check.py /^MSVCP_DLL_NAMES = "msvcp_dll_names"$/;" v +MSVC_IGNORE_CUDAFE_WARNINGS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^MSVC_IGNORE_CUDAFE_WARNINGS = [$/;" v +msvc_manifest_xml adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def msvc_manifest_xml(maj, min):$/;" f +msvc_runtime_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def msvc_runtime_library():$/;" f +msvc_runtime_major adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def msvc_runtime_major():$/;" f +msvc_runtime_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def msvc_runtime_version():$/;" f +msvc_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def msvc_version(compiler):$/;" f +mt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^import numpy.core._multiarray_tests as mt$/;" I +mt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^import numpy.core._multiarray_tests as mt$/;" I +mt19937 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^mt19937 = Generator(MT19937())$/;" v +mtable adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.table as mtable$/;" I +mtext adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^import matplotlib.text as mtext$/;" I +mtext adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ artist, colors as mcolors, lines, text as mtext, path as mpath)$/;" x +mth adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def mth(self):$/;" m class:TestF77Callback.check_function.A +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^import matplotlib.ticker as mticker$/;" I +mticker adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^from matplotlib import cbook, ticker as mticker$/;" x +mtimeset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def mtimeset(self, hour, minute, second):$/;" m class:_iterinfo +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^from matplotlib import transforms as mtransforms$/;" x +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ collections, path, pyplot as plt, transforms as mtransforms, rcParams)$/;" x +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ path as mpath, style as mstyle, transforms as mtransforms)$/;" x +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^from matplotlib import transforms as mtransforms$/;" x +mtransforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^from matplotlib import artist as martist, cbook, transforms as mtransforms$/;" x +mtransforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^import matplotlib.transforms as mtransforms$/;" I +mtransforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^import matplotlib.transforms as mtransforms$/;" I +mtri adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^import matplotlib.tri as mtri$/;" I +mtri adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^import matplotlib.tri as mtri$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/channel_shuffle_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_transpose_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/LRN_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/moment_sgd_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/operator_fallback_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/softmax_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^import caffe2.python.ideep_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_concat_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_conv_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_add_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_sum_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fill_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_relu_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sigmoid_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_squeeze_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_conv_op_test.py /^import caffe2.python.mkl_test_util as mu$/;" I +mu adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^from . import multiarray as mu$/;" x +mu adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^from numpy.core import multiarray as mu$/;" x +mu adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def mu(x, tau, z, kappa):$/;" f function:_indicators file: +mu0 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^mu0 = value('vacuum mag. permeability')$/;" v +MUDsignerSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^class MUDsignerSyntax(rfc5280.Name):$/;" c +MUDURLSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^class MUDURLSyntax(char.IA5String):$/;" c +mul adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def mul(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +mul adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def mul(coeff, mon):$/;" f +mul adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^mul = np.multiply$/;" v +mul adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def mul(x: Array, y: Array) -> Array:$/;" f +mul adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def mul(self, values, indices_are_sorted=False, unique_indices=False):$/;" m class:_IndexUpdateRef +mul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def mul(a, b):$/;" f member:TestFrompyfunc.test_identity file: +mul adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^ def mul():$/;" f member:TestRegression.test_matrix_multiply_by_1d_vector file: +mul adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^mul = _broadcasting_binary_op(math_ops.mul)$/;" v +MUL adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MUL = 18$/;" v class:BuiltinOperator +mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mul(x, y, name=None):$/;" f +Mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Mul = tf_export("raw_ops.Mul")(_ops.to_raw_op(mul))$/;" v +mul adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def mul(self, x, y):$/;" m class:FloatFunctional +mul adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def mul(self, x, y):$/;" m class:QFunctional +mul adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def mul(g, self, other):$/;" f +Mul adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class Mul(QuantizeHandler):$/;" c +mul adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def mul(self, x, y):$/;" m class:Shadow +mul adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def mul(self, *args, **kwargs):$/;" m class:FauxTorch +MULAW adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MULAW = 0x0007$/;" v class:WAVE_FORMAT +MulCell adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^class MulCell(rnn_cell.RNNCell):$/;" c +MulNoNan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^MulNoNan = tf_export("raw_ops.MulNoNan")(_ops.to_raw_op(mul_no_nan))$/;" v +MulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ MulOptions = 21$/;" v class:BuiltinOptions +MulOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MulOptions(object):$/;" c +MulOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MulOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8S/;" f +MulOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MulOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:MulOptions +MulOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MulOptionsEnd(builder): return builder.EndObject()$/;" f +MulOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def MulOptionsStart(builder): builder.StartObject(1)$/;" f +MulOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class MulOptionsT(object):$/;" c +mult adpeps/tensor/contractions.py /^def mult(x: TensorType, y: TensorType, inds: Iterable[int]) -> TensorType:$/;" f +mult adpeps/utils/empty_tensor.py /^ def mult(self, other, *args):$/;" m class:EmptyT +mult adpeps/utils/nested.py /^ def mult(self, other: TensorType, *args) -> 'Nested':$/;" m class:Nested +mult adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def mult(a, b):$/;" f member:TestFloatNonIntegerArgument.test_non_integer_sequence_multiplication file: +MultibandFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class MultibandFilter(Filter):$/;" c +MultiByteCharSetProber adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^class MultiByteCharSetProber(CharSetProber):$/;" c +MultiByteCharSetProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^class MultiByteCharSetProber(CharSetProber):$/;" c +MultiClassHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^class MultiClassHead(base_head.Head):$/;" c +MultiCursor adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class MultiCursor(Widget):$/;" c +MultiDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^class MultiDecoder(object):$/;" c +MultiDecoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^class MultiDecoder(object):$/;" c +MultiDeviceIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class MultiDeviceIterator(object):$/;" c +MultiDeviceIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MultiDeviceIterator = tf_export("raw_ops.MultiDeviceIterator")(_ops.to_raw_op(multi_device_itera/;" v +MultiDeviceIteratorFromStringHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MultiDeviceIteratorFromStringHandle = tf_export("raw_ops.MultiDeviceIteratorFromStringHandle")(_/;" v +MultiDeviceIteratorGetNextFromShard adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MultiDeviceIteratorGetNextFromShard = tf_export("raw_ops.MultiDeviceIteratorGetNextFromShard")(_/;" v +MultiDeviceIteratorInit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MultiDeviceIteratorInit = tf_export("raw_ops.MultiDeviceIteratorInit")(_ops.to_raw_op(multi_devi/;" v +MultiDeviceIteratorResourceDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class MultiDeviceIteratorResourceDeleter(object):$/;" c +MultiDeviceIteratorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class MultiDeviceIteratorSpec(type_spec.TypeSpec):$/;" c +MultiDeviceIteratorToStringHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^MultiDeviceIteratorToStringHandle = tf_export("raw_ops.MultiDeviceIteratorToStringHandle")(_ops./;" v +MultiDeviceSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^class MultiDeviceSaver(object):$/;" c +multidevice_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multidevice_strategies = [$/;" v +MultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class MultiDict(TypeConversionDict):$/;" c +MultiDomainBasicAuth adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^class MultiDomainBasicAuth(AuthBase):$/;" c +MultiEnumClassFlag adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^class MultiEnumClassFlag(MultiFlag):$/;" c +MultiEnumClassFlag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^MultiEnumClassFlag = _flag.MultiEnumClassFlag$/;" v +MultiFlag adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^class MultiFlag(Flag):$/;" c +MultiFlag adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^MultiFlag = _flag.MultiFlag$/;" v +MultiFlagsValidator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^class MultiFlagsValidator(Validator):$/;" c +multigammaln adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def multigammaln(a, d):$/;" f +multigammaln adpepsenv/lib/python3.8/site-packages/scipy/special/spfun_stats.py /^def multigammaln(a, d):$/;" f +MultiGraphNodeProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^MultiGraphNodeProto = _reflection.GeneratedProtocolMessageType('MultiGraphNodeProto', (_message./;" v +MultiHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^class MultiHead(base_head.Head):$/;" c +MultiHeadAttention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^class MultiHeadAttention(Layer):$/;" c +MultiheadAttention adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class MultiheadAttention(Module):$/;" c +MultiHostDatasetInitializerHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^class MultiHostDatasetInitializerHook(tf.compat.v1.train.SessionRunHook):$/;" c +MultiHostDatasetInitializerHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^class MultiHostDatasetInitializerHook(tf.compat.v1.train.SessionRunHook):$/;" c +MultiInference adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def MultiInference(self, request, context):$/;" m class:PredictionServiceServicer +MultiInferenceLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^MultiInferenceLog = _reflection.GeneratedProtocolMessageType('MultiInferenceLog', (_message.Mess/;" v +MultiInferenceRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^MultiInferenceRequest = _reflection.GeneratedProtocolMessageType('MultiInferenceRequest', (_mess/;" v +MultiInferenceResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^MultiInferenceResponse = _reflection.GeneratedProtocolMessageType('MultiInferenceResponse', (_me/;" v +MultiLabelHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^class MultiLabelHead(base_head.Head):$/;" c +MultiLabelMarginLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class MultiLabelMarginLoss(_Loss):$/;" c +multilabelmarginloss_0d_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelmarginloss_0d_no_reduce_test():$/;" f +multilabelmarginloss_1d_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelmarginloss_1d_no_reduce_test():$/;" f +multilabelmarginloss_index_neg_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelmarginloss_index_neg_test():$/;" f +multilabelmarginloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelmarginloss_no_reduce_test():$/;" f +multilabelmarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelmarginloss_reference(input, target, reduction='mean'):$/;" f +MultiLabelSoftMarginLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class MultiLabelSoftMarginLoss(_WeightedLoss):$/;" c +multilabelsoftmarginloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelsoftmarginloss_no_reduce_test():$/;" f +multilabelsoftmarginloss_weights_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multilabelsoftmarginloss_weights_no_reduce_test():$/;" f +multilabel_margin_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def multilabel_margin_loss(input, target, size_average=None, reduce=None, reduction='mean'):$/;" f +multilabel_soft_margin_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def multilabel_soft_margin_loss(input, target, weight=None, size_average=None,$/;" f +multilinear adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^multilinear = _MultilinearModel()$/;" v +MultilineChartContent adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^MultilineChartContent = _reflection.GeneratedProtocolMessageType('MultilineChartContent', (_mess/;" v +MultilineMessageKeyError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^class MultilineMessageKeyError(KeyError):$/;" c +multilinepattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^multilinepattern = re.compile($/;" v +multiline_text adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def multiline_text($/;" m class:ImageDraw +multiline_textbbox adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def multiline_textbbox($/;" m class:ImageDraw +multiline_textsize adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def multiline_textsize($/;" m class:ImageDraw +MultiMarginLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class MultiMarginLoss(_WeightedLoss):$/;" c +multimarginloss_1d_input_0d_target_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_1d_input_0d_target_no_reduce_test():$/;" f +multimarginloss_1d_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_1d_no_reduce_test():$/;" f +multimarginloss_margin_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_margin_no_reduce_test():$/;" f +multimarginloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_no_reduce_test():$/;" f +multimarginloss_p_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_p_no_reduce_test():$/;" f +multimarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_reference(input, target, p=1, margin=1, weight=None, reduction='mean'):$/;" f +multimarginloss_weights_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def multimarginloss_weights_no_reduce_test():$/;" f +MultiMethodImplementation adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class MultiMethodImplementation(six.with_metaclass(abc.ABCMeta)):$/;" c +MultiNodeCheckpointManager adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^class MultiNodeCheckpointManager(object):$/;" c +multinomial adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multinomial = multinomial_gen()$/;" v +Multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^class Multinomial(distribution.Distribution):$/;" c +multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def multinomial(logits, num_samples, seed=0, seed2=0, output_dtype=_dtypes.int64, name=None):$/;" f +Multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^Multinomial = tf_export("raw_ops.Multinomial")(_ops.to_raw_op(multinomial))$/;" v +multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def multinomial(logits, num_samples, seed=None, name=None, output_dtype=None):$/;" f +Multinomial adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^class Multinomial(Distribution):$/;" c +multinomial adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def multinomial(g, input, num_samples, replacement=False, generator=None):$/;" f +multinomial_categorical_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def multinomial_categorical_impl(logits, num_samples, dtype, seed):$/;" f +multinomial_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multinomial_docdict_noparams = {$/;" v +multinomial_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multinomial_docdict_params = {$/;" v +multinomial_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def multinomial_eager_fallback(logits, num_samples, seed, seed2, output_dtype, name, ctx):$/;" f +multinomial_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multinomial_frozen(multi_rv_frozen):$/;" c +multinomial_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multinomial_gen(multi_rv_generic):$/;" c +MultiPartParser adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^class MultiPartParser(object):$/;" c +MultipleLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class MultipleLocator(Locator):$/;" c +MultiplexerDataProvider adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^class MultiplexerDataProvider(provider.DataProvider):$/;" c +multiple_domains adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def multiple_domains(self):$/;" m class:RequestsCookieJar +multiple_domains adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def multiple_domains(self):$/;" m class:RequestsCookieJar +multiple_results adpepsenv/lib/python3.8/site-packages/jax/core.py /^ multiple_results = False # set for multi-output primitives$/;" v class:Primitive +multiple_results adpepsenv/lib/python3.8/site-packages/jax/core.py /^ multiple_results = True$/;" v class:CallPrimitive +multiple_results adpepsenv/lib/python3.8/site-packages/jax/core.py /^ multiple_results = True$/;" v class:MapPrimitive +multiple_results adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ multiple_results = True$/;" v class:XMapPrimitive +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 0$/;" v class:TestCreateValuesNestedSingle +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 0$/;" v class:TestCreateValuesPlainSingle +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 0$/;" v class:TestReadValuesPlainSingle +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 1$/;" v class:TestCreateValuesNestedMultiple +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 1$/;" v class:TestCreateValuesPlainMultiple +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = 1$/;" v class:TestReadValuesPlainMultiple +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = False$/;" v class:TestReadValuesNestedSingle +multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ multiple_rows = True$/;" v class:TestReadValuesNestedMultiple +MultiplicativeLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class MultiplicativeLR(_LRScheduler):$/;" c +multiplier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def multiplier(self):$/;" m class:_DynamicLossScaleState +multiplier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def multiplier(self):$/;" m class:LinearOperatorScaledIdentity +multiplier adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def multiplier(self):$/;" m class:DynamicLossScale +multiply adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^multiply = _maybe_bool_binop(np.multiply, lax.mul, lax.bitwise_and)$/;" v +multiply adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def multiply(a, i):$/;" f +multiply adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^multiply = _MaskedBinaryOperation(umath.multiply, 1, 1)$/;" v +multiply adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def multiply(image1, image2):$/;" f +multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def multiply(self, other):$/;" m class:spmatrix +multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def multiply(self, other):$/;" m class:_cs_matrix +Multiply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Multiply(_Merge):$/;" c +multiply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def multiply(inputs, **kwargs):$/;" f +multiply adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def multiply(x, y, name=None):$/;" f +multiply adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def multiply(x1, x2):$/;" f +multiply adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def multiply(data, N, i, j, cs, sn, left=True):$/;" f function:random_sparse_pd_matrix file: +MultiplyLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^class MultiplyLayer(AssertTypeLayer):$/;" c +multiply_not_add adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class multiply_not_add():$/;" c member:TestMatmul.test_matmul_exception_add file: +multiply_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def multiply_no_nan(x, y, name=None):$/;" f +MultiPrecisionSgdOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class MultiPrecisionSgdOptimizer(SgdOptimizer):$/;" c +MultiProcess adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^class MultiProcess(Flag):$/;" c +multiprocess adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ multiprocess = False$/;" v class:BaseWSGIServer +multiprocess adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ multiprocess = True$/;" v class:ForkingWSGIServer +MultiProcessCluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class MultiProcessCluster(object):$/;" c +multiprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ multiprocessing = AbslForkServerContext()$/;" v +multiprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^multiprocessing = multi_process_lib.multiprocessing$/;" v +multiprocessing adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^import torch.multiprocessing as multiprocessing$/;" I +multiprocessing_context adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def multiprocessing_context(self):$/;" m class:DataLoader +multiprocessing_context adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def multiprocessing_context(self, multiprocessing_context):$/;" m class:DataLoader +MultiProcessPoolRunner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class MultiProcessPoolRunner(object):$/;" c +MultiProcessRunner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class MultiProcessRunner(object):$/;" c +MultiProcessRunnerResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^MultiProcessRunnerResult = collections.namedtuple('MultiProcessRunnerResult',$/;" v +MultiProcessTestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^class MultiProcessTestCase(TestCase):$/;" c +MultiRNNCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class MultiRNNCell(RNNCell):$/;" c +MultiRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class MultiRNNCell(RNNCell):$/;" c +MultiRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^MultiRNNCell = rnn_cell_impl.MultiRNNCell$/;" v +MultiRNNCellInitializer adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class MultiRNNCellInitializer(object):$/;" c +multiscale_graphcorr adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def multiscale_graphcorr(x, y, compute_distance=_euclidean_dist, reps=1000,$/;" f +MultiStepContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class MultiStepContext(object):$/;" c +MultiStepLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class MultiStepLR(_LRScheduler):$/;" c +multithread adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ multithread = False$/;" v class:BaseWSGIServer +multithread adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ multithread = True$/;" v class:ThreadedWSGIServer +MULTITUDE_FT_SX20 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MULTITUDE_FT_SX20 = 0x008A$/;" v class:WAVE_FORMAT +MultivariateNormal adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^class MultivariateNormal(Distribution):$/;" c +multivariate_hypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multivariate_hypergeom = multivariate_hypergeom_gen()$/;" v +multivariate_hypergeom_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_hypergeom_frozen(multi_rv_frozen):$/;" c +multivariate_hypergeom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_hypergeom_gen(multi_rv_generic):$/;" c +multivariate_normal adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def multivariate_normal(key: jnp.ndarray,$/;" f +multivariate_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multivariate_normal = multivariate_normal_gen()$/;" v +multivariate_normal_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_normal_frozen(multi_rv_frozen):$/;" c +multivariate_normal_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_normal_gen(multi_rv_generic):$/;" c +multivariate_t adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^multivariate_t = multivariate_t_gen()$/;" v +multivariate_t_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_t_frozen(multi_rv_frozen):$/;" c +multivariate_t_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multivariate_t_gen(multi_rv_generic):$/;" c +multiway_bottleneck_block adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def multiway_bottleneck_block($/;" m class:ResNetModelHelper +MultiWorkerMirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_all_reduce_strategy import CollectiveAllReduceStrat/;" x +MultiWorkerMirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_all_reduce_strategy import _CollectiveAllReduceStra/;" x +MultiWorkerMirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from tensorflow.python.distribute.collective_all_reduce_strategy import CollectiveAllReduceStrat/;" x +MultiWorkerMirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.collective_all_reduce_strategy import _CollectiveAllReduceStra/;" x +MultiWorkerMirroredStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from tensorflow.python.distribute.collective_all_reduce_strategy import CollectiveAllReduceStrat/;" x +MultiWorkerMultiProcessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class MultiWorkerMultiProcessTest(test.TestCase):$/;" c +MultiWorkerTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class MultiWorkerTestBase(test.TestCase):$/;" c +multiworker_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multiworker_strategies = [$/;" v +multi_device_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator(devices, shared_name, container, output_types, output_shapes, name=Non/;" f +multi_device_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_eager_fallback(devices, shared_name, container, output_types, output_s/;" f +multi_device_iterator_from_string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_from_string_handle(string_handle, output_types=[], output_shapes=[], n/;" f +multi_device_iterator_from_string_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_from_string_handle_eager_fallback(string_handle, output_types, output_/;" f +multi_device_iterator_get_next_from_shard adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_get_next_from_shard(multi_device_iterator, shard_num, incarnation_id, /;" f +multi_device_iterator_get_next_from_shard_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_get_next_from_shard_eager_fallback(multi_device_iterator, shard_num, i/;" f +multi_device_iterator_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_init(dataset, multi_device_iterator, max_buffer_size, name=None):$/;" f +multi_device_iterator_init_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_init_eager_fallback(dataset, multi_device_iterator, max_buffer_size, n/;" f +multi_device_iterator_to_string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_to_string_handle(multi_device_iterator, name=None):$/;" f +multi_device_iterator_to_string_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def multi_device_iterator_to_string_handle_eager_fallback(multi_device_iterator, name, ctx):$/;" f +multi_dim_common adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^multi_dim_common = merge_dicts(reduceops_common_args, parse_kwargs("""$/;" v +multi_dot adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def multi_dot(arrays, *, precision=None):$/;" f +multi_dot adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def multi_dot(arrays, *, out=None):$/;" f +multi_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def multi_fcn(self, B, x):$/;" m class:TestODR +multi_flags_validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def multi_flags_validator(flag_names,$/;" f +multi_flags_validator adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^multi_flags_validator = _validators.multi_flags_validator$/;" v +multi_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def multi_fn(slf, devices, *args, **kwargs):$/;" f member:deviceCountAtLeast.__call__ file: +multi_gpu_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/multi_gpu_utils.py /^def multi_gpu_model(model, gpus, cpu_merge=True, cpu_relocation=False):$/;" f +multi_head_attention_forward adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def multi_head_attention_forward(query: Tensor,$/;" f +multi_head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^from tensorflow_estimator.python.estimator.head import multi_class_head as multi_head_lib$/;" x +multi_host_supported_collectives adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^multi_host_supported_collectives: Set[core.Primitive] = set()$/;" v +multi_input adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def multi_input(self, x, y, z=2):$/;" m class:MyModule +multi_input_multi_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def multi_input_multi_output():$/;" f +multi_input_output_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def multi_input_output_model():$/;" f +multi_layer_nested_async_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def multi_layer_nested_async_rpc(dst, world_size, ttl):$/;" f +multi_lstm_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def multi_lstm_reference(input, hidden_input_list, cell_input_list,$/;" f +multi_margin_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def multi_margin_loss(input, target, p=1, margin=1., weight=None, size_average=None,$/;" f +multi_output adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def multi_output(self, x):$/;" m class:MyModule +multi_rv_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multi_rv_frozen(object):$/;" c +multi_rv_generic adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class multi_rv_generic(object):$/;" c +multi_tpu_eval_steps_on_single_shard adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def multi_tpu_eval_steps_on_single_shard(replica_id):$/;" f function:_eval_on_tpu_system file: +multi_tpu_predict_steps_on_single_shard adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def multi_tpu_predict_steps_on_single_shard(replica_id):$/;" f function:_predict_on_tpu_system file: +multi_tpu_train_steps_on_single_shard adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def multi_tpu_train_steps_on_single_shard(replica_id):$/;" f function:_train_on_tpu_system file: +multi_worker_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^multi_worker_mirrored = [$/;" v +multi_worker_mirrored_2x1_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multi_worker_mirrored_2x1_cpu = combinations.NamedDistribution($/;" v +multi_worker_mirrored_2x1_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multi_worker_mirrored_2x1_gpu = combinations.NamedDistribution($/;" v +multi_worker_mirrored_2x2_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multi_worker_mirrored_2x2_gpu = combinations.NamedDistribution($/;" v +multi_worker_mirrored_4x1_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^multi_worker_mirrored_4x1_cpu = combinations.NamedDistribution($/;" v +multi_worker_mirrored_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def multi_worker_mirrored_eager():$/;" f +multi_worker_mirrored_eager_and_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def multi_worker_mirrored_eager_and_graph():$/;" f +multi_worker_mirrored_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^multi_worker_mirrored_strategies = [$/;" v +multi_worker_strategy_combinations_eager_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def multi_worker_strategy_combinations_eager_only():$/;" f +mult_func adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def mult_func(x):$/;" f member:IterOpInv.__init__ file: +mult_func_M_None adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def mult_func_M_None(x):$/;" f member:IterOpInv.__init__ file: +mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mul_eager_fallback(x, y, name, ctx):$/;" f +mul_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mul_no_nan(x, y, name=None):$/;" f +mul_no_nan_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def mul_no_nan_eager_fallback(x, y, name, ctx):$/;" f +mul_or_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def mul_or_and(x1, x2):$/;" f function:multiply file: +mul_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^mul_p = standard_naryop([_num, _num], 'mul')$/;" v +mul_scalar adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def mul_scalar(self, x, y):$/;" m class:FloatFunctional +mul_scalar adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def mul_scalar(self, x, y):$/;" m class:QFunctional +mul_scalar adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def mul_scalar(self, x, y):$/;" m class:Shadow +munits adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^import matplotlib.units as munits$/;" I +munits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^import matplotlib.units as munits$/;" I +MUSECONDS_PER_DAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MUSECONDS_PER_DAY = 1e6 * SEC_PER_DAY$/;" v +mustMatchTheseTokens adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def mustMatchTheseTokens(s, l, t):$/;" f function:matchPreviousExpr.copyTokenToRepeater file: +mustMatchTheseTokens adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def mustMatchTheseTokens(s,l,t):$/;" f function:matchPreviousExpr.copyTokenToRepeater file: +mustMatchTheseTokens adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def mustMatchTheseTokens(s, l, t):$/;" f function:matchPreviousExpr.copyTokenToRepeater file: +mustMatchTheseTokens adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def mustMatchTheseTokens(s,l,t):$/;" f function:matchPreviousExpr.copyTokenToRepeater file: +MUST_ALIAS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^MUST_ALIAS = 2$/;" v +must_record_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def must_record_gradient():$/;" f +must_revalidate adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ must_revalidate = cache_property("must-revalidate", None, bool)$/;" v class:ResponseCacheControl +must_run_on_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def must_run_on_cpu(node, pin_variables_on_cpu=False):$/;" f +must_skip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def must_skip(t):$/;" f member:_PendingSkip.__add__ file: +must_skip adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def must_skip(t):$/;" f member:_PendingSkip.__add__ file: +MUST_WORK adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^MUST_WORK = {'anderson': nonlin.anderson, 'broyden1': nonlin.broyden1,$/;" v +MutableDenseHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableDenseHashTable = tf_export("raw_ops.MutableDenseHashTable")(_ops.to_raw_op(mutable_dense_/;" v +MutableDenseHashTableV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableDenseHashTableV2 = tf_export("raw_ops.MutableDenseHashTableV2")(_ops.to_raw_op(mutable_de/;" v +MutableHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableHashTable = tf_export("raw_ops.MutableHashTable")(_ops.to_raw_op(mutable_hash_table))$/;" v +MutableHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class MutableHashTable(LookupInterface):$/;" c +MutableHashTableOfTensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableHashTableOfTensors = tf_export("raw_ops.MutableHashTableOfTensors")(_ops.to_raw_op(mutabl/;" v +MutableHashTableOfTensorsV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableHashTableOfTensorsV2 = tf_export("raw_ops.MutableHashTableOfTensorsV2")(_ops.to_raw_op(mu/;" v +MutableHashTableV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^MutableHashTableV2 = tf_export("raw_ops.MutableHashTableV2")(_ops.to_raw_op(mutable_hash_table_v/;" v +MutableMapping adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ class MutableMapping(Mapping):$/;" c +MutableMappingHDF5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class MutableMappingHDF5(MappingHDF5, MutableMapping):$/;" c +mutable_dense_hash_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_dense_hash_table(empty_key, value_dtype, container="", shared_name="", use_node_name/;" f +mutable_dense_hash_table_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_dense_hash_table_eager_fallback(empty_key, value_dtype, container, shared_name, use_/;" f +mutable_dense_hash_table_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_dense_hash_table_v2(empty_key, deleted_key, value_dtype, container="", shared_name="/;" f +mutable_dense_hash_table_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_dense_hash_table_v2_eager_fallback(empty_key, deleted_key, value_dtype, container, s/;" f +mutable_hash_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table(key_dtype, value_dtype, container="", shared_name="", use_node_name_shari/;" f +mutable_hash_table_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_eager_fallback(key_dtype, value_dtype, container, shared_name, use_node_n/;" f +mutable_hash_table_of_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_of_tensors(key_dtype, value_dtype, container="", shared_name="", use_node/;" f +mutable_hash_table_of_tensors_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_of_tensors_eager_fallback(key_dtype, value_dtype, container, shared_name,/;" f +mutable_hash_table_of_tensors_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_of_tensors_v2(key_dtype, value_dtype, container="", shared_name="", use_n/;" f +mutable_hash_table_of_tensors_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_of_tensors_v2_eager_fallback(key_dtype, value_dtype, container, shared_na/;" f +mutable_hash_table_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_v2(key_dtype, value_dtype, container="", shared_name="", use_node_name_sh/;" f +mutable_hash_table_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^def mutable_hash_table_v2_eager_fallback(key_dtype, value_dtype, container, shared_name, use_nod/;" f +MutantFeatureValue adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^class MutantFeatureValue(object):$/;" c +mutant_charts_for_feature adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def mutant_charts_for_feature(example_protos, feature_name, serving_bundles,$/;" f +mutated adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def mutated(self):$/;" m class:Bbox +mutatedx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def mutatedx(self):$/;" m class:Bbox +mutatedy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def mutatedy(self):$/;" m class:Bbox +MutatingVariableInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MutatingVariableInputs(self, j):$/;" m class:Operator +MutatingVariableInputsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MutatingVariableInputsAsNumpy(self):$/;" m class:Operator +MutatingVariableInputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MutatingVariableInputsIsNone(self):$/;" m class:Operator +MutatingVariableInputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def MutatingVariableInputsLength(self):$/;" m class:Operator +MutationSentinel adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^class MutationSentinel(object):$/;" c +MutexLock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^MutexLock = tf_export("raw_ops.MutexLock")(_ops.to_raw_op(mutex_lock))$/;" v +MutexV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^MutexV2 = tf_export("raw_ops.MutexV2")(_ops.to_raw_op(mutex_v2))$/;" v +mutex_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def mutex_lock(mutex, name=None):$/;" f +mutex_lock_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def mutex_lock_eager_fallback(mutex, name, ctx):$/;" f +mutex_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def mutex_v2(container="", shared_name="", name=None):$/;" f +mutex_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def mutex_v2_eager_fallback(container, shared_name, name, ctx):$/;" f +MutualTLSChannelError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class MutualTLSChannelError(GoogleAuthError):$/;" c +mux adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^def mux(select, left, right):$/;" f +mu_0 adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^mu_0 = _cd('vacuum mag. permeability')$/;" v +MU_COMPLEX adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^MU_COMPLEX = (3 + 0.5 * (3 ** (1 \/ 3) - 3 ** (2 \/ 3))$/;" v +MU_REAL adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^MU_REAL = 3 + 3 ** (2 \/ 3) - 3 ** (1 \/ 3)$/;" v +mv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def mv(x, dtype):$/;" f function:TestAsLinearOperator.setup_method.make_cases file: +MVI_MVI2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ MVI_MVI2 = 0x0084$/;" v class:WAVE_FORMAT +mvn_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mvn_docdict_noparams = {$/;" v +mvn_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mvn_docdict_params = {$/;" v +mvoid adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class mvoid(MaskedArray):$/;" c +mvsdist adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def mvsdist(data):$/;" f +mvt_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mvt_docdict_noparams = {$/;" v +mvt_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^mvt_docdict_params = {$/;" v +mx2num adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def mx2num(mxdates):$/;" f +mxCELL_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxCELL_CLASS = 1$/;" v +mxCHAR_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^mxCHAR_CLASS = 1$/;" v +mxCHAR_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxCHAR_CLASS = 4$/;" v +mxDOUBLE_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxDOUBLE_CLASS = 6$/;" v +mxFULL_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^mxFULL_CLASS = 0$/;" v +mxFUNCTION_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxFUNCTION_CLASS = 16$/;" v +mxINT16_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxINT16_CLASS = 10$/;" v +mxINT32_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxINT32_CLASS = 12$/;" v +mxINT64_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxINT64_CLASS = 14$/;" v +mxINT8_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxINT8_CLASS = 8$/;" v +mxOBJECT_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxOBJECT_CLASS = 3$/;" v +mxOBJECT_CLASS_FROM_MATRIX_H adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxOBJECT_CLASS_FROM_MATRIX_H = 18$/;" v +mxOPAQUE_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxOPAQUE_CLASS = 17 # This appears to be a function workspace$/;" v +mxSINGLE_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxSINGLE_CLASS = 7$/;" v +mxSPARSE_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^mxSPARSE_CLASS = 2$/;" v +mxSPARSE_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxSPARSE_CLASS = 5$/;" v +mxSTRUCT_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxSTRUCT_CLASS = 2$/;" v +mxUINT16_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxUINT16_CLASS = 11$/;" v +mxUINT32_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxUINT32_CLASS = 13$/;" v +mxUINT64_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxUINT64_CLASS = 15$/;" v +mxUINT8_CLASS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^mxUINT8_CLASS = 9$/;" v +mx_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def mx_grad(a):$/;" f function:TestUtilityOps.test_elementwise_max_grad.max_grad_op file: +mx_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def mx_grad(a):$/;" f function:TestUtilityOps.test_elementwise_min_grad.min_grad_op file: +MyA adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class MyA(np.ndarray):$/;" c member:TestUfunc.test_structured_equal file: +MyAcceptTest adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class MyAcceptTest(object):$/;" c +MyAlwaysEqual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class MyAlwaysEqual:$/;" c function:test_equal_override file: +MyAlwaysEqualNew adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class MyAlwaysEqualNew(MyAlwaysEqual):$/;" c function:test_equal_override file: +MyAlwaysEqualOld adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class MyAlwaysEqualOld(MyAlwaysEqual):$/;" c function:test_equal_override file: +MyArray adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ class MyArray(np.ndarray):$/;" c function:test_ndarray_subclass_norm file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ class MyArray:$/;" c function:test_non_ndarray_inputs file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class MyArray(np.ndarray):$/;" c member:TestMethods.test_trace_subclass file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class MyArray(np.ndarray):$/;" c member:TestNDArrayMethods.test_repr file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class MyArray(np.ndarray):$/;" c member:TestNumPyFunctions.test_sum_forwarding_implementation file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class MyArray:$/;" c member:TestArrayFunctionDispatch.test_interface file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class MyArray:$/;" c member:TestArrayFunctionDispatch.test_not_implemented file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class MyArray:$/;" c function:_new_duck_type_and_implements file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class MyArray(np.ndarray):$/;" c member:TestUfunc.test_scalar_reduction file: +myarray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ class myarray(np.ndarray):$/;" c member:TestKron.test_return_type file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ class MyArray(list):$/;" c member:TestIscomplexobj.test_custom_dtype_duck file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ class MyArray(nx.ndarray):$/;" c member:TestUfunclike.test_fix_with_subclass file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class MyArray(np.ndarray):$/;" c member:TestAlmostEqual.test_subclass_that_cannot_be_bool file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class MyArray(np.ndarray):$/;" c member:TestArrayAlmostEqual.test_subclass_that_cannot_be_bool file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class MyArray(np.ndarray):$/;" c member:TestArrayEqual.test_subclass_that_does_not_implement_npall file: +MyArray adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class MyArray(np.ndarray):$/;" c member:TestArrayEqual.test_subclass_that_overrides_eq file: +MyArray2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ class MyArray2:$/;" c function:test_non_ndarray_inputs file: +MyAxes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ class MyAxes(Axes):$/;" c function:test_iterability_axes_argument file: +MyBackwardFunc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class MyBackwardFunc(Function):$/;" c class:DistAutogradTest +MyCallBack adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^class MyCallBack(object):$/;" c +MyCallBack adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class MyCallBack(object):$/;" c +MyClass adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ class MyClass:$/;" c function:test_iterability_axes_argument file: +MyClass adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ class MyClass:$/;" c member:TestUfuncs.test_treatment_of_NotImplemented file: +myclass adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ class myclass(object):$/;" c member:TestCdist.test_cdist_custom_notdouble file: +myclass adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ class myclass(object):$/;" c member:TestPdist.test_pdist_custom_notdouble file: +MyClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class MyClass:$/;" c +MyClass2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ class MyClass2:$/;" c member:TestUfuncs.test_treatment_of_NotImplemented file: +MyContext adpepsenv/lib/python3.8/site-packages/caffe2/python/context_test.py /^class MyContext(object):$/;" c +myeval adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def myeval(e, g=None, l=None):$/;" f +MyException adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class MyException(Exception):$/;" c member:TestRaises.setup file: +MyFloat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class MyFloat:$/;" c member:TestRegression.test_mem_custom_float_to_array file: +MyFloat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class MyFloat(np.float64):$/;" c member:TestUfuncGenericLoops.test_unary_PyUFunc_O_O_method_full file: +myfunc adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def myfunc(b):$/;" f member:TestApplyAlongAxis.test_3d file: +myfunc adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def myfunc(b, offset=0):$/;" f member:TestApplyAlongAxis.test_3d_kwargs file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x): # Euler's constant integrand$/;" f member:TestQuad.test_indefinite file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x):$/;" f member:TestQuad.test_singular file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, a):$/;" f member:TestQuad.test_algebraic_log_weight file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, a):$/;" f member:TestQuad.test_cauchypv_weight file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, a):$/;" f member:TestQuad.test_cosine_weighted_infinite file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, a):$/;" f member:TestQuad.test_sine_weighted_finite file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, a):$/;" f member:TestQuad.test_sine_weighted_infinite file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuad.test_typical file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n):$/;" f member:TestQuadrature.test_quadrature_single_args file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuadrature.test_quadrature file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuadrature.test_quadrature_miniter file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuadrature.test_quadrature_rtol file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuadrature.test_romberg file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def myfunc(x, n, z): # Bessel function integrand$/;" f member:TestQuadrature.test_romberg_rtol file: +myfunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def myfunc(alpha):$/;" f function:_linesearch_powell file: +MyFunc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class MyFunc(Function):$/;" c member:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref file: +MyFunc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class MyFunc(Function):$/;" c member:DistAutogradTest.test_no_grad_copy file: +MyFunc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class MyFunc(Function):$/;" c member:DistAutogradTest.test_no_grad_copy_sparse file: +MyFuncSingleGrad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class MyFuncSingleGrad(Function):$/;" c member:DistAutogradTest.test_no_grad_copy file: +MyFunction adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^class MyFunction(Function):$/;" c +myhelper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def myhelper(model, val=-1):$/;" f member:BrewTest.setUp file: +myhelper2 adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def myhelper2(model, val=-1):$/;" f member:BrewTest.setUp file: +myhelper3 adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def myhelper3():$/;" f member:BrewTest.test_has_helper file: +MyLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class MyLayer(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithNumpyArrays.test_no_target_model file: +MyLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class MyLayer(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_custom_gradient_transformation file: +MyLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class MyLayer(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_gradient_clipping file: +MyLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class MyLayer(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithNumpyArrays.test_operator_overload_mixed_precision file: +MyModule adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^class MyModule(nn.Module):$/;" c +MyModule adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class MyModule(torch.jit.ScriptModule):$/;" c +MyModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class MyModule(nn.Module):$/;" c +MyModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^class MyModule:$/;" c +MyModuleInterface adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class MyModuleInterface:$/;" c +MyModuleInterface adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class MyModuleInterface(torch.nn.Module):$/;" c +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^ class MyNDArray(np.ndarray):$/;" c function:test_array_astype file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ class MyNDArray(np.ndarray):$/;" c function:test_iter_allocate_output_subtype file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class MyNDArray(np.ndarray):$/;" c member:TestLikeFuncs.check_like_function file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class MyNDArray(np.ndarray):$/;" c member:TestSpecialMethods.test_ufunc_override file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ class MyNDArray(np.ndarray):$/;" c member:SharedNanFunctionsTestsMixin.test_subclass file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ class MyNDArray(np.ndarray):$/;" c member:TestNanFunctions_ArgminArgmax.test_subclass file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ class MyNDArray(np.ndarray):$/;" c member:TestNanFunctions_MinMax.test_subclass file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ class MyNDArray(np.ndarray):$/;" c member:TestApplyAlongAxis.test_preserve_subclass file: +MyNDArray adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ class MyNDArray(np.ndarray):$/;" c member:TestDomain.test_mapdomain file: +MyPickleClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class MyPickleClass:$/;" c +mypolyval adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def mypolyval(x, p):$/;" f member:TestVectorize.test_keywords3_ticket_2100 file: +MYPY_CHECK_RUNNING adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/typing.py /^MYPY_CHECK_RUNNING = False$/;" v +MyScriptClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class MyScriptClass:$/;" c +MyScriptModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class MyScriptModule(torch.jit.ScriptModule):$/;" c +MyScriptModuleWithRRefs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class MyScriptModuleWithRRefs(torch.jit.ScriptModule):$/;" c +Mystr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ class Mystr(str, np.generic):$/;" c member:TestCharacter.test_char_radd file: +MySubClass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class MySubClass(np.ndarray):$/;" c member:TestMedian.test_subclass file: +MySubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^class MySubclassModel(keras.Model):$/;" c +mytake adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def mytake(a, ix, axis):$/;" f function:_rand_split file: +MyTakeStep1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class MyTakeStep1(RandomDisplacement):$/;" c +myTakeStep2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^def myTakeStep2(x):$/;" f +MyThing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ class MyThing:$/;" c member:TestUfunc.test_custom_array_like file: +MyTransform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ class MyTransform(Transform):$/;" c function:test_custom_transform file: +MyTransformInv adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ class MyTransformInv(Transform):$/;" c function:test_custom_transform file: +MyValue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class MyValue(object):$/;" c +my_array_func_with_option adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def my_array_func_with_option(array, new_option='myarray'):$/;" f member:TestArrayFunctionImplementation.test_optional_args file: +my_cacw adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class my_cacw(clear_and_catch_warnings):$/;" c +my_class_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def my_class_method(cls, d, e):$/;" m class:MyClass +my_complex_tensor_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_complex_tensor_function(list_input, tensor_class_input, dict_input):$/;" f +MY_DIR adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^MY_DIR = dirname(MY_PATH)$/;" v +my_fact adpepsenv/lib/python3.8/site-packages/tensorflow/python/user_ops/user_ops.py /^def my_fact():$/;" f +my_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_function(a, b, c):$/;" f +my_instance_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def my_instance_method(self, b):$/;" m class:MyClass +my_ks_check adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def my_ks_check(p, b):$/;" f member:TestGenInvGauss.test_rvs_p_zero file: +my_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^ my_main = lambda unused_args: main(unused_args, flags)$/;" f function:run_main file: +my_nested_rref_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_nested_rref_add(dst, rref_t1, t2):$/;" f +my_op adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def my_op(inputs, outputs):$/;" f function:python_op_builder file: +my_op adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def my_op(inputs, outputs):$/;" f function:op_builder file: +MY_PATH adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^MY_PATH = abspath(__file__)$/;" v +my_py_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_py_add(t1, t2):$/;" f +my_py_nested_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_py_nested_call(t1, t2, dst, world_size, hops):$/;" f +my_rref_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_rref_add(rref_t1, t2):$/;" f +my_rref_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_rref_function(rref_a, rref_b):$/;" f +my_scalar_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_scalar_add(a, b):$/;" f +my_script_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_script_add(t1, t2):$/;" f +my_script_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_script_func(tensor):$/;" f +my_script_module_init adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def my_script_module_init(rank: int) -> MyModuleInterface:$/;" f +my_script_ref_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def my_script_ref_add(ref_t1, t2):$/;" f +my_sleep_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_sleep_func(seconds=1):$/;" f +my_static_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def my_static_method(f):$/;" m class:MyClass +my_tensor_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def my_tensor_function(a, b):$/;" f +my_test_function adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def my_test_function(x):$/;" f member:Jax2TfTest.test_name_scope file: +m_e adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^m_e = electron_mass = _cd('electron mass')$/;" v +m_fn adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def m_fn(m):$/;" f function:to_mkldnn file: +m_fn_rec adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def m_fn_rec(m):$/;" f function:to_mkldnn file: +m_n adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^m_n = neutron_mass = _cd('neutron mass')$/;" v +m_p adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^m_p = proton_mass = _cd('proton mass')$/;" v +m_u adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^m_u = u = atomic_mass = _cd('atomic mass constant')$/;" v +N adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^from . import number_types as N$/;" x +N adpepsenv/lib/python3.8/site-packages/flatbuffers/encode.py /^from . import number_types as N$/;" x +N adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^from . import number_types as N$/;" x +n adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ n = lambda path: path.replace('\/', sep)$/;" f member:TestMinrelpath.test_1 file: +N adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^import numpy.core.numeric as N$/;" I +N adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ class N(np.ndarray):$/;" c member:TestRegression.test_permutation_subclass file: +N adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ class N(np.ndarray):$/;" c member:TestRegression.test_permutation_subclass file: +N adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ class N(np.ndarray):$/;" c member:TestRegression.test_permutation_subclass file: +n adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^n = 100$/;" v +n adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^n = 10000$/;" v +n adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ n, k = 13, 3$/;" v class:TestLSQ +N adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^N = 6$/;" v +n adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ n = 10$/;" v +n adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^n = 35$/;" v +n adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ n = 50000$/;" v class:TestInt32Overflow +Nadam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Nadam(Optimizer):$/;" c +nadam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^nadam = Nadam$/;" v +Nadam adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^class Nadam(optimizer_v2.OptimizerV2):$/;" c +nadam_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import nadam as nadam_keras_v2$/;" x +nadam_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^nadam_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +nadam_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import nadam as nadam_v2$/;" x +nadam_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import nadam as nadam_v2$/;" x +NAGFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^class NAGFCompiler(BaseNAGFCompiler):$/;" c +NAGFORCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^class NAGFORCompiler(BaseNAGFCompiler):$/;" c +nag_version_strings adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_nagfor.py /^nag_version_strings = [('nagfor', 'NAG Fortran Compiler Release '$/;" v +NAIRealm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^class NAIRealm(char.UTF8String):$/;" c +naiRealm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^naiRealm = rfc5280.AnotherName()$/;" v +NaiveOptimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ class NaiveOptimizer(oe.paths.PathOptimizer):$/;" c function:test_custom_path_optimizer file: +NaiveRandomOptimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ class NaiveRandomOptimizer(oe.path_random.RandomOptimizer):$/;" c function:test_custom_random_optimizer file: +naive_dct1 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def naive_dct1(x, norm=None):$/;" f +naive_dct1 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def naive_dct1(x, norm=None):$/;" f +naive_dct4 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def naive_dct4(x, norm=None):$/;" f +naive_dct4 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def naive_dct4(x, norm=None):$/;" f +naive_dst1 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def naive_dst1(x, norm=None):$/;" f +naive_dst1 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def naive_dst1(x, norm=None):$/;" f +naive_dst4 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def naive_dst4(x, norm=None):$/;" f +naive_dst4 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^def naive_dst4(x, norm=None):$/;" f +nakagami adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^nakagami = nakagami_gen(a=0.0, name="nakagami")$/;" v +nakagami_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class nakagami_gen(rv_continuous):$/;" c +name adpeps/ipeps/models/heisenberg.py /^name = "Heisenberg spin-1\/2 model"$/;" v +NAME adpepsenv/lib/python3.8/site-packages/absl/app.py /^ NAME = 'help'$/;" v class:HelpFlag +NAME adpepsenv/lib/python3.8/site-packages/absl/app.py /^ NAME = 'helpshort'$/;" v class:HelpshortFlag +name adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def name(self):$/;" m class:FlagHolder +Name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Name(self):$/;" m class:ExecutionStep +Name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Name(self):$/;" m class:Net +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "bool"$/;" v class:BoolFlags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "float32"$/;" v class:Float32Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "float64"$/;" v class:Float64Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "int16"$/;" v class:Int16Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "int32"$/;" v class:Int32Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "int64"$/;" v class:Int64Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "int8"$/;" v class:Int8Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "uint16"$/;" v class:Uint16Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "uint32"$/;" v class:Uint32Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "uint64"$/;" v class:Uint64Flags +name adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ name = "uint8"$/;" v class:Uint8Flags +Name adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def Name(self, number): # pylint: disable=invalid-name$/;" m class:EnumTypeWrapper +name adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def name(self):$/;" m class:HLObject +name adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ name = "rectilinear"$/;" v class:_AxesBase +Name adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class Name:$/;" c +name adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def name(self):$/;" m class:ToolBase +name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ name = 'aitoff'$/;" v class:AitoffAxes +name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ name = 'hammer'$/;" v class:HammerAxes +name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ name = 'lambert'$/;" v class:LambertAxes +name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ name = 'mollweide'$/;" v class:MollweideAxes +name adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ name = 'polar'$/;" v class:PolarAxes +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'function'$/;" v class:FuncScale +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'functionlog'$/;" v class:FuncScaleLog +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'linear'$/;" v class:LinearScale +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'log'$/;" v class:LogScale +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'logit'$/;" v class:LogitScale +name adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ name = 'symlog'$/;" v class:SymmetricalLogScale +name adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ name = 'skewx'$/;" v class:SkewXAxes +name adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ name = '3d'$/;" v class:Axes3D +name adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ name = 'category'$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +name adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ name = 'numpydoctest' # call nosetests with --with-numpydoctest$/;" v class:NumpyDoctest +name adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ name = 'unplugger'$/;" v class:Unplugger +Name adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^class Name(object):$/;" c +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Blur"$/;" v class:BLUR +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "BoxBlur"$/;" v class:BoxBlur +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Color 3D LUT"$/;" v class:Color3DLUT +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Contour"$/;" v class:CONTOUR +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Detail"$/;" v class:DETAIL +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Edge-enhance More"$/;" v class:EDGE_ENHANCE_MORE +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Edge-enhance"$/;" v class:EDGE_ENHANCE +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Emboss"$/;" v class:EMBOSS +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Find Edges"$/;" v class:FIND_EDGES +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "GaussianBlur"$/;" v class:GaussianBlur +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Kernel"$/;" v class:Kernel +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Max"$/;" v class:MaxFilter +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Median"$/;" v class:MedianFilter +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Min"$/;" v class:MinFilter +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Mode"$/;" v class:ModeFilter +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Rank"$/;" v class:RankFilter +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Sharpen"$/;" v class:SHARPEN +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Smooth More"$/;" v class:SMOOTH_MORE +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "Smooth"$/;" v class:SMOOTH +name adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ name = "UnsharpMask"$/;" v class:UnsharpMask +NAME adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^NAME = "Name"$/;" v +name adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ name = "name"$/;" v class:PcfFontFile +name adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ name = name.replace(" ", "_")$/;" v +name adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ name = "archive_info"$/;" v class:ArchiveInfo +name adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ name = "dir_info"$/;" v class:DirInfo +name adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ name = "vcs_info"$/;" v class:VcsInfo +name adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def name(self):$/;" m class:LazyZipOverHTTP +name adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def name(self):$/;" m class:InstallRequirement +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def name(self):$/;" m class:Candidate +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def name(self):$/;" m class:Requirement +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def name(self):$/;" m class:AlreadyInstalledCandidate +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def name(self):$/;" m class:ExtrasCandidate +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def name(self):$/;" m class:RequiresPythonCandidate +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def name(self):$/;" m class:_InstallRequirementBackedCandidate +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def name(self):$/;" m class:ExplicitRequirement +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def name(self):$/;" m class:RequiresPythonRequirement +name adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def name(self):$/;" m class:SpecifierRequirement +name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ name = 'bzr'$/;" v class:Bazaar +name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ name = 'git'$/;" v class:Git +name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ name = 'hg'$/;" v class:Mercurial +name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ name = 'svn'$/;" v class:Subversion +name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ name = ''$/;" v class:VersionControl +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def name(self, pretty=False):$/;" m class:LinuxDistribution +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def name(pretty=False):$/;" f +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ name = None$/;" v class:getDomBuilder.TreeBuilder +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ name = property(_getName, _setName)$/;" v class:getETreeBuilder.Element +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ name = property(_getName, _setName)$/;" v class:TreeBuilder.__init__.Element +name adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^name = " | ".join([letter, digit, ".", "-", "_", combiningCharacter,$/;" v +NAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^NAME = IDENTIFIER("name")$/;" v +NAME adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^NAME = IDENTIFIER("name")$/;" v +name adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def name(self):$/;" m class:NamedType +name adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def name(self):$/;" m class:OpenType +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Name(univ.Choice):$/;" c +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^Name = rfc5280.Name$/;" v +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Name(univ.Choice):$/;" c +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Name(univ.Choice):$/;" c +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^Name = rfc5280.Name$/;" v +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Name = rfc5280.Name$/;" v +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^Name = rfc5280.Name$/;" v +Name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^Name = rfc5280.Name$/;" v +name adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ name = 'dop853'$/;" v class:dop853 +name adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ name = 'dopri5'$/;" v class:dopri5 +name adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ name = npfunc.__name__$/;" v +name adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def name(self):$/;" m class:InfoCommon +NAME adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^NAME = IDENTIFIER("name")$/;" v +NAME adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ NAME = "help"$/;" v class:_HelpFlag +NAME adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ NAME = "helpshort"$/;" v class:_HelpshortFlag +name adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def name(self):$/;" m class:DType +name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def name(self):$/;" m class:HParam +name adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def name(self):$/;" m class:TensorBoardSubcommand +name adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def name(self):$/;" m class:UploaderSubcommand +name adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ name = None$/;" v class:getDomBuilder.TreeBuilder +name adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ name = property(_getName, _setName)$/;" v class:getETreeBuilder.Element +name adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ name = property(_getName, _setName)$/;" v class:TreeBuilder.__init__.Element +name adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^name = " | ".join([letter, digit, ".", "-", "_", combiningCharacter,$/;" v +Name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Name(self):$/;" m class:Metadata +Name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Name(self):$/;" m class:SubGraph +Name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Name(self):$/;" m class:Tensor +Name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Name(self):$/;" m class:TensorMap +Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Name = functools.partial(gast.Name, type_comment=None) # pylint:disable=invalid-name$/;" v +Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Name = gast.Name$/;" v +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def name(self):$/;" m class:_DeviceAttributes +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def name(self):$/;" m class:_TensorTracker +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def name(self):$/;" m class:DebuggedGraph +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def name(self):$/;" m class:AggregatingVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def name(self):$/;" m class:ShardedVariableMixin +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def name(self):$/;" m class:DistributedVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def name(self):$/;" m class:ConcreteFunction +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def name(self):$/;" m class:_EagerDefinedFunction +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:InputLayer +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_BucketizedColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_CrossedColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_EmbeddingColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_FeatureColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_HashedCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_IdentityCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_IndicatorColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_NumericColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_SequenceCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_SharedEmbeddingColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_VocabularyFileCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_VocabularyListCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def name(self):$/;" m class:_WeightedCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:BucketizedColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:CrossedColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:EmbeddingColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:FeatureColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:HashedCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:IdentityCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:IndicatorColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:NumericColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:SequenceCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:SharedEmbeddingColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:VocabularyFileCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:VocabularyListCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def name(self):$/;" m class:WeightedCategoricalColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def name(self):$/;" m class:SequenceNumericColumn +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def name(self):$/;" m class:_DefinedFunction +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def name(self):$/;" m class:IndexedSlices +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:internal_name_scope_v1 +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:name_scope_v1 +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:name_scope_v2 +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:Operation +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:Tensor +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name(self):$/;" m class:_EagerTensorBase +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def name(self):$/;" m class:DenseSpec +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def name(self):$/;" m class:Layer +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def name(self):$/;" m class:Layer +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def name(self):$/;" m class:KerasTensor +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def name(self):$/;" m class:AutoCastVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def name(self):$/;" m class:Policy +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def name(self):$/;" m class:FileIO +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def name(self):$/;" m class:Module +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def name(self):$/;" m class:ControlFlowContext +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def name(self):$/;" m class:CriticalSection +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def name(self):$/;" m class:Barrier +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def name(self):$/;" m class:BaseStagingArea +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def name(self):$/;" m class:ConditionalAccumulatorBase +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def name(self):$/;" m class:QueueBase +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def name(self):$/;" m class:Bijector +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def name(self):$/;" m class:Distribution +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def name(self):$/;" m class:LinearOperator +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def name(self):$/;" m class:_Adder +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:DenseHashTable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:IdTableWithHashBuckets +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:LookupInterface +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:MutableHashTable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:StaticHashTable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def name(self):$/;" m class:StaticVocabularyTable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def name(self):$/;" m class:WhileOp +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def name(self):$/;" m class:BaseResourceVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def name(self):$/;" m class:_UnreadVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def name(self):$/;" m class:Template +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def name(self):$/;" m class:PartitionedVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def name(self):$/;" m class:RefVariable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def name(self):$/;" m class:Variable +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def name(self):$/;" m class:VariableScope +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def name(self):$/;" m class:Client +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def name(self):$/;" m class:ExponentialMovingAverage +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def name(self):$/;" m class:QueueRunner +name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def name(self):$/;" m class:CheckpointedOp +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def name(self):$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def name(self):$/;" m class:_Head +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def name(self):$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def name(self):$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def name(self):$/;" m class:_MutableDenseHashTable +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def name(self):$/;" m class:_ShardedMutableDenseHashTable +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def name(self):$/;" m class:TimeSeriesRegressionHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def name(self):$/;" m class:BestExporter +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def name(self):$/;" m class:Exporter +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def name(self):$/;" m class:FinalExporter +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def name(self):$/;" m class:LatestExporter +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def name(self):$/;" m class:_SavedModelExporter +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def name(self):$/;" m class:Head +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def name(self):$/;" m class:BinaryClassHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def name(self):$/;" m class:MultiClassHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def name(self):$/;" m class:MultiHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def name(self):$/;" m class:MultiLabelHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def name(self):$/;" m class:RegressionHead +name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def name(self):$/;" m class:SequentialHeadWrapper +name adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ name = '_cast_{}'.format(k)$/;" v +name adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def name(self):$/;" m class:FuzzedParameter +name adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def name(self):$/;" m class:FuzzedTensor +name adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def name(self):$/;" m class:HTTPException +name adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ name = "stat"$/;" v class:StatReloaderLoop +name adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ name = None$/;" v class:ReloaderLoop +nameargspattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^nameargspattern = re.compile($/;" v +NameAttrList adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^NameAttrList = _reflection.GeneratedProtocolMessageType('NameAttrList', (_message.Message,), {$/;" v +NameAttrList adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^NameAttrList = _reflection.GeneratedProtocolMessageType('NameAttrList', (_message.Message,), {$/;" v +NameBasedSaverStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class NameBasedSaverStatus(_LoadStatus):$/;" c +NameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class NameConstraints(univ.Sequence):$/;" c +NameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class NameConstraints(univ.Sequence):$/;" c +NameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class NameConstraints(univ.Sequence):$/;" c +NameConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^NameConstraints = rfc5280.NameConstraints$/;" v +named adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def named(self):$/;" m class:ImageFileDirectory_v2 +NamedDevice adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^NamedDevice = _reflection.GeneratedProtocolMessageType('NamedDevice', (_message.Message,), {$/;" v +NamedDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class NamedDistribution(object):$/;" c +NamedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^NamedObject = combinations_lib.NamedObject$/;" v +NamedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^NamedObject = test_combinations.NamedObject$/;" v +NamedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^class NamedObject(object):$/;" c +NamedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^NamedObject = test_combinations.NamedObject$/;" v +NamedShape adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ NamedShape = Tuple[Tuple[str, int]]$/;" v class:Unflatten +NamedTemporaryFileResult adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^ class NamedTemporaryFileResult(BinaryIO):$/;" c +NamedTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^NamedTensorProto = _reflection.GeneratedProtocolMessageType('NamedTensorProto', (_message.Messag/;" v +NamedTuple adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def NamedTuple(name_prefix, *fields):$/;" f +namedtuple adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^namedtuple = collections.namedtuple$/;" v +namedtuple adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^namedtuple = collections.namedtuple$/;" v +namedtupledict adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^def namedtupledict(typename, field_names, *args, **kwargs):$/;" f +NamedTupleValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^NamedTupleValue = _reflection.GeneratedProtocolMessageType('NamedTupleValue', (_message.Message,/;" v +NamedTupleValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^NamedTupleValue = _reflection.GeneratedProtocolMessageType('NamedTupleValue', (_message.Message,/;" v +NamedType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^class NamedType(object):$/;" c +namedTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def namedTypes(self):$/;" m class:NamedTypes +NamedTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^class NamedTypes(object):$/;" c +NamedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^class NamedValues(object):$/;" c +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ namedValues = namedval.NamedValues(('False', 0), ('True', 1))$/;" v class:Boolean +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ namedValues = namedval.NamedValues()$/;" v class:BitString +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ namedValues = namedval.NamedValues()$/;" v class:Enumerated +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ namedValues = namedval.NamedValues()$/;" v class:Integer +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ namedValues = namedval.NamedValues($/;" v class:ErrorStatus +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ namedValues = namedval.NamedValues($/;" v class:Version +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ namedValues = namedval.NamedValues($/;" v class:CRLReason +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ namedValues = namedval.NamedValues($/;" v class:KeyUsage +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ namedValues = namedval.NamedValues($/;" v class:ReasonFlags +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ namedValues = namedval.NamedValues($/;" v class:TerminalType +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ namedValues = namedval.NamedValues($/;" v class:Version +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ namedValues = namedval.NamedValues($/;" v class:SubsequentMessage +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ namedValues = namedval.NamedValues($/;" v class:CRLReason +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ namedValues = namedval.NamedValues($/;" v class:OCSPResponseStatus +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^ namedValues = namedval.NamedValues(('v1', 0))$/;" v class:Version +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^ namedValues = namedval.NamedValues($/;" v class:Amoco_SecurityClassification +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^ namedValues = namedval.NamedValues($/;" v class:Caterpillar_SecurityClassification +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^ namedValues = namedval.NamedValues($/;" v class:Whirlpool_SecurityClassification +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ namedValues = namedval.NamedValues($/;" v class:ECPVer +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ namedValues = namedval.NamedValues($/;" v class:PKIFailureInfo +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ namedValues = namedval.NamedValues($/;" v class:PKIStatus +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^ namedValues = namedval.NamedValues(('v1', 0), ('v2', 1))$/;" v class:Version +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ namedValues = namedval.NamedValues($/;" v class:AttCertVersion +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^ namedValues = namedval.NamedValues($/;" v class:ClassList +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^ namedValues = namedval.NamedValues(('v1', 0), ('v2', 1))$/;" v class:Version +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ namedValues = namedval.NamedValues($/;" v class:Algorithm +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ namedValues = namedval.NamedValues($/;" v class:HeaderFieldStatus +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^ namedValues = namedval.NamedValues($/;" v class:TrailerField +namedValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^ namedValues = namedval.NamedValues($/;" v class:Version +named_buffers adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def named_buffers($/;" m class:_RemoteModule +named_buffers adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def named_buffers(self, prefix: str = '', recurse: bool = True) -> Iterator[Tuple[str, Tenso/;" m class:Module +named_call adpepsenv/lib/python3.8/site-packages/jax/api.py /^def named_call($/;" f +named_call_p adpepsenv/lib/python3.8/site-packages/jax/core.py /^named_call_p = CallPrimitive('named_call')$/;" v +named_children adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def named_children(self) -> Iterator[Tuple[str, "Module"]]:$/;" m class:_RemoteModule +named_children adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def named_children(self) -> Iterator[Tuple[str, 'Module']]:$/;" m class:Module +named_f adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def named_f(*args, **kwargs):$/;" f function:named_call file: +named_modules adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def named_modules(self, memo: Optional[Set["Module"]] = None, prefix: str = ""):$/;" m class:_RemoteModule +named_modules adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def named_modules(self, memo: Optional[Set['Module']] = None, prefix: str = ''):$/;" m class:Module +named_parameters adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def named_parameters(*testcases):$/;" f +named_parameters adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def named_parameters($/;" m class:_RemoteModule +named_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def named_parameters(self, prefix: str = '', recurse: bool = True) -> Iterator[Tuple[str, Te/;" m class:Module +named_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^named_re = re.compile(r"#\\s*(\\w*)\\s*=([^#]*)#")$/;" v +named_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^named_re = re.compile(r"<\\s*(\\w[\\w\\d]*)\\s*=\\s*(.*?)\\s*>")$/;" v +NAMED_REQUIREMENT adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^NAMED_REQUIREMENT = NAME + Optional(EXTRAS) + (URL_AND_MARKER | VERSION_AND_MARKER)$/;" v +NAMED_REQUIREMENT adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^NAMED_REQUIREMENT = NAME + Optional(EXTRAS) + (URL_AND_MARKER | VERSION_AND_MARKER)$/;" v +NAMED_REQUIREMENT adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^NAMED_REQUIREMENT = NAME + Optional(EXTRAS) + (URL_AND_MARKER | VERSION_AND_MARKER)$/;" v +named_summaries_to_events adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def named_summaries_to_events(named_summaries):$/;" f function:tensorboard_events file: +nameFirst adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^nameFirst = " | ".join([letter, "_"])$/;" v +nameFirst adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^nameFirst = " | ".join([letter, "_"])$/;" v +namepattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^namepattern = re.compile(r'\\s*(?P\\b[\\w]+\\b)\\s*(?P.*)\\s*\\Z', re.I)$/;" v +nameprep adpepsenv/lib/python3.8/site-packages/idna/compat.py /^def nameprep(s):$/;" f +nameprep adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/compat.py /^def nameprep(s):$/;" f +Namer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/naming.py /^class Namer(object):$/;" c +namerepl adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^ def namerepl(mobj):$/;" f function:expand_sub file: +namer_api_name adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def namer_api_name(inplace):$/;" f +names adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def names(self):$/;" m class:TaskOutputList +names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ names = None$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +names adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^names = 'packaging', 'pyparsing', 'six', 'appdirs'$/;" v +names adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def names(self):$/;" m class:MetaData +NAMES adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^NAMES = ["I", "O", "T"] + ["C%d" % n for n in NS] + ["D%d" % n for n in NS]$/;" v +names adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^names = 'six', 'packaging', 'pyparsing', 'ordered_set',$/;" v +names adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def names(self):$/;" m class:BaseStagingArea +names adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def names(self):$/;" m class:QueueBase +NameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^NameScope = scope.NameScope$/;" v +NameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^def NameScope(prefix, reset=False):$/;" f +NameSpace adpepsenv/lib/python3.8/site-packages/jax/config.py /^class NameSpace(object):$/;" c +Namespace adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ class Namespace(object):$/;" c +namespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ namespace = property(lambda self: hasattr(self.element, "namespaceURI") and$/;" v class:getDomBuilder.NodeBuilder +namespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ namespace = property(_getNamespace, _setNamespace)$/;" v class:getETreeBuilder.Element +namespace adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ namespace = property(lambda self: hasattr(self.element, "namespaceURI") and$/;" v class:getDomBuilder.NodeBuilder +namespace adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ namespace = property(_getNamespace, _setNamespace)$/;" v class:getETreeBuilder.Element +namespaces adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^namespaces = {$/;" v +namespaces adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^namespaces = {$/;" v +NAMESPACE_PACKAGE_INIT adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^NAMESPACE_PACKAGE_INIT = \\$/;" v +Namespace_TestStringOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Namespace_TestStringOutput = tf_export("raw_ops.Namespace_TestStringOutput")(_ops.to_raw_op(name/;" v +namespace_test_string_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def namespace_test_string_output(input, name=None):$/;" f +namespace_test_string_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def namespace_test_string_output_eager_fallback(input, name, ctx):$/;" f +names_from_num adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^ def names_from_num(prefix, n):$/;" f function:_np_signature file: +nameTuple adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ nameTuple = property(getNameTuple)$/;" v class:getDomBuilder.NodeBuilder +nameTuple adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ nameTuple = property(getNameTuple)$/;" v class:getDomBuilder.NodeBuilder +NameValidator adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class NameValidator:$/;" c +name_and_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def name_and_version(self):$/;" m class:Distribution +name_and_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def name_and_version(self):$/;" m class:Metadata +name_as_str adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def name_as_str(self):$/;" m class:PdfName +name_for adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^ def name_for(node):$/;" f function:visualize_rec file: +name_from_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def name_from_scope_name(name):$/;" f +name_from_string adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def name_from_string(self, tzname_str):$/;" m class:tzres +name_fullpath adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def name_fullpath(name: Sequence[str]) -> str:$/;" f member:StructuredTensor._with_updates_impl file: +NAME_MATCHER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ NAME_MATCHER = re.compile('^[0-9A-Z]([0-9A-Z_.-]*[0-9A-Z])?$', re.I)$/;" v class:Metadata +NAME_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ NAME_RE = re.compile(r'[^A-Z_a-z\\u00c0-\\u00d6\\u00d8-\\u00f6\\u00f8-\\u02ff'$/;" v class:AttrListTreeprocessor +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def name_scope(self, name):$/;" m class:Graph +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def name_scope(name, default_name=None, values=None, skip_on_eager=True):$/;" f +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/backend/__init__.py /^from tensorflow.python.framework.ops import name_scope_v1 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/backend/__init__.py /^from tensorflow.python.framework.ops import name_scope_v1 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def name_scope(name):$/;" f +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def name_scope(self):$/;" m class:Module +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.ops import name_scope_v1 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.ops import name_scope_v2 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import name_scope_v1 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import name_scope_v1 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import name_scope_v2 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.ops import name_scope_v2 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.ops import name_scope_v2 as name_scope$/;" x +name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.ops import name_scope_v2 as name_scope$/;" x +NAME_SCOPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ NAME_SCOPES = 'NAME_SCOPES'$/;" v class:Feature +name_scope_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class name_scope_v1(object): # pylint: disable=invalid-name$/;" c +name_scope_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class name_scope_v2(object):$/;" c +NAME_VERSION_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^NAME_VERSION_RE = re.compile(r'(?P[\\w-]+)\\s*'$/;" v +NAME_VERSION_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^NAME_VERSION_RE = re.compile(r'(?P[\\w .-]+)\\s*'$/;" v +NAME_VERSION_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^NAME_VERSION_RE = re.compile(r'''$/;" v +naming adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{naming}$/;" l +nan adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^nan = np.nan$/;" v +nan adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^nan = NaN = NAN$/;" v +nan adpepsenv/lib/python3.8/site-packages/torch/_six.py /^nan = math.nan$/;" v +nanargmax adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanargmax(a, axis: Optional[int] = None):$/;" f +nanargmax adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanargmax(a, axis=None):$/;" f +nanargmin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanargmin(a, axis: Optional[int] = None):$/;" f +nanargmin adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanargmin(a, axis=None):$/;" f +nancumprod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^nancumprod = _make_cumulative_reduction(np.nancumprod, lax.cumprod,$/;" v +nancumprod adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nancumprod(a, axis=None, dtype=None, out=None):$/;" f +nancumsum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^nancumsum = _make_cumulative_reduction(np.nancumsum, lax.cumsum,$/;" v +nancumsum adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nancumsum(a, axis=None, dtype=None, out=None):$/;" f +nanfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ nanfuncs = [np.nanargmin, np.nanargmax]$/;" v class:TestNanFunctions_ArgminArgmax +nanfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ nanfuncs = [np.nancumsum, np.nancumprod]$/;" v class:TestNanFunctions_CumSumProd +nanfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ nanfuncs = [np.nanmean, np.nanvar, np.nanstd]$/;" v class:TestNanFunctions_MeanVarStd +nanfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ nanfuncs = [np.nanmin, np.nanmax]$/;" v class:TestNanFunctions_MinMax +nanfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ nanfuncs = [np.nansum, np.nanprod]$/;" v class:TestNanFunctions_SumProd +NanLossDuringTrainingError adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class NanLossDuringTrainingError(RuntimeError):$/;" c +nanmax adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanmax(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +nanmax adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanmax(a, axis=None, out=None, keepdims=np._NoValue):$/;" f +nanmean adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanmean(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +nanmean adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanmean(a, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" f +nanmean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def nanmean(a, axis=None, dtype=None, keepdims=None): # pylint: disable=missing-docstring$/;" f +nanmedian adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanmedian(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +nanmedian adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanmedian(a, axis=None, out=None, overwrite_input=False, keepdims=np._NoValue):$/;" f +nanmin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanmin(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +nanmin adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanmin(a, axis=None, out=None, keepdims=np._NoValue):$/;" f +nano adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^nano = 1e-9$/;" v +nanpercentile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanpercentile(a, q, axis: Optional[Union[int, Tuple[int, ...]]] = None,$/;" f +nanpercentile adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanpercentile(a, q, axis=None, out=None, overwrite_input=False,$/;" f +nanprod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanprod(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +nanprod adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanprod(a, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" f +nanprod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^nanprod = _make_nan_reduction('nanprod', np_array_ops.prod, 1)$/;" v +nanquantile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanquantile(a, q, axis: Optional[Union[int, Tuple[int, ...]]] = None,$/;" f +nanquantile adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanquantile(a, q, axis=None, out=None, overwrite_input=False,$/;" f +nanstd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanstd(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +nanstd adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanstd(a, axis=None, dtype=None, out=None, ddof=0, keepdims=np._NoValue):$/;" f +nansum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nansum(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +nansum adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nansum(a, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" f +nansum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^nansum = _make_nan_reduction('nansum', np_array_ops.sum, 0)$/;" v +NanTensorHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class NanTensorHook(session_run_hook.SessionRunHook):$/;" c +nanvar adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nanvar(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +nanvar adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def nanvar(a, axis=None, dtype=None, out=None, ddof=0, keepdims=np._NoValue):$/;" f +nan_arr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ nan_arr = [$/;" v class:TestArgmax +nan_arr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ nan_arr = [$/;" v class:TestArgmin +nan_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def nan_reduction(a, axis=None, dtype=None, keepdims=False):$/;" f function:_make_nan_reduction file: +nan_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def nan_reference(X, Y):$/;" f member:TestUtilityOps.test_nan_check file: +nan_to_num adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def nan_to_num(x, copy=True, nan=0.0, posinf=None, neginf=None):$/;" f +nan_to_num adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def nan_to_num(x, copy=True, nan=0.0, posinf=None, neginf=None):$/;" f +NAN_VALUE adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^NAN_VALUE = {$/;" v +nan_value adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ nan_value = -inf_value\/inf_value # Trying to make a quiet NaN (like C99).$/;" v class:SafeConstructor +napoleon_attr_annotations docs/source/conf.py /^napoleon_attr_annotations = True$/;" v +narray adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^from .numeric import array as narray$/;" x +narray adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^from numpy import array as narray$/;" x +narray adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ bool_, dtype, ndarray, recarray, array as narray$/;" x +narrow adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def narrow(g, input, dim, start, length):$/;" f +narrow adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def narrow(g, input, dim, start, length):$/;" f +NarrowRange adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NarrowRange(self):$/;" m class:FakeQuantOptions +naryop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def naryop(result_dtype, accepted_dtypes, name, translation_rule=None):$/;" f +naryop_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def naryop_dtype_rule(result_dtype, accepted_dtypes, name, *avals, **kwargs):$/;" f +naryop_masking_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def naryop_masking_rule(prim, padded_vals, logical_shapes):$/;" f +NASNet adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def NASNet($/;" f +NASNetLarge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def NASNetLarge(input_shape=None,$/;" f +NASNetMobile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def NASNetMobile(input_shape=None,$/;" f +NASNET_LARGE_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^NASNET_LARGE_WEIGHT_PATH = BASE_WEIGHTS_PATH + 'NASNet-large.h5'$/;" v +NASNET_LARGE_WEIGHT_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^NASNET_LARGE_WEIGHT_PATH_NO_TOP = BASE_WEIGHTS_PATH + 'NASNet-large-no-top.h5'$/;" v +NASNET_MOBILE_WEIGHT_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^NASNET_MOBILE_WEIGHT_PATH = BASE_WEIGHTS_PATH + 'NASNet-mobile.h5'$/;" v +NASNET_MOBILE_WEIGHT_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^NASNET_MOBILE_WEIGHT_PATH_NO_TOP = BASE_WEIGHTS_PATH + 'NASNet-mobile-no-top.h5'$/;" v +native adpepsenv/lib/python3.8/site-packages/wheel/util.py /^ def native(s, encoding='utf-8'):$/;" f +NativeObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/internal.py /^class NativeObject(object):$/;" c +NativeParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ NativeParser = PosixParser$/;" v +NativeParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ NativeParser = WindowsParser$/;" v +NativeStringIO adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ NativeStringIO = BytesIO$/;" v +NativeStringIO adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ NativeStringIO = StringIO$/;" v +native_code adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/byteordercodes.py /^native_code = sys_is_le and '<' or '>'$/;" v +NATIVE_EXTENSIONS adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^NATIVE_EXTENSIONS = dict.fromkeys('.dll .so .dylib .pyd'.split())$/;" v +native_itermethods adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def native_itermethods(names):$/;" f +native_string_result adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def native_string_result(func):$/;" f +native_string_result adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ native_string_result = _identity$/;" v +NATIVE_WIN64 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^NATIVE_WIN64 = (sys.platform == 'win32' and sys.maxsize > 2**32)$/;" v +natural_exp_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def natural_exp_decay(learning_rate,$/;" f +natural_exp_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^natural_exp_decay = learning_rate_decay.natural_exp_decay$/;" v +nautical_mile adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^nautical_mile = 1852.0$/;" v +navigate_to adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ navigate_to = csp_property("navigate-to")$/;" v class:ContentSecurityPolicy +NavigationHistoryItem adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^class NavigationHistoryItem(object):$/;" c +NavigationIPy adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^class NavigationIPy(NavigationToolbar2WebAgg):$/;" c +NavigationToolbar2 adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class NavigationToolbar2:$/;" c +NavigationToolbar2GTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class NavigationToolbar2GTK3(NavigationToolbar2, Gtk.Toolbar):$/;" c +NavigationToolbar2Mac adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^class NavigationToolbar2Mac(_macosx.NavigationToolbar2, NavigationToolbar2):$/;" c +NavigationToolbar2QT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class NavigationToolbar2QT(NavigationToolbar2, QtWidgets.QToolBar):$/;" c +NavigationToolbar2Tk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class NavigationToolbar2Tk(NavigationToolbar2, tk.Frame):$/;" c +NavigationToolbar2WebAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^class NavigationToolbar2WebAgg(backend_bases.NavigationToolbar2):$/;" c +NavigationToolbar2Wx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class NavigationToolbar2Wx(NavigationToolbar2, wx.ToolBar):$/;" c +NavigationToolbar2WxAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ NavigationToolbar2Wx as NavigationToolbar2WxAgg)$/;" x +NavigationToolbar2WxCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ NavigationToolbar2Wx as NavigationToolbar2WxCairo)$/;" x +nb adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^import numba as nb$/;" I +nb adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^import numba as nb$/;" I +nbdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nbdtr(dl_number_t x0, dl_number_t x1, double x2) nogil$/;" f +nbdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nbdtrc(dl_number_t x0, dl_number_t x1, double x2) nogil$/;" f +nbdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nbdtri(dl_number_t x0, dl_number_t x1, double x2) nogil$/;" f +nbdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nbdtrik(double x0, double x1, double x2) nogil$/;" f +nbdtrik_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def nbdtrik_comp(y, n, p):$/;" f +nbdtrin adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nbdtrin(double x0, double x1, double x2) nogil$/;" f +nbformat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_nbagg.py /^nbformat = pytest.importorskip('nbformat')$/;" v +nbinom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^nbinom = nbinom_gen(name='nbinom')$/;" v +nbinom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class nbinom_gen(rv_discrete):$/;" c +nbins_ratio adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def nbins_ratio(seed, size):$/;" f member:TestHistogramOptimBinNums.test_scott_vs_stone file: +NBSP_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^NBSP_PLACEHOLDER = util.STX + "qq3936677670287331zz" + util.ETX$/;" v +NbufferT adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^NbufferT = [$/;" v +NbufferT adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^NbufferT = [$/;" v +nbytes adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^nbytes = _typedict()$/;" v +nc adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def nc(self):$/;" m class:Authorization +ncalls adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ def ncalls(self):$/;" m class:MemoizeDer +NCCL adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ NCCL = "NCCL"$/;" v class:CommunicationImplementation +NCCL adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ NCCL = "nccl"$/;" v class:Backend +NcclAllReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class NcclAllReduce(AllReduceCrossDeviceOps):$/;" c +NcclAllReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^NcclAllReduce = tf_export("raw_ops.NcclAllReduce")(_ops.to_raw_op(nccl_all_reduce))$/;" v +NcclBroadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^NcclBroadcast = tf_export("raw_ops.NcclBroadcast")(_ops.to_raw_op(nccl_broadcast))$/;" v +NCCLOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^class NCCLOpsTest(hu.HypothesisTestCase):$/;" c +NcclReduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^NcclReduce = tf_export("raw_ops.NcclReduce")(_ops.to_raw_op(nccl_reduce))$/;" v +nccl_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_all_reduce(input, reduction, num_devices, shared_name, name=None):$/;" f +nccl_all_reduce_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_all_reduce_eager_fallback(input, reduction, num_devices, shared_name, name, ctx):$/;" f +nccl_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_broadcast(input, shape, name=None):$/;" f +nccl_broadcast_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_broadcast_eager_fallback(input, shape, name, ctx):$/;" f +nccl_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_reduce(input, reduction, name=None):$/;" f +nccl_reduce_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^def nccl_reduce_eager_fallback(input, reduction, name, ctx):$/;" f +nce_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def nce_loss(weights,$/;" f +nce_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import nce_loss_v2 as nce_loss$/;" x +nce_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import nce_loss_v2 as nce_loss$/;" x +nce_loss_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def nce_loss_v2(weights,$/;" f +ncf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ncf = ncf_gen(a=0.0, name='ncf')$/;" v +ncfdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ncfdtr(double x0, double x1, double x2, double x3) nogil$/;" f +ncfdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ncfdtri(double x0, double x1, double x2, double x3) nogil$/;" f +ncfdtridfd adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ncfdtridfd(double x0, double x1, double x2, double x3) nogil$/;" f +ncfdtridfn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ncfdtridfn(double x0, double x1, double x2, double x3) nogil$/;" f +ncfdtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ncfdtrinc(double x0, double x1, double x2, double x3) nogil$/;" f +ncf_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class ncf_gen(rv_continuous):$/;" c +NCHW adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^class NCHW(object):$/;" c +NCHW2NHWC adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def NCHW2NHWC(tensor):$/;" f +nchw2nhwc adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def nchw2nhwc(g, input):$/;" f +nchw2nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^ def nchw2nhwc_ref(X):$/;" f member:OrderSwitchOpsTest.test_nchw2nhwc file: +NCHWToNHWC adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def NCHWToNHWC(input_tensor):$/;" f +NCHW_VECT_CToNHWC adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def NCHW_VECT_CToNHWC(input_shape_or_tensor):$/;" f +ncols adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ ncols = property(lambda self: self._ncols,$/;" v class:GridSpecBase +ncon adpeps/tensor/contractions.py /^def ncon(tensors: Iterable[TensorType], indices_key: Union[str, Iterable[Iterable[int]]], $/;" f +ncon adpeps/tensor/ncon.py /^def ncon(L, v, $/;" f +nct adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^nct = nct_gen(name="nct")$/;" v +nctdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nctdtr(double x0, double x1, double x2) nogil$/;" f +nctdtridf adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nctdtridf(double x0, double x1, double x2) nogil$/;" f +nctdtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nctdtrinc(double x0, double x1, double x2) nogil$/;" f +nctdtrit adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double nctdtrit(double x0, double x1, double x2) nogil$/;" f +nct_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class nct_gen(rv_continuous):$/;" c +ncu adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^import numpy.core.umath as ncu$/;" I +ncu adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^import numpy.core._multiarray_umath as ncu$/;" I +ncu_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^from numpy.core import _umath_tests as ncu_tests$/;" x +ncx2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ncx2 = ncx2_gen(a=0.0, name='ncx2')$/;" v +ncx2_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class ncx2_gen(rv_continuous):$/;" c +NC_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_ATTRIBUTE = b'\\x00\\x00\\x00\\x0c'$/;" v +NC_BYTE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_BYTE = b'\\x00\\x00\\x00\\x01'$/;" v +NC_CHAR adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_CHAR = b'\\x00\\x00\\x00\\x02'$/;" v +NC_DIMENSION adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_DIMENSION = b'\\x00\\x00\\x00\\n'$/;" v +NC_DOUBLE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_DOUBLE = b'\\x00\\x00\\x00\\x06'$/;" v +NC_FLOAT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_FLOAT = b'\\x00\\x00\\x00\\x05'$/;" v +NC_INT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_INT = b'\\x00\\x00\\x00\\x04'$/;" v +NC_SHORT adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_SHORT = b'\\x00\\x00\\x00\\x03'$/;" v +NC_VARIABLE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NC_VARIABLE = b'\\x00\\x00\\x00\\x0b'$/;" v +ndarray adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^class ndarray(np.ndarray, metaclass=_ArrayMeta):$/;" c +NDArray adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^NDArray = Any$/;" v +ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ndarray = sb.ndarray$/;" v +ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^class ndarray(composite_tensor.CompositeTensor):$/;" c +NDArrayOperatorsMixin adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^class NDArrayOperatorsMixin:$/;" c +NdarraySpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^class NdarraySpec(type_spec.BatchableTypeSpec):$/;" c +ndarray_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^def ndarray_to_tensor(arr, dtype=None, name=None, as_ref=False):$/;" f +ndenumerate adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class ndenumerate:$/;" c +Ndescr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^Ndescr = [$/;" v +Ndescr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^Ndescr = [$/;" v +ndfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def ndfromtxt(fname, **kwargs):$/;" f +ndim adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def ndim(self):$/;" m class:Dataset +ndim adpepsenv/lib/python3.8/site-packages/jax/api.py /^ ndim = property(lambda self: len(self.shape))$/;" v class:ShapeDtypeStruct +ndim adpepsenv/lib/python3.8/site-packages/jax/core.py /^ ndim = property(lambda self: len(self.shape))$/;" v class:ShapedArray +ndim adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def ndim(self):$/;" m class:_DeviceArray +ndim adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ndim = _ndim = np.ndim$/;" v +ndim adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def ndim(a):$/;" f +ndim adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def ndim(obj):$/;" f +ndim adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline int ndim(self) nogil:$/;" m class:numpy +ndim adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ ndim = 2$/;" v class:spmatrix +ndim adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ ndim = 2$/;" v class:LinearOperator +ndim adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def ndim(self):$/;" m class:BinopTester_with_shape +ndim adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def ndim(self):$/;" m class:_EagerTensorBase +ndim adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ndim(x):$/;" f +ndim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def ndim(self):$/;" m class:ndarray +ndim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ndim(a):$/;" f +ndimage adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^import scipy.ndimage as ndimage$/;" I +ndimage adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^import scipy.ndimage as ndimage$/;" I +ndimage_to_numpy_mode adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ndimage_to_numpy_mode = {$/;" v +ndims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ndims = 2$/;" v +ndims adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def ndims(self):$/;" m class:TensorShape +ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def ndims(self):$/;" m class:TensorShape +ndincr adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def ndincr(self):$/;" m class:ndindex +ndindex adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class ndindex:$/;" c +ndown adpeps/ipeps/models/common.py /^ndown = np.array([$/;" v +ndpointer adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^def ndpointer(dtype=None, ndim=None, shape=None, flags=None):$/;" f +NdPPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class NdPPoly(object):$/;" c +ndtr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def ndtr(x):$/;" f +ndtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t ndtr(Dd_number_t x0) nogil$/;" f +ndtr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def ndtr(x, name="ndtr"):$/;" f +ndtri adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def ndtri(p):$/;" f +ndtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double ndtri(double x0) nogil$/;" f +ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ndtri = _unary_op(math_ops.ndtri)$/;" v +ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def ndtri(p, name="ndtri"):$/;" f +ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ndtri(x, name=None):$/;" f +Ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Ndtri = tf_export("raw_ops.Ndtri")(_ops.to_raw_op(ndtri))$/;" v +ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def ndtri(x, name=None):$/;" f +ndtri_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ndtri_eager_fallback(x, name, ctx):$/;" f +NDT_ARRAY_FLAGS adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^NDT_ARRAY_FLAGS = MDTYPES[native_code]['dtypes']['array_flags']$/;" v +NDT_FILE_HDR adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^NDT_FILE_HDR = MDTYPES[native_code]['dtypes']['file_header']$/;" v +NDT_TAG_FULL adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^NDT_TAG_FULL = MDTYPES[native_code]['dtypes']['tag_full']$/;" v +NDT_TAG_SMALL adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^NDT_TAG_SMALL = MDTYPES[native_code]['dtypes']['tag_smalldata']$/;" v +nd_grid adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class nd_grid:$/;" c +ne adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^ne = np.not_equal$/;" v +ne adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def ne(x: Array, y: Array) -> Array:$/;" f +ne adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ne = _broadcasting_binary_op(math_ops.not_equal)$/;" v +ne adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import tensor_not_equals as ne$/;" x +ne adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^from tensorflow.python.ops.math_ops import tensor_not_equals as ne$/;" x +ne adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def ne(g, self, other):$/;" f +NEAREST adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^ NEAREST = 0$/;" v class:ResizeMethod +NEAREST adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^NEAREST = NONE = 0$/;" v +NearestNDInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/ndgriddata.py /^class NearestNDInterpolator(NDInterpolatorBase):$/;" c +NearestNeighbors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^NearestNeighbors = tf_export("raw_ops.NearestNeighbors")(_ops.to_raw_op(nearest_neighbors))$/;" v +NEAREST_NEIGHBOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ NEAREST_NEIGHBOR = 'nearest'$/;" v class:ResizeMethod +NEAREST_NEIGHBOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ NEAREST_NEIGHBOR = 1$/;" v class:ResizeMethodV1 +nearest_neighbors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def nearest_neighbors(points, centers, k, name=None):$/;" f +nearest_neighbors_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^def nearest_neighbors_eager_fallback(points, centers, k, name, ctx):$/;" f +nearing_recursion_limit adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def nearing_recursion_limit():$/;" f +NEC_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ NEC_AAC = 0x00B0$/;" v class:WAVE_FORMAT +NeedAll adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^def NeedAll(op, g_output):$/;" f +neededmodule adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^neededmodule = -1$/;" v +needs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^needs = {}$/;" v +needs_g77_abi_wrapper adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def needs_g77_abi_wrapper(info):$/;" f +needs_ghostscript adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^needs_ghostscript = pytest.mark.skipif($/;" v +needs_ghostscript adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^needs_ghostscript = pytest.mark.skipif($/;" v +needs_keras_history adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def needs_keras_history(tensors, ignore_call_context=False):$/;" f +needs_lualatex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^needs_lualatex = pytest.mark.skipif(not check_for('lualatex'),$/;" v +needs_makedirs adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/py31compat.py /^needs_makedirs = ($/;" v +needs_observation adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^ def needs_observation(m):$/;" f function:add_observer_ file: +needs_pdflatex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^needs_pdflatex = pytest.mark.skipif(not check_for('pdflatex'),$/;" v +needs_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^needs_usetex = pytest.mark.skipif($/;" v +needs_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^needs_usetex = pytest.mark.skipif($/;" v +needs_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^needs_usetex = pytest.mark.skipif($/;" v +needs_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^needs_usetex = pytest.mark.skipif($/;" v +needs_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^needs_usetex = pytest.mark.skipif($/;" v +needs_xelatex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^needs_xelatex = pytest.mark.skipif(not check_for('xelatex'),$/;" v +need_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def need_blob(self, blob):$/;" m class:Analyzer +need_events adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def need_events(self, count):$/;" m class:Emitter +need_more_events adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def need_more_events(self):$/;" m class:Emitter +need_more_tokens adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def need_more_tokens(self):$/;" m class:Scanner +need_version_info adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def need_version_info(self, url):$/;" m class:PackageIndex +neff adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def neff(self):$/;" m class:gaussian_kde +neg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def neg(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +neg adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^neg = np.negative$/;" v +neg adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def neg(x: Array) -> Array:$/;" f +neg adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^neg = _unary_op(math_ops.neg)$/;" v +NEG adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ NEG = 59$/;" v class:BuiltinOperator +neg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def neg(x, name=None):$/;" f +Neg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Neg = tf_export("raw_ops.Neg")(_ops.to_raw_op(neg))$/;" v +neg adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def neg(g, self):$/;" f +negate_strides adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def negate_strides(arrs):$/;" f +NEGATIVE adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ NEGATIVE = 0$/;" v class:SequenceLikelihood +negative adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^negative = _one_to_one_unop(np.negative, lax.neg)$/;" v +negative adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^negative = _MaskedUnaryOperation(umath.negative)$/;" v +negative adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def negative(mode="RGB"):$/;" f +NEGATIVE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ NEGATIVE = 0$/;" v class:SequenceLikelihood +negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^negative = gen_math_ops.neg$/;" v +negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def negative(x):$/;" f +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +negative adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_math_ops import neg as negative$/;" x +NegativeBinomial adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^class NegativeBinomial(Distribution):$/;" c +NegativeInfinity adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^NegativeInfinity = NegativeInfinityType()$/;" v +NegativeInfinity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^class NegativeInfinity(object):$/;" c +NegativeInfinity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^NegativeInfinity = NegativeInfinity()$/;" v +NegativeInfinity adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class NegativeInfinity(object):$/;" c +NegativeInfinity adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^NegativeInfinity = NegativeInfinity()$/;" v +NegativeInfinity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^class NegativeInfinity(object):$/;" c +NegativeInfinity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^NegativeInfinity = NegativeInfinity()$/;" v +NegativeInfinityType adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^class NegativeInfinityType(object):$/;" c +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ negative_opt = {'always-unzip': 'zip-ok'}$/;" v class:easy_install +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ negative_opt = {$/;" v class:egg_info +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ negative_opt = {}$/;" v class:sdist +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ negative_opt = {'no-keep-temp': 'keep-temp',$/;" v class:bdist_rpm +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ negative_opt = {'no-compile' : 'compile'}$/;" v class:build_py +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ negative_opt = {'no-compile' : 'compile'}$/;" v class:install +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ negative_opt = {'no-compile' : 'compile'}$/;" v class:install_lib +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ negative_opt = {'no-defaults': 'use-defaults',$/;" v class:sdist +negative_opt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ negative_opt = {'quiet': 'verbose'}$/;" v class:Distribution +NEGATIVE_SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ NEGATIVE_SHORTCUT_THRESHOLD = 0.05$/;" v class:SingleByteCharSetProber +NEGATIVE_SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ NEGATIVE_SHORTCUT_THRESHOLD = 0.05$/;" v class:SingleByteCharSetProber +NegFil adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class NegFil(Glue):$/;" c +NegFill adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class NegFill(Glue):$/;" c +NegFilll adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class NegFilll(Glue):$/;" c +NegOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ NegOptions = 42$/;" v class:BuiltinOptions +NegOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class NegOptions(object):$/;" c +NegOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NegOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:NegOptions +NegOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def NegOptionsEnd(builder): return builder.EndObject()$/;" f +NegOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def NegOptionsStart(builder): builder.StartObject(0)$/;" f +NegOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class NegOptionsT(object):$/;" c +neg_alias_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^neg_alias_re = re.compile("^(%s)=!(%s)$" % (longopt_pat, longopt_pat))$/;" v +neg_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def neg_eager_fallback(x, name, ctx):$/;" f +neg_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^ def neg_grad_ref(X):$/;" f member:TestNegateGradient.test_forward file: +NEG_INF adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^NEG_INF = -1e10$/;" v +neg_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^neg_p = standard_unop(_num, 'neg')$/;" v +neighbors adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def neighbors(self):$/;" m class:Triangulation +NEIGH_MODE adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^NEIGH_MODE = {'zero': 0, 'one': 1, 'constant': 2, 'circular': 3, 'mirror': 4}$/;" v +Nested adpeps/utils/nested.py /^class Nested:$/;" c +nestedExpr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def nestedExpr(opener="(", closer=")", content=None, ignoreExpr=quotedString.copy()):$/;" f +nestedExpr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def nestedExpr(opener="(", closer=")", content=None, ignoreExpr=quotedString.copy()):$/;" f +nestedExpr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def nestedExpr(opener="(", closer=")", content=None, ignoreExpr=quotedString.copy()):$/;" f +nestedExpr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def nestedExpr(opener="(", closer=")", content=None, ignoreExpr=quotedString.copy()):$/;" f +NestedFunctionalInSubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ class NestedFunctionalInSubclassModel(keras.Model):$/;" c function:nested_functional_in_subclassed_model file: +NestedIOFunction adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class NestedIOFunction(Function):$/;" c +NestedMessageContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class NestedMessageContent(univ.SequenceOf):$/;" c +nestedMessageContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^nestedMessageContent = NestedMessageContent().subtype($/;" v +NestedModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class NestedModel(torch.nn.Module):$/;" c +NestedProblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ class NestedProblem():$/;" c member:TestSLSQP.test_nested_minimization file: +NestedSubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ class NestedSubclassModel(keras.Model):$/;" c function:nested_subclassed_model file: +NestedTestModel1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class NestedTestModel1(keras.Model):$/;" c +NestedTestModel2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class NestedTestModel2(keras.Model):$/;" c +nested_functional_in_subclassed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def nested_functional_in_subclassed_model():$/;" f +nested_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^def nested_functions(x):$/;" f +nested_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def nested_remote(dst):$/;" f +nested_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def nested_row_lengths(self, name=None):$/;" m class:RaggedTensor +nested_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def nested_row_splits(self):$/;" m class:RaggedTensor +nested_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def nested_row_splits(self):$/;" m class:RaggedTensorValue +nested_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def nested_rpc(dst):$/;" f +nested_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def nested_rref(dst):$/;" f +nested_sequential_in_functional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def nested_sequential_in_functional():$/;" f +nested_subclassed_in_functional_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def nested_subclassed_in_functional_model():$/;" f +nested_subclassed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def nested_subclassed_model():$/;" f +nested_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def nested_value_rowids(self, name=None):$/;" m class:RaggedTensor +nesterov adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def nesterov(step_size: Schedule, mass: float):$/;" f +nest_pad adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def nest_pad(tensor_or_dict):$/;" f member:_PaddingSignals.pad_features_and_labels file: +nest_toc_tokens adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def nest_toc_tokens(toc_list):$/;" f +net adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ net, pretrained_params = TranslateModel($/;" v +Net adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Net(self):$/;" m class:BlobReference +Net adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class Net(object):$/;" c +net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def net(self, net=None, name=None):$/;" m class:Operations +net adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/observer_test.py /^net = core.Net("test_net")$/;" v +Net adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network1.py /^class Net(nn.Module):$/;" c +Net adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network2.py /^class Net(nn.Module):$/;" c +Net adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class Net(nn.Module):$/;" c +net2list adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^def net2list(net_root):$/;" f +netbuilder adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^def netbuilder(model):$/;" f +NetBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class NetBuilder(object):$/;" c +NetCDFFile adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NetCDFFile = netcdf_file$/;" v +NetCDFVariable adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^NetCDFVariable = netcdf_variable$/;" v +netcdf_file adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^class netcdf_file(object):$/;" c +netcdf_variable adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^class netcdf_variable(object):$/;" c +NetDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^NetDef = _reflection.GeneratedProtocolMessageType('NetDef', (_message.Message,), {$/;" v +NetDefNode adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^class NetDefNode():$/;" c +NetFxSdkDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def NetFxSdkDir(self):$/;" m class:SystemInfo +NetFxSDKIncludes adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def NetFxSDKIncludes(self):$/;" m class:EnvironmentInfo +NetFxSDKLibraries adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def NetFxSDKLibraries(self):$/;" m class:EnvironmentInfo +NetFxSdkVersion adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def NetFxSdkVersion(self):$/;" m class:SystemInfo +netfx_sdk adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def netfx_sdk(self):$/;" m class:RegistryInfo +NetGradientChecker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^class NetGradientChecker(object):$/;" c +netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def netloc(self):$/;" m class:Link +netloc adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def netloc(self):$/;" m class:Url +netloc adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def netloc(self):$/;" m class:Url +NetmaskValueError adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class NetmaskValueError(ValueError):$/;" c +NetModifier adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/net_modifier.py /^class NetModifier(six.with_metaclass(abc.ABCMeta, object)):$/;" c +NetProcessor adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^class NetProcessor(object):$/;" c +NETRC_FILES adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^NETRC_FILES = ('.netrc', '_netrc')$/;" v +NETRC_FILES adpepsenv/lib/python3.8/site-packages/requests/utils.py /^NETRC_FILES = ('.netrc', '_netrc')$/;" v +Nets adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Nets(self):$/;" m class:ExecutionStep +Nets adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Nets(self):$/;" m class:Plan +NetsMap adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^NetsMap = _reflection.GeneratedProtocolMessageType('NetsMap', (_message.Message,), {$/;" v +nets_to_graph_def adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def nets_to_graph_def(nets, shapes=None, **kwargs):$/;" f +nets_to_graph_def adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def nets_to_graph_def(nets, shapes=None, **kwargs):$/;" f +NetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class NetworkAddress(univ.Choice):$/;" c +NetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class NetworkAddress(X121Address):$/;" c +NetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class NetworkAddress(X121Address):$/;" c +NetworkAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class NetworkAddress(X121Address):$/;" c +NetworkConnectionError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class NetworkConnectionError(PipError):$/;" c +NetworkError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class NetworkError(AbortionError):$/;" c +NetworkSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/network_serialization.py /^class NetworkSavedModelSaver(model_serialization.ModelSavedModelSaver):$/;" c +network_endpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def network_endpoints(self):$/;" m class:Client +NETWORK_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ NETWORK_FAILURE = 'network failure'$/;" v class:Abortion.Kind +net_assert adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def net_assert(net, num_ops, inputs, outputs, internals):$/;" f member:TestCloneNet.testPartialClone file: +NET_BASE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ NET_BASE = r"Software\\Microsoft\\.NETFramework"$/;" v +NET_BASE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ NET_BASE = r"Software\\Wow6432Node\\Microsoft\\.NETFramework"$/;" v +net_DAG_Builder adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def net_DAG_Builder(model):$/;" f +NeuralNetData adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^NeuralNetData = C.NeuralNetData$/;" v +NeuralNetOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^NeuralNetOperator = C.NeuralNetOperator$/;" v +NeverTriggerTimer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class NeverTriggerTimer(_HookTimer):$/;" c +never_call adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def never_call(x):$/;" f member:TestApplyAlongAxis.test_empty file: +never_record_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def never_record_summaries():$/;" f +never_reject adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def never_reject(self, result):$/;" m class:Retrying +new adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def new(mode, size, color=0):$/;" f +new adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^def new(img, readonly=False):$/;" f +new adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def new(self, **kw):$/;" m class:Retry +new adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def new(node, function, enclosing_graph):$/;" m class:_Node +new adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def new(obj):$/;" m class:SerializedAttributes +new adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def new(self, **kw):$/;" m class:Retry +newaxis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^newaxis = None$/;" v +newaxis adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^newaxis = None$/;" v +newaxis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^newaxis = None$/;" v +newaxis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^newaxis = np_export.np_export_constant(__name__, 'newaxis', np.newaxis)$/;" v +NewAxisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NewAxisMask(self):$/;" m class:StridedSliceOptions +NewCheckpointReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/py_checkpoint_reader.py /^def NewCheckpointReader(filepattern):$/;" f +newcls adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ class newcls(cls, exception):$/;" c member:HTTPException.wrap file: +NewConnectionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class NewConnectionError(ConnectTimeoutError, PoolError):$/;" c +NewConnectionError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class NewConnectionError(ConnectTimeoutError, PoolError):$/;" c +newer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def newer(self, source, target):$/;" m class:FileOperator +newer adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dep_util.py /^def newer (source, target):$/;" f +newer_group adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dep_util.py /^def newer_group (sources, target, missing='error'):$/;" f +newer_pairwise adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dep_util.py /^def newer_pairwise (sources, targets):$/;" f +newer_pairwise_group adpepsenv/lib/python3.8/site-packages/setuptools/dep_util.py /^def newer_pairwise_group(sources_groups, targets):$/;" f +newFrame adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def newFrame(self):$/;" m class:AppendingTiffWriter +NewFunc adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def NewFunc(*args, **kwargs):$/;" f function:_Deprecated file: +newfunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def newfunc(*args, **kwargs):$/;" f function:filter_deprecation file: +newfunc adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ def newfunc(*args,**kwds):$/;" f member:_Deprecate.__call__ file: +newkeys adpepsenv/lib/python3.8/site-packages/rsa/key.py /^def newkeys(nbits: int,$/;" f +newline adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ newline = whitespace_optional + newline_only + whitespace_optional$/;" v class:PdfParser +NEWLINE_CLEANUP_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ NEWLINE_CLEANUP_RE = re.compile(r'\\s+', re.MULTILINE)$/;" v class:ReferenceInlineProcessor +newline_only adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ newline_only = br"[\\r\\n]+"$/;" v class:PdfParser +newlist_hint adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ newlist_hint = lambda size: []$/;" f +NewModuleTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class NewModuleTest(InputVariableMixin, ModuleTest):$/;" c +newPage adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def newPage(self, width, height):$/;" m class:PdfFile +NewProfileSessionRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^NewProfileSessionRequest = _reflection.GeneratedProtocolMessageType('NewProfileSessionRequest', /;" v +NewProfileSessionResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^NewProfileSessionResponse = _reflection.GeneratedProtocolMessageType('NewProfileSessionResponse'/;" v +NewRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def NewRecord(net, schema):$/;" f +NewSession adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^ def NewSession(self, request, context):$/;" m class:ProfileAnalysisServicer +NewSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^ def NewSession(self, request, context):$/;" m class:ProfileAnalysisServicer +NewShape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NewShape(self, j):$/;" m class:ReshapeOptions +NewShapeAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NewShapeAsNumpy(self):$/;" m class:ReshapeOptions +NewShapeIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NewShapeIsNone(self):$/;" m class:ReshapeOptions +NewShapeLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NewShapeLength(self):$/;" m class:ReshapeOptions +newTextnote adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def newTextnote(self, text, positionRect=[-100, -100, 0, 0]):$/;" m class:PdfFile +newton adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def newton(func, x0, fprime=None, args=(), tol=1.48e-8, maxiter=50,$/;" f +newton_cotes adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def newton_cotes(rn, equal=0):$/;" f +newton_krylov adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^newton_krylov = _nonlin_wrapper('newton_krylov', KrylovJacobian)$/;" v +NEWTON_MAXITER adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^NEWTON_MAXITER = 4$/;" v +NEWTON_MAXITER adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^NEWTON_MAXITER = 6 # Maximum number of Newton iterations.$/;" v +newton_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^ def newton_point(self):$/;" m class:DoglegSubproblem +NewType adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def NewType(name, tp):$/;" f +NewType adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ NewType = typing.NewType$/;" v +new_arg adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_arg(self, aval):$/;" m class:DynamicJaxprTrace +new_arg adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_arg(self, pval: PartialVal) -> 'JaxprTracer':$/;" m class:JaxprTrace +new_attr adpeps/utils/ctmtensors.py /^ new_attr = property(partial(_wrap_f, fieldname=attr, ix=i))$/;" v +new_attr adpeps/utils/ctmtensors.py /^ new_attr = property(partial(_wrap_nested_f, fieldname=attr, ix=i),$/;" v +new_axes adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def new_axes(self, ax):$/;" m class:SpanSelector +NEW_AXIS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^NEW_AXIS = -1$/;" v +new_base_main adpepsenv/lib/python3.8/site-packages/jax/core.py /^def new_base_main(trace_type: Type[Trace]) -> Generator[MainTrace, None, None]:$/;" f +new_body_tf_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def new_body_tf_func(pred_b: TfVal, *carry: TfVal) -> Sequence[TfVal]:$/;" f function:_batched_cond_while file: +new_bounds_to_old adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def new_bounds_to_old(lb, ub, n):$/;" f +new_child adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def new_child(self): # like Django's Context.push()$/;" m class:ChainMap +new_commands adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ new_commands = [$/;" v class:install +new_common_args adpepsenv/lib/python3.8/site-packages/torch/_tensor_docs.py /^new_common_args = parse_kwargs("""$/;" v +new_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def new_compiler (plat=None,$/;" f +new_compiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^def new_compiler(plat=None, compiler=None, verbose=0, dry_run=0, force=0):$/;" f +new_cond_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def new_cond_branch(self, section_id):$/;" m class:GraphBuilder +new_cond_tf_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def new_cond_tf_func(pred_b: TfVal, *carry: TfVal) -> TfVal:$/;" f function:_batched_cond_while file: +new_const adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_const(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +new_const adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_const(self, val):$/;" m class:DynamicJaxprTrace +new_constraint_to_old adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def new_constraint_to_old(con, x0):$/;" f +new_dist_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^new_dist_class = InstalledDistribution$/;" v +new_downcast_intp_index adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def new_downcast_intp_index(arr):$/;" f function:with_64bit_maxval_limit file: +new_empty adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def new_empty(g, self, sizes, dtype, layout, device, pin_memory=False):$/;" f +new_enum adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .h5t import py_new_enum as new_enum$/;" x +new_eqn_recipe adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def new_eqn_recipe(invars: Sequence[JaxprTracer],$/;" f +new_executor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^def new_executor(enable_async):$/;" f +new_fcompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def new_fcompiler(plat=None,$/;" f +new_figure_manager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^def new_figure_manager(num, *args, FigureClass=Figure, **kwargs):$/;" f +new_figure_manager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def new_figure_manager(cls, num, *args, **kwargs):$/;" m class:_BackendWx +new_figure_manager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def new_figure_manager(cls, num, *args, **kwargs):$/;" m class:_Backend +new_figure_manager adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def new_figure_manager(*args, **kwargs):$/;" f +new_figure_manager_given_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def new_figure_manager_given_figure(num, figure):$/;" m class:_BackendNbAgg +new_figure_manager_given_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^def new_figure_manager_given_figure(num, figure):$/;" f +new_figure_manager_given_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def new_figure_manager_given_figure(cls, num, figure):$/;" m class:_BackendWx +new_figure_manager_given_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def new_figure_manager_given_figure(cls, num, figure):$/;" m class:_BackendTk +new_figure_manager_given_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def new_figure_manager_given_figure(cls, num, figure):$/;" m class:_Backend +new_fixed_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_fixed_axis(self, loc, offset=None):$/;" m class:Axes +new_fixed_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_fixed_axis(self, loc,$/;" m class:GridHelperRectlinear +new_fixed_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def new_fixed_axis(self, loc,$/;" m class:GridHelperCurveLinear +new_fixed_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def new_fixed_axis(self, loc,$/;" m class:GridHelperCurveLinear +new_floating_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_floating_axis(self, nth_coord, value, axis_direction="bottom"):$/;" m class:Axes +new_floating_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_floating_axis(self, nth_coord, value,$/;" m class:GridHelperRectlinear +new_floating_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def new_floating_axis(self, nth_coord,$/;" m class:GridHelperCurveLinear +new_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def new_fn(*tensors_):$/;" f function:partial_apply_nontensors file: +new_frame_seq adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def new_frame_seq(self):$/;" m class:Animation +new_frame_seq adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def new_frame_seq(self):$/;" m class:FuncAnimation +new_full adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def new_full(g, self, size, fill_value, dtype, layout, device, pin_memory=False):$/;" f +new_func adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^ def new_func(*args):$/;" f function:_apply_excluded file: +new_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def new_func(*args, **kwargs):$/;" f member:suppress_warnings.__call__ file: +new_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def new_func(*args, **kwargs): # pylint: disable=missing-docstring$/;" f function:deprecated.deprecated_wrapper file: +new_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def new_func(*args, **kwargs): # pylint: disable=missing-docstring$/;" f function:deprecated_alias file: +new_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def new_func(*args, **kwargs):$/;" f function:deprecated_args.deprecated_wrapper file: +new_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def new_func(*args, **kwargs):$/;" f function:deprecated_arg_values.deprecated_wrapper file: +new_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/keyword_args.py /^ def new_func(*args, **kwargs):$/;" f function:keyword_args_only file: +new_func adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def new_func(*args):$/;" f function:gradgradcheck file: +new_func3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^new_func3 = deprecate(old_func3, old_name="old_func3", new_name="new_func3")$/;" v +new_func4 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^new_func4 = deprecate(old_func4)$/;" v +new_func5 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^new_func5 = deprecate(old_func5, message="This function is\\ndeprecated.")$/;" v +new_func6 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^new_func6 = deprecate(old_func6)$/;" v +new_function adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^ def new_function(*args, **kwargs):$/;" f function:check_internet_off file: +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def new_gc(self):$/;" m class:RendererCairo +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def new_gc(self):$/;" m class:RendererPdf +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def new_gc(self):$/;" m class:RendererPS +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def new_gc(self):$/;" m class:RendererTemplate +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def new_gc(self):$/;" m class:RendererWx +new_gc adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def new_gc(self):$/;" m class:RendererBase +new_get_index_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def new_get_index_dtype(arrays=(), maxval=None, check_contents=False):$/;" f function:with_64bit_maxval_limit file: +new_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_gridlines(self, ax):$/;" m class:GridHelperBase +new_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def new_gridlines(self, grid_helper=None):$/;" m class:Axes +new_group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def new_group(ranks=None, timeout=default_pg_timeout, backend=None):$/;" f +new_horizontal adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def new_horizontal(self, size, pad=None, pack_start=False, **kwargs):$/;" m class:AxesDivider +new_instantiated_const adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_instantiated_const(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +new_instantiated_literal adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_instantiated_literal(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +new_jaxpr_eqn adpepsenv/lib/python3.8/site-packages/jax/core.py /^def new_jaxpr_eqn(invars, outvars, primitive, params, source_info=None):$/;" f +new_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def new_line(self, axis_artist, transform):$/;" m class:AxislineStyle.SimpleArrow +new_lines adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ new_lines = []$/;" v +new_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def new_list(iterable=None):$/;" f +new_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def new_locator(self, nx, nx1=None):$/;" m class:HBoxDivider +new_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def new_locator(self, nx, ny, nx1=None, ny1=None):$/;" m class:Divider +new_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def new_locator(self, ny, ny1=None):$/;" m class:VBoxDivider +new_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def new_loss():$/;" f member:LossScaleOptimizer.get_scaled_loss file: +new_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def new_loss():$/;" f member:MixedPrecisionLossScaleOptimizer._scale_loss file: +new_main adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def new_main(trace_type: Type[Trace], bottom=False, **payload) -> Generator[MainTrace, None, N/;" f function:omnistaging_disabler file: +new_main adpepsenv/lib/python3.8/site-packages/jax/core.py /^def new_main(trace_type: Type[Trace],$/;" f +new_method adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ new_method = method_factory(method_name, docstring)$/;" v +new_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def new_model_fn(features, labels, mode):$/;" f member:_BoostedTreesBase.experimental_predict_with_explanations file: +new_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^ def new_model_fn(features, labels, mode, config):$/;" f function:add_metrics file: +new_module_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^new_module_tests = [$/;" v +new_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def new_name(self, stem='tmp'):$/;" m class:DummyGensym +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def new_out_axes_thunk():$/;" f function:omnistaging_disabler.call_bind file: +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def new_out_axes_thunk():$/;" f function:call_bind file: +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def new_out_axes_thunk():$/;" f function:_batch_trace_process_xmap file: +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def new_out_axes_thunk():$/;" f member:JVPTrace.process_call file: +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def new_out_axes_thunk():$/;" f member:BatchTrace.process_map file: +new_out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def new_out_axes_thunk():$/;" f function:JaxprTrace.process_call.app file: +new_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def new_reader(self, **kwargs):$/;" m class:CompositeReaderBuilder +new_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def new_reader(self, **kwargs):$/;" m class:PipedReaderBuilder +new_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def new_reader(self, **kwargs):$/;" m class:ReaderBuilder +new_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def new_reader(self, **kwargs):$/;" m class:TestReaderBuilder +new_record adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def new_record(self, schema_obj):$/;" m class:LayersTestCase +new_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def new_restore_ops(self, new_ops):$/;" m class:_CheckpointRestoreCoordinator +new_saved_frame_seq adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def new_saved_frame_seq(self):$/;" m class:Animation +new_saved_frame_seq adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def new_saved_frame_seq(self):$/;" m class:FuncAnimation +new_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def new_shape(_, old_shape):$/;" f function:atleast_3d file: +new_state adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def new_state(self):$/;" m class:OAuth2Session +new_sublevel adpepsenv/lib/python3.8/site-packages/jax/core.py /^def new_sublevel() -> Generator[None, None, None]:$/;" f +new_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def new_subplotspec(self, loc, rowspan=1, colspan=1):$/;" m class:GridSpecBase +new_symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/naming.py /^ def new_symbol(self, name_root, reserved_locals):$/;" m class:Namer +new_test_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^ def new_test_method(self, *arg, **kwargs):$/;" f function:dist_init file: +new_tf_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^def new_tf_operations(graph):$/;" f +new_timer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def new_timer(self, *args, **kwargs):$/;" m class:FigureCanvasTk +new_timer adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def new_timer(self, interval=None, callbacks=None):$/;" m class:FigureCanvasBase +new_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def new_type(x):$/;" f function:NewType file: +new_vertical adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def new_vertical(self, size, pad=None, pack_start=False, **kwargs):$/;" m class:AxesDivider +new_vlen adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .h5t import py_new_vlen as new_vlen$/;" x +new_zeros adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def new_zeros(g, self, sizes, dtype, layout, device, pin_memory=False):$/;" f +next adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def next(self):$/;" m class:_timelex +next adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ next = __next__$/;" v class:rruleset._genitem +next adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def next(self):$/;" m class:_Rendezvous +next adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def next(self):$/;" m class:IterableConsumer +next adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def next(self):$/;" m class:_Rendezvous +next adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def next(self):$/;" m class:_FailureOutcome +next adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def next(self):$/;" m class:_RequestIterator +next adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def next(self): # For PY2$/;" m class:_BodyTracer +next adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def next(self):$/;" m class:_Stream +next adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def next(self, advance=True):$/;" m class:TokenGenerator +next adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def next(self):$/;" m class:BitStream +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def next(self):$/;" m class:CSVReader +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def next(self):$/;" m class:TarFile +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ next = __next__ # for Python 2.x$/;" v class:TarIter +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def next(self):$/;" m class:EncodingBytes +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ next = __next__$/;" v class:Unpacker +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def next(self, n=1):$/;" m class:Infinite +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def next(self):$/;" m class:Response +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^next = advance_iterator$/;" v +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^next = advance_iterator$/;" v +next adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^next = advance_iterator$/;" v +next adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def next(self):$/;" m class:Response +next adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ next = __next__$/;" v class:DifferentialEvolutionSolver +next adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def next(self, title, next, name = "Next", active = 1):$/;" m class:PyDialog +next adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^next = advance_iterator$/;" v +next adpepsenv/lib/python3.8/site-packages/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/six.py /^next = advance_iterator$/;" v +next adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ next = __next__ # for python2 compatibility$/;" v class:_PyRecordReaderIterator +next adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def next(self):$/;" m class:GFile +next adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def next(self):$/;" m class:EncodingBytes +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def next(self):$/;" m class:_NumpyIterator +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def next(self): # For Python 2 compatibility$/;" m class:OwnedIterator +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def next(self):$/;" m class:OwnedMultiDeviceIterator +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def next(self):$/;" m class:DistributedIteratorBase +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ next = __next__ # python2.x compatibility.$/;" v class:_TensorIterator +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ next = __next__ # python2.x compatibility.$/;" v class:_KerasTensorIterator +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def next(self):$/;" m class:ThreadsafeIter +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def next(self):$/;" m class:FileIO +next adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^ next = __next__$/;" v class:_SummaryIterator +next adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ next = __next__ # Python 2 compatibility$/;" v class:NoArgsClass +next adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ next = __next__ # Python 2 compatibility$/;" v class:_BaseDataLoaderIter +next adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def next(self):$/;" m class:Iterator +next adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^next = advance_iterator$/;" v +nextafter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def nextafter(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +nextafter adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^nextafter = np.nextafter$/;" v +nextafter adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def nextafter(x1: Array, x2: Array) -> Array:$/;" f +nextafter adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^nextafter = _one_to_one_binop(np.nextafter, lax.nextafter, True, True)$/;" v +NextAfter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^NextAfter = tf_export("raw_ops.NextAfter")(_ops.to_raw_op(next_after))$/;" v +nextafter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^nextafter = gen_math_ops.next_after$/;" v +nextafter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def nextafter(x1, x2):$/;" f +nextafter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import next_after as nextafter$/;" x +nextafter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import next_after as nextafter$/;" x +nextafter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.gen_math_ops import next_after as nextafter$/;" x +nextafter_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^nextafter_p = standard_naryop($/;" v +NextBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def NextBlob(self, prefix='unnamed'):$/;" m class:Net +nextheader adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def nextheader(fobj):$/;" f +NextIteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^NextIteration = tf_export("raw_ops.NextIteration")(_ops.to_raw_op(next_iteration))$/;" v +NextName adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def NextName(self, prefix=None, output_id=None):$/;" m class:Net +NextScopedBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def NextScopedBlob(self, prefix='unnamed'):$/;" m class:Net +NextToken adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def NextToken(self):$/;" m class:Tokenizer +next_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def next_(iterator, default=UNSPECIFIED):$/;" f +next_after adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def next_after(x1, x2, name=None):$/;" f +next_after_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def next_after_eager_fallback(x1, x2, name, ctx):$/;" f +NEXT_BATCH adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ NEXT_BATCH = -1$/;" v class:_SIGNAL +next_d adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^next_d = bit_gen.cffi.next_double$/;" v +next_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ next_data = lambda: next(generator)$/;" f member:CombinerPreprocessingLayer.adapt file: +next_fast_len adpepsenv/lib/python3.8/site-packages/scipy/fft/_helper.py /^def next_fast_len(target, real=False):$/;" f +next_fast_len adpepsenv/lib/python3.8/site-packages/scipy/fft/_helper.py /^next_fast_len = update_wrapper(lru_cache()(_helper.good_size), next_fast_len)$/;" v +next_fast_len adpepsenv/lib/python3.8/site-packages/scipy/fftpack/helper.py /^def next_fast_len(target):$/;" f +next_id adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ next_id: int = 0$/;" v class:RemovableHandle +next_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def next_iteration(data, name=None):$/;" f +next_iteration_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def next_iteration_eager_fallback(data, name, ctx):$/;" f +next_layer_name adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def next_layer_name(self, prefix):$/;" m class:LayerModelHelper +next_level adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def next_level(self, bottom: bool) -> int:$/;" m class:omnistaging_disabler.TraceStack +next_level adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def next_level(self) -> int:$/;" m class:TraceStack +next_name adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def next_name(self):$/;" m class:TokenGenerator +next_object_id adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def next_object_id(self, offset=None):$/;" m class:PdfParser +next_of_type adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def next_of_type(self, token_type):$/;" m class:TokenGenerator +next_phase adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def next_phase(self):$/;" m class:DownloadProgressSpinner +next_possible_simple_key adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def next_possible_simple_key(self):$/;" m class:Scanner +next_py adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def next_py(iterator, default=UNSPECIFIED):$/;" f +next_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def next_sample(uid):$/;" f +next_state adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def next_state(self, c):$/;" m class:CodingStateMachine +next_state adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def next_state(self, c):$/;" m class:CodingStateMachine +next_tf_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def next_tf_iterator(iterator, default=UNSPECIFIED):$/;" f +next_token adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def next_token(self):$/;" m class:Tokenizer +next_u32 adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^next_u32 = bit_gen.ctypes.next_uint32$/;" v +ne_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ne_p = naryop(_fixed_dtype(np.bool_), [_any, _any], 'ne')$/;" v +nfb adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^import numpy.lib.function_base as nfb$/;" I +nfiles adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^def nfiles():$/;" f +ng adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^import caffe2.python.nomnigraph as ng$/;" I +ng adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations.py /^import caffe2.python.nomnigraph as ng$/;" I +ng adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^import caffe2.python.nomnigraph as ng$/;" I +ngrams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def ngrams(data,$/;" f +NgramSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def NgramSize(self):$/;" m class:SkipGramOptions +ngroups adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^def ngroups():$/;" f +NHWC adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^class NHWC(object):$/;" c +NHWC2NCHW adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def NHWC2NCHW(tensor):$/;" f +nhwc2nchw adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def nhwc2nchw(g, input):$/;" f +nhwc2nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^ def nhwc2nchw_ref(X):$/;" f member:OrderSwitchOpsTest.test_nhwc2nchw file: +NHWCToNCHW adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def NHWCToNCHW(input_tensor):$/;" f +NHWCToNCHW_VECT_C adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def NHWCToNCHW_VECT_C(input_shape_or_tensor):$/;" f +nhypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^nhypergeom = nhypergeom_gen(name='nhypergeom')$/;" v +nhypergeom_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class nhypergeom_gen(rv_discrete):$/;" c +nic adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ import numpy.core._internal as nic$/;" I +NICE_ACA adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ NICE_ACA = 0xA118$/;" v class:WAVE_FORMAT +NICE_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ NICE_ADPCM = 0xA119$/;" v class:WAVE_FORMAT +NICE_G728 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ NICE_G728 = 0xA122$/;" v class:WAVE_FORMAT +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ nickname = 'cheb'$/;" v class:Chebyshev +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ nickname = 'herm'$/;" v class:Hermite +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ nickname = 'herme'$/;" v class:HermiteE +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ nickname = 'lag'$/;" v class:Laguerre +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ nickname = 'leg'$/;" v class:Legendre +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ nickname = 'poly'$/;" v class:Polynomial +nickname adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def nickname(self):$/;" m class:ABCPolyBase +NINF adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^NINF = np.NINF$/;" v +NInPolymorphicTwice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NInPolymorphicTwice = tf_export("raw_ops.NInPolymorphicTwice")(_ops.to_raw_op(n_in_polymorphic_t/;" v +NIntsIn adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NIntsIn = tf_export("raw_ops.NIntsIn")(_ops.to_raw_op(n_ints_in))$/;" v +NIntsOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NIntsOut = tf_export("raw_ops.NIntsOut")(_ops.to_raw_op(n_ints_out))$/;" v +NIntsOutDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NIntsOutDefault = tf_export("raw_ops.NIntsOutDefault")(_ops.to_raw_op(n_ints_out_default))$/;" v +NInTwice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NInTwice = tf_export("raw_ops.NInTwice")(_ops.to_raw_op(n_in_twice))$/;" v +NInTwoTypeVariables adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^NInTwoTypeVariables = tf_export("raw_ops.NInTwoTypeVariables")(_ops.to_raw_op(n_in_two_type_vari/;" v +nipy_spectral adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def nipy_spectral():$/;" f +nistAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^nistAlgorithm = _OID(2, 16, 840, 1, 101, 3, 4)$/;" v +nistAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^nistAlgorithms = _OID(2, 16, 840, 1, 101, 3, 4)$/;" v +NIterError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class NIterError(Exception):$/;" c +njoin adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def njoin(*path):$/;" f +Nl2BrExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/nl2br.py /^class Nl2BrExtension(Extension):$/;" c +NLLLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class NLLLoss(_WeightedLoss):$/;" c +NLLLoss2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class NLLLoss2d(NLLLoss):$/;" c +nllloss2d_no_reduce_ignore_index_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss2d_no_reduce_ignore_index_test():$/;" f +nllloss2d_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss2d_no_reduce_test():$/;" f +nllloss2d_no_reduce_weights_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss2d_no_reduce_weights_test():$/;" f +nlllossNd_no_reduce_ignore_index_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nlllossNd_no_reduce_ignore_index_test():$/;" f +nlllossNd_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nlllossNd_no_reduce_test():$/;" f +nlllossNd_no_reduce_weights_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nlllossNd_no_reduce_weights_test():$/;" f +nlllossNd_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nlllossNd_reference(input, target, weight=None, ignore_index=-100,$/;" f +nllloss_no_reduce_ignore_index_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_no_reduce_ignore_index_test():$/;" f +nllloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_no_reduce_test():$/;" f +nllloss_no_reduce_weights_ignore_index_neg_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_no_reduce_weights_ignore_index_neg_test():$/;" f +nllloss_no_reduce_weights_ignore_index_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_no_reduce_weights_ignore_index_test():$/;" f +nllloss_no_reduce_weights_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_no_reduce_weights_test():$/;" f +nllloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def nllloss_reference(input, target, weight=None, ignore_index=-100,$/;" f +nll_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def nll_loss(input, target, weight=None, size_average=None, ignore_index=-100,$/;" f +nll_loss adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def nll_loss(g, self, target, weight, reduction, ignore_index):$/;" f +nll_loss2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def nll_loss2d(g, self, target, weight, reduction, ignore_index):$/;" f +nll_loss_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def nll_loss_helper(input, target, weight, ignore_index):$/;" f function:nllloss_reference file: +nmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def nmask(x):$/;" f function:choose file: +NMDAY365MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^NMDAY365MASK = list(NMDAY366MASK)$/;" v +NMDAY366MASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^NMDAY366MASK = tuple(M31+M29+M31+M30+M31+M30+M31+M31+M30+M31+M30+M31+M31[:7])$/;" v +NMS_VBXADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ NMS_VBXADPCM = 0x0038$/;" v class:WAVE_FORMAT +nmxl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmxl = numpy.ma.array(xl, mask=maskx)$/;" v +nmxs adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmxs = numpy.ma.array(xs, mask=m1)$/;" v +nmyl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmyl = numpy.ma.array(yl, mask=masky)$/;" v +nmys adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmys = numpy.ma.array(ys, mask=m2)$/;" v +nmzl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmzl = numpy.ma.array(zl, mask=maskx)$/;" v +nmzs adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^nmzs = numpy.ma.array(zs, mask=m1)$/;" v +nm_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ nm_cmd = DEFAULT_NM + [str(libfile)]$/;" v +nm_output adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^ nm_output = getnm(nm_cmd, shell=False)$/;" v +nn adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ import torch.nn as nn$/;" I function:_legacy_save file: +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network1.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network2.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^import torch.nn as nn$/;" I +nn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^import torch.nn as nn$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.intrinsic as nni$/;" I +nni adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^import torch.nn.intrinsic as nni$/;" I +nniq adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.intrinsic.quantized as nniq$/;" I +nniq adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.intrinsic.quantized as nniq$/;" I +nniqat adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^import torch.nn.intrinsic.qat as nniqat$/;" I +nniqat adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.intrinsic.qat as nniqat$/;" I +nniqat adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.intrinsic.qat as nniqat$/;" I +nniqat adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^import torch.nn.intrinsic.qat as nniqat$/;" I +nnlf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def nnlf(self, theta, x):$/;" m class:rv_continuous +NNLinear adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^from ....modules.linear import Linear as NNLinear$/;" x +nnls adpepsenv/lib/python3.8/site-packages/scipy/optimize/_nnls.py /^def nnls(A, b, maxiter=None):$/;" f +NNMatchGraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^NNMatchGraph = C.NNMatchGraph$/;" v +NNModule adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^class NNModule(object):$/;" c +NNPackOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^class NNPackOpsTest(hu.HypothesisTestCase):$/;" c +nnq adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^import torch.nn.quantized as nnq$/;" I +nnq adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^import torch.nn.quantized as nnq$/;" I +nnqat adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^import torch.nn.qat as nnqat$/;" I +nnqat adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^import torch.nn.qat as nnqat$/;" I +nnqat adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.qat as nnqat$/;" I +nnqat adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.qat as nnqat$/;" I +nnqd adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^import torch.nn.quantized.dynamic as nnqd$/;" I +nnqd adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^import torch.nn.quantized.dynamic as nnqd$/;" I +nnqd adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^import torch.nn.quantized.dynamic as nnqd$/;" I +nnqd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^import torch.nn.quantized.dynamic as nnqd$/;" I +NNSubgraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^NNSubgraph = C.NNSubgraph$/;" v +NNTestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class NNTestCase(TestCase):$/;" c +nnz adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def nnz(self):$/;" m class:spmatrix +nnz adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def nnz(self):$/;" m class:SparseMatrix +nn_functional_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^nn_functional_tests = [$/;" v +nn_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^ def nn_layer(input_tensor, input_dim, output_dim, layer_name, act=tf.nn.relu):$/;" f function:main file: +NoArgsClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class NoArgsClass(object):$/;" c +nocache adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def nocache(name=None):$/;" m class:__get_gettz.GettzFunc +NoCompression adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^NoCompression = cygrpc.CompressionAlgorithm.none$/;" v +NoCompression adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ NoCompression = _compression.NoCompression$/;" v class:Compression +NoConvergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class NoConvergence(Exception):$/;" c +node adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^node = hsmu.create_node_with_nodes([node4, node3], "node5")$/;" v +Node adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Node:$/;" c +Node adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class Node(object):$/;" c +node adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ class node:$/;" c class:KDTree +Node adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^Node = _reflection.GeneratedProtocolMessageType('Node', (_message.Message,), {$/;" v +Node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class Node(object):$/;" c +node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def node(self):$/;" m class:_Node +Node adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^class Node(object):$/;" c +Node adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^class Node:$/;" c +node adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def node(self):$/;" m class:Attribute +Node adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^class Node(object):$/;" c +node1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^node1 = hsmu.create_node_with_words(words[0], "node1")$/;" v +node2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^node2 = hsmu.create_node_with_words(words[1], "node2")$/;" v +node3 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^node3 = hsmu.create_node_with_words(words[2], "node3")$/;" v +node4 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^node4 = hsmu.create_node_with_nodes([node1, node2], "node4")$/;" v +NodeAnno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^class NodeAnno(NoValue):$/;" c +NodeBase adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^class NodeBase(object):$/;" c +NodeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ class NodeBuilder(base.Node):$/;" c function:getDomBuilder file: +NodeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ class NodeBuilder(base.Node):$/;" c function:getDomBuilder file: +NodeDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^NodeDef = _reflection.GeneratedProtocolMessageType('NodeDef', (_message.Message,), {$/;" v +NodeDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^NodeDef = _reflection.GeneratedProtocolMessageType('NodeDef', (_message.Message,), {$/;" v +NodeEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class NodeEvent(Event):$/;" c +NodeExecStats adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^NodeExecStats = _reflection.GeneratedProtocolMessageType('NodeExecStats', (_message.Message,), {$/;" v +NodeExecStats adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^NodeExecStats = _reflection.GeneratedProtocolMessageType('NodeExecStats', (_message.Message,), {$/;" v +NodeMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^NodeMetadata = _reflection.GeneratedProtocolMessageType('NodeMetadata', (_message.Message,), {$/;" v +NodeOutput adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^NodeOutput = _reflection.GeneratedProtocolMessageType('NodeOutput', (_message.Message,), {$/;" v +NodeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^NodeOutput = _reflection.GeneratedProtocolMessageType('NodeOutput', (_message.Message,), {$/;" v +NoDependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class NoDependency(object):$/;" c +NodeProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^NodeProto = _reflection.GeneratedProtocolMessageType('NodeProto', (_message.Message,), {$/;" v +NodePy adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^class NodePy(NodeBase):$/;" c +NodePyIO adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^class NodePyIO(NodePy):$/;" c +NodePyOP adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^class NodePyOP(NodePy):$/;" c +nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def nodes(self):$/;" m class:NNModule +nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def nodes(self):$/;" m class:Cluster +nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def nodes(self, device_name=None):$/;" m class:DebugDumpDir +nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def nodes(self):$/;" m class:_Function +nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def nodes(self):$/;" m class:_GraphDef +nodes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def nodes(block):$/;" f member:JitTestCase.assertGraphContainsExactly file: +nodes adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def nodes(self):$/;" m class:Graph +nodesEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def nodesEqual(self, node1, node2):$/;" m class:ActiveFormattingElements +nodesEqual adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def nodesEqual(self, node1, node2):$/;" m class:ActiveFormattingElements +NodeSpec adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class NodeSpec:$/;" c +NodeStateTracker adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class NodeStateTracker(object):$/;" c +nodes_to_checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def nodes_to_checkpoint(self):$/;" m class:Job +node_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_attributes(self, node_name, device_name=None):$/;" m class:DebugDumpDir +node_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_attributes(self):$/;" m class:DebugGraph +node_copy adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def node_copy(self, node: Node, arg_transform: Callable[[Node], Argument] = lambda x: x) -> /;" m class:Graph +node_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def node_count(self):$/;" m class:AggregateProfile +node_ctrl_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_ctrl_inputs(self):$/;" m class:DebugGraph +node_ctrl_recipients adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_ctrl_recipients(self):$/;" m class:DebugGraph +node_def adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def node_def(self):$/;" m class:OpError +node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def node_def(self):$/;" m class:OpError +node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def node_def(self):$/;" m class:Operation +node_defs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def node_defs(self):$/;" m class:_ConverterData +node_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_device(self, node_name):$/;" m class:DebugDumpDir +node_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_devices(self):$/;" m class:DebugGraph +node_exec_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def node_exec_count(self):$/;" m class:AggregateProfile +node_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_exists(self, node_name, device_name=None):$/;" m class:DebugDumpDir +node_from_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def node_from_map(node_map, name):$/;" f +node_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def node_info(self, args, screen_info=None):$/;" m class:DebugAnalyzer +node_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_inputs(self, node_name, is_control=False, device_name=None):$/;" m class:DebugDumpDir +node_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_inputs(self):$/;" m class:DebugGraph +node_kwargs adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def node_kwargs(self):$/;" m class:Cluster +node_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^from tensorflow.python.keras.engine import node as node_module$/;" x +node_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^from tensorflow.python.keras.engine import node as node_module$/;" x +node_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^from tensorflow.python.keras.engine import node as node_module$/;" x +node_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_name(self):$/;" m class:DebugTensorDatum +node_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def node_names(self):$/;" m class:_ObjectGraphProtoPrettyPrinter +node_name_from_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def node_name_from_input(node_name):$/;" f +node_op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_op_type(self, node_name, device_name=None):$/;" m class:DebugDumpDir +node_op_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_op_types(self):$/;" m class:DebugGraph +node_proto adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_proto_graph.py /^def node_proto(name,$/;" f +node_recipients adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_recipients(self, node_name, is_control=False, device_name=None):$/;" m class:DebugDumpDir +node_recipients adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_recipients(self):$/;" m class:DebugGraph +node_reversed_ref_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def node_reversed_ref_inputs(self):$/;" m class:DebugGraph +node_start_tokens adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^node_start_tokens = {$/;" v +node_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def node_traceback(self, element_name):$/;" m class:DebugDumpDir +NODE_TYPE_TO_TOKENS adpepsenv/lib/python3.8/site-packages/pasta/base/ast_constants.py /^NODE_TYPE_TO_TOKENS = {$/;" v +NoDists adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class NoDists:$/;" c +NoDists adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class NoDists:$/;" c +nofollow adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/callbacks.py /^def nofollow(attrs, new=False):$/;" f +NoGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^NoGradient = no_gradient$/;" v +NoGradient adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.ops import no_gradient as NoGradient$/;" x +NoGradient adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import no_gradient as NoGradient$/;" x +NoGradient adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.ops import no_gradient as NoGradient$/;" x +NOIMG adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^NOIMG = r'(? int:$/;" m class:RandomSampler +num_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def num_scalars(self):$/;" m class:UploadStats +NUM_SIZE_LEVELS adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^NUM_SIZE_LEVELS = 6$/;" v +num_slices_in_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def num_slices_in_dimension(self, axis):$/;" m class:RaggedTensorDynamicShape +num_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def num_tasks(self):$/;" m class:Topology +num_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def num_tasks(self, job_name):$/;" m class:ClusterSpec +num_tasks_on_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def num_tasks_on_worker(self, worker_index=0):$/;" m class:TestCluster +num_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def num_tensors(self):$/;" m class:UploadStats +num_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def num_tensors(self):$/;" m class:TrackableWeightHandler +num_tensors_skipped adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def num_tensors_skipped(self):$/;" m class:UploadStats +NUM_TEST_ROI adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^NUM_TEST_ROI = 14$/;" v +num_to_str adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def num_to_str(self, value: Optional[float], estimated_sigfigs: int, spread: Optional[float]/;" m class:_Column +num_tpus_per_task adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def num_tpus_per_task(self):$/;" m class:Topology +NUM_TRAINERS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^NUM_TRAINERS = 4$/;" v +NUM_VERTICES_FOR_CODE adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ NUM_VERTICES_FOR_CODE = {STOP: 1,$/;" v class:Path +num_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def num_workers(self):$/;" m class:_WorkerContext +num_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def num_workers(self):$/;" m class:InputWorkers +num_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def num_workers(self):$/;" m class:WorkerHeartbeatManager +num_worker_replicas adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def num_worker_replicas(self):$/;" m class:RunConfig +num_work_units_completed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def num_work_units_completed(self, name=None):$/;" m class:ReaderBase +num_writers adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def num_writers(self):$/;" m class:Pipe +nup adpeps/ipeps/models/common.py /^nup = np.array([$/;" v +nupdown adpeps/ipeps/models/common.py /^nupdown = np.array([$/;" v +nuttall adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def nuttall(M, sym=True):$/;" f +nvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def nvals(self):$/;" m class:RowPartitionSpec +nvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def nvals(self, out_type=None):$/;" m class:RowPartition +NVHPCFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^class NVHPCFCompiler(FCompiler):$/;" c +nvtoolsext_dll_path adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ nvtoolsext_dll_path = os.path.join($/;" v +nvtoolsext_dll_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ nvtoolsext_dll_path = os.path.join($/;" v +nx adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^import networkx as nx$/;" I +NX adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^import numpy.core.numeric as NX$/;" I +nx adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^import numpy.core.numeric as nx$/;" I +nx adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^import numpy.core as nx$/;" I +nx adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ import numpy.core.numeric as nx$/;" I member:TestStringConverter.test_upgrade file: +nx adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^import numpy.core.numeric as nx$/;" I +nx adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^import numpy.core.numeric as nx$/;" I +nxarray adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^from numpy import ndarray, array as nxarray$/;" x +NZERO adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^NZERO = np.NZERO$/;" v +N_A adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^N_A = Avogadro = _cd('Avogadro constant')$/;" v +n_args adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^n_args = len(init.args)$/;" v +n_cols adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ n_cols = 100$/;" v class:TestClarksonWoodruffTransform +n_cube adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def n_cube(self, dim, symmetry=False, printout=False):$/;" m class:Complex +N_EG_ELS adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^N_EG_ELS = 11 # number of elements for example variable$/;" v +n_frames adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def n_frames(self):$/;" m class:GifImageFile +n_frames adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def n_frames(self):$/;" m class:ImImageFile +n_frames adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def n_frames(self):$/;" m class:SpiderImageFile +n_frames adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def n_frames(self):$/;" m class:TiffImageFile +n_gauss adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ n_gauss = 9$/;" v class:_ReducedHCT_Element +n_ints_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_in(a, name=None):$/;" f +n_ints_in_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_in_eager_fallback(a, name, ctx):$/;" f +n_ints_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_out(N, name=None):$/;" f +n_ints_out_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_out_default(N=3, name=None):$/;" f +n_ints_out_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_out_default_eager_fallback(N, name, ctx):$/;" f +n_ints_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_ints_out_eager_fallback(N, name, ctx):$/;" f +n_in_polymorphic_twice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_polymorphic_twice(a, b, name=None):$/;" f +n_in_polymorphic_twice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_polymorphic_twice_eager_fallback(a, b, name, ctx):$/;" f +n_in_twice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_twice(a, b, name=None):$/;" f +n_in_twice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_twice_eager_fallback(a, b, name, ctx):$/;" f +n_in_two_type_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_two_type_variables(a, b, name=None):$/;" f +n_in_two_type_variables_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_in_two_type_variables_eager_fallback(a, b, name, ctx):$/;" f +n_polymorphic_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_in(a, name=None):$/;" f +n_polymorphic_in_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_in_eager_fallback(a, name, ctx):$/;" f +n_polymorphic_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_out(T, N, name=None):$/;" f +n_polymorphic_out_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_out_default(T=_dtypes.bool, N=2, name=None):$/;" f +n_polymorphic_out_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_out_default_eager_fallback(T, N, name, ctx):$/;" f +n_polymorphic_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_out_eager_fallback(T, N, name, ctx):$/;" f +n_polymorphic_restrict_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_restrict_in(a, name=None):$/;" f +n_polymorphic_restrict_in_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_restrict_in_eager_fallback(a, name, ctx):$/;" f +n_polymorphic_restrict_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_restrict_out(T, N, name=None):$/;" f +n_polymorphic_restrict_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def n_polymorphic_restrict_out_eager_fallback(T, N, name, ctx):$/;" f +n_rasterize adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ n_rasterize = 50 # rasterize solids if number of colors >= n_rasterize$/;" v class:ColorbarBase +n_rows adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ n_rows = 2000$/;" v class:TestClarksonWoodruffTransform +n_sketch_rows adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ n_sketch_rows = 200$/;" v class:TestClarksonWoodruffTransform +N_STAGES adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^N_STAGES = 12$/;" v +n_stages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ n_stages = 3$/;" v class:RK23 +n_stages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ n_stages = 6$/;" v class:RK45 +n_stages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ n_stages = dop853_coefficients.N_STAGES$/;" v class:DOP853 +n_stages adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ n_stages = NotImplemented$/;" v class:RungeKutta +N_STAGES_EXTENDED adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/dop853_coefficients.py /^N_STAGES_EXTENDED = 16$/;" v +n_th_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def n_th_moment(n, a, b):$/;" f member:truncnorm_gen._munp file: +n_th_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def n_th_moment(n, beta, m):$/;" f member:crystalball_gen._munp file: +o adpepsenv/lib/python3.8/site-packages/h5py/tests/test_objects.py /^from h5py import _objects as o$/;" x +o adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ o = order$/;" v class:poly1d +o16 adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^from ._binary import o16le as o16$/;" x +o16 adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^from ._binary import o16le as o16$/;" x +o16 adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^from ._binary import o16le as o16$/;" x +o16 adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^from ._binary import o16le as o16$/;" x +o16 adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^from ._binary import o16be as o16$/;" x +o16 adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^from ._binary import o16le as o16$/;" x +o16b adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^from ._binary import o16be as o16b$/;" x +o16be adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def o16be(i):$/;" f +o16le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def o16le(i):$/;" f +o32 adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^from ._binary import o32le as o32$/;" x +o32 adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^from ._binary import o32be as o32$/;" x +o32be adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def o32be(i):$/;" f +o32le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def o32le(i):$/;" f +o8 adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def o8(i):$/;" f +oaconvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def oaconvolve(in1, in2, mode="full", axes=None):$/;" f +OAuth1 adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^class OAuth1(AuthBase):$/;" c +OAuth1Error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^class OAuth1Error(Exception):$/;" c +OAuth1Session adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^class OAuth1Session(requests.Session):$/;" c +OAuth2 adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_auth.py /^class OAuth2(AuthBase):$/;" c +OAuth2AuthorizationCodeGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/pre_configured.py /^ AuthorizationCodeGrant as OAuth2AuthorizationCodeGrant,$/;" x +OAuth2AuthorizationCodeGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/authorization_code.py /^from oauthlib.oauth2.rfc6749.grant_types.authorization_code import AuthorizationCodeGrant as OAu/;" x +OAuth2AuthorizationCodeGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/hybrid.py /^from oauthlib.oauth2.rfc6749.grant_types.authorization_code import AuthorizationCodeGrant as OAu/;" x +OAuth2Error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class OAuth2Error(Exception):$/;" c +OAuth2ImplicitGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/pre_configured.py /^ ImplicitGrant as OAuth2ImplicitGrant,$/;" x +OAuth2ImplicitGrant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^from oauthlib.oauth2.rfc6749.grant_types.implicit import ImplicitGrant as OAuth2ImplicitGrant$/;" x +OAuth2RequestValidator adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^from oauthlib.oauth2.rfc6749.request_validator import RequestValidator as OAuth2RequestValidator$/;" x +OAuth2Session adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^class OAuth2Session(requests.Session):$/;" c +OAuth2Token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^class OAuth2Token(dict):$/;" c +oauth2_credentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^from google.oauth2 import credentials as oauth2_credentials$/;" x +OAuthClientAuthHandler adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^class OAuthClientAuthHandler(object):$/;" c +OAuthError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class OAuthError(GoogleAuthError):$/;" c +OAUTH_CLIENT_CONFIG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^OAUTH_CLIENT_CONFIG = u"""$/;" v +obj2sctype adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def obj2sctype(rep, default=None):$/;" f +objarr adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^objarr = np.empty((1,1),dtype=object)$/;" v +object adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ cdef object (*ob_func)(object, object)$/;" m class:Op +ObjectDescriptor adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^class ObjectDescriptor(char.GraphicString):$/;" c +ObjectDescriptorDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class ObjectDescriptorDecoder(OctetStringDecoder):$/;" c +ObjectDigestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class ObjectDigestInfo(univ.Sequence):$/;" c +ObjectDigestInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class ObjectDigestInfo(univ.Sequence):$/;" c +ObjectGraphView adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^class ObjectGraphView(object):$/;" c +ObjectIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class ObjectIdentifier(base.SimpleAsn1Type):$/;" c +ObjectIdentifierDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class ObjectIdentifierDecoder(AbstractSimpleDecoder):$/;" c +ObjectIdentifierEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class ObjectIdentifierEncoder(AbstractItemEncoder):$/;" c +ObjectIdentifierEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class ObjectIdentifierEncoder(AbstractItemEncoder):$/;" c +ObjectIdentityDictionary adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class ObjectIdentityDictionary(collections_abc.MutableMapping):$/;" c +ObjectIdentitySet adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class ObjectIdentitySet(collections_abc.MutableSet):$/;" c +ObjectIdentityWeakKeyDictionary adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class ObjectIdentityWeakKeyDictionary(ObjectIdentityDictionary):$/;" c +ObjectIdentityWeakSet adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class ObjectIdentityWeakSet(ObjectIdentitySet):$/;" c +Objective adpeps/simulation/run_ipeps_gs.py /^class Objective:$/;" c +objective1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def objective1(x):$/;" f function:test_cobyla_threadsafe file: +objective2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def objective2(x):$/;" f function:test_cobyla_threadsafe file: +ObjectiveFunWrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^class ObjectiveFunWrapper(object):$/;" c +ObjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class ObjectName(univ.ObjectIdentifier):$/;" c +ObjectName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class ObjectName(univ.ObjectIdentifier):$/;" c +ObjectPointer adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^class ObjectPointer(Pointer):$/;" c +ObjectProxy adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class ObjectProxy(with_metaclass(_ObjectProxyMetaType)):$/;" c +ObjectSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class ObjectSyntax(univ.Choice):$/;" c +ObjectSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class ObjectSyntax(univ.Choice):$/;" c +objects_ids_and_slot_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def objects_ids_and_slot_variables(self):$/;" m class:ObjectGraphView +objects_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def objects_to_serialize(self, serialization_cache):$/;" m class:SavedModelSaver +objects_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def objects_to_serialize(self, serialization_cache):$/;" m class:InputLayerSavedModelSaver +objects_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def objects_to_serialize(self, serialization_cache):$/;" m class:LayerSavedModelSaver +objects_to_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def objects_to_serialize(self):$/;" m class:SerializedAttributes +object_ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^object_ = np.object_$/;" v +object_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^object_ = np_export.np_export_constant(__name__, 'object_', np.object_)$/;" v +object_array adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^def object_array(*args):$/;" f +OBJECT_CONFIG_JSON_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^OBJECT_CONFIG_JSON_KEY = "OBJECT_CONFIG_JSON"$/;" v +OBJECT_DUMP_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^OBJECT_DUMP_HTML = """\\$/;" v +object_einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/object_arrays.py /^def object_einsum(eq, *arrays):$/;" f +object_filenames adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ def object_filenames (self,$/;" m class:Mingw32CCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def object_filenames (self,$/;" m class:BCPPCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def object_filenames(self, source_filenames, strip_dir=0, output_dir=''):$/;" m class:CCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ def object_filenames(self, source_filenames, strip_dir=0, output_dir=''):$/;" m class:CygwinCCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def object_filenames(self,$/;" m class:MSVCCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def object_filenames(self,$/;" m class:MSVCCompiler +object_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def object_filenames(self,$/;" m class:MSVCCompiler +object_file_path adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def object_file_path(source_file: str) -> str:$/;" f function:_write_ninja_file_to_build_library file: +object_graph_key_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def object_graph_key_mapping(checkpoint_path):$/;" f +OBJECT_GRAPH_PROTO_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^OBJECT_GRAPH_PROTO_KEY = "_CHECKPOINTABLE_OBJECT_GRAPH"$/;" v +object_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def object_id(self):$/;" m class:_TensorTracker +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def object_identifier(self):$/;" m class:SavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def object_identifier(self):$/;" m class:InputLayerSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def object_identifier(self):$/;" m class:LayerSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def object_identifier(self):$/;" m class:RNNSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/metric_serialization.py /^ def object_identifier(self):$/;" m class:MetricSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^ def object_identifier(self):$/;" m class:ModelSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^ def object_identifier(self):$/;" m class:SequentialSavedModelSaver +object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/network_serialization.py /^ def object_identifier(self):$/;" m class:NetworkSavedModelSaver +object_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def object_metadata(save_path):$/;" f +object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def object_proto(self):$/;" m class:CheckpointPosition +object_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def object_repr(self, obj):$/;" m class:DebugReprGenerator +object_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ object_src = csp_property("object-src")$/;" v class:ContentSecurityPolicy +object_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ object_switch = '\/object:'$/;" v class:CompaqVisualFCompiler +object_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ object_switch = '\/Fo' # No space after \/Fo!$/;" v class:IntelVisualFCompiler +object_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ object_switch = ' && function _mvfile { mv -v `basename $1` $1 ; } && _mvfile '$/;" v class:VastFCompiler +object_switch adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ object_switch = "-o " # Ending space matters! It will be stripped$/;" v class:FCompiler +objfun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_setulb.py /^def objfun(x):$/;" f +objfun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def objfun(x):$/;" f member:TestOptimizeSimple.test_minimize_l_bfgs_b_maxfun_interruption file: +obj_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ obj_extension = ".o"$/;" v class:FCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ obj_extension = '.obj'$/;" v class:BCPPCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ obj_extension = None # string$/;" v class:CCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ obj_extension = ".o"$/;" v class:CygwinCCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ obj_extension = '.obj'$/;" v class:MSVCCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ obj_extension = '.obj'$/;" v class:MSVCCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ obj_extension = ".o"$/;" v class:UnixCCompiler +obj_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ obj_extension = '.obj'$/;" v class:MSVCCompiler +obj_func adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def obj_func(x, t, d):$/;" f member:LBFGS.step file: +obl_ang1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_ang1(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +obl_ang1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_ang1_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +obl_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double obl_cv(double x0, double x1, double x2) nogil$/;" f +obl_cv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def obl_cv_seq(m, n, c):$/;" f +obl_rad1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_rad1(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +obl_rad1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_rad1_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +obl_rad2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_rad2(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +obl_rad2_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void obl_rad2_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +obrientransform adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def obrientransform(*args):$/;" f +obrientransform adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def obrientransform(*args):$/;" f +observables adpeps/utils/ctmtensors.py /^ observables: List = field(default_factory=list)$/;" v class:CTMTensors +Observation adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^Observation = collections.namedtuple($/;" v +OBSERVED_CUSTOM_MODULE_CLASS_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^OBSERVED_CUSTOM_MODULE_CLASS_MAPPINGS = dict()$/;" v +OBSERVED_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^OBSERVED_NAMES = set()$/;" v +ObserverBase adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class ObserverBase(ABC, nn.Module):$/;" c +observe_object_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def observe_object_name(name):$/;" f +observe_quant_params adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def observe_quant_params(self):$/;" m class:_LearnableFakeQuantize +obtain adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def obtain(self, dest, url):$/;" m class:VersionControl +obtain adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def obtain(self, requirement, installer=None):$/;" m class:Environment +obtain adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def obtain(self, requirement, installer=None):$/;" m class:Environment +obtain adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def obtain(self, requirement, installer=None):$/;" m class:PackageIndex +obtain_all_variant_tensor_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/traverse.py /^def obtain_all_variant_tensor_ops(dataset):$/;" f +obtain_input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def obtain_input_shape(input_shape,$/;" f +OCCUPATION_EMBEDDING_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^OCCUPATION_EMBEDDING_NAME = ('dnn\/input_from_feature_columns\/input_layer\/'$/;" v +OCCUPATION_EMBEDDING_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^OCCUPATION_EMBEDDING_NAME = ('dnn\/input_from_feature_columns\/input_layer\/'$/;" v +OCCUPATION_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^OCCUPATION_WEIGHT_NAME = 'linear\/linear_model\/occupation\/weights'$/;" v +OCCUPATION_WEIGHT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^OCCUPATION_WEIGHT_NAME = 'linear\/linear_model\/occupation\/weights'$/;" v +OCSPRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class OCSPRequest(univ.Sequence):$/;" c +OCSPRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class OCSPRequest(univ.Sequence):$/;" c +OCSPResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class OCSPResponse(univ.Sequence):$/;" c +OCSPResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^OCSPResponse = rfc2560.OCSPResponse$/;" v +OCSPResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^OCSPResponse = rfc2560.OCSPResponse$/;" v +ocspResponseMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ocspResponseMap = {$/;" v +OCSPResponseStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class OCSPResponseStatus(univ.Enumerated):$/;" c +OCSPResponseStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^OCSPResponseStatus = rfc2560.OCSPResponseStatus$/;" v +oct2int adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ oct2int = lambda x: x$/;" f +oct2int adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ oct2int = ord$/;" v +octahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def octahedral(cls):$/;" f +OctetString adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class OctetString(base.SimpleAsn1Type):$/;" c +OctetString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class OctetString(univ.OctetString):$/;" c +OctetStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class OctetStringDecoder(AbstractSimpleDecoder):$/;" c +OctetStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^OctetStringDecoder = decoder.OctetStringDecoder$/;" v +OctetStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^class OctetStringDecoder(decoder.OctetStringDecoder):$/;" c +OctetStringEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class OctetStringEncoder(AbstractItemEncoder):$/;" c +OctetStringEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class OctetStringEncoder(AbstractItemEncoder):$/;" c +octs2ints adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ octs2ints = lambda s: [oct2int(x) for x in s]$/;" f +octs2ints adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ octs2ints = lambda x: x$/;" f +octs2str adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ octs2str = lambda x: x$/;" f +octs2str adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ octs2str = lambda x: x.decode('iso-8859-1')$/;" f +odd_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def odd_ext(x, n, axis=-1):$/;" f +ODE adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class ODE:$/;" c +ode adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class ode(object):$/;" c +ODECheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class ODECheckParameterUse(object):$/;" c +odeint adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def odeint(func, y0, t, *args, rtol=1.4e-8, atol=1.4e-8, mxstep=jnp.inf):$/;" f +odeint adpepsenv/lib/python3.8/site-packages/scipy/integrate/odepack.py /^def odeint(func, y0, t, args=(), Dfun=None, col_deriv=0, full_output=0,$/;" f +ODEintWarning adpepsenv/lib/python3.8/site-packages/scipy/integrate/odepack.py /^class ODEintWarning(Warning):$/;" c +OdeResult adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^class OdeResult(OptimizeResult):$/;" c +OdeSolution adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^class OdeSolution(object):$/;" c +OdeSolver adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^class OdeSolver(object):$/;" c +ode_class adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ ode_class = complex_ode$/;" v class:TestComplexOde +ode_class adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ ode_class = None # Set in subclass.$/;" v class:TestODEClass +ode_class adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ ode_class = ode$/;" v class:TestOde +ODR adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class ODR(object):$/;" c +odr adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^odr = __odrpack.odr$/;" v +OdrError adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class OdrError(Exception):$/;" c +OdrStop adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class OdrStop(Exception):$/;" c +OdrWarning adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class OdrWarning(UserWarning):$/;" c +odr_error adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^odr_error = OdrError$/;" v +odr_stop adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^odr_stop = OdrStop$/;" v +ODT adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^ODT = np.dtype([(n, object) for n in$/;" v +oe adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^import opt_einsum as oe$/;" I +of adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ def of(self, node, default=None):$/;" m class:NoValue +OFF adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ OFF = 'never'$/;" v class:TempFileCleanup +OFF adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ OFF = -1$/;" v class:AutoShardPolicy +offset adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ offset = (8 \/\/ sizeof[InType]) if opts.fused else 0$/;" v +Offset adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Offset(self):$/;" m class:Builder +Offset adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def Offset(self, vtableOffset):$/;" m class:Table +offset adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def offset(image, xoffset, yoffset=None):$/;" f +offset adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ offset = 0$/;" v class:Parser +offset adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ offset = property(lambda self: self._offset)$/;" v class:ImageFileDirectory_v2 +OffsetArithmeticError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class OffsetArithmeticError(RuntimeError):$/;" c +OffsetBox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class OffsetBox(martist.Artist):$/;" c +OffsetFilter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ class OffsetFilter(BaseFilter):$/;" c function:test_agg_filter file: +OffsetFrom adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^class OffsetFrom:$/;" c +OffsetImage adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class OffsetImage(OffsetBox):$/;" c +OFFSETTEXTPAD adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ OFFSETTEXTPAD = 3$/;" v class:Axis +offset_copy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def offset_copy(trans, fig=None, x=0.0, y=0.0, units='inches'):$/;" f +offset_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ offset_data = [$/;" v class:TestScalarFormatter +offset_text_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def offset_text_height(self):$/;" m class:RendererWx +OGG_VORBIS_MODE_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_1 = 0x674F$/;" v class:WAVE_FORMAT +OGG_VORBIS_MODE_1_PLUS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_1_PLUS = 0x676F$/;" v class:WAVE_FORMAT +OGG_VORBIS_MODE_2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_2 = 0x6750$/;" v class:WAVE_FORMAT +OGG_VORBIS_MODE_2_PLUS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_2_PLUS = 0x6770$/;" v class:WAVE_FORMAT +OGG_VORBIS_MODE_3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_3 = 0x6751$/;" v class:WAVE_FORMAT +OGG_VORBIS_MODE_3_PLUS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OGG_VORBIS_MODE_3_PLUS = 0x6771$/;" v class:WAVE_FORMAT +ogrid adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ogrid = OGridClass()$/;" v +OGridClass adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class OGridClass(nd_grid):$/;" c +OIDCNoPrompt adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/exceptions.py /^class OIDCNoPrompt(Exception):$/;" c +oidc_grant adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ oidc_grant = None$/;" v class:Dispatcher +oiw adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^oiw = _OID(1, 3, 14)$/;" v +OK adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ OK = (_cygrpc.StatusCode.ok, 'ok')$/;" v class:StatusCode +ok adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def ok(f, *args, **kwargs):$/;" f member:TestUfunc.test_reduce_zero_axis file: +Ok adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class Ok(np.ndarray):$/;" c member:TestSpecialMethods.test_failing_out_wrap file: +ok adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def ok(self):$/;" m class:Response +ok adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def ok(self):$/;" m class:Response +OK adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^OK = 0$/;" v +OK adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^OK = error_codes.OK$/;" v +OK adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^OK = 0$/;" v +OK adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^OK = 0$/;" v +OK adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^OK = 0$/;" v +OK adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^OK = error_codes_pb2.OK$/;" v +OKBLUE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ OKBLUE = '\\033[94m'$/;" v class:bcolors +OKGREEN adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ OKGREEN = '\\033[92m'$/;" v class:bcolors +OKI_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OKI_ADPCM = 0x0010$/;" v class:WAVE_FORMAT +ok_for_raw_triple_quoted_string adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^def ok_for_raw_triple_quoted_string(s, quote):$/;" f +old adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def old(name=None):$/;" f +Old adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Old = tf_export("raw_ops.Old")(_ops.to_raw_op(old))$/;" v +OldAutoLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class OldAutoLocator(Locator):$/;" c +OldCertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class OldCertId(CertId):$/;" c +OldCertId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class OldCertId(CertId):$/;" c +OldMSVCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ OldMSVCCompiler = MSVCCompiler$/;" v +oldnumeric adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ oldnumeric = 'removed'$/;" v +OldScalarFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class OldScalarFormatter(Formatter):$/;" c +OldSqueeze adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class OldSqueeze(np.ndarray):$/;" c member:TestRegression.test_squeeze_axis_handling file: +old_assert_almost_equal adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^old_assert_almost_equal = assert_almost_equal$/;" v +old_bdist_rpm adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/bdist_rpm.py /^ from distutils.command.bdist_rpm import bdist_rpm as old_bdist_rpm$/;" x +old_bdist_rpm adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/bdist_rpm.py /^ from setuptools.command.bdist_rpm import bdist_rpm as old_bdist_rpm$/;" x +old_bound_to_new adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def old_bound_to_new(bounds):$/;" f +old_build adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^from distutils.command.build import build as old_build$/;" x +old_build_clib adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^from distutils.command.build_clib import build_clib as old_build_clib$/;" x +old_build_ext adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^from distutils.command.build_ext import build_ext as old_build_ext$/;" x +old_build_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_py.py /^from distutils.command.build_py import build_py as old_build_py$/;" x +old_build_scripts adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_scripts.py /^from distutils.command.build_scripts import build_scripts as old_build_scripts$/;" x +old_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^from distutils.command.config import config as old_config$/;" x +old_constraint_to_new adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def old_constraint_to_new(ic, con):$/;" f +old_develop adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/develop.py /^from setuptools.command.develop import develop as old_develop$/;" x +old_dist_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^old_dist_class = EggInfoDistribution$/;" v +old_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def old_eager_fallback(name, ctx):$/;" f +old_Extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^from distutils.extension import Extension as old_Extension$/;" x +old_flags adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ old_flags = sys.getdlopenflags()$/;" v +old_func adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func(self, x):$/;" f +old_func2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func2(self, x):$/;" f +old_func3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func3(self, x):$/;" f +old_func4 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func4(self, x):$/;" f +old_func5 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func5(self, x):$/;" f +old_func6 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def old_func6(self, x):$/;" f +OLD_GENERICS adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ OLD_GENERICS = True$/;" v +OLD_GENERICS adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^OLD_GENERICS = False$/;" v +old_get_info adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/system_info.py /^ get_info as old_get_info)$/;" x +old_get_sparse_key_logic adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def old_get_sparse_key_logic(input_record):$/;" f member:TestLayers.test_get_key file: +old_install adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^old_install = old_install_mod.install$/;" v +old_install_data adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_data.py /^from distutils.command.install_data import install_data as old_install_data$/;" x +old_install_headers adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_headers.py /^from distutils.command.install_headers import install_headers as old_install_headers$/;" x +old_install_mod adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ import distutils.command.install as old_install_mod$/;" I +old_install_mod adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ import setuptools.command.install as old_install_mod$/;" I +old_Log adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^from distutils.log import Log as old_Log$/;" x +old_scope adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def old_scope(self):$/;" m class:OAuth2Token +old_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def old_scopes(self):$/;" m class:OAuth2Token +old_sdist adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/sdist.py /^ from distutils.command.sdist import sdist as old_sdist$/;" x +old_sdist adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/sdist.py /^ from setuptools.command.sdist import sdist as old_sdist$/;" x +old_setup adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^ from distutils.core import setup as old_setup$/;" x +old_setup adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^ from setuptools import setup as old_setup$/;" x +old_urlopen adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^old_urlopen = None$/;" v +old_wminkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^from scipy.spatial.distance import wminkowski as old_wminkowski$/;" x +OLIADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OLIADPCM = 0x1001$/;" v class:WAVE_FORMAT +OLICELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OLICELP = 0x1002$/;" v class:WAVE_FORMAT +OLIGSM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OLIGSM = 0x1000$/;" v class:WAVE_FORMAT +OLIOPR adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OLIOPR = 0x1004$/;" v class:WAVE_FORMAT +OLISBC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OLISBC = 0x1003$/;" v class:WAVE_FORMAT +OListProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class OListProcessor(BlockProcessor):$/;" c +omitEmptyOptionals adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ omitEmptyOptionals = False$/;" v class:SequenceEncoder +omitEmptyOptionals adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ omitEmptyOptionals = True$/;" v class:SequenceEncoder +OMITTED_INDICES_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^OMITTED_INDICES_KEY = "omitted"$/;" v +omit_optional_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ omit_optional_tags = True$/;" v class:HTMLSerializer +omit_optional_tags adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ omit_optional_tags = True$/;" v class:HTMLSerializer +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/core.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def omnistaging_disabler() -> None:$/;" f +omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def omnistaging_disabler() -> None:$/;" f +ON2_VP6_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ON2_VP6_AUDIO = 0x0501$/;" v class:WAVE_FORMAT +ON2_VP7_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ON2_VP7_AUDIO = 0x0500$/;" v class:WAVE_FORMAT +oncall adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def oncall(self, *args, **kw):$/;" f member:UpdateDictMixin.calls_update file: +once adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^def once(func):$/;" f +once_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def once_across_replicas(_, true_p, false_n):$/;" f function:recall file: +once_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def once_across_replicas(_, true_p, false_p):$/;" f function:precision file: +once_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ once_across_replicas = lambda _, mse: math_ops.sqrt(mse)$/;" f function:root_mean_squared_error file: +once_differentiable adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def once_differentiable(fn):$/;" f +OnClose adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def OnClose(self, event):$/;" m class:_HelpDialog +OnCPU adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def OnCPU():$/;" f +OnDemandCredentials adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^class OnDemandCredentials($/;" c +OnDemandCredentials adpepsenv/lib/python3.8/site-packages/google/auth/_jwt_async.py /^class OnDemandCredentials($/;" c +one adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^one = ones = Ones$/;" v +OneAsymmetricKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class OneAsymmetricKey(univ.Sequence):$/;" c +OneCycleLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class OneCycleLR(_LRScheduler):$/;" c +OneDayCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^class OneDayCache(BaseHeuristic):$/;" c +OneDeviceDistributionTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^class OneDeviceDistributionTestBase(test.TestCase):$/;" c +OneDeviceExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^class OneDeviceExtended(distribute_lib.StrategyExtendedV1):$/;" c +OneDeviceStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^class OneDeviceStrategy(distribute_lib.Strategy):$/;" c +OneDeviceStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^OneDeviceStrategy = _version_chooser(one_device_lib.OneDeviceStrategyV1,$/;" v +OneDeviceStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from tensorflow.python.distribute.one_device_strategy import OneDeviceStrategyV1 as OneDeviceStr/;" x +OneDeviceStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^class OneDeviceStrategyV1(distribute_lib.StrategyV1):$/;" c +OneHot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^OneHot = tf_export("raw_ops.OneHot")(_ops.to_raw_op(one_hot))$/;" v +OneHotCategorical adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^class OneHotCategorical(Distribution):$/;" c +OneHotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ OneHotOptions = 61$/;" v class:BuiltinOptions +OneHotOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class OneHotOptions(object):$/;" c +OneHotOptionsAddAxis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OneHotOptionsAddAxis(builder, axis): builder.PrependInt32Slot(0, axis, 0)$/;" f +OneHotOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OneHotOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:OneHotOptions +OneHotOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OneHotOptionsEnd(builder): return builder.EndObject()$/;" f +OneHotOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OneHotOptionsStart(builder): builder.StartObject(1)$/;" f +OneHotOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class OneHotOptionsT(object):$/;" c +onenorm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^ def onenorm(self):$/;" m class:LazyOperatorNormInfo +onenormest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def onenormest(A, t=2, itmax=5, compute_v=False, compute_w=False):$/;" f +oneOf adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def oneOf(strs, caseless=False, useRegex=True, asKeyword=False):$/;" f +oneOf adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def oneOf( strs, caseless=False, useRegex=True ):$/;" f +oneOf adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def oneOf(strs, caseless=False, useRegex=True, asKeyword=False):$/;" f +oneOf adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def oneOf( strs, caseless=False, useRegex=True ):$/;" f +OneofDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class OneofDescriptor(DescriptorBase):$/;" c +OneofDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^OneofDescriptorProto = _reflection.GeneratedProtocolMessageType('OneofDescriptorProto', (_messag/;" v +OneofOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^OneofOptions = _reflection.GeneratedProtocolMessageType('OneofOptions', (_message.Message,), {$/;" v +OneOrMore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class OneOrMore(_MultipleMatch):$/;" c +OneOrMore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class OneOrMore(_MultipleMatch):$/;" c +OneOrMore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class OneOrMore(_MultipleMatch):$/;" c +OneOrMore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class OneOrMore(_MultipleMatch):$/;" c +onerror adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ def onerror(*args):$/;" f function:rmtree file: +ones adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def ones(key, shape, dtype=jnp.float32): return jnp.ones(shape, dtype)$/;" f +ones adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ones(shape, dtype=None):$/;" f +ones adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def ones(shape, dtype=None, order='C'):$/;" f +ones adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ones = _convert2ma('ones', params=dict(fill_value=None, hardmask=False))$/;" v +ones adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def ones(shape, dtype=None, order='C'):$/;" f +ones adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Ones as ones$/;" x +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones$/;" x +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones$/;" x +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Ones as ones$/;" x +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ones(shape, dtype=None, name=None):$/;" f +Ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Ones(init_ops_v2.Ones, Initializer):$/;" c +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def ones(shape, dtype=dtypes.float32, name=None):$/;" f +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^ones = init_ops.ones_initializer$/;" v +Ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Ones(Initializer):$/;" c +Ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Ones(Initializer):$/;" c +ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ones(shape, dtype=float): # pylint: disable=redefined-outer-name$/;" f +ones adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones$/;" x +ones adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def ones(g, sizes, dtype, layout, device, pin_memory=False):$/;" f +ones adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def ones(g, sizes, dtype, layout, device, pin_memory=False):$/;" f +OneShotIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OneShotIterator = tf_export("raw_ops.OneShotIterator")(_ops.to_raw_op(one_shot_iterator))$/;" v +OneShotPredictionHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^class OneShotPredictionHead(TimeSeriesRegressionHead):$/;" c +OnesLike adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^OnesLike = tf_export("raw_ops.OnesLike")(_ops.to_raw_op(ones_like))$/;" v +OneSymmetricKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class OneSymmetricKey(univ.Sequence):$/;" c +ones_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def ones_(tensor):$/;" f +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ones_initializer = Ones$/;" v +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ones_initializer = Ones$/;" v +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.init_ops_v2 import Ones as ones_initializer$/;" x +ones_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Ones as ones_initializer$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ones_like(a, dtype=None, shape=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def ones_like(a, dtype=None, order='K', subok=True, shape=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ones_like = np.ones_like$/;" v +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def ones_like(x, dtype=None, name=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def ones_like(tensor, dtype=None, name=None, optimize=True):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/default_gradient.py /^def ones_like(t):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ones_like(x, name=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ones_like(a, dtype=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import ones_like_v2 as ones_like$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import ones_like_v2 as ones_like$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import ones_like_v2 as ones_like$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import ones_like_v2 as ones_like$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import ones_like_v2 as ones_like$/;" x +ones_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def ones_like(g, input, dtype, layout, device, pin_memory=False, memory_format=None):$/;" f +ones_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def ones_like(g, input, dtype=None, layout=None, device=None, pin_memory=False, memory_format=No/;" f +ones_like_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ones_like_eager_fallback(x, name, ctx):$/;" f +ones_like_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def ones_like_impl(tensor, dtype, name, optimize=True):$/;" f +ones_like_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def ones_like_v2($/;" f +ones_rank_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def ones_rank_cache(self):$/;" m class:Context +ones_rank_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def ones_rank_cache(self):$/;" m class:_TensorCaches +ONEWEEK adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ONEWEEK = datetime.timedelta(7)$/;" v +one_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def one_arg(value):$/;" f +ONE_CHAR_PROB adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ ONE_CHAR_PROB = 0.5$/;" v class:UTF8Prober +ONE_CHAR_PROB adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ ONE_CHAR_PROB = 0.5$/;" v class:UTF8Prober +one_cycle adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def one_cycle(self):$/;" m class:BasinHoppingRunner +one_device_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^from tensorflow.python.distribute import one_device_strategy as one_device_lib$/;" x +one_device_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^one_device_strategy = combinations.NamedDistribution($/;" v +one_device_strategy_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^one_device_strategy_gpu = combinations.NamedDistribution($/;" v +one_device_strategy_gpu_on_worker_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^one_device_strategy_gpu_on_worker_1 = combinations.NamedDistribution($/;" v +one_device_strategy_on_worker_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^one_device_strategy_on_worker_1 = combinations.NamedDistribution($/;" v +one_host_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/numpy_dataset.py /^def one_host_numpy_dataset(numpy_input, colocate_with, session):$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def one_hot(x: Array, num_classes: int, *, dtype: Any = jnp.float64) -> Array:$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^def one_hot(text, n,$/;" f +ONE_HOT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ONE_HOT = 85$/;" v class:BuiltinOperator +one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def one_hot(indices, num_classes):$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text.py /^def one_hot(input_text,$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def one_hot(indices,$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def one_hot(indices, depth, on_value, off_value, axis=-1, name=None):$/;" f +one_hot adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^one_hot = _add_docstr(torch._C._nn.one_hot, r"""$/;" v +one_hot adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def one_hot(g, self, num_classes):$/;" f +one_hot_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def one_hot_eager_fallback(indices, depth, on_value, off_value, axis, name, ctx):$/;" f +one_hot_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def one_hot_ref(hot_indices, size):$/;" f member:TestOneHotOps.test_one_hot file: +one_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def one_index(ele):$/;" f function:_create_pseudo_names file: +one_of_symbols adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def one_of_symbols(self, *symbols):$/;" m class:AstAnnotator +one_of_symbols adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def one_of_symbols(self, *symbols):$/;" m class:BaseVisitor +one_policy_check_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def one_policy_check_ref(iter, lr_scale):$/;" f member:TestLearningRate.test_composite_learning_rate_op file: +one_replica_buffer_indices adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def one_replica_buffer_indices(self):$/;" m class:ShardedDeviceArray +one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def one_shot_iterator(dataset_factory, output_types, output_shapes, container="", shared_name=""/;" f +one_shot_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def one_shot_iterator_eager_fallback(dataset_factory, output_types, output_shapes, container, sh/;" f +OnGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/muji.py /^def OnGPU(gpu_id):$/;" f +OnlineYogiParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^OnlineYogiParameters = _reflection.GeneratedProtocolMessageType('OnlineYogiParameters', (_messag/;" v +ONLIVE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ONLIVE = 0x0089$/;" v class:WAVE_FORMAT +only adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ only = []$/;" v class:F2PyTest +onlyCPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def onlyCPU(fn):$/;" f +onlyCUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def onlyCUDA(fn):$/;" f +onlyfuncs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^onlyfuncs = []$/;" v +onlyOn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class onlyOn(object):$/;" c +OnlyOnce adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class OnlyOnce(object):$/;" c +OnlyOnce adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class OnlyOnce(object):$/;" c +OnlyOnce adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class OnlyOnce(object):$/;" c +OnlyOnce adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class OnlyOnce(object):$/;" c +onlyOnCPUAndCUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def onlyOnCPUAndCUDA(fn):$/;" f +only_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def only_binary():$/;" f +ONLY_FIRST_REPLICA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ ONLY_FIRST_REPLICA = 3$/;" v class:VariableAggregation +ONLY_FIRST_REPLICA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ ONLY_FIRST_REPLICA = 3$/;" v class:VariableAggregationV2 +ONLY_FIRST_TOWER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ ONLY_FIRST_TOWER = 3 # DEPRECATED$/;" v class:VariableAggregation +only_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def only_fn(slf, device, *args, **kwargs):$/;" f member:onlyOn.__call__ file: +only_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def only_fn(self, device, *args, **kwargs):$/;" f function:onlyOnCPUAndCUDA file: +only_gs adpeps/utils/nested.py /^ def only_gs(cls, tensor, empty_obj=[]):$/;" m class:Nested +only_if_cached adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ only_if_cached = cache_property("only-if-cached", None, bool)$/;" v class:RequestCacheControl +ONLY_KEEP_IS_AUTO_GEN_SUM_OPS_TAG adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ ONLY_KEEP_IS_AUTO_GEN_SUM_OPS_TAG = "only_keep_is_auto_gen_sum_ops_tag"$/;" v class:IR +ONLY_SBN_NET adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ONLY_SBN_NET = nn.SyncBatchNorm(2, momentum=0.99)$/;" v +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onmove(vmin, vmax):$/;" f function:check_span file: +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onmove(self, event):$/;" m class:Cursor +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onmove(self, event):$/;" m class:Lasso +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onmove(self, event):$/;" m class:MultiCursor +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onmove(self, event):$/;" m class:PolygonSelector +onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onmove(self, event):$/;" m class:_SelectorWidget +OnnxAttributes adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^class OnnxAttributes(dict):$/;" c +OnnxifiTest adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^class OnnxifiTest(TestCase):$/;" c +OnnxifiTransformTest adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^class OnnxifiTransformTest(TestCase):$/;" c +onnxifi_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/onnxifi.py /^def onnxifi_caffe2_net($/;" f +ONNXIFI_DATATYPE_FLOAT32 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ONNXIFI_DATATYPE_FLOAT32 = 1$/;" v +OnnxNode adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^class OnnxNode(object):$/;" c +ONNXTracedModule adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^class ONNXTracedModule(torch.nn.Module):$/;" c +ONNX_ARCHIVE_MODEL_PROTO_NAME adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ONNX_ARCHIVE_MODEL_PROTO_NAME = "__MODEL_PROTO"$/;" v +onnx_graph_to_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def onnx_graph_to_caffe2_net(cls, model, device="CPU", opset_version=_known_opset_version):$/;" m class:Caffe2Backend +onnx_to_caffe2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/bin/conversion.py /^def onnx_to_caffe2(onnx_model, output, init_net_output):$/;" f +onp adpeps/ipeps/evaluation.py /^import numpy as onp$/;" I +onp adpeps/simulation/run_ipeps_exci.py /^import numpy as onp$/;" I +onp adpeps/simulation/run_ipeps_gs.py /^import numpy as onp$/;" I +onp adpeps/utils/tlist.py /^import numpy as onp$/;" I +onp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^import numpy as onp$/;" I +onpick adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def onpick(self, event):$/;" m class:VertexSelector +onpress adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onpress(self, event):$/;" m class:LassoSelector +OnReadPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class OnReadPolicy(VariablePolicy):$/;" c +onrelease adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onrelease(self, event):$/;" m class:Lasso +onrelease adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def onrelease(self, event):$/;" m class:LassoSelector +OnRunEndRequest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnRunEndRequest(object):$/;" c +OnRunEndResponse adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnRunEndResponse(object):$/;" c +OnRunStartAction adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnRunStartAction(object):$/;" c +OnRunStartRequest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnRunStartRequest(object):$/;" c +OnRunStartResponse adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnRunStartResponse(object):$/;" c +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(epress, erelease):$/;" f function:check_rectangle file: +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(epress, erelease):$/;" f function:test_ellipse file: +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(epress, erelease):$/;" f function:test_rectangle_handles file: +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(vertices):$/;" f function:check_polygon_selector file: +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(verts):$/;" f function:check_lasso_selector file: +onselect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^ def onselect(vmin, vmax):$/;" f function:check_span file: +OnSessionInitAction adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnSessionInitAction(object):$/;" c +OnSessionInitRequest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnSessionInitRequest(object):$/;" c +OnSessionInitResponse adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class OnSessionInitResponse(object):$/;" c +OnWritePolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class OnWritePolicy(AutoPolicy):$/;" c +on_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_begin(self, batch, logs=None):$/;" m class:Callback +on_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_begin(self, batch, logs=None):$/;" m class:CallbackList +on_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def on_batch_begin(self, batch, logs=None):$/;" m class:LearningRateBatchScheduler +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^ def on_batch_end(self, e, logs):$/;" m class:TimerCallBack +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_end(self, batch, logs=None):$/;" m class:BaseLogger +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_end(self, batch, logs=None):$/;" m class:Callback +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_end(self, batch, logs=None):$/;" m class:CallbackList +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_batch_end(self, batch, logs=None):$/;" m class:TerminateOnNaN +on_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_batch_end(self, batch, logs=None):$/;" m class:TensorBoard +on_changed adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def on_changed(collection):$/;" f member:Axes.hexbin file: +on_changed adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_changed(self, func):$/;" m class:Slider +on_changed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def on_changed(m):$/;" f function:colorbar file: +on_clicked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_clicked(self, func):$/;" m class:Button +on_clicked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_clicked(self, func):$/;" m class:CheckButtons +on_clicked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_clicked(self, func):$/;" m class:RadioButtons +on_close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def on_close(self):$/;" m class:CommSocket +on_close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def on_close(self):$/;" m class:WebAggApplication.WebSocket +on_core_metadata_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def on_core_metadata_event(self, event):$/;" m class:EventListenerBaseStreamHandler +on_core_metadata_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def on_core_metadata_event(self, event):$/;" m class:EventListenerTestStreamHandler +on_created adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def on_created(self, event):$/;" m class:WatchdogReloaderLoop.__init__._CustomHandler +on_deleted adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def on_deleted(self, event):$/;" m class:WatchdogReloaderLoop.__init__._CustomHandler +on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def on_device(self, device):$/;" m class:PackedDistributedVariable +on_device_training_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^def on_device_training_loop(func):$/;" f +on_disconnect adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def on_disconnect(self):$/;" m class:LimitedStream +on_dpi_change adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def on_dpi_change(fig):$/;" f member:Quiver.__init__ file: +on_dpi_change adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def on_dpi_change(fig):$/;" f member:QuiverKey.__init__ file: +on_draw_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def on_draw_event(self, widget, ctx):$/;" m class:FigureCanvasGTK3 +on_draw_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ def on_draw_event(self, widget, ctx):$/;" m class:FigureCanvasGTK3Agg +on_draw_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^ def on_draw_event(self, widget, ctx):$/;" m class:FigureCanvasGTK3Cairo +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^ def on_epoch_begin(self, e, logs):$/;" m class:TimerCallBack +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:BaseLogger +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:Callback +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:CallbackList +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:LearningRateScheduler +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:ModelCheckpoint +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:ProgbarLogger +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:TensorBoard +on_epoch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_epoch_begin(self, epoch, logs=None):$/;" m class:TensorBoard +on_epoch_end adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def on_epoch_end(self):$/;" m class:Iterator +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^ def on_epoch_end(self, e, logs):$/;" m class:TimerCallBack +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:BackupAndRestore +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:BaseLogger +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:Callback +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:CallbackList +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:CSVLogger +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:EarlyStopping +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:History +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:LearningRateScheduler +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:ModelCheckpoint +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:ProgbarLogger +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:ReduceLROnPlateau +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:RemoteMonitor +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:TensorBoard +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_epoch_end(self, epoch, logs=None):$/;" m class:TensorBoard +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_epoch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_dataset.CBCounter +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_epoch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function.CBCounter +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def on_epoch_end(self):$/;" m class:DataAdapter +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def on_epoch_end(self):$/;" m class:KerasSequenceAdapter +on_epoch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def on_epoch_end(self):$/;" m class:Sequence +on_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def on_event(self, event):$/;" m class:BlockingInput +on_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def on_execution(self, execution_index, execution):$/;" m class:BaseMonitor +on_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def on_execution(self,$/;" m class:InfNanMonitor +on_exhausted adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def on_exhausted(self):$/;" m class:LimitedStream +on_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def on_graph_def(self, graph_def, device_name, wall_time):$/;" m class:EventListenerBaseStreamHandler +on_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def on_graph_def(self, graph_def, device_name, wall_time):$/;" m class:EventListenerTestStreamHandler +on_graph_execution_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def on_graph_execution_trace(self,$/;" m class:BaseMonitor +on_graph_execution_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def on_graph_execution_trace(self,$/;" m class:InfNanMonitor +on_json_loading_failed adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def on_json_loading_failed(self, e):$/;" m class:JSONMixin +on_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ def on_key_press(event):$/;" f function:test_correct_key file: +on_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_key_press(self, event):$/;" m class:_SelectorWidget +on_key_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_key_release(self, event):$/;" m class:_SelectorWidget +ON_LOSS adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ ON_LOSS = "on_loss"$/;" v class:RegularizationBy +on_mac adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^on_mac = (sys.platform == 'darwin')$/;" v +on_mappable_changed adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def on_mappable_changed(self, mappable):$/;" m class:Colorbar +on_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def on_message(self, message):$/;" m class:CommSocket +on_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def on_message(self, message):$/;" m class:WebAggApplication.WebSocket +on_modified adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def on_modified(self, event):$/;" m class:WatchdogReloaderLoop.__init__._CustomHandler +on_motion adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def on_motion(self, evt):$/;" m class:DraggableBase +on_motion_blit adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def on_motion_blit(self, evt):$/;" m class:DraggableBase +on_moved adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def on_moved(self, event):$/;" m class:WatchdogReloaderLoop.__init__._CustomHandler +on_notify_filter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def on_notify_filter(*args):$/;" f member:NavigationToolbar2GTK3.save_figure file: +on_pick adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def on_pick(self, evt):$/;" m class:DraggableBase +on_pick adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^ def on_pick(event):$/;" f function:test_picking_callbacks_overlap file: +on_powerpc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def on_powerpc():$/;" f +on_predict_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_batch_begin(self, batch, logs=None):$/;" m class:Callback +on_predict_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_batch_begin(self, batch, logs=None):$/;" m class:CallbackList +on_predict_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_predict_batch_begin(self, batch, logs=None):$/;" m class:BatchCountingCB +on_predict_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_batch_end(self, batch, logs=None):$/;" m class:Callback +on_predict_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_batch_end(self, batch, logs=None):$/;" m class:CallbackList +on_predict_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_batch_end(self, batch, logs=None):$/;" m class:ProgbarLogger +on_predict_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_predict_batch_end(self, batch, logs=None):$/;" m class:BatchCountingCB +on_predict_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_begin(self, logs=None):$/;" m class:Callback +on_predict_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_begin(self, logs=None):$/;" m class:CallbackList +on_predict_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_begin(self, logs=None):$/;" m class:ProgbarLogger +on_predict_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_end(self, logs=None):$/;" m class:Callback +on_predict_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_end(self, logs=None):$/;" m class:CallbackList +on_predict_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_predict_end(self, logs=None):$/;" m class:ProgbarLogger +ON_READ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ ON_READ = 3$/;" v class:VariableSynchronization +on_read_assign_add_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_read_assign_add_cross_replica(var, value, read_value=True):$/;" f +on_read_assign_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_read_assign_cross_replica(var, value, read_value=True):$/;" f +on_read_assign_sub_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_read_assign_sub_cross_replica(var, value, read_value=True):$/;" f +on_release adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def on_release(self, event):$/;" m class:DraggableBase +on_run_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_run_end(self, request):$/;" m class:BaseDebugWrapperSession +on_run_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_run_end(self, request):$/;" m class:NonInteractiveDebugWrapperSession +on_run_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def on_run_end(self, request):$/;" m class:LocalCLIDebugWrapperSession +on_run_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_run_start(self, request):$/;" m class:BaseDebugWrapperSession +on_run_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_run_start(self, request):$/;" m class:NonInteractiveDebugWrapperSession +on_run_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def on_run_start(self, request):$/;" m class:LocalCLIDebugWrapperSession +on_scroll adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_scroll(self, event):$/;" m class:_SelectorWidget +on_session_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_session_init(self, request):$/;" m class:BaseDebugWrapperSession +on_session_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def on_session_init(self, request):$/;" m class:NonInteractiveDebugWrapperSession +on_session_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def on_session_init(self, request):$/;" m class:LocalCLIDebugWrapperSession +on_SmtpUTF8Mailbox adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^on_SmtpUTF8Mailbox = rfc5280.AnotherName()$/;" v +on_submit adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_submit(self, func):$/;" m class:TextBox +on_test_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_batch_begin(self, batch, logs=None):$/;" m class:Callback +on_test_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_batch_begin(self, batch, logs=None):$/;" m class:CallbackList +on_test_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_test_batch_begin(self, batch, logs=None):$/;" m class:BatchCountingCB +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:Callback +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:CallbackList +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:ProgbarLogger +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_dataset.CBCounter +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function.CBCounter +on_test_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_test_batch_end(self, batch, logs=None):$/;" m class:BatchCountingCB +on_test_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_begin(self, logs=None):$/;" m class:Callback +on_test_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_begin(self, logs=None):$/;" m class:CallbackList +on_test_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_begin(self, logs=None):$/;" m class:ProgbarLogger +on_test_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_begin(self, logs=None):$/;" m class:TensorBoard +on_test_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_test_begin(self, logs=None):$/;" m class:TensorBoard +on_test_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_end(self, logs=None):$/;" m class:Callback +on_test_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_end(self, logs=None):$/;" m class:CallbackList +on_test_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_end(self, logs=None):$/;" m class:ProgbarLogger +on_test_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_test_end(self, logs=None):$/;" m class:TensorBoard +on_test_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_test_end(self, logs=None):$/;" m class:TensorBoard +on_text_change adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def on_text_change(self, func):$/;" m class:TextBox +on_train_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_begin(self, batch, logs=None):$/;" m class:Callback +on_train_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_begin(self, batch, logs=None):$/;" m class:CallbackList +on_train_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_begin(self, batch, logs=None):$/;" m class:TensorBoard +on_train_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_train_batch_begin(self, batch, logs=None):$/;" m class:TensorBoard +on_train_batch_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_train_batch_begin(self, batch, logs=None):$/;" m class:BatchCountingCB +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:Callback +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:CallbackList +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:ModelCheckpoint +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:ProgbarLogger +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:TensorBoard +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:TensorBoard +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_dataset.CBCounter +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function.CBCounter +on_train_batch_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def on_train_batch_end(self, batch, logs=None):$/;" m class:BatchCountingCB +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^ def on_train_begin(self, logs=None):$/;" m class:Callback +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:BackupAndRestore +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:Callback +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:CallbackList +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:CSVLogger +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:EarlyStopping +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:History +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:ModelCheckpoint +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:ProgbarLogger +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:ReduceLROnPlateau +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_begin(self, logs=None):$/;" m class:TensorBoard +on_train_begin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_train_begin(self, logs=None):$/;" m class:TensorBoard +on_train_end adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^ def on_train_end(self, logs=None):$/;" m class:Callback +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:BackupAndRestore +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:Callback +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:CallbackList +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:CSVLogger +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:EarlyStopping +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def on_train_end(self, logs=None):$/;" m class:TensorBoard +on_train_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def on_train_end(self, logs=None):$/;" m class:TensorBoard +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def on_update(header_set):$/;" f function:WWWAuthenticate._set_property.fget file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ on_update = None$/;" v class:UpdateDictMixin +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def on_update(d):$/;" f member:EnvironBuilder.mimetype_params file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/auth.py /^ def on_update(www_auth):$/;" f member:WWWAuthenticateMixin.www_authenticate file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def on_update(header_set):$/;" f function:CommonResponseDescriptorsMixin._set_property.fget file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def on_update(d):$/;" f member:CommonResponseDescriptorsMixin.mimetype_params file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def on_update(cache_control):$/;" f member:ETagResponseMixin.cache_control file: +on_update adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def on_update(rng):$/;" f member:ETagResponseMixin.content_range file: +on_value_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def on_value_event(self, event):$/;" m class:EventListenerBaseStreamHandler +on_value_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def on_value_event(self, event):$/;" m class:EventListenerTestStreamHandler +on_win adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^on_win = (sys.platform == 'win32')$/;" v +on_win adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^on_win = (sys.platform == 'win32')$/;" v +ON_WRITE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ ON_WRITE = 2$/;" v class:VariableSynchronization +on_write_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_write_assign(var, value, use_locking=False, name=None, read_value=True):$/;" f +on_write_assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_write_assign_add(var, value, use_locking=False, name=None,$/;" f +on_write_assign_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def on_write_assign_sub(var, value, use_locking=False, name=None,$/;" f +OOBCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class OOBCert(CMPCertificate):$/;" c +OOBCertHash adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class OOBCertHash(univ.Sequence):$/;" c +op adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^import operator as op$/;" I +op adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def op(*args):$/;" f function:_logical_op file: +op adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^import operator as op$/;" I +Op adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^Op = types.SimpleNamespace(**{name: Operator(value)$/;" v +Op adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Op [$/;" c +op adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ op = operator$/;" v class:TestArrayPriority +op adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ import operator as op$/;" I member:TestRegression.test_richcompare_crash file: +op adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^import operator as op$/;" I +Op adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Op(Node):$/;" c +op adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ from os import path as op$/;" x function:load file: +Op adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class Op(Node):$/;" c +Op adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class Op(Node):$/;" c +op adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def op(self):$/;" m class:OpError +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^def op($/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/summary.py /^def op(scalars_layout, collections=None):$/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^def op($/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary.py /^def op($/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^def op($/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def op($/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^def op(name, data, display_name=None, description=None, collections=None):$/;" f +op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^def op(name, data, display_name=None, description=None, collections=None):$/;" f +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def op(self):$/;" m class:PackedVarAndDevice +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def op(self):$/;" m class:AggregatingVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def op(self):$/;" m class:TPUVariableMixin +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def op(self):$/;" m class:DistributedVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def op(self):$/;" m class:OpError +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def op(self):$/;" m class:IndexedSlices +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def op(self):$/;" m class:Tensor +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def op(self):$/;" m class:_EagerTensorBase +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def op(self):$/;" m class:SparseTensor +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def op(self):$/;" m class:KerasTensor +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def op(self):$/;" m class:AutoCastVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def op(self):$/;" m class:_InitializeClustersOpFactory +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^ def op(input_converted, _, padding):$/;" f function:depthwise_conv2d file: +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^ def op(input_converted, _, padding):$/;" f function:separable_conv2d file: +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def op(converted_input, _, converted_padding): # pylint: disable=missing-docstring$/;" f function:pool file: +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def op(self):$/;" m class:_PforInput +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def op(self):$/;" m class:BaseResourceVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def op(self):$/;" m class:_UnreadVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def op(self):$/;" m class:RefVariable +op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def op(self):$/;" m class:Variable +OpAlmostEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def OpAlmostEqual(op_a, op_b, ignore_fields=None):$/;" f +Opaque adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class Opaque(univ.OctetString):$/;" c +Opaque adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Opaque(univ.OctetString):$/;" c +opaque adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def opaque(self):$/;" m class:Authorization +opaque adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ opaque = auth_property($/;" v class:WWWAuthenticate +OPAQUE_DTYPE adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^OPAQUE_DTYPE = np.dtype($/;" v +OPAQUE_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^OPAQUE_TYPE = 14$/;" v +OpArg adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^OpArg = collections.namedtuple('OpArg', 'opcode arg')$/;" v +opAssoc adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^opAssoc = SimpleNamespace()$/;" v +opAssoc adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^opAssoc = _Constants()$/;" v +opAssoc adpepsenv/lib/python3.8/site-packages/pyparsing.py /^opAssoc = SimpleNamespace()$/;" v +opAssoc adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^opAssoc = _Constants()$/;" v +OpcodeIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OpcodeIndex(self):$/;" m class:Operator +OpDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^OpDef = _reflection.GeneratedProtocolMessageType('OpDef', (_message.Message,), {$/;" v +OpDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^OpDef = _reflection.GeneratedProtocolMessageType('OpDef', (_message.Message,), {$/;" v +OpDeprecation adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^OpDeprecation = _reflection.GeneratedProtocolMessageType('OpDeprecation', (_message.Message,), {$/;" v +OpDeprecation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^OpDeprecation = _reflection.GeneratedProtocolMessageType('OpDeprecation', (_message.Message,), {$/;" v +OpDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^class OpDispatcher(object):$/;" c +OpDocGenerator adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^class OpDocGenerator(DocGenerator):$/;" c +open adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def open(self, fignum):$/;" m class:WebAggApplication.WebSocket +open adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def open(self, path, mode='r', encoding=None, newline=None):$/;" m class:DataSource +open adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def open(self, path, mode='r', encoding=None, newline=None):$/;" m class:Repository +open adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^def open(path, mode='r', destpath=os.curdir, encoding=None, newline=None):$/;" f +open adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^def open(fp, mode="r"):$/;" f +open adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def open(fp, mode="r", formats=None):$/;" f +OPEN adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^OPEN = {}$/;" v +OPEN adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^OPEN = {$/;" v +open adpepsenv/lib/python3.8/site-packages/PIL/WalImageFile.py /^def open(filename):$/;" f +open adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ def open(self, im):$/;" m class:WmfHandler +open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def open(self, *args, **kwargs):$/;" m class:ZipFile +open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def open(cls, name=None, mode="r", fileobj=None, bufsize=RECORDSIZE, **kwargs):$/;" m class:TarFile +open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^open = TarFile.open$/;" v +open adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def open(self, file, flags, mode=0o777, *args, **kw):$/;" m class:DirectorySandbox +open adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def open(self, filename):$/;" m class:TextFile +Open adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^Open = GFile$/;" v +Open adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.platform.gfile import GFile as Open$/;" x +open adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def open(self, fn, *args, **kwargs):$/;" m class:GeneratedFileCleaner +open adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def open(self, *args, **kwargs):$/;" m class:Client +open adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def open(self, name_or_info, mode="r", pwd=None):$/;" m class:WheelFile +open adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def open(self):$/;" m class:Serializer +openblas64__info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas64__info(openblas_ilp64_info):$/;" c +openblas64__lapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas64__lapack_info(openblas_ilp64_lapack_info, openblas64__info):$/;" c +openblas_clapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas_clapack_info(openblas_lapack_info):$/;" c +openblas_ilp64_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas_ilp64_info(openblas_info):$/;" c +openblas_ilp64_lapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas_ilp64_lapack_info(openblas_ilp64_info):$/;" c +openblas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas_info(blas_info):$/;" c +openblas_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^openblas_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +openblas_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^openblas_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +openblas_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^openblas_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib'], 'la/;" v +openblas_lapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class openblas_lapack_info(openblas_info):$/;" c +openblas_lapack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^openblas_lapack_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib/;" v +openblas_lapack_info adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^openblas_lapack_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib/;" v +openblas_lapack_info adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^openblas_lapack_info={'libraries': ['openblas', 'openblas'], 'library_dirs': ['\/usr\/local\/lib/;" v +opener_for adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^def opener_for(ca_bundle=None):$/;" f +openf adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def openf(filename, mode):$/;" f +openfile adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def openfile(fname):$/;" f +OpenIDClientError adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class OpenIDClientError(OAuth2Error):$/;" c +OpenIDConnectBase adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^OpenIDConnectBase = GrantTypeBase$/;" v +openid_authorization_validator adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def openid_authorization_validator(self, request):$/;" m class:GrantTypeBase +openid_authorization_validator adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/hybrid.py /^ def openid_authorization_validator(self, request):$/;" m class:HybridGrant +openid_authorization_validator adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^ def openid_authorization_validator(self, request):$/;" m class:ImplicitGrant +OPENID_CONNECT_SCOPES adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^OPENID_CONNECT_SCOPES = ($/;" v +openingDoubleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^openingDoubleQuotesRegex = r'%s"(?=\\w)' % openingQuotesBase$/;" v +openingQuotesBase adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^openingQuotesBase = ($/;" v +openingSingleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^openingSingleQuotesRegex = r"%s'(?=\\w)" % openingQuotesBase$/;" v +OpenReportFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^class OpenReportFile(object):$/;" c +OpenSSL adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^ OpenSSL = None$/;" v +OpenSSL adpepsenv/lib/python3.8/site-packages/requests/help.py /^ OpenSSL = None$/;" v +OpenSSLPubKey adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^class OpenSSLPubKey(univ.Sequence):$/;" c +openssl_backend adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^from cryptography.hazmat.backends.openssl import backend as openssl_backend$/;" x +openssl_backend adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^from cryptography.hazmat.backends.openssl import backend as openssl_backend$/;" x +openStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def openStream(self, source):$/;" m class:HTMLBinaryInputStream +openStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def openStream(self, source):$/;" m class:HTMLUnicodeInputStream +openStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def openStream(self, source):$/;" m class:HTMLBinaryInputStream +openStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def openStream(self, source):$/;" m class:HTMLUnicodeInputStream +openType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def openType(self):$/;" m class:NamedType +OpenType adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^class OpenType(object):$/;" c +open_bytes adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def open_bytes(self, mode='rb'):$/;" m class:_TempFile +open_file_cm adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def open_file_cm(path_or_file, mode="r", encoding=None):$/;" f +open_group adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def open_group(self, s, gid=None):$/;" m class:RendererSVG +open_group adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def open_group(self, s, gid=None):$/;" m class:RendererBase +OPEN_INFO adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^OPEN_INFO = {$/;" v +open_in_editor adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def open_in_editor(self, options, args):$/;" m class:ConfigurationCommand +open_latin1 adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def open_latin1(filename, mode='r'):$/;" f +open_memmap adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def open_memmap(filename, mode='r+', dtype=None, shape=None,$/;" f +OPEN_METH adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ OPEN_METH = {$/;" v class:TarFile +open_scope adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def open_scope(self, node, single_paren=False):$/;" m class:TokenGenerator +open_spinner adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^def open_spinner(message):$/;" f +open_text adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def open_text(self, mode='rt', encoding='utf8', errors='strict'):$/;" m class:_TempFile +open_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def open_url(self, url, warning=None):$/;" m class:PackageIndex +open_variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def open_variable_scope(self, scope_name):$/;" m class:_VariableScopeStore +open_with_auth adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def open_with_auth(url, opener=urllib.request.urlopen):$/;" f +open_with_auth adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^open_with_auth = socket_timeout(_SOCKET_TIMEOUT)(open_with_auth)$/;" v +operand adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ operand = np.array([[0.5, 1.5, 2.5], [-0.5, -1.5, -2.5]], dtype=np.float32)$/;" v +operate adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def operate(self,$/;" m class:End +operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation = 'decrypt'$/;" v class:CryptoOperation +operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation = 'decrypt'$/;" v class:DecryptOperation +operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation = 'encrypt'$/;" v class:EncryptOperation +operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation = 'sign'$/;" v class:SignOperation +operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation = 'verify'$/;" v class:VerifyOperation +Operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class Operation(object):$/;" c +OperationContext adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class OperationContext(six.with_metaclass(abc.ABCMeta)):$/;" c +Operations adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class Operations(object):$/;" c +operations adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^ operations = {$/;" v class:Evaluator +operation_past adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_past = 'decrypted'$/;" v class:CryptoOperation +operation_past adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_past = 'decrypted'$/;" v class:DecryptOperation +operation_past adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_past = 'encrypted'$/;" v class:EncryptOperation +operation_past adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_past = 'signature'$/;" v class:SignOperation +operation_past adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_past = 'verified'$/;" v class:VerifyOperation +operation_progressive adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_progressive = 'decrypting'$/;" v class:CryptoOperation +operation_progressive adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_progressive = 'decrypting'$/;" v class:DecryptOperation +operation_progressive adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_progressive = 'encrypting'$/;" v class:EncryptOperation +operation_progressive adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_progressive = 'Signing'$/;" v class:SignOperation +operation_progressive adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ operation_progressive = 'Verifying'$/;" v class:VerifyOperation +operation_stats adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def operation_stats(self):$/;" m class:End +Operator adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^Operator = C.NeuralNetOperator$/;" v +Operator adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class Operator(six.with_metaclass(abc.ABCMeta)):$/;" c +Operator adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class Operator:$/;" c +Operator adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ Operator = Callable[[str, str], bool]$/;" v +operator adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def operator(self):$/;" m class:_IndividualSpecifier +operator adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def operator(self):$/;" m class:_IndividualSpecifier +operator adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def operator(self):$/;" m class:_IndividualSpecifier +Operator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Operator(object):$/;" c +operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def operator(self):$/;" m class:LinearOperatorAdjoint +operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def operator(self):$/;" m class:LinearOperatorInversion +OperatorAddBuiltinOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddBuiltinOptions(builder, builtinOptions): builder.PrependUOffsetTRelativeSlot(4, f/;" f +OperatorAddBuiltinOptionsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddBuiltinOptionsType(builder, builtinOptionsType): builder.PrependUint8Slot(3, buil/;" f +OperatorAddCustomOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddCustomOptions(builder, customOptions): builder.PrependUOffsetTRelativeSlot(5, fla/;" f +OperatorAddCustomOptionsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddCustomOptionsFormat(builder, customOptionsFormat): builder.PrependInt8Slot(6, cus/;" f +OperatorAddInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddInputs(builder, inputs): builder.PrependUOffsetTRelativeSlot(1, flatbuffers.numbe/;" f +OperatorAddIntermediates adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddIntermediates(builder, intermediates): builder.PrependUOffsetTRelativeSlot(8, fla/;" f +OperatorAddMutatingVariableInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddMutatingVariableInputs(builder, mutatingVariableInputs): builder.PrependUOffsetTR/;" f +OperatorAddOpcodeIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddOpcodeIndex(builder, opcodeIndex): builder.PrependUint32Slot(0, opcodeIndex, 0)$/;" f +OperatorAddOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorAddOutputs(builder, outputs): builder.PrependUOffsetTRelativeSlot(2, flatbuffers.num/;" f +OperatorBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Operator +OperatorCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class OperatorCode(object):$/;" c +OperatorCodeAddBuiltinCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeAddBuiltinCode(builder, builtinCode): builder.PrependInt32Slot(3, builtinCode, 0/;" f +OperatorCodeAddCustomCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeAddCustomCode(builder, customCode): builder.PrependUOffsetTRelativeSlot(1, flatb/;" f +OperatorCodeAddDeprecatedBuiltinCode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeAddDeprecatedBuiltinCode(builder, deprecatedBuiltinCode): builder.PrependInt8Slo/;" f +OperatorCodeAddVersion adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeAddVersion(builder, version): builder.PrependInt32Slot(2, version, 1)$/;" f +OperatorCodeBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorCodeBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:OperatorCode +OperatorCodeEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeEnd(builder): return builder.EndObject()$/;" f +OperatorCodes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorCodes(self, j):$/;" m class:Model +OperatorCodesIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorCodesIsNone(self):$/;" m class:Model +OperatorCodesLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorCodesLength(self):$/;" m class:Model +OperatorCodeStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorCodeStart(builder): builder.StartObject(4)$/;" f +OperatorCodeT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class OperatorCodeT(object):$/;" c +OperatorDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^OperatorDef = _reflection.GeneratedProtocolMessageType('OperatorDef', (_message.Message,), {$/;" v +OperatorDoc adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^class OperatorDoc(object):$/;" c +OperatorEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorEnd(builder): return builder.EndObject()$/;" f +OperatorEngine adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^class OperatorEngine(object):$/;" c +OperatorExportTypes adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^OperatorExportTypes = _C._onnx.OperatorExportTypes$/;" v +OperatorFPExceptionsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_fp_exceptions_test.py /^class OperatorFPExceptionsTest(TestCase):$/;" c +operatorname adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def operatorname(self, s, loc, toks):$/;" m class:Parser +OperatorNotAllowedInGraphError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class OperatorNotAllowedInGraphError(TypeError):$/;" c +operatorPrecedence adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^operatorPrecedence = infixNotation$/;" v +operatorPrecedence adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^operatorPrecedence = infixNotation$/;" v +operatorPrecedence adpepsenv/lib/python3.8/site-packages/pyparsing.py /^operatorPrecedence = infixNotation$/;" v +operatorPrecedence adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^operatorPrecedence = infixNotation$/;" v +operators adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def operators(self):$/;" m class:NNModule +operators adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^operators = Specifier._operators.keys()$/;" v +Operators adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Operators(self, j):$/;" m class:SubGraph +operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def operators(self):$/;" m class:LinearOperatorBlockDiag +operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def operators(self):$/;" m class:LinearOperatorBlockLowerTriangular +operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def operators(self):$/;" m class:LinearOperatorComposition +operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def operators(self):$/;" m class:LinearOperatorKronecker +OperatorShapesInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^class OperatorShapesInfo(object):$/;" c +OperatorsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorsIsNone(self):$/;" m class:SubGraph +OperatorsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OperatorsLength(self):$/;" m class:SubGraph +OperatorStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStart(builder): builder.StartObject(9)$/;" f +OperatorStartCustomOptionsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStartCustomOptionsVector(builder, numElems): return builder.StartVector(1, numElems,/;" f +OperatorStartInputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStartInputsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +OperatorStartIntermediatesVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStartIntermediatesVector(builder, numElems): return builder.StartVector(4, numElems,/;" f +OperatorStartMutatingVariableInputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStartMutatingVariableInputsVector(builder, numElems): return builder.StartVector(1, /;" f +OperatorStartOutputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def OperatorStartOutputsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +OperatorT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class OperatorT(object):$/;" c +operator_and_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def operator_and_matrix($/;" m class:LinearOperatorDerivedClassTest +operator_registry_ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ operator_registry_ = {}$/;" v class:Net +operator_shapes_infos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def operator_shapes_infos():$/;" m class:LinearOperatorDerivedClassTest +operator_shapes_infos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def operator_shapes_infos():$/;" m class:NonSquareLinearOperatorDerivedClassTest +operator_shapes_infos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def operator_shapes_infos():$/;" m class:SquareLinearOperatorDerivedClassTest +operator_test_type adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^operator_test_type = 'operator_test'$/;" v +operator_tracebacks adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^operator_tracebacks = defaultdict(dict)$/;" v +OpError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class OpError(Exception):$/;" c +OpError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class OpError(Exception):$/;" c +opflag_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^import numpy.core._operand_flag_tests as opflag_tests$/;" I +OpHint adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^class OpHint(object):$/;" c +OpHintArgumentTracker adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ class OpHintArgumentTracker(object):$/;" c class:OpHint +OpInContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def OpInContext(op, ctxt):$/;" f +opindex adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^from operator import itemgetter, index as opindex$/;" x +OpInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^OpInfo = _reflection.GeneratedProtocolMessageType('OpInfo', (_message.Message,), {$/;" v +OpInfo adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class OpInfo(object):$/;" c +OpList adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^OpList = _reflection.GeneratedProtocolMessageType('OpList', (_message.Message,), {$/;" v +OpList adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^OpList = _reflection.GeneratedProtocolMessageType('OpList', (_message.Message,), {$/;" v +OpLogEntry adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^OpLogEntry = _reflection.GeneratedProtocolMessageType('OpLogEntry', (_message.Message,), {$/;" v +OpLogEntry adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^OpLogEntry = _reflection.GeneratedProtocolMessageType('OpLogEntry', (_message.Message,), {$/;" v +OpLogProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^OpLogProto = _reflection.GeneratedProtocolMessageType('OpLogProto', (_message.Message,), {$/;" v +OpLogProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^OpLogProto = _reflection.GeneratedProtocolMessageType('OpLogProto', (_message.Message,), {$/;" v +OpMetadata adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class OpMetadata(object):$/;" c +OpMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^OpMetadata = _reflection.GeneratedProtocolMessageType('OpMetadata', (_message.Message,), {$/;" v +OpPerformance adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^OpPerformance = _reflection.GeneratedProtocolMessageType('OpPerformance', (_message.Message,), {$/;" v +OpPerformanceList adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^OpPerformanceList = _reflection.GeneratedProtocolMessageType('OpPerformanceList', (_message.Mess/;" v +OpProfile adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^OpProfile = _reflection.GeneratedProtocolMessageType('OpProfile', (_message.Message,), {$/;" v +ops adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ ops, op_list = parse_lines(lines)$/;" v +ops adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ ops = OpDocGenerator(Markdown(), DocUploader())$/;" v +ops adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ ops = GHOpDocGenerator(GHMarkdown(), GHOpDocUploader)$/;" v +ops adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ops = Operations()$/;" v +ops adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ops = _xla.ops$/;" v +ops adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ops = {}$/;" v +ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ops = LazyLoader($/;" v +ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ops = LazyLoader($/;" v +ops adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class ops(object):$/;" c +ops adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ops = _Ops()$/;" v +OpSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^OpSchema = workspace.C.OpSchema$/;" v +OpSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^OpSchema = workspace.C.OpSchema$/;" v +OpSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/coverage.py /^OpSchema = workspace.C.OpSchema$/;" v +opset_version adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ from torch.onnx.symbolic_helper import _export_onnx_opset_version as opset_version$/;" x function:_graph_op file: +opset_version adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ from torch.onnx.symbolic_helper import _export_onnx_opset_version as opset_version$/;" x function:_run_symbolic_function file: +OpSharding adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class OpSharding(object):$/;" c +OpSharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^OpSharding = _reflection.GeneratedProtocolMessageType('OpSharding', (_message.Message,), {$/;" v +OpSpec adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^class OpSpec(namedtuple("OpSpec", "type input output arg")):$/;" c +OpSSA adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^OpSSA = namedtuple('OpSSA', ['op', 'in_versions', 'out_versions'])$/;" v +OpsSet adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^class OpsSet(enum.Enum):$/;" c +OpStats adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class OpStats(object):$/;" c +ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^from tensorflow.python.framework import ops as ops_lib$/;" x +ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^from tensorflow.python.framework import ops as ops_lib$/;" x +ops_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^from tensorflow.python.framework import ops as ops_lib$/;" x +ops_to_graph_def adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def ops_to_graph_def(ops, shapes=None, **kwargs):$/;" f +ops_used_by_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def ops_used_by_graph_def(graph_def):$/;" f +OPS_WITHOUT_KERNEL_ALLOWLIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^OPS_WITHOUT_KERNEL_ALLOWLIST = frozenset([$/;" v +opt adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def opt(x):$/;" f function:test_bug_11886 file: +optim adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^import torch.optim as optim$/;" I +optimal adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def optimal(inputs, output, size_dict, memory_limit=None):$/;" f +optimal_leaf_ordering adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def optimal_leaf_ordering(Z, y, metric='euclidean'):$/;" f +optimal_step_size adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def optimal_step_size(last_step, mean_error_ratio, safety=0.9, ifactor=10.0,$/;" f +Optimization adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^Optimization = collections.namedtuple($/;" v +OptimizationOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^class OptimizationOptions(options.OptionsBase):$/;" c +OptimizationParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^OptimizationParameters = _reflection.GeneratedProtocolMessageType('OptimizationParameters', (_me/;" v +optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def optimization_parameters(self):$/;" m class:TPUEmbedding +Optimize adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def Optimize(args):$/;" f +optimize adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def optimize(self):$/;" m class:Brent +Optimize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class Optimize(enum.Enum):$/;" c +optimize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^def optimize(optimizations_enabled=None, optimizations_disabled=None,$/;" f +OptimizeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptimizeDataset = tf_export("raw_ops.OptimizeDataset")(_ops.to_raw_op(optimize_dataset))$/;" v +OptimizeDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptimizeDatasetV2 = tf_export("raw_ops.OptimizeDatasetV2")(_ops.to_raw_op(optimize_dataset_v2))$/;" v +optimized_execution adpepsenv/lib/python3.8/site-packages/torch/jit/_fuser.py /^def optimized_execution(should_optimize):$/;" f +optimizeForMKLDNN adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^def optimizeForMKLDNN(net, training_mode = False):$/;" f +OptimizeGradientMemory adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def OptimizeGradientMemory(model,$/;" f +OptimizeGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/tf_optimizer.py /^def OptimizeGraph(config_proto,$/;" f +optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ def optimizer(self):$/;" m class:ParameterInfo +optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ def optimizer(self, value):$/;" m class:ParameterInfo +Optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class Optimizer(object):$/;" c +optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^import caffe2.python.optimizer as optimizer$/;" I +Optimizer adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^class Optimizer(NamedTuple):$/;" c +optimizer adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def optimizer(opt_maker: Callable[...,$/;" f +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/keras/optimizers/__init__.py /^from tensorflow.python.keras.optimizer_v2.optimizer_v2 import OptimizerV2 as Optimizer$/;" x +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/__init__.py /^from tensorflow.python.keras.optimizer_v2.optimizer_v2 import OptimizerV2 as Optimizer$/;" x +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/__init__.py /^from tensorflow.python.keras.optimizer_v2.optimizer_v2 import OptimizerV2 as Optimizer$/;" x +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/optimizers/__init__.py /^from tensorflow.python.keras.optimizer_v2.optimizer_v2 import OptimizerV2 as Optimizer$/;" x +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class Optimizer(object):$/;" c +Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class Optimizer($/;" c +Optimizer adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^class Optimizer(object):$/;" c +OptimizerContext adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^class OptimizerContext(ModifierContext):$/;" c +OptimizeResult adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class OptimizeResult(dict):$/;" c +OptimizeResults adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/minimize.py /^class OptimizeResults(NamedTuple):$/;" c +OptimizerFailingOnConstructor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^class OptimizerFailingOnConstructor(optim.Optimizer):$/;" c +OptimizerOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^OptimizerOptions = _reflection.GeneratedProtocolMessageType('OptimizerOptions', (_message.Messag/;" v +OptimizerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^OptimizerOptions = _reflection.GeneratedProtocolMessageType('OptimizerOptions', (_message.Messag/;" v +optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^ optimizers = keras.optimizers$/;" v +optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^ optimizers = keras.optimizers$/;" v +optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^ optimizers = keras.optimizers$/;" v +optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ optimizers = keras.optimizers$/;" v +optimizers adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ optimizers = keras.optimizers$/;" v +OptimizerState adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^OptimizerState = namedtuple("OptimizerState",$/;" v +optimizers_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^optimizers_v1 = [$/;" v +optimizers_v1_and_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^optimizers_v1_and_v2 = optimizers_v1 + optimizers_v2$/;" v +optimizers_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^optimizers_v2 = [$/;" v +OptimizerTestBase adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^class OptimizerTestBase(object):$/;" c +OptimizerV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^class OptimizerV2(trackable.Trackable):$/;" c +OPTIMIZER_ITERATION_NAME adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^OPTIMIZER_ITERATION_NAME = "optimizer_iteration"$/;" v +optimizer_jit adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def optimizer_jit(self):$/;" m class:Context +optimizer_jit adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def optimizer_jit(self, enabled):$/;" m class:Context +optimizer_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^from tensorflow.python.training import optimizer as optimizer_lib$/;" x +optimizer_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^from tensorflow.python.keras.optimizer_v2 import utils as optimizer_utils$/;" x +optimizer_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^from tensorflow.python.training import optimizer as optimizer_v1$/;" x +OptimizeWarning adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class OptimizeWarning(UserWarning):$/;" c +optimize_compare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def optimize_compare(self, subscripts, operands=None):$/;" m class:TestEinsum +optimize_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optimize_dataset(input_dataset, optimizations, output_types, output_shapes, optimization_con/;" f +optimize_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optimize_dataset_eager_fallback(input_dataset, optimizations, output_types, output_shapes, o/;" f +optimize_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optimize_dataset_v2(input_dataset, optimizations_enabled, optimizations_disabled, optimizati/;" f +optimize_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optimize_dataset_v2_eager_fallback(input_dataset, optimizations_enabled, optimizations_disab/;" f +optimize_for_inference adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def optimize_for_inference(input_graph_def, input_node_names, output_node_names,$/;" f +OPTIMIZE_FOR_LATENCY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ OPTIMIZE_FOR_LATENCY = "OPTIMIZE_FOR_LATENCY"$/;" v class:Optimize +optimize_for_mobile adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^def optimize_for_mobile($/;" f +OPTIMIZE_FOR_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ OPTIMIZE_FOR_SIZE = "OPTIMIZE_FOR_SIZE"$/;" v class:Optimize +optimize_inference_fast adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def optimize_inference_fast(net, static_blobs):$/;" f +optimize_inference_for_dag adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def optimize_inference_for_dag(net, input_blobs, namescope=""):$/;" f +optimize_interference adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def optimize_interference(net, static_blobs,$/;" f +optimize_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def optimize_onnx(input, init=False, predict=False):$/;" m class:Caffe2Backend +Option adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^Option = _reflection.GeneratedProtocolMessageType('Option', (_message.Message,), {$/;" v +Optional adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Optional(ParseElementEnhance):$/;" c +Optional adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Optional(ParseElementEnhance):$/;" c +Optional adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Optional(ParseElementEnhance):$/;" c +Optional adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Optional(ParseElementEnhance):$/;" c +Optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^class Optional(composite_tensor.CompositeTensor):$/;" c +OptionalFromValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptionalFromValue = tf_export("raw_ops.OptionalFromValue")(_ops.to_raw_op(optional_from_value))$/;" v +OptionalGetValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptionalGetValue = tf_export("raw_ops.OptionalGetValue")(_ops.to_raw_op(optional_get_value))$/;" v +OptionalHasValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptionalHasValue = tf_export("raw_ops.OptionalHasValue")(_ops.to_raw_op(optional_has_value))$/;" v +OptionalNamedType adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^class OptionalNamedType(NamedType):$/;" c +OptionalNone adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^OptionalNone = tf_export("raw_ops.OptionalNone")(_ops.to_raw_op(optional_none))$/;" v +OptionalParameter adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^class OptionalParameter(ParameterModifier):$/;" c +optionalpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^optionalpattern = re.compile($/;" v +OptionalSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^class OptionalSpec(type_spec.TypeSpec):$/;" c +OptionalStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.ops.optional_ops import OptionalSpec as OptionalStructure$/;" x +OptionalStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.ops.optional_ops import OptionalSpec as OptionalStructure$/;" x +OptionalValidity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class OptionalValidity(univ.Sequence):$/;" c +OptionalValidity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class OptionalValidity(univ.Sequence):$/;" c +optional_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ optional_arguments = 0$/;" v class:MathDirective +optional_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ optional_arguments = 2$/;" v class:PlotDirective +optional_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_from_value(components, name=None):$/;" f +optional_from_value_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_from_value_eager_fallback(components, name, ctx):$/;" f +OPTIONAL_FUNCTION_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_FUNCTION_ATTRIBUTES = [('__attribute__((optimize("unroll-loops")))',$/;" v +OPTIONAL_FUNCTION_ATTRIBUTES_WITH_INTRINSICS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_FUNCTION_ATTRIBUTES_WITH_INTRINSICS = [('__attribute__((target("avx2,fma")))',$/;" v +optional_get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_get_value(optional, output_types, output_shapes, name=None):$/;" f +optional_get_value_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_get_value_eager_fallback(optional, output_types, output_shapes, name, ctx):$/;" f +optional_has_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_has_value(optional, name=None):$/;" f +optional_has_value_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_has_value_eager_fallback(optional, name, ctx):$/;" f +OPTIONAL_HEADERS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_HEADERS = [$/;" v +OPTIONAL_INTRINSICS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_INTRINSICS = [("__builtin_isnan", '5.'),$/;" v +optional_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_none(name=None):$/;" f +optional_none_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def optional_none_eager_fallback(name, ctx):$/;" f +optional_param_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/convert.py /^def optional_param_to_tensor(argument_name,$/;" f +optional_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def optional_restore(self):$/;" m class:SaveableObject +optional_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def optional_restore(self):$/;" m class:PythonStringStateSaveable +OPTIONAL_STDFUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_STDFUNCS = ["expm1", "log1p", "acosh", "asinh", "atanh",$/;" v +OPTIONAL_STDFUNCS_MAYBE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_STDFUNCS_MAYBE = [$/;" v +optional_token adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def optional_token(self, node, attr_name, token_val, default=False):$/;" m class:BaseVisitor +optional_token adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def optional_token(self, node, attr_name, token_val,$/;" m class:AstAnnotator +optional_token adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def optional_token(self, node, attr_name, token_val,$/;" m class:Printer +OPTIONAL_VARIABLE_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^OPTIONAL_VARIABLE_ATTRIBUTES = ["__thread", "__declspec(thread)"]$/;" v +OptionDummy adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^class OptionDummy:$/;" c +OptionParsingError adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^class OptionParsingError(Exception):$/;" c +OptionRecordBool adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsOptions.pxd /^ cdef cppclass OptionRecordBool(OptionRecord):$/;" f +OptionRecordDouble adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsOptions.pxd /^ cdef cppclass OptionRecordDouble(OptionRecord):$/;" f +OptionRecordInt adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsOptions.pxd /^ cdef cppclass OptionRecordInt(OptionRecord):$/;" f +OptionRecordString adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/HighsOptions.pxd /^ cdef cppclass OptionRecordString(OptionRecord):$/;" f +options adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^options = [$/;" v +Options adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ class Options(object):$/;" c function:main.parse_command_line file: +options adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^options = {}$/;" v +options adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^options = {}$/;" v +options adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^options = {}$/;" v +options adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ options = []$/;" v class:F2PyTest +options adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def options(self, parser, env):$/;" m class:Unplugger +options adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def options(self, parser, env=os.environ):$/;" m class:KnownFailurePlugin +options adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def options(self, parser, env=os.environ):$/;" m class:NumpyDoctest +options adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ options = {"compress_level": 1}$/;" v class:MacViewer +options adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ options = {"compress_level": 1}$/;" v class:UnixViewer +options adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ options = {"compress_level": 1}$/;" v class:WindowsViewer +options adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ options = {}$/;" v class:Viewer +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ options = ("quote_attr_values", "quote_char", "use_best_quote_char",$/;" v class:HTMLSerializer +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def options(url, **kwargs):$/;" f +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def options(self, url, **kwargs):$/;" m class:Session +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def options(self):$/;" m class:PyOpenSSLContext +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def options(self, value):$/;" m class:PyOpenSSLContext +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def options(self):$/;" m class:SecureTransportContext +options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def options(self, value):$/;" m class:SecureTransportContext +options adpepsenv/lib/python3.8/site-packages/requests/api.py /^def options(url, **kwargs):$/;" f +options adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def options(self, url, **kwargs):$/;" m class:Session +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"sparse": True, "cholesky": False}$/;" v class:TestLinprogIPSparseUmfpack +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"sparse": True, "cholesky": True}$/;" v class:TestLinprogIPSparseCholmod +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"autoscale": True}$/;" v class:AutoscaleTests +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"pivot": "bland"}$/;" v class:TestLinprogRSBland +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"rr_method": "ID"}$/;" v class:TestRRID +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"rr_method": "pivot"}$/;" v class:TestRRPivot +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"rr_method": "SVD"}$/;" v class:TestRRSVD +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"sparse": False}$/;" v class:TestLinprogIPDense +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"sparse": True, "cholesky": False, "sym_pos": False}$/;" v class:TestLinprogIPSparse +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {"sparse": True, "_sparse_presolve": True}$/;" v class:TestLinprogIPSparsePresolve +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {}$/;" v class:TestLinprogHiGHSIPM +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {}$/;" v class:TestLinprogHiGHSSimplexDual +options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ options = {}$/;" v class:TestLinprogRSCommon +options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ options = []$/;" v class:CommandSpec +options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ options = None$/;" v class:Mixin2to3 +options adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ options = ("quote_attr_values", "quote_char", "use_best_quote_char",$/;" v class:HTMLSerializer +options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def options(self):$/;" m class:DatasetV1Adapter +options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def options(self):$/;" m class:DatasetV2 +options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def options(self):$/;" m class:_OptionsDataset +Options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class Options(options_lib.OptionsBase):$/;" c +Options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^class Options(object):$/;" c +options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^ def options(self):$/;" m class:SaveContext +options adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def options(self):$/;" m class:PyOpenSSLContext +options adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def options(self, value):$/;" m class:PyOpenSSLContext +options adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def options(self):$/;" m class:SecureTransportContext +options adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def options(self, value):$/;" m class:SecureTransportContext +options adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def options(self, *args, **kw):$/;" m class:Client +OptionsBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^class OptionsBase(object):$/;" c +OptionsProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^OptionsProto = _reflection.GeneratedProtocolMessageType('OptionsProto', (_message.Message,), {$/;" v +OptionsType adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^OptionsType = Sequence[Tuple[str, str]]$/;" v +options_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.data.util import options as options_lib$/;" x +option_base adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^class option_base(Command):$/;" c +option_image_nocomposite adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def option_image_nocomposite(self):$/;" m class:RendererAgg +option_image_nocomposite adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def option_image_nocomposite(self):$/;" m class:RendererPgf +option_image_nocomposite adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def option_image_nocomposite(self):$/;" m class:RendererSVG +option_image_nocomposite adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def option_image_nocomposite(self):$/;" m class:RendererPDFPSBase +option_image_nocomposite adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def option_image_nocomposite(self):$/;" m class:RendererBase +option_list_all adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def option_list_all(self):$/;" m class:CustomOptionParser +option_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def option_scale_image(self):$/;" m class:RendererAgg +option_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def option_scale_image(self):$/;" m class:RendererPgf +option_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def option_scale_image(self):$/;" m class:RendererSVG +option_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def option_scale_image(self):$/;" m class:RendererPDFPSBase +option_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def option_scale_image(self):$/;" m class:RendererBase +option_spec adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ option_spec = {'fontset': fontset_choice}$/;" v class:MathDirective +option_spec adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ option_spec = {$/;" v class:PlotDirective +OptOut adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class OptOut:$/;" c member:TestSpecialMethods.test_ufunc_override_disabled file: +OptOut adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ class OptOut:$/;" c member:TestNDArrayOperatorsMixin.test_opt_out file: +opts adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ opts = AnyExp.initOpts(opts)$/;" v +opts adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ opts = args.params['opts']$/;" v +opts adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^opts = parser.parse_args()$/;" v +opts0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def opts0(x1, x2, x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +opts1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def opts1(x2, x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +opts2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def opts2(x3, t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +opts3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def opts3(t0, t1):$/;" f member:TestNQuad.test_variable_limits file: +OptState adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^class OptState(Enum):$/;" c +opts_basic adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def opts_basic(*args):$/;" f member:TestNQuad.test_fixed_limits file: +optzeros adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^from . import zeros as optzeros$/;" x +OPT_NAME_V1_TO_V2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^OPT_NAME_V1_TO_V2 = {$/;" v +OPT_V2_INSTANCE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^OPT_V2_INSTANCE = {$/;" v +OPT_VAR_NAME_V1_TO_V2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^OPT_VAR_NAME_V1_TO_V2 = {$/;" v +OPUS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ OPUS = 0x704F$/;" v class:WAVE_FORMAT +OpWithDefaultAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^OpWithDefaultAttr = tf_export("raw_ops.OpWithDefaultAttr")(_ops.to_raw_op(op_with_default_attr))$/;" v +OpWithFutureDefaultAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^OpWithFutureDefaultAttr = tf_export("raw_ops.OpWithFutureDefaultAttr")(_ops.to_raw_op(op_with_fu/;" v +op_attr_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def op_attr_type(op_type, attr_name):$/;" f +op_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^def op_builder(name, index, extra):$/;" f +op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def op_callbacks(self):$/;" m class:Context +OP_CALLBACK_SKIP_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/op_callbacks_common.py /^OP_CALLBACK_SKIP_OPS = ($/;" v +op_db adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^op_db = [$/;" v +op_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def op_def(self):$/;" m class:Operation +op_engine adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^op_engine = 'GLOO'$/;" v +op_engine adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^op_engine = 'GLOO'$/;" v +op_engine adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^op_engine = 'GLOO'$/;" v +op_func adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^ def op_func(*inputs, **args):$/;" f member:_Functional.__getattribute__ file: +op_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def op_grad_ref(grad_out, outputs, inputs):$/;" f member:TestSequenceOps.test_reverse_packed_segs file: +op_impl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def op_impl(self, other):$/;" f member:TestBinop.test_ufunc_binop_interaction file: +op_in_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def op_in_group(self, op):$/;" m class:Graph._ControlDependenciesController +op_is_inside_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def op_is_inside_loop(self, op):$/;" m class:PFor +op_is_inside_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def op_is_inside_loop(self, op):$/;" m class:WhileOp +op_is_stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^def op_is_stateful(op):$/;" f +op_list adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ ops, op_list = parse_lines(lines)$/;" v +op_list_to_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def op_list_to_dict(op_list, convert_variable_to_tensor=True):$/;" f +OP_LIST_TO_FUSER_METHOD adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^OP_LIST_TO_FUSER_METHOD : Dict[Tuple, Union[nn.Sequential, Callable]] = {$/;" v +op_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def op_name(self):$/;" m class:GraphExecutionTraceDigest +op_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def op_name(self):$/;" m class:GraphOpCreationDigest +op_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def op_names(self):$/;" m class:ApiDefMap +op_name_check adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def op_name_check(self, net, cf, line, func):$/;" m class:TestOperatorTraceback +OP_NO_COMPRESSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ OP_NO_COMPRESSION = 0x20000$/;" v +OP_NO_COMPRESSION adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ OP_NO_COMPRESSION = 0x20000$/;" v +OP_NO_SSLv2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ OP_NO_SSLv2, OP_NO_SSLv3 = 0x1000000, 0x2000000$/;" v +OP_NO_SSLv2 adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ OP_NO_SSLv2, OP_NO_SSLv3 = 0x1000000, 0x2000000$/;" v +OP_NO_SSLv3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ OP_NO_SSLv2, OP_NO_SSLv3 = 0x1000000, 0x2000000$/;" v +OP_NO_SSLv3 adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ OP_NO_SSLv2, OP_NO_SSLv3 = 0x1000000, 0x2000000$/;" v +OP_NO_TICKET adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ OP_NO_TICKET = 0x4000$/;" v +op_priority adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^def op_priority(op_type):$/;" f +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(lengths):$/;" f member:TestOperators.test_lengths_range_fill file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(lengths):$/;" f member:TestOperators.test_lengths_to_segment_ids file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(prediction, labels):$/;" f member:TestOperators.test_multi_class_accuracy file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(prediction, labels, top_k):$/;" f member:TestOperators.test_accuracy file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(segment_ids):$/;" f member:TestOperators.test_segment_ids_to_ranges file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(target_probabilities):$/;" f member:TestOperators.test_perplexity file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def op_ref(x):$/;" f member:TestOperators.test_lengths_to_ranges file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^ def op_ref(predictions, labels):$/;" f member:TestAPMeterOps.test_average_precision file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^ def op_ref(predictions, labels):$/;" f member:TestAPMeterOps.test_average_precision_small_buffer file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def op_ref(data):$/;" f member:TestSequenceOps.test_find_duplicate_elements file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def op_ref(data, indices):$/;" f member:TestSequenceOps.test_remove_data_blocks file: +op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def op_ref(data, lengths):$/;" f member:TestSequenceOps.test_reverse_packed_segs file: +op_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def op_scope(values, name, default_name=None):$/;" f +op_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def op_signature_def(op, key):$/;" f +OP_STYLE adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^OP_STYLE = {$/;" v +OP_TEMPLATE adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^OP_TEMPLATE = CT.from_file($/;" v +op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def op_type(self):$/;" m class:InfNanAlert +op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def op_type(self):$/;" m class:ExecutionDigest +op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def op_type(self):$/;" m class:GraphExecutionTraceDigest +op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def op_type(self):$/;" m class:GraphOpCreationDigest +op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def op_type(self):$/;" m class:_PforInput +OP_TYPE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^OP_TYPE_TEMPLATE = "[%s] "$/;" v +op_with_default_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def op_with_default_attr(default_float=123, name=None):$/;" f +op_with_default_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def op_with_default_attr_eager_fallback(default_float, name, ctx):$/;" f +op_with_future_default_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def op_with_future_default_attr(name=None):$/;" f +op_with_future_default_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def op_with_future_default_attr_eager_fallback(name, ctx):$/;" f +OR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^OR = re.compile(r'^or\\b\\s*')$/;" v +Or adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Or(ParseExpression):$/;" c +Or adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Or(ParseExpression):$/;" c +Or adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Or(ParseExpression):$/;" c +Or adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Or(ParseExpression):$/;" c +ORAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ORAddress(univ.Sequence):$/;" c +ORAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ORAddress(univ.Sequence):$/;" c +ORAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ORAddress(univ.Sequence):$/;" c +oraddressExtensionAttributeMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^oraddressExtensionAttributeMap = {$/;" v +order adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def order(self):$/;" m class:poly1d +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = 0$/;" v class:VcsHashUnsupported +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = 1$/;" v class:DirectoryUrlHashUnsupported +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = 2$/;" v class:HashMissing +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = 3$/;" v class:HashUnpinned +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = 4$/;" v class:HashMismatch +order adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ order = None # type: Optional[int]$/;" v class:HashError +order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ order = 3$/;" v class:RK23 +order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ order = 5$/;" v class:RK45 +order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ order = 8$/;" v class:DOP853 +order adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ order = NotImplemented$/;" v class:RungeKutta +ORDERED adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ORDERED = 1 # Not yet implemented$/;" v +OrderedDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class OrderedDict(dict):$/;" c +OrderedDict adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ OrderedDict = dict$/;" v +OrderedDictType adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ OrderedDictType = OrderedDict$/;" v +OrderedDictWrapper adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class OrderedDictWrapper(object):$/;" c +OrderedDictWrapper adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^class OrderedDictWrapper(object):$/;" c +OrderedEnqueuer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^class OrderedEnqueuer(SequenceEnqueuer):$/;" c +OrderedMapClear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapClear = tf_export("raw_ops.OrderedMapClear")(_ops.to_raw_op(ordered_map_clear))$/;" v +OrderedMapIncompleteSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapIncompleteSize = tf_export("raw_ops.OrderedMapIncompleteSize")(_ops.to_raw_op(ordered_/;" v +OrderedMapPeek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapPeek = tf_export("raw_ops.OrderedMapPeek")(_ops.to_raw_op(ordered_map_peek))$/;" v +OrderedMapSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapSize = tf_export("raw_ops.OrderedMapSize")(_ops.to_raw_op(ordered_map_size))$/;" v +OrderedMapStage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapStage = tf_export("raw_ops.OrderedMapStage")(_ops.to_raw_op(ordered_map_stage))$/;" v +OrderedMapUnstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapUnstage = tf_export("raw_ops.OrderedMapUnstage")(_ops.to_raw_op(ordered_map_unstage))$/;" v +OrderedMapUnstageNoKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^OrderedMapUnstageNoKey = tf_export("raw_ops.OrderedMapUnstageNoKey")(_ops.to_raw_op(ordered_map_/;" v +OrderedModuleDict adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class OrderedModuleDict(OrderedDictWrapper):$/;" c +OrderedMultiDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class OrderedMultiDict(MultiDict):$/;" c +OrderedSet adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^class OrderedSet(MutableSet, Sequence):$/;" c +ordered_map_clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_clear(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=Non/;" f +ordered_map_clear_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_clear_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, nam/;" f +ordered_map_incomplete_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_incomplete_size(dtypes, capacity=0, memory_limit=0, container="", shared_name=""/;" f +ordered_map_incomplete_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_incomplete_size_eager_fallback(dtypes, capacity, memory_limit, container, shared/;" f +ordered_map_peek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_peek(key, indices, dtypes, capacity=0, memory_limit=0, container="", shared_name/;" f +ordered_map_peek_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_peek_eager_fallback(key, indices, dtypes, capacity, memory_limit, container, sha/;" f +ordered_map_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_size(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None/;" f +ordered_map_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_size_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name/;" f +ordered_map_stage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_stage(key, indices, values, dtypes, capacity=0, memory_limit=0, container="", sh/;" f +ordered_map_stage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_stage_eager_fallback(key, indices, values, dtypes, capacity, memory_limit, conta/;" f +ordered_map_unstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_unstage(key, indices, dtypes, capacity=0, memory_limit=0, container="", shared_n/;" f +ordered_map_unstage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_unstage_eager_fallback(key, indices, dtypes, capacity, memory_limit, container, /;" f +ordered_map_unstage_no_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_unstage_no_key(indices, dtypes, capacity=0, memory_limit=0, container="", shared/;" f +ordered_map_unstage_no_key_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def ordered_map_unstage_no_key_eager_fallback(indices, dtypes, capacity, memory_limit, container/;" f +ordered_unique adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^def ordered_unique(elements):$/;" f +ordered_wrapper adpepsenv/lib/python3.8/site-packages/jax/tools/jax_to_hlo.py /^ def ordered_wrapper(*args):$/;" f function:jax_to_hlo file: +OrderSwitchOpsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^class OrderSwitchOpsTest(hu.HypothesisTestCase):$/;" c +OrderSwitchTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^class OrderSwitchTest(hu.HypothesisTestCase):$/;" c +ORDER_ASC adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ORDER_ASC = 1$/;" v +order_by adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def order_by(self, attribute):$/;" m class:ProfileOptionBuilder +order_codes adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^order_codes = {$/;" v +ORDER_DESC adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ORDER_DESC = 2$/;" v +order_env_var_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ order_env_var_name = 'NPY_BLAS_ILP64_ORDER'$/;" v class:blas_ilp64_opt_info +order_env_var_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ order_env_var_name = 'NPY_BLAS_ORDER'$/;" v class:blas_opt_info +order_env_var_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ order_env_var_name = 'NPY_LAPACK_ILP64_ORDER'$/;" v class:lapack_ilp64_opt_info +order_env_var_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ order_env_var_name = 'NPY_LAPACK_ORDER'$/;" v class:lapack_opt_info +order_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def order_filter(a, domain, rank):$/;" f +ORDER_UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^ORDER_UNSPECIFIED = 0$/;" v +ordinal_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ ordinal_rank = lambda a: min_rank([(x, i) for i, x in enumerate(a)])$/;" f member:TestRankData.test_rankdata_object_string file: +ordqz adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def ordqz(A, B, sort='lhp', output='real', overwrite_a=False,$/;" f +ORELSE_DEFINITELY_RETURNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ORELSE_DEFINITELY_RETURNS = 'ORELSE_DEFINITELY_RETURNS'$/;" v +ORELSE_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ ORELSE_SCOPE = ($/;" v class:Static +ORELSE_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ ORELSE_SCOPE = ($/;" v class:NodeAnno +OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class OrganizationalUnitName(char.PrintableString):$/;" c +OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class OrganizationalUnitName(char.PrintableString):$/;" c +OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class OrganizationalUnitName(char.PrintableString):$/;" c +OrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class OrganizationalUnitNames(univ.SequenceOf):$/;" c +OrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class OrganizationalUnitNames(univ.SequenceOf):$/;" c +OrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class OrganizationalUnitNames(univ.SequenceOf):$/;" c +OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class OrganizationName(char.PrintableString):$/;" c +OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class OrganizationName(char.PrintableString):$/;" c +OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class OrganizationName(char.PrintableString):$/;" c +orientation adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def orientation(self):$/;" m class:RegularPolygon +orientation adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def orientation(self, orientation):$/;" m class:RegularPolygon +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_rpm.py /^import distutils.command.bdist_rpm as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_wininst.py /^import distutils.command.bdist_wininst as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/build_clib.py /^import distutils.command.build_clib as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^import distutils.command.build_py as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^import distutils.command.install as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^import distutils.command.install_lib as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^import distutils.command.install_scripts as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/register.py /^import distutils.command.register as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^import distutils.command.sdist as orig$/;" I +orig adpepsenv/lib/python3.8/site-packages/setuptools/command/upload.py /^from distutils.command import upload as orig$/;" x +ORIGIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ ORIGIN = ('Information about the source code that converted code originated'$/;" v class:Basic +origin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/cors.py /^ origin = environ_property($/;" v class:CORSRequestMixin +ORIGINAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ ORIGINAL = 0$/;" v class:GraphState +OriginalFeatureList adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^class OriginalFeatureList(object):$/;" c +originalTextFor adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def originalTextFor(expr, asString=True):$/;" f +originalTextFor adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def originalTextFor(expr, asString=True):$/;" f +originalTextFor adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def originalTextFor(expr, asString=True):$/;" f +originalTextFor adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def originalTextFor(expr, asString=True):$/;" f +original_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def original_args(self):$/;" m class:SessionRunContext +original_fftshift adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def original_fftshift(x, axes=None):$/;" f member:TestFFTShift.test_equal_to_original file: +original_ifftshift adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def original_ifftshift(x, axes=None):$/;" f member:TestFFTShift.test_equal_to_original file: +original_name adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def original_name(self):$/;" m class:RecursiveScriptModule +original_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def original_name_scope(self):$/;" m class:VariableScope +original_run_std_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^original_run_std_server = dc._run_std_server # pylint: disable=protected-access$/;" v +OriginatorIdentifierOrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OriginatorIdentifierOrKey(univ.Choice):$/;" c +OriginatorIdentifierOrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OriginatorIdentifierOrKey(univ.Choice):$/;" c +OriginatorInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OriginatorInfo(univ.Sequence):$/;" c +OriginatorInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OriginatorInfo(univ.Sequence):$/;" c +OriginatorPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OriginatorPublicKey(univ.Sequence):$/;" c +OriginatorPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OriginatorPublicKey(univ.Sequence):$/;" c +OriginInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^class OriginInfo($/;" c +OriginResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^class OriginResolver(gast.NodeVisitor):$/;" c +origin_req_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def origin_req_host(self):$/;" m class:MockRequest +origin_req_host adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def origin_req_host(self):$/;" m class:MockRequest +ORIG_DEFINITIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ ORIG_DEFINITIONS = ($/;" v class:Static +orig_stderr adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^orig_stderr = None$/;" v +orig_stdout adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^orig_stdout = None$/;" v +orig_util_HAS_SNI adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^orig_util_HAS_SNI = util.HAS_SNI$/;" v +orig_util_HAS_SNI adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^orig_util_HAS_SNI = util.HAS_SNI$/;" v +orig_util_HAS_SNI adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^orig_util_HAS_SNI = util.HAS_SNI$/;" v +orig_util_HAS_SNI adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^orig_util_HAS_SNI = util.HAS_SNI$/;" v +orig_util_SSLContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^orig_util_SSLContext = util.ssl_.SSLContext$/;" v +orig_util_SSLContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^orig_util_SSLContext = util.ssl_.SSLContext$/;" v +orig_util_SSLContext adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^orig_util_SSLContext = util.ssl_.SSLContext$/;" v +orig_util_SSLContext adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^orig_util_SSLContext = util.ssl_.SSLContext$/;" v +orth adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^def orth(A, rcond=None):$/;" f +orth adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^import scipy.special.orthogonal as orth$/;" I +orth adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^import scipy.special.orthogonal as orth$/;" I +orthogonal adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def orthogonal(scale=1.0, column_axis=-1, dtype=jnp.float32):$/;" f +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Orthogonal as orthogonal$/;" x +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal$/;" x +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal$/;" x +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Orthogonal as orthogonal$/;" x +Orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Orthogonal(init_ops_v2.Orthogonal, Initializer):$/;" c +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^orthogonal = init_ops.orthogonal_initializer$/;" v +Orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Orthogonal(Initializer):$/;" c +Orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Orthogonal(Initializer):$/;" c +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^orthogonal = Orthogonal$/;" v +orthogonal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal$/;" x +orthogonal adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^orthogonal = _make_deprecate(orthogonal_)$/;" v +orthogonality adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^def orthogonality(A, g):$/;" f +orthogonal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def orthogonal_(tensor, gain=1):$/;" f +orthogonal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^orthogonal_initializer = Orthogonal$/;" v +orthogonal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^orthogonal_initializer = Orthogonal$/;" v +orthogonal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal_initializer$/;" x +orthogonal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal_initializer$/;" x +orthogonal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Orthogonal as orthogonal_initializer$/;" x +orthogonal_procrustes adpepsenv/lib/python3.8/site-packages/scipy/linalg/_procrustes.py /^def orthogonal_procrustes(A, B, check_finite=True):$/;" f +orthopoly1d adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^class orthopoly1d(np.poly1d):$/;" c +ortho_group adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ortho_group = ortho_group_gen()$/;" v +ortho_group_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class ortho_group_gen(multi_rv_generic):$/;" c +ortho_transformation adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def ortho_transformation(zfront, zback):$/;" f +or_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def or_(a, b):$/;" f +or_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def or_(x, y, name=None):$/;" f +or_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^or_p = standard_naryop([_bool_or_int, _bool_or_int], 'or')$/;" v +OSC adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^OSC = '\\033]'$/;" v +OSIncludes adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def OSIncludes(self):$/;" m class:EnvironmentInfo +OSLibpath adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def OSLibpath(self):$/;" m class:EnvironmentInfo +OSLibraries adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def OSLibraries(self):$/;" m class:EnvironmentInfo +osp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^import os.path as osp$/;" I +osp_signal adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^import scipy.signal as osp_signal$/;" I +osp_special adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^import scipy.special as osp_special$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/bernoulli.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/beta.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/cauchy.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/dirichlet.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/expon.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/gamma.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/geom.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/laplace.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/multivariate_normal.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/pareto.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/poisson.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/t.py /^import scipy.stats as osp_stats$/;" I +osp_stats adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/uniform.py /^import scipy.stats as osp_stats$/;" I +OSStatus adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^OSStatus = c_int32$/;" v +OSStatus adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^OSStatus = c_int32$/;" v +OSS_APP adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_APP = True$/;" v +OSS_FLAGS adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_FLAGS = True$/;" v +OSS_GFILE adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_GFILE = True$/;" v +OSS_GOOGLETEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_GOOGLETEST = True$/;" v +OSS_LOGGING adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_LOGGING = True$/;" v +OSS_PARAMETERIZED adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^OSS_PARAMETERIZED = True$/;" v +OSXFontDirectories adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^OSXFontDirectories = [$/;" v +os_fspath adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def os_fspath(path):$/;" f +os_fspath adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ os_fspath = os.fspath$/;" v +os_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ os_name = platform.java_ver()[3][0]$/;" v +os_name adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ os_name = platform.java_ver()[3][0]$/;" v +os_name adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ os_name = platform.java_ver()[3][0]$/;" v +os_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^from os import open as os_open$/;" x +os_open adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^from os import open as os_open$/;" x +os_PathLike adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ class os_PathLike(abc_ABC):$/;" c +os_PathLike adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ os_PathLike = os.PathLike$/;" v +os_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def os_release_attr(self, attribute):$/;" m class:LinuxDistribution +os_release_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def os_release_attr(attribute):$/;" f +os_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def os_release_info(self):$/;" m class:LinuxDistribution +os_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def os_release_info():$/;" f +OTH adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^OTH = 1 # other$/;" v +OTH adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^OTH = 1 # other$/;" v +Other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Other:$/;" c class:TestArrayPriority +Other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class Other:$/;" c member:TestGetImplementingArgs.test_ndarray_and_duck_array file: +Other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class Other:$/;" c member:TestGetImplementingArgs.test_ndarray_subclass_and_duck_array file: +Other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class Other:$/;" c member:TestNDArrayArrayFunction.test_method file: +OTHER adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^OTHER = 2$/;" v +otherCertFormatMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^otherCertFormatMap = { }$/;" v +OtherCertificateFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OtherCertificateFormat(univ.Sequence):$/;" c +OtherCertificateFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OtherCertificateFormat(univ.Sequence):$/;" c +OtherInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2631.py /^class OtherInfo(univ.Sequence):$/;" c +OtherKeyAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OtherKeyAttribute(univ.Sequence):$/;" c +OtherKeyAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OtherKeyAttribute(univ.Sequence):$/;" c +otherKeyAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^otherKeyAttributesMap = { }$/;" v +OtherLogotypeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^class OtherLogotypeInfo(univ.Sequence):$/;" c +OtherMsg adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class OtherMsg(univ.Sequence):$/;" c +OtherMsg adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class OtherMsg(univ.Sequence):$/;" c +OtherPrimeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^class OtherPrimeInfo(univ.Sequence):$/;" c +OtherPrimeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^OtherPrimeInfo = rfc3447.OtherPrimeInfo$/;" v +OtherPrimeInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^class OtherPrimeInfos(univ.SequenceOf):$/;" c +OtherPrimeInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^OtherPrimeInfos = rfc3447.OtherPrimeInfos$/;" v +OtherRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OtherRecipientInfo(univ.Sequence):$/;" c +OtherRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OtherRecipientInfo(univ.Sequence):$/;" c +otherRecipientInfoMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^otherRecipientInfoMap = { }$/;" v +otherRevInfoFormatMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^otherRevInfoFormatMap = { }$/;" v +OtherRevocationInfoFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class OtherRevocationInfoFormat(univ.Sequence):$/;" c +OtherRevocationInfoFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class OtherRevocationInfoFormat(univ.Sequence):$/;" c +otherwise adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def otherwise(alpha, beta, TH, aTH, bTH, cosTH, tanTH, W):$/;" f member:levy_stable_gen._rvs file: +OTHER_MEMBERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ OTHER_MEMBERS = 1$/;" v class:GzipDecoderState +OTHER_MEMBERS adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ OTHER_MEMBERS = 1$/;" v class:GzipDecoderState +OTHER_ROUTINES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^OTHER_ROUTINES = """$/;" v +other_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ other_version = 'X'$/;" v class:bdist_msi +otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^otpChallenge = rfc5652.Attribute()$/;" v +otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^otpChallenge = Attribute()$/;" v +out adpeps/simulation/run_ipeps_gs.py /^ def out(self, v):$/;" m class:Objective +out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ out = ''.join([repr(t) + '\\n' for t in tgt])$/;" v class:TestFileBased +out adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ out = lambda s: msg.append(s)$/;" f function:rundocs file: +outbound_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def outbound_layer(self):$/;" m class:Node +outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def outbound_nodes(self):$/;" m class:Layer +outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def outbound_nodes(self):$/;" m class:Layer +OutClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class OutClass(np.ndarray):$/;" c member:TestBinop.test_out_override file: +Outcome adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^class Outcome(six.with_metaclass(abc.ABCMeta)):$/;" c +outcome adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def outcome(self):$/;" m class:OperationContext +Outcome adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class Outcome(object):$/;" c +OutDataType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutDataType(self):$/;" m class:CastOptions +outer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def outer(y):$/;" f member:Jax2TfTest.test_convert_under_transform_error file: +outer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def outer(y):$/;" f member:Jax2TfTest.test_convert_under_transform_error_non_tracer file: +outer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def outer(y):$/;" f member:Jax2TfTest.test_nested_convert_error file: +outer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def outer(y):$/;" f member:Jax2TfTest.test_nested_convert_error_non_tracer file: +outer adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def outer(a, b, out=None):$/;" f +outer adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def outer(a, b, out=None):$/;" f +outer adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def outer(self, a, b):$/;" m class:_extrema_operation +outer adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def outer(self, a, b):$/;" m class:_MaskedBinaryOperation +outer adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def outer(a, b):$/;" f +outer adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def outer(func):$/;" f function:all_of_type file: +outer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def outer(a, b):$/;" f +outer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def outer(f):$/;" f function:invalidate_recursive_cache file: +outermost_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def outermost_graphs(self):$/;" m class:DebugDataReader +outerproduct adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^outerproduct = outer$/;" v +outer_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def outer_context(self):$/;" m class:ControlFlowContext +outer_grad_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def outer_grad_state(self):$/;" m class:_GradLoopState +outer_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def outer_graph(self):$/;" m class:_FuncGraph +outer_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def outer_graph(self):$/;" m class:FuncGraph +outer_graph_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def outer_graph_id(self):$/;" m class:DebuggedGraph +outfeed adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def outfeed(token, xs):$/;" f +OutfeedDequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedDequeue = tf_export("raw_ops.OutfeedDequeue")(_ops.to_raw_op(outfeed_dequeue))$/;" v +OutfeedDequeueTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedDequeueTuple = tf_export("raw_ops.OutfeedDequeueTuple")(_ops.to_raw_op(outfeed_dequeue_tu/;" v +OutfeedDequeueTupleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedDequeueTupleV2 = tf_export("raw_ops.OutfeedDequeueTupleV2")(_ops.to_raw_op(outfeed_dequeu/;" v +OutfeedDequeueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedDequeueV2 = tf_export("raw_ops.OutfeedDequeueV2")(_ops.to_raw_op(outfeed_dequeue_v2))$/;" v +OutfeedEnqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedEnqueue = tf_export("raw_ops.OutfeedEnqueue")(_ops.to_raw_op(outfeed_enqueue))$/;" v +OutfeedEnqueueTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^OutfeedEnqueueTuple = tf_export("raw_ops.OutfeedEnqueueTuple")(_ops.to_raw_op(outfeed_enqueue_tu/;" v +outfeed_dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue(dtype, shape, device_ordinal=-1, name=None):$/;" f +outfeed_dequeue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_eager_fallback(dtype, shape, device_ordinal, name, ctx):$/;" f +outfeed_dequeue_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_tuple(dtypes, shapes, device_ordinal=-1, name=None):$/;" f +outfeed_dequeue_tuple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_tuple_eager_fallback(dtypes, shapes, device_ordinal, name, ctx):$/;" f +outfeed_dequeue_tuple_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_tuple_v2(device_ordinal, dtypes, shapes, name=None):$/;" f +outfeed_dequeue_tuple_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_tuple_v2_eager_fallback(device_ordinal, dtypes, shapes, name, ctx):$/;" f +outfeed_dequeue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_v2(device_ordinal, dtype, shape, name=None):$/;" f +outfeed_dequeue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_dequeue_v2_eager_fallback(device_ordinal, dtype, shape, name, ctx):$/;" f +outfeed_enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_enqueue(input, name=None):$/;" f +outfeed_enqueue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_enqueue_eager_fallback(input, name, ctx):$/;" f +outfeed_enqueue_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_enqueue_tuple(inputs, name=None):$/;" f +outfeed_enqueue_tuple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def outfeed_enqueue_tuple_eager_fallback(inputs, name, ctx):$/;" f +outfeed_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^outfeed_p = Primitive("outfeed")$/;" v +outfeed_primitives adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^outfeed_primitives: Set[core.Primitive] = set()$/;" v +outfeed_rewriter adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^outfeed_rewriter: Optional[Callable[[core.Jaxpr], core.Jaxpr]] = None$/;" v +outfile adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ outfile = sys.argv[2]$/;" v +outfile adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^ libname, src_dir, outfile = argv[1:]$/;" v +outgoing_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def outgoing_edges(self):$/;" m class:_Convertible +Outline adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ Outline = None$/;" v +outmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def outmess(t):$/;" f +outmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def outmess(line, flag=1):$/;" f +outmess adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^outmess = auxfuncs.outmess$/;" v +outneeds adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^outneeds = {'includes0': [], 'includes': [], 'typedefs': [], 'typedefs_generated': [],$/;" v +OutOfBounds adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class OutOfBounds(IndexError):$/;" c +OutOfData adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class OutOfData(UnpackException):$/;" c +OutOfOrderWritesDetected adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def OutOfOrderWritesDetected(self):$/;" m class:DirectoryWatcher +OutOfRangeError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class OutOfRangeError(OpError):$/;" c +OutOfRangeError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class OutOfRangeError(OpError):$/;" c +Output adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^class Output(object):$/;" c +Output adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Output(self):$/;" m class:Builder +output adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def output(self, *data):$/;" m class:PdfFile +Output adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class Output(object):$/;" c +output adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def output(self, u, t, x0=None):$/;" m class:dlti +output adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def output(self, U, T, X0=None):$/;" m class:lti +output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def output(self):$/;" m class:Layer +output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def output(self):$/;" m class:Layer +output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def output(self):$/;" m class:Functional +output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def output(self):$/;" m class:_TrainingEndpoint +output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def output(self, index):$/;" m class:_PforInput +output adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def output(self, result: Argument):$/;" m class:Graph +OutputDirectoryExistsError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^class OutputDirectoryExistsError(ValueError):$/;" c +OutputFormat adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^class OutputFormat(object):$/;" c +OutputFormat adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^class OutputFormat(object):$/;" c +OutputLogger adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^class OutputLogger(Logger):$/;" c +outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def outputs(self):$/;" m class:Task +Outputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Outputs(self, j):$/;" m class:Operator +Outputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Outputs(self, j):$/;" m class:SignatureDef +Outputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Outputs(self, j):$/;" m class:SubGraph +outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def outputs(self):$/;" m class:ConcreteFunction +outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def outputs(self):$/;" m class:Operation +outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def outputs(self):$/;" m class:WhileOp +outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def outputs(self):$/;" m class:_PforInput +outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def outputs(self):$/;" m class:PredictOutput +OutputsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class OutputsAggregator(Aggregator):$/;" c +OutputsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsAsNumpy(self):$/;" m class:Operator +OutputsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsAsNumpy(self):$/;" m class:SubGraph +OutputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsIsNone(self):$/;" m class:Operator +OutputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsIsNone(self):$/;" m class:SignatureDef +OutputsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsIsNone(self):$/;" m class:SubGraph +OutputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsLength(self):$/;" m class:Operator +OutputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsLength(self):$/;" m class:SignatureDef +OutputsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputsLength(self):$/;" m class:SubGraph +outputs_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def outputs_name(self):$/;" m class:PredictorExportMeta +OutputType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputType(self):$/;" m class:ArgMaxOptions +OutputType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutputType(self):$/;" m class:ArgMinOptions +output_all_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def output_all_intermediates():$/;" f +output_all_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_toggles.py /^def output_all_intermediates(state): # pylint: disable=invalid-name$/;" f +output_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def output_args(self):$/;" m class:FFMpegBase +output_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def output_args(self):$/;" m class:ImageMagickBase +OUTPUT_CHANNELS adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^OUTPUT_CHANNELS = {$/;" v +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_classes(self):$/;" m class:DatasetV1 +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_classes(self):$/;" m class:StructuredFunctionWrapper +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_classes(self):$/;" m class:Iterator +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_classes(self):$/;" m class:OwnedIterator +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_classes(self):$/;" m class:DistributedIteratorV1 +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_classes(self):$/;" m class:_SingleWorkerDatasetIterator +output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_classes(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +output_def adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^def output_def(dlist, flist, header, file = sys.stdout):$/;" f +output_dims adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ output_dims = 2$/;" v class:NonAffineForTest +output_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ output_dims = 2$/;" v class:Affine2DBase +output_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ output_dims = 2$/;" v class:BlendedGenericTransform +output_dims adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ output_dims = None$/;" v class:Transform +output_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def output_dtypes(self):$/;" m class:ConcreteFunction +output_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ output_flatten = lambda x: nest.flatten(x) if output_is_sequence else [x]$/;" f function:scan file: +output_formats adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ output_formats = {$/;" v class:Markdown +output_generator adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ output_generator as output_generator # noqa$/;" I +output_help adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ output_help = 'Name of the file to write the %(operation_past)s file ' \\$/;" v class:CryptoOperation +output_help adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ output_help = ('Name of the file to write the signature to. Written '$/;" v class:SignOperation +output_init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ output_init_net = args.init_net$/;" v +output_list adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def output_list(self):$/;" m class:Task +output_loss_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def output_loss_metric(self):$/;" m class:_TrainingEndpoint +output_loss_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def output_loss_metric(self, value):$/;" m class:_TrainingEndpoint +output_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def output_mask(self):$/;" m class:Layer +output_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def output_mask(self):$/;" m class:Layer +output_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def output_name(self):$/;" m class:_TrainingEndpoint +output_pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def output_pack(x):$/;" f function:scan file: +output_package_listing adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def output_package_listing(self, packages, options):$/;" m class:ListCommand +output_package_listing_columns adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def output_package_listing_columns(self, data, header):$/;" m class:ListCommand +output_predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ output_predict_net = args.predict_net$/;" v +output_projection adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def output_projection($/;" f +output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def output_record(self):$/;" m class:Net +output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def output_schema(self):$/;" m class:ModelLayer +output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def output_schema(self, output_schema):$/;" m class:ModelLayer +output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def output_schema(self):$/;" m class:LayerModelHelper +output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def output_schema(self, schema):$/;" m class:LayerModelHelper +output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def output_shape(self):$/;" m class:Layer +output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def output_shape(self):$/;" m class:Layer +output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def output_shape(self):$/;" m class:Functional +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_shapes(self):$/;" m class:DatasetV1 +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_shapes(self):$/;" m class:StructuredFunctionWrapper +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_shapes(self):$/;" m class:Iterator +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_shapes(self):$/;" m class:OwnedIterator +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_shapes(self):$/;" m class:DistributedIteratorV1 +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_shapes(self):$/;" m class:_SingleWorkerDatasetIterator +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_shapes(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def output_shapes(self):$/;" m class:ConcreteFunction +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def output_shapes(self):$/;" m class:FuncGraph +output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def output_shapes(self):$/;" m class:Node +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def output_size(self):$/;" m class:TFLiteLSTMCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def output_size(self):$/;" m class:TfLiteRNNCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def output_size(self):$/;" m class:_RNNCellForTest +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:BasicLSTMCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:BasicRNNCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:GRUCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:LSTMCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:MultiRNNCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def output_size(self):$/;" m class:RNNCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def output_size(self):$/;" m class:DeviceWrapperBase +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def output_size(self):$/;" m class:DropoutWrapperBase +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def output_size(self):$/;" m class:ResidualWrapperBase +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def output_size(self):$/;" m class:AbstractRNNCell +output_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def output_size(self):$/;" m class:StackedRNNCells +output_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ output_size = (2, 3) + tuple(p + 1 for p in padding) # simplified from `(4 + 2 * p - 3)/;" v +output_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def output_slot(self):$/;" m class:DebugTensorDatum +output_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def output_slot(self):$/;" m class:InfNanAlert +output_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def output_slot(self):$/;" m class:GraphExecutionTraceDigest +output_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_structure(self):$/;" m class:StructuredFunctionWrapper +output_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def output_tensors(self):$/;" m class:Node +output_tensor_device_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def output_tensor_device_ids(self):$/;" m class:ExecutionDigest +output_tensor_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def output_tensor_ids(self):$/;" m class:Execution +output_tensor_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def output_tensor_ids(self):$/;" m class:GraphOpCreationDigest +output_to_list adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def output_to_list(op_output):$/;" f +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_types(self):$/;" m class:DatasetV1 +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def output_types(self):$/;" m class:StructuredFunctionWrapper +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_types(self):$/;" m class:Iterator +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def output_types(self):$/;" m class:OwnedIterator +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_types(self):$/;" m class:DistributedIteratorV1 +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_types(self):$/;" m class:_SingleWorkerDatasetIterator +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def output_types(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def output_types(self):$/;" m class:FuncGraph +OUTSIDE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ OUTSIDE = enum.auto()$/;" v class:DdpMode +OutsideCompilationV2Context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^class OutsideCompilationV2Context(control_flow_ops.ControlFlowContext):$/;" c +outside_call_p adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^outside_call_p = core.Primitive("outside_call")$/;" v +outside_compilation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def outside_compilation(computation, *args, **kwargs):$/;" f +OutT adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^OutT = tf_export("raw_ops.OutT")(_ops.to_raw_op(out_t))$/;" v +OutType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def OutType(self):$/;" m class:ShapeOptions +OutTypeList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^OutTypeList = tf_export("raw_ops.OutTypeList")(_ops.to_raw_op(out_type_list))$/;" v +OutTypeListRestrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^OutTypeListRestrict = tf_export("raw_ops.OutTypeListRestrict")(_ops.to_raw_op(out_type_list_rest/;" v +out_avals adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def out_avals(self):$/;" m class:ClosedJaxpr +out_axes_thunk adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def out_axes_thunk():$/;" f function:map_transpose file: +out_axes_transform adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def out_axes_transform(out_axes):$/;" f member:JVPTrace.post_process_call file: +out_axes_transform adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def out_axes_transform(out_axes):$/;" f member:BatchTrace.post_process_map file: +out_axes_transform adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def out_axes_transform(out_axes):$/;" f member:JaxprTrace.post_process_call file: +out_check_class adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ out_check_class = NumpyOutputChecker$/;" v class:NumpyDoctest +out_dims_t adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^out_dims_t = Union[int, Tuple[int, ...]]$/;" v +out_of_date adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def out_of_date(original, derived):$/;" f +OUT_OF_RANGE adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ OUT_OF_RANGE = (_cygrpc.StatusCode.out_of_range, 'out of range')$/;" v class:StatusCode +OUT_OF_RANGE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^OUT_OF_RANGE = error_codes.OUT_OF_RANGE$/;" v +OUT_OF_RANGE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^OUT_OF_RANGE = 11$/;" v +OUT_OF_RANGE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^OUT_OF_RANGE = 11$/;" v +OUT_OF_RANGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^OUT_OF_RANGE = error_codes_pb2.OUT_OF_RANGE$/;" v +out_of_range_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def out_of_range_fn(worker_index, device):$/;" f member:DistributedIteratorBase.get_next file: +out_prefix adpeps/ipeps/config.py /^out_prefix: str = ''$/;" v +out_t adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_t(T, name=None):$/;" f +out_thunk adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ out_thunk = lambda: out_store.val$/;" f function:transformation_with_aux file: +out_type_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_type_list(T, name=None):$/;" f +out_type_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_type_list_eager_fallback(T, name, ctx):$/;" f +out_type_list_restrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_type_list_restrict(t, name=None):$/;" f +out_type_list_restrict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_type_list_restrict_eager_fallback(t, name, ctx):$/;" f +out_t_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def out_t_eager_fallback(T, name, ctx):$/;" f +OverFeat adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def OverFeat(order):$/;" f +OverFeat adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def OverFeat(order, cudnn_ws):$/;" f +overflow_error_func adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def overflow_error_func(dtype):$/;" f member:TestConversion.test_int_raise_behaviour file: +overlaps adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def overlaps(self, other):$/;" m class:BboxBase +overlaps adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def overlaps(self, other):$/;" m class:_BaseNetwork +overlap_and_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/reconstruction_ops.py /^def overlap_and_add(signal, frame_step, name=None):$/;" f +overlay adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def overlay(image1, image2):$/;" f +overline adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def overline(self, s, loc, toks):$/;" m class:Parser +overload adpepsenv/lib/python3.8/site-packages/torch/functional.py /^from ._jit_internal import _overload as overload$/;" x +overload adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def overload(func):$/;" f +OVERLOADABLE_OPERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ OVERLOADABLE_OPERATORS = {$/;" v class:Tensor +overload_by_arg_count adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def overload_by_arg_count(fn):$/;" f +overload_of adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def overload_of(f):$/;" f +override adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ override = object()$/;" v class:test_setattr_cm.A +overrideAdditionalMethods adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def overrideAdditionalMethods(myTrainerClass, opts):$/;" f +overrideAdditionalMethods adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^def overrideAdditionalMethods(myTrainerClass, opts):$/;" f +OverrideSub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class OverrideSub(np.ndarray):$/;" c member:TestGetImplementingArgs.test_ndarray_subclasses file: +OverrideSub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class OverrideSub(np.ndarray):$/;" c member:TestGetImplementingArgs.test_ndarray_subclass_and_duck_array file: +OverrideSub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ class OverrideSub(np.ndarray):$/;" c member:TestNDArrayArrayFunction.test_method file: +override_func_graph_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def override_func_graph_name_scope(func_graph, name_scope):$/;" f +OVERRIDE_GLOBAL_THREADPOOL adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^OVERRIDE_GLOBAL_THREADPOOL = "TF_OVERRIDE_GLOBAL_THREADPOOL"$/;" v +override_no_test_model_no_checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ override_no_test_model_no_checkpoint as override_no_test_model_no_checkpoint # noqa$/;" I +override_qengines adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def override_qengines(qfunction):$/;" f +override_quantized_engine adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def override_quantized_engine(qengine):$/;" f +override_temp adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def override_temp(replacement):$/;" f +override_threadpool adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^def override_threadpool(dataset, thread_pool):$/;" f +OverviewPage adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPage = _reflection.GeneratedProtocolMessageType('OverviewPage', (_message.Message,), {$/;" v +OverviewPageAnalysis adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageAnalysis = _reflection.GeneratedProtocolMessageType('OverviewPageAnalysis', (_messag/;" v +OverviewPageHostDependentJobInfo adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageHostDependentJobInfo = _reflection.GeneratedProtocolMessageType('OverviewPageHostDep/;" v +OverviewPageHostIndependentJobInfo adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageHostIndependentJobInfo = _reflection.GeneratedProtocolMessageType('OverviewPageHostI/;" v +OverviewPageRecommendation adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageRecommendation = _reflection.GeneratedProtocolMessageType('OverviewPageRecommendatio/;" v +OverviewPageRunEnvironment adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageRunEnvironment = _reflection.GeneratedProtocolMessageType('OverviewPageRunEnvironmen/;" v +OverviewPageTip adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewPageTip = _reflection.GeneratedProtocolMessageType('OverviewPageTip', (_message.Message,/;" v +OverviewTfOp adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^OverviewTfOp = _reflection.GeneratedProtocolMessageType('OverviewTfOp', (_message.Message,), {$/;" v +overwrite_arg adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def overwrite_arg(cmd, basename, filename):$/;" f +owens_t adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double owens_t(double x0, double x1) nogil$/;" f +OwnedIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class OwnedIterator(IteratorBase):$/;" c +OwnedMultiDeviceIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class OwnedMultiDeviceIterator(composite_tensor.CompositeTensor):$/;" c +owner_create_rref_my_script_class adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def owner_create_rref_my_script_class(a):$/;" f +owner_create_rref_my_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def owner_create_rref_my_script_module(a):$/;" f +owner_set adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def owner_set(self):$/;" m class:QN +oz adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^oz = ounce = pound \/ 16$/;" v +p adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def p(x, y):$/;" f member:TestRegression.test_refcount_vectorize file: +P adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^P = np.array([$/;" v +P adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ P = NotImplemented$/;" v class:RungeKutta +P adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ P = np.array([$/;" v class:RK45 +P adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ P = np.array([[1, -4 \/ 3, 5 \/ 9],$/;" v class:RK23 +P adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ class P(PPoly):$/;" c class:TestPolySubclassing +P adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ class P(PchipInterpolator):$/;" c function:test_deriv_shapes.pchip_deriv_inplace file: +p adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def p(w):$/;" f member:TestCobyla.test_minimize_constraint_violation file: +p0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def p0(self):$/;" m class:BboxBase +p0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def p0(self, val):$/;" m class:Bbox +p1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def p1(self):$/;" m class:BboxBase +p1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def p1(self, val):$/;" m class:Bbox +p1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^p1 = regex_compile(r'with bounds (?P.*?)( and (?P.*?) storage){0,1}\\n')$/;" v +P1_0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P1_0 = 1$/;" v class:TestStudentTest +P1_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P1_1 = 0.22540333075$/;" v class:TestStudentTest +P1_1_g adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P1_1_g = 1 - (P1_1 \/ 2)$/;" v class:TestStudentTest +P1_1_l adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P1_1_l = P1_1 \/ 2$/;" v class:TestStudentTest +P1_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P1_2 = 0.0741799$/;" v class:TestStudentTest +p2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^p2 = regex_compile(r'Default: (?P.*?)\\n')$/;" v +P2_0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ P2_0 = 0.2254033$/;" v class:TestStudentTest +pa adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pa(tokens):$/;" f member:Regex.sub file: +pa adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pa(s, l, t):$/;" f function:conditionAsParseAction file: +pa adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pa(s, l, t):$/;" f function:tokenMap file: +pa adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pa(s, l, tokens):$/;" f function:withAttribute file: +pa adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def pa(s,l,t):$/;" f member:ParserElement.addCondition file: +pa adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def pa(s,l,t):$/;" f function:tokenMap file: +pa adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def pa(s,l,tokens):$/;" f function:withAttribute file: +pa adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pa(tokens):$/;" f member:Regex.sub file: +pa adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pa(s, l, t):$/;" f function:conditionAsParseAction file: +pa adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pa(s, l, t):$/;" f function:tokenMap file: +pa adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pa(s, l, tokens):$/;" f function:withAttribute file: +pa adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def pa(s,l,t):$/;" f member:ParserElement.addCondition file: +pa adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def pa(s,l,t):$/;" f function:tokenMap file: +pa adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def pa(s,l,tokens):$/;" f function:withAttribute file: +PAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PAC = 0x0053$/;" v class:WAVE_FORMAT +Pack adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def Pack(self, msg, type_url_prefix='type.googleapis.com\/',$/;" m class:Any +pack adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def pack(a, b):$/;" f function:_select_and_gather_add file: +pack adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def pack(a, b):$/;" f function:_select_and_gather_add_translation file: +Pack adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Pack(self, builder):$/;" m class:PocketFftDescriptorT +pack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def pack(self, obj):$/;" m class:Packer +pack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^def pack(o, stream, **kwargs):$/;" f +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:AbsOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:AddNOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:AddOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ArgMaxOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ArgMinOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:BatchMatMulOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:BatchToSpaceNDOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:BidirectionalSequenceLSTMOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:BidirectionalSequenceRNNOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:BufferT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:CallOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:CastOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ConcatEmbeddingsOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ConcatenationOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:Conv2DOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:CosOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:CumsumOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:CustomQuantizationT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DensifyOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DepthToSpaceOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DepthwiseConv2DOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DequantizeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DimensionMetadataT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:DivOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:EmbeddingLookupSparseOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:EqualOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ExpandDimsOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ExpOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:FakeQuantOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:FillOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:FloorDivOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:FloorModOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:FullyConnectedOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:GatherNdOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:GatherOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:GreaterEqualOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:GreaterOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:HardSwishOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:IfOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:Int32VectorT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:L2NormOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LeakyReluOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LessEqualOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LessOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LocalResponseNormalizationOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LogicalAndOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LogicalNotOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LogicalOrOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LogSoftmaxOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LSHProjectionOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:LSTMOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MatrixDiagOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MatrixSetDiagOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MaximumMinimumOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MetadataT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MirrorPadOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ModelT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:MulOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:NegOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:NonMaxSuppressionV4OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:NonMaxSuppressionV5OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:NotEqualOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:OneHotOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:OperatorCodeT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:OperatorT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:PackOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:PadOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:PadV2OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:Pool2DOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:PowOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:QuantizationParametersT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:QuantizeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:RangeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:RankOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ReducerOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ReshapeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ResizeBilinearOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ResizeNearestNeighborOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ReverseSequenceOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ReverseV2OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:RNNOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ScatterNdOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SegmentSumOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SelectOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SelectV2OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SequenceRNNOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ShapeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SignatureDefT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SkipGramOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SliceOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SoftmaxOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SpaceToBatchNDOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SpaceToDepthOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SparseToDenseOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SparsityParametersT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SplitOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SplitVOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SquaredDifferenceOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SquareOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SqueezeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:StridedSliceOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SubGraphT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SubOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:SVDFOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TensorMapT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TensorT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TileOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TopKV2OptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TransposeConvOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:TransposeOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:Uint16VectorT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:Uint8VectorT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:UnidirectionalSequenceLSTMOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:UniqueOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:UnpackOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:WhereOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:WhileOptionsT +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pack(self, builder):$/;" m class:ZerosLikeOptionsT +PACK adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PACK = 83$/;" v class:BuiltinOperator +pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def pack(self, grouped_grads_and_vars):$/;" m class:_ConcatAndSplitPacker +pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def pack(self, tensors):$/;" m class:ParallelDevice +pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ pack = lambda x: nest.pack_sequence_as(structure=initial, flat_sequence=x)$/;" f function:_scan file: +pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pack(values, axis=0, name=None):$/;" f +Pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Pack = tf_export("raw_ops.Pack")(_ops.to_raw_op(pack))$/;" v +pack adpepsenv/lib/python3.8/site-packages/wheel/cli/pack.py /^def pack(directory, dest_dir, build_number):$/;" f +PackageDetails adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^PackageDetails = namedtuple('PackageDetails', ['version', 'requires'])$/;" v +PackageExporter adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^class PackageExporter:$/;" c +PackageFinder adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^class PackageFinder(object):$/;" c +PackageFinder adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^class PackageFinder:$/;" c +PackageImporter adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class PackageImporter:$/;" c +PackageIndex adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^class PackageIndex(object):$/;" c +PackageIndex adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^class PackageIndex(object):$/;" c +PackageIndex adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^class PackageIndex(Environment):$/;" c +PackageSet adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^ PackageSet = Dict[str, 'PackageDetails']$/;" v +packaging_version adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^from pip._vendor.packaging import version as packaging_version$/;" x +packb adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^def packb(o, **kwargs):$/;" f +packbits adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def packbits(a, axis: Optional[int] = None, bitorder='big'):$/;" f +packbits adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def packbits(a, axis=None, bitorder='big'):$/;" f +packed adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def packed(self):$/;" m class:IPv4Address +packed adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def packed(self):$/;" m class:IPv6Address +PACKED adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PACKED = 0x0099$/;" v class:WAVE_FORMAT +packed adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^from tensorflow.python.distribute import packed_distributed_variable as packed$/;" x +packed adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^from tensorflow.python.distribute import packed_distributed_variable as packed$/;" x +PackedDistributedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^class PackedDistributedVariable(resource_variable_ops.BaseResourceVariable):$/;" c +PackedFC adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def PackedFC(self, *args, **kwargs):$/;" m class:CNNModelHelper +PackedFCTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^class PackedFCTest(hu.HypothesisTestCase):$/;" c +PackedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def PackedFieldSize(value):$/;" f function:_FixedSizer.SpecificSizer file: +PackedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def PackedFieldSize(value):$/;" f function:_ModifiedSizer.SpecificSizer file: +PackedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def PackedFieldSize(value):$/;" f function:_SimpleSizer.SpecificSizer file: +PackedParameter adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class PackedParameter(torch.nn.Module):$/;" c +PackedSequence adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^class PackedSequence(PackedSequence_):$/;" c +PackedSequence_ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^PackedSequence_ = namedtuple('PackedSequence',$/;" v +PackedStructure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class PackedStructure(ctypes.Structure):$/;" c member:TestFromCTypes.test_large_packed_structure file: +PackedStructure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class PackedStructure(ctypes.Structure):$/;" c member:TestFromCTypes.test_packed_structure file: +PackedVarAndDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^class PackedVarAndDevice(object):$/;" c +packed_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def packed_fc(model, *args, **kwargs):$/;" f +packed_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def packed_handle(self):$/;" m class:PackedDistributedVariable +Packer adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^class Packer(object):$/;" c +PackerBase adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class PackerBase(OffsetBox):$/;" c +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.boolean$/;" v class:BoolFlags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.float32$/;" v class:Float32Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.float64$/;" v class:Float64Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.int16$/;" v class:Int16Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.int32$/;" v class:Int32Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.int64$/;" v class:Int64Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.int8$/;" v class:Int8Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.uint16$/;" v class:Uint16Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.uint32$/;" v class:Uint32Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.uint64$/;" v class:Uint64Flags +packer_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ packer_type = packer.uint8$/;" v class:Uint8Flags +PackException adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^PackException = Exception$/;" v +PackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PackOptions = 59$/;" v class:BuiltinOptions +PackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PackOptions(object):$/;" c +PackOptionsAddAxis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PackOptionsAddAxis(builder, axis): builder.PrependInt32Slot(1, axis, 0)$/;" f +PackOptionsAddValuesCount adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PackOptionsAddValuesCount(builder, valuesCount): builder.PrependInt32Slot(0, valuesCount, 0)$/;" f +PackOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PackOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:PackOptions +PackOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PackOptionsEnd(builder): return builder.EndObject()$/;" f +PackOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PackOptionsStart(builder): builder.StartObject(2)$/;" f +PackOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PackOptionsT(object):$/;" c +PackOverflowError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^PackOverflowError = OverflowError$/;" v +packrat_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ packrat_cache = {} # this is set later by enabledPackrat(); this is here so that resetCache(/;" v class:ParserElement +packrat_cache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ packrat_cache = {} # this is set later by enabledPackrat(); this is here so that resetCache(/;" v class:ParserElement +packrat_cache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ packrat_cache = {} # this is set later by enabledPackrat(); this is here so that resetCache(/;" v class:ParserElement +packrat_cache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ packrat_cache = {} # this is set later by enabledPackrat(); this is here so that resetCache(/;" v class:ParserElement +packrat_cache_lock adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ packrat_cache_lock = RLock()$/;" v class:ParserElement +packrat_cache_lock adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ packrat_cache_lock = RLock()$/;" v class:ParserElement +packrat_cache_lock adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ packrat_cache_lock = RLock()$/;" v class:ParserElement +packrat_cache_lock adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ packrat_cache_lock = RLock()$/;" v class:ParserElement +packrat_cache_stats adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ packrat_cache_stats = [0, 0]$/;" v class:ParserElement +packrat_cache_stats adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ packrat_cache_stats = [0, 0]$/;" v class:ParserElement +packrat_cache_stats adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ packrat_cache_stats = [0, 0]$/;" v class:ParserElement +packrat_cache_stats adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ packrat_cache_stats = [0, 0]$/;" v class:ParserElement +PackTag adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def PackTag(field_number, wire_type):$/;" f +PackValueError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^PackValueError = ValueError$/;" v +pack_array_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def pack_array_header(self, n):$/;" m class:Packer +pack_data adpeps/utils/tlist.py /^ def pack_data(self):$/;" m class:TList +pack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pack_eager_fallback(values, axis, name, ctx):$/;" f +pack_eager_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def pack_eager_tensors(self, tensors):$/;" m class:Context +pack_eager_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def pack_eager_tensors(tensors, ctx=None):$/;" f +pack_elems adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ pack_elems = lambda x: nest.pack_sequence_as(structure=elems, flat_sequence=x)$/;" f function:_scan file: +pack_ext_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def pack_ext_type(self, typecode, data):$/;" m class:Packer +pack_f adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def pack_f(args):$/;" f +pack_group adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def pack_group(group):$/;" f member:Optimizer.state_dict file: +pack_items adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def pack_items(self, items, packing):$/;" f function:loadtxt file: +pack_map_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def pack_map_header(self, n):$/;" m class:Packer +pack_map_pairs adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def pack_map_pairs(self, pairs):$/;" m class:Packer +pack_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^ def pack_op(values, lengths):$/;" f member:TestPackRNNSequenceOperator.test_pack_rnn_seqence file: +pack_optimizer_state adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def pack_optimizer_state(marked_pytree):$/;" f +pack_padded_sequence adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def pack_padded_sequence(input, lengths, batch_first=False, enforce_sorted=True):$/;" f +pack_segments_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def pack_segments_ref(lengths, data, max_length=max_length):$/;" f member:TestTensorPackOps.pack_segments_ref file: +pack_segments_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def pack_segments_ref(self, return_presence_mask=False, max_length=None):$/;" m class:TestTensorPackOps +pack_sequence adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def pack_sequence(sequences, enforce_sorted=True):$/;" f +pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def pack_sequence_as(structure, flat_sequence):$/;" f +pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def pack_sequence_as(structure, flat_sequence):$/;" f +pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def pack_sequence_as(structure, flat_sequence, expand_composites=False):$/;" f +pack_tangents adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop_util.py /^def pack_tangents(tensors):$/;" f +pack_x_y_sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def pack_x_y_sample_weight(x, y=None, sample_weight=None):$/;" f +PAD adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^PAD = ''$/;" v +Pad adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Pad(self, n):$/;" m class:Builder +pad adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def pad(operand, padding_value, padding_config):$/;" f +pad adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def pad(operand: Array, padding_value: Array,$/;" f +pad adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def pad(array, pad_width, mode="constant", **kwargs):$/;" f +PAD adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ PAD = 0.1$/;" v class:Cell +pad adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def pad(array, pad_width, mode='constant', **kwargs):$/;" f +pad adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def pad(image, size, method=Image.BICUBIC, color=None, centering=(0.5, 0.5)):$/;" f +PAD adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^PAD = o8(0) * 4$/;" v +pad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^pad = gen_xla_ops.xla_pad$/;" v +PAD adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PAD = 34$/;" v class:BuiltinOperator +pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def pad(tensor, paddings, mode="CONSTANT", name=None, constant_values=0): # pylint: disable=inv/;" f +pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def pad(x, axis, front=False, back=False, value=0, count=1, name=None):$/;" f +pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pad(input, paddings, name=None):$/;" f +Pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Pad = tf_export("raw_ops.Pad")(_ops.to_raw_op(pad))$/;" v +pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def pad(array, pad_width, mode, **kwargs): # pylint: disable=redefined-outer-name$/;" f +pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import pad_v2 as pad$/;" x +pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import pad_v2 as pad$/;" x +pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import pad_v2 as pad$/;" x +pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import pad_v2 as pad$/;" x +pad adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import pad_v2 as pad$/;" x +pad adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^pad = _pad$/;" v +padded adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def padded(self, p):$/;" m class:BboxBase +Padded adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class Padded(_Base):$/;" c +padded1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ padded1 = np.zeros(57, dtype=np.uint8)$/;" v class:TestCount +padded1b adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ padded1b = np.zeros(57, dtype=np.uint8)$/;" v class:TestCount +padded2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ padded2 = np.zeros((9, 9), dtype=np.uint8)$/;" v class:TestCount +PaddedBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class PaddedBatchDataset(UnaryDataset):$/;" c +PaddedBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^PaddedBatchDataset = tf_export("raw_ops.PaddedBatchDataset")(_ops.to_raw_op(padded_batch_dataset/;" v +PaddedBatchDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^PaddedBatchDatasetV2 = tf_export("raw_ops.PaddedBatchDatasetV2")(_ops.to_raw_op(padded_batch_dat/;" v +PaddedBox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class PaddedBox(OffsetBox):$/;" c +PaddedStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class PaddedStruct(ctypes.BigEndianStructure):$/;" c member:TestFromCTypes.test_big_endian_structure file: +PaddedStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class PaddedStruct(ctypes.LittleEndianStructure):$/;" c member:TestFromCTypes.test_little_endian_structure file: +PaddedStruct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class PaddedStruct(ctypes.Structure):$/;" c member:TestFromCTypes.test_padded_structure file: +padded_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def padded_batch(self,$/;" m class:DatasetV1 +padded_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def padded_batch(self,$/;" m class:DatasetV2 +padded_batch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def padded_batch_dataset(input_dataset, batch_size, padded_shapes, padding_values, output_shapes/;" f +padded_batch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def padded_batch_dataset_eager_fallback(input_dataset, batch_size, padded_shapes, padding_values/;" f +padded_batch_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def padded_batch_dataset_v2(input_dataset, batch_size, padded_shapes, padding_values, drop_remai/;" f +padded_batch_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def padded_batch_dataset_v2_eager_fallback(input_dataset, batch_size, padded_shapes, padding_val/;" f +padded_shape_as_value adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def padded_shape_as_value(shape):$/;" f +padded_spec adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def padded_spec(shape_spec):$/;" f function:mask.wrapped_fun file: +padded_urlsafe_b64decode adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def padded_urlsafe_b64decode(value):$/;" f +Padding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Padding(self):$/;" m class:Conv2DOptions +Padding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Padding(self):$/;" m class:DepthwiseConv2DOptions +Padding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Padding(self):$/;" m class:Pool2DOptions +Padding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Padding(self):$/;" m class:TransposeConvOptions +Padding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Padding(object):$/;" c +padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def padding(self):$/;" m class:ConvLSTM2D +padding adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ padding = tuple(range(1, d + 1))$/;" v +padding1d_circular adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def padding1d_circular(input, pad):$/;" f +padding2d_circular adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def padding2d_circular(input, pad):$/;" f +padding3d_circular adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def padding3d_circular(input, pad):$/;" f +PaddingConfig adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class PaddingConfig(object):$/;" c +PaddingConfig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^PaddingConfig = _reflection.GeneratedProtocolMessageType('PaddingConfig', (_message.Message,), {$/;" v +PaddingConfigDimension adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class PaddingConfigDimension(object):$/;" c +PaddingFIFOQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class PaddingFIFOQueue(QueueBase):$/;" c +PaddingFIFOQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^PaddingFIFOQueue = tf_export("raw_ops.PaddingFIFOQueue")(_ops.to_raw_op(padding_fifo_queue))$/;" v +PaddingFIFOQueueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^PaddingFIFOQueueV2 = tf_export("raw_ops.PaddingFIFOQueueV2")(_ops.to_raw_op(padding_fifo_queue_v/;" v +PaddingMap adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^PaddingMap = _reflection.GeneratedProtocolMessageType('PaddingMap', (_message.Message,), {$/;" v +PaddingSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^class PaddingSpec(enum.IntEnum):$/;" c +PaddingType adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class PaddingType(enum.Enum):$/;" c +padding_fifo_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def padding_fifo_queue(component_types, shapes=[], capacity=-1, container="", shared_name="", na/;" f +padding_fifo_queue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def padding_fifo_queue_eager_fallback(component_types, shapes, capacity, container, shared_name,/;" f +padding_fifo_queue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def padding_fifo_queue_v2(component_types, shapes=[], capacity=-1, container="", shared_name="",/;" f +padding_fifo_queue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def padding_fifo_queue_v2_eager_fallback(component_types, shapes, capacity, container, shared_na/;" f +padding_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^from tensorflow.python.keras.engine import partial_batch_padding_handler as padding_util$/;" x +pade adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_pade.py /^def pade(an, m, n=None):$/;" f +pade13_scaled adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def pade13_scaled(self, s):$/;" m class:_ExpmPadeHelper +pade3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def pade3(self):$/;" m class:_ExpmPadeHelper +pade5 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def pade5(self):$/;" m class:_ExpmPadeHelper +pade7 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def pade7(self):$/;" m class:_ExpmPadeHelper +pade9 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def pade9(self):$/;" m class:_ExpmPadeHelper +PadImage adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def PadImage(self, blob_in, blob_out, **kwargs):$/;" m class:CNNModelHelper +PadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PadOptions = 22$/;" v class:BuiltinOptions +PadOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PadOptions(object):$/;" c +PadOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PadOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:PadOptions +PadOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PadOptionsEnd(builder): return builder.EndObject()$/;" f +PadOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PadOptionsStart(builder): builder.StartObject(0)$/;" f +PadOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PadOptionsT(object):$/;" c +padtype_options adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^padtype_options = ["mean", "median", "minimum", "maximum", "line"]$/;" v +padtype_to_pads adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def padtype_to_pads(in_shape, filter_shape, window_strides, padding):$/;" f +padtype_to_pads adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def padtype_to_pads(in_shape, window_shape, window_strides, padding):$/;" f +PADV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PADV2 = 60$/;" v class:BuiltinOperator +PadV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^PadV2 = tf_export("raw_ops.PadV2")(_ops.to_raw_op(pad_v2))$/;" v +PadV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PadV2Options = 43$/;" v class:BuiltinOptions +PadV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PadV2Options(object):$/;" c +PadV2OptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PadV2OptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:PadV2Options +PadV2OptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PadV2OptionsEnd(builder): return builder.EndObject()$/;" f +PadV2OptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PadV2OptionsStart(builder): builder.StartObject(0)$/;" f +PadV2OptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PadV2OptionsT(object):$/;" c +pad_0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def pad_0(a):$/;" f function:cross.f.maybe_pad_0 file: +pad_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def pad_batch(self, *dataset_batch_elements):$/;" m class:PartialBatchPaddingHandler +pad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pad_eager_fallback(input, paddings, name, ctx):$/;" f +pad_features_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def pad_features_and_labels(features, labels, batch_size):$/;" m class:_PaddingSignals +pad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ pad_fn = lambda: array_ops.pad(dense_data, [[0, 0], [0, pad_amt]])$/;" f member:TextVectorization.call file: +PAD_ID adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^PAD_ID = 0$/;" v +pad_if_necessary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def pad_if_necessary(t, name, last_dim_padding):$/;" f function:tridiagonal_solve file: +pad_jaxpr_constvars adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def pad_jaxpr_constvars(i, jaxpr):$/;" f function:omnistaging_disabler._initial_style_jaxprs_with_common_consts file: +pad_jaxpr_constvars adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def pad_jaxpr_constvars(i, jaxpr):$/;" f function:_initial_style_jaxprs_with_common_consts file: +pad_labels adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def pad_labels(labels, init_net, net, num_classes):$/;" m class:CRFWithLoss +pad_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^pad_p = standard_primitive(_pad_shape_rule, _pad_dtype_rule, 'pad',$/;" v +pad_packed_sequence adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def pad_packed_sequence(sequence, batch_first=False, padding_value=0.0, total_length=None):$/;" f +pad_predictions adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def pad_predictions(predictions, init_net, net, num_classes):$/;" m class:CRFWithLoss +pad_sequence adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def pad_sequence(sequences, batch_first=False, padding_value=0.0):$/;" f +pad_sequences adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^def pad_sequences(sequences, maxlen=None, dtype='int32',$/;" f +pad_sequences adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/sequence.py /^def pad_sequences(sequences, maxlen=None, dtype='int32',$/;" f +pad_single_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def pad_single_tensor(tensor):$/;" f member:_PaddingSignals.pad_features_and_labels file: +pad_sparse_embedding_lookup_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def pad_sparse_embedding_lookup_indices(sparse_indices, padded_size):$/;" f +pad_to_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def pad_to_bounding_box(image, offset_height, offset_width, target_height,$/;" f +pad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def pad_v2(tensor, paddings, mode="CONSTANT", constant_values=0, name=None):$/;" f +pad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pad_v2(input, paddings, constant_values, name=None):$/;" f +pad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def pad_v2_eager_fallback(input, paddings, constant_values, name, ctx):$/;" f +Page adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^Page = namedtuple('Page', 'text boxes height width descent')$/;" v +Page adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class Page(object):$/;" c +PAGE_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^PAGE_HTML = ($/;" v +paint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def paint(self):$/;" m class:GraphicsContextPdf +paintEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^ def paintEvent(self, event):$/;" m class:FigureCanvasQTAgg +paintEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^ def paintEvent(self, event):$/;" m class:FigureCanvasQTCairo +pairs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^pairs = list(globals().copy().items())$/;" v +pairs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^pairs = list(globals().items())$/;" v +PairValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^PairValue = _reflection.GeneratedProtocolMessageType('PairValue', (_message.Message,), {$/;" v +PairValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^PairValue = _reflection.GeneratedProtocolMessageType('PairValue', (_message.Message,), {$/;" v +pairwise adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^def pairwise(iterable):$/;" f +pairwise adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def pairwise(iterable):$/;" f +pairwise adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def pairwise(iterable):$/;" f +pairwise adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def pairwise(iterable):$/;" f +PairwiseDistance adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^class PairwiseDistance(Module):$/;" c +PairwiseSimilarity adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/pairwise_similarity.py /^class PairwiseSimilarity(ModelLayer):$/;" c +pairwise_distance adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def pairwise_distance(x1, x2, p=2., eps=1e-6, keepdim=False):$/;" f +pair_wise_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^ def pair_wise_op(X, label, lengths):$/;" f member:TestPairWiseLossOps.test_pair_wise_loss_batch file: +PALETTE adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^ PALETTE = PALETTE + ($/;" v +PALETTE adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^PALETTE = b""$/;" v +PaletteFile adpepsenv/lib/python3.8/site-packages/PIL/PaletteFile.py /^class PaletteFile:$/;" c +Palm8BitColormapImage adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^Palm8BitColormapImage = build_prototype_image()$/;" v +pan adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def pan(self, numsteps):$/;" m class:Axis +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def pan(self, *args):$/;" m class:NavigationToolbar2GTK3 +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def pan(self, *args):$/;" m class:NavigationToolbar2QT +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def pan(self):$/;" m class:NavigationToolbar2WebAgg +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def pan(self, *args):$/;" m class:NavigationToolbar2Wx +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def pan(self, *args):$/;" m class:NavigationToolbar2Tk +pan adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def pan(self, *args):$/;" m class:NavigationToolbar2 +PAN adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ PAN = "pan\/zoom"$/;" v class:_Mode +pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def pan(self, numsteps):$/;" m class:RadialLocator +pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def pan(self, numsteps):$/;" m class:ThetaLocator +pan adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def pan(self, numsteps):$/;" m class:Locator +pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^def pandas_input_fn(x,$/;" f +papersize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^papersize = {'letter': (8.5, 11),$/;" v +ParagraphProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class ParagraphProcessor(BlockProcessor):$/;" c +parallel adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def parallel(*layers):$/;" f +parallel adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def parallel(self):$/;" m class:RandomOptimizer +parallel adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def parallel(self, parallel):$/;" m class:RandomOptimizer +parallelCallsStats adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def parallelCallsStats(self,$/;" m class:StatsDatasetTestBase +ParallelConcat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ParallelConcat = tf_export("raw_ops.ParallelConcat")(_ops.to_raw_op(parallel_concat))$/;" v +ParallelDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^class ParallelDevice(object):$/;" c +ParallelDynamicStitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ParallelDynamicStitch = tf_export("raw_ops.ParallelDynamicStitch")(_ops.to_raw_op(parallel_dynam/;" v +ParallelInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ParallelInterleaveDataset(UnaryDataset):$/;" c +ParallelInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class ParallelInterleaveDataset(dataset_ops.UnaryDataset):$/;" c +ParallelInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ParallelInterleaveDataset = tf_export("raw_ops.ParallelInterleaveDataset")(_ops.to_raw_op(parall/;" v +ParallelInterleaveDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ParallelInterleaveDatasetV2 = tf_export("raw_ops.ParallelInterleaveDatasetV2")(_ops.to_raw_op(pa/;" v +ParallelInterleaveDatasetV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ParallelInterleaveDatasetV3 = tf_export("raw_ops.ParallelInterleaveDatasetV3")(_ops.to_raw_op(pa/;" v +ParallelInterleaveDatasetV4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ParallelInterleaveDatasetV4 = tf_export("raw_ops.ParallelInterleaveDatasetV4")(_ops.to_raw_op(pa/;" v +Parallelize adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize($/;" f +ParallelizeBMUFTest adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class ParallelizeBMUFTest(TestCase):$/;" c +Parallelize_BMUF adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_BMUF($/;" f +Parallelize_CPU adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_CPU(*args, **kwargs):$/;" f +Parallelize_CPU_BMUF adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_CPU_BMUF(*args, **kwargs):$/;" f +Parallelize_GPU adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_GPU(*args, **kwargs):$/;" f +Parallelize_GPU_BMUF adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_GPU_BMUF(*args, **kwargs):$/;" f +Parallelize_iDeep adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Parallelize_iDeep(*args, **kwargs):$/;" f +ParallelMapDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ParallelMapDataset(UnaryDataset):$/;" c +ParallelMapDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ParallelMapDataset = tf_export("raw_ops.ParallelMapDataset")(_ops.to_raw_op(parallel_map_dataset/;" v +ParallelMapDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ParallelMapDatasetV2 = tf_export("raw_ops.ParallelMapDatasetV2")(_ops.to_raw_op(parallel_map_dat/;" v +ParallelVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^class ParallelVariable($/;" c +ParallelWorkersTest adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^class ParallelWorkersTest(unittest.TestCase):$/;" c +parallel_apply adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def parallel_apply(self, replicas, inputs, kwargs):$/;" m class:DataParallel +parallel_apply adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def parallel_apply(self, replicas, inputs, kwargs):$/;" m class:DistributedDataParallel +parallel_apply adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/parallel_apply.py /^def parallel_apply(modules, inputs, kwargs_tup=None, devices=None):$/;" f +parallel_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ parallel_batch = options.create_option($/;" v class:OptimizationOptions +parallel_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def parallel_callable(fun: lu.WrappedFun,$/;" f +parallel_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def parallel_concat(values, shape, name=None):$/;" f +parallel_concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def parallel_concat_eager_fallback(values, shape, name, ctx):$/;" f +parallel_dynamic_stitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def parallel_dynamic_stitch(indices, data, name=None):$/;" f +parallel_dynamic_stitch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def parallel_dynamic_stitch_eager_fallback(indices, data, name, ctx):$/;" f +PARALLEL_EPOCHS adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ PARALLEL_EPOCHS = "parallel_epochs"$/;" v class:ProcessingMode +parallel_for_gradients adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^from tensorflow.python.ops.parallel_for import gradients as parallel_for_gradients$/;" x +parallel_info adpepsenv/lib/python3.8/site-packages/torch/__config__.py /^def parallel_info():$/;" f +parallel_interleave adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^def parallel_interleave(map_func,$/;" f +parallel_interleave_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parallel_interleave_dataset(input_dataset, other_arguments, cycle_length, block_length, slop/;" f +parallel_interleave_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parallel_interleave_dataset_eager_fallback(input_dataset, other_arguments, cycle_length, blo/;" f +parallel_interleave_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v2(input_dataset, other_arguments, cycle_length, block_length, n/;" f +parallel_interleave_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v2_eager_fallback(input_dataset, other_arguments, cycle_length, /;" f +parallel_interleave_dataset_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v3(input_dataset, other_arguments, cycle_length, block_length, n/;" f +parallel_interleave_dataset_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v3_eager_fallback(input_dataset, other_arguments, cycle_length, /;" f +parallel_interleave_dataset_v4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v4(input_dataset, other_arguments, cycle_length, block_length, b/;" f +parallel_interleave_dataset_v4_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_interleave_dataset_v4_eager_fallback(input_dataset, other_arguments, cycle_length, /;" f +parallel_iterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def parallel_iterations(self):$/;" m class:WhileContext +parallel_map_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_map_dataset(input_dataset, other_arguments, num_parallel_calls, f, output_types, ou/;" f +parallel_map_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_map_dataset_eager_fallback(input_dataset, other_arguments, num_parallel_calls, f, o/;" f +parallel_map_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_map_dataset_v2(input_dataset, other_arguments, num_parallel_calls, f, output_types,/;" f +parallel_map_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def parallel_map_dataset_v2_eager_fallback(input_dataset, other_arguments, num_parallel_calls, f/;" f +parallel_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^parallel_ops = lazy_loader.LazyLoader($/;" v +parallel_pure_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^parallel_pure_rules = {} # type: ignore$/;" v +parallel_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def parallel_stack(values, name="parallel_stack"):$/;" f +parallel_translations adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^parallel_translations: Dict[core.Primitive, Callable] = {}$/;" v +parallel_walk adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def parallel_walk(node, other):$/;" f +parallel_workers adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^import caffe2.python.parallel_workers as parallel_workers$/;" I +param adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def param(x):$/;" f member:BrewTest.test_get_params file: +PARAM adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^PARAM = 'image.cmap'$/;" v +param adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^class param(_param):$/;" c +parameter adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ def parameter(self):$/;" m class:ParameterInfo +parameter adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def parameter(builder, num, shape, name=None, replicated=None):$/;" f +Parameter adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^class Parameter(torch.Tensor):$/;" c +ParameterAlias adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^class ParameterAlias(object):$/;" c +ParameterDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^ParameterDef = _reflection.GeneratedProtocolMessageType('ParameterDef', (_message.Message,), {$/;" v +ParameterDict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class ParameterDict(Module):$/;" c +ParameterInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^class ParameterInfo(object):$/;" c +parameterized adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def parameterized(harnesses: Iterable[Harness],$/;" f +parameterized adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^class parameterized:$/;" c +ParameterizedBenchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^class ParameterizedBenchmark(_BenchmarkRegistrar):$/;" c +ParameterizedTruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^ParameterizedTruncatedNormal = tf_export("raw_ops.ParameterizedTruncatedNormal")(_ops.to_raw_op(/;" v +parameterized_argument_value_pairs adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def parameterized_argument_value_pairs(func, p):$/;" f +parameterized_expand_wrapper adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def parameterized_expand_wrapper(f, instance=None):$/;" f member:parameterized.expand file: +parameterized_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def parameterized_truncated_normal(shape, means, stdevs, minvals, maxvals, seed=0, seed2=0, name/;" f +parameterized_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def parameterized_truncated_normal(shape,$/;" f +parameterized_truncated_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def parameterized_truncated_normal_eager_fallback(shape, means, stdevs, minvals, maxvals, seed, /;" f +ParameterList adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class ParameterList(Module):$/;" c +ParameterModifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^class ParameterModifier(object):$/;" c +parameterpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^parameterpattern = re.compile($/;" v +ParameterReplication adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ParameterReplication = _reflection.GeneratedProtocolMessageType('ParameterReplication', (_messag/;" v +parameters adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def parameters(*testcases):$/;" f +parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def parameters(self):$/;" m class:Distribution +parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def parameters(self):$/;" m class:LinearOperator +parameters adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def parameters(self, recurse: bool = True) -> Iterator[Parameter]:$/;" m class:_RemoteModule +parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def parameters(self, recurse: bool = True) -> Iterator[Parameter]:$/;" m class:Module +parameters adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def parameters(m, recurse=True):$/;" f member:DistributedDataParallel._ddp_init_helper file: +ParameterServerStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.parameter_server_strategy import ParameterServerStrategyV1 as /;" x +ParameterServerStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.parameter_server_strategy_v2 import ParameterServerStrategyV2 /;" x +ParameterServerStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from tensorflow.python.distribute.parameter_server_strategy_v2 import ParameterServerStrategyV2 /;" x +ParameterServerStrategyExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^class ParameterServerStrategyExtended(distribute_lib.StrategyExtendedV1):$/;" c +ParameterServerStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^class ParameterServerStrategyV1(distribute_lib.StrategyV1):$/;" c +ParameterServerStrategyV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^class ParameterServerStrategyV2(distribute_lib.Strategy):$/;" c +ParameterServerStrategyV2Extended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^class ParameterServerStrategyV2Extended($/;" c +ParameterSharing adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^def ParameterSharing(shared_scopes):$/;" f +ParameterSharingContext adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^class ParameterSharingContext(object):$/;" c +ParameterSharingTest adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^class ParameterSharingTest(LayersTestCase):$/;" c +ParameterSharingTest adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^class ParameterSharingTest(unittest.TestCase):$/;" c +parameters_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def parameters_name(self):$/;" m class:PredictorExportMeta +parameters_to_vector adpepsenv/lib/python3.8/site-packages/torch/nn/utils/convert_parameters.py /^def parameters_to_vector(parameters: Iterable[torch.Tensor]) -> torch.Tensor:$/;" f +ParameterTags adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^class ParameterTags(object):$/;" c +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def parameter_devices(self):$/;" m class:StrategyExtendedV2 +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def parameter_devices(self):$/;" m class:_DefaultDistributionExtended +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def parameter_devices(self):$/;" m class:MirroredExtended +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def parameter_devices(self):$/;" m class:OneDeviceExtended +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def parameter_devices(self):$/;" m class:ParameterServerStrategyExtended +parameter_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def parameter_devices(self):$/;" m class:TPUExtended +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def parameter_modifiers(self):$/;" m class:ClusterCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def parameter_modifiers(self):$/;" m class:DistributionCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def parameter_modifiers(self):$/;" m class:GPUCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def parameter_modifiers(self):$/;" m class:TPUCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^ def parameter_modifiers(self):$/;" m class:EagerGraphCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^ def parameter_modifiers(self):$/;" m class:TFVersionCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def parameter_modifiers(self):$/;" m class:TestCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def parameter_modifiers(self):$/;" m class:KerasModeCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def parameter_modifiers(self):$/;" m class:KerasModelTypeCombination +parameter_modifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^ def parameter_modifiers(self):$/;" m class:KerasTensorCombination +parameter_sharing_context adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^parameter_sharing_context = ParameterSharingContext()$/;" v +parameter_storage_class adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ parameter_storage_class = ImmutableMultiDict$/;" v class:BaseRequest +parametrize adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def parametrize(vars, input):$/;" f +parametrize_args adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^parametrize_args = [('integer', 'int'),$/;" v +Params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^Params = Any # Parameters are arbitrary nests of `jnp.ndarrays`.$/;" v +params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ params = [$/;" v class:TestAutoMinorLocator +params adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^params = IterativeParams()$/;" v +PARAMS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^PARAMS = [$/;" v +params adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def params(self):$/;" m class:Estimator +ParamsFn adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ParamsFn = Callable[[OptimizerState], Params]$/;" v +params_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^def params_0(f):$/;" f +params_1 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^def params_1(f, bounded=False):$/;" f +params_from_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def params_from_uri(uri):$/;" f +params_test_scatter_c adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ params_test_scatter_c = [$/;" v class:TestScatter +params_to_disable adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def params_to_disable(self):$/;" m class:TextBox +param_as_nose_tuple adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def param_as_nose_tuple(self, test_self, func, num, p):$/;" m class:parameterized +param_as_standalone_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def param_as_standalone_func(cls, p, func, name):$/;" m class:parameterized +param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def param_blobs(self):$/;" m class:FC +param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_without_bias.py /^ def param_blobs(self):$/;" m class:FCWithoutBias +param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def param_blobs(self):$/;" m class:FCWithBootstrap +param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def param_blobs(self):$/;" m class:SamplingTrainableMixin +param_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ param_data = [$/;" v class:TestLogFormatterExponent +param_doc1 adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^param_doc1 = \\$/;" v +param_doc2 adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^param_doc2 = \\$/;" v +param_doc3 adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^param_doc3 = \\$/;" v +param_filter adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def param_filter(x):$/;" f member:TestSystematic.test_lgam1p file: +param_filter adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def param_filter(z):$/;" f member:TestSystematic.test_digamma_complex file: +param_info adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ param_info = lambda: None$/;" f member:TestYellowFin.caffe2_yellowfin file: +param_search_greedy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_test_helper.py /^def param_search_greedy(x, bit_rate, n_bins=200, ratio=0.16):$/;" f +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def param_shape(self):$/;" m class:Bernoulli +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def param_shape(self):$/;" m class:Binomial +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def param_shape(self):$/;" m class:Categorical +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def param_shape(self):$/;" m class:ContinuousBernoulli +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def param_shape(self):$/;" m class:Multinomial +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def param_shape(self):$/;" m class:NegativeBinomial +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def param_shape(self):$/;" m class:OneHotCategorical +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def param_shape(self):$/;" m class:LogitRelaxedBernoulli +param_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def param_shape(self):$/;" m class:ExpRelaxedCategorical +param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def param_shapes(cls, sample_shape, name="DistributionParamShapes"):$/;" m class:Distribution +param_static_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def param_static_shapes(cls, sample_shape):$/;" m class:Distribution +param_update_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def param_update_fun(model):$/;" f member:RecurrentNetworkParallelTest.run_model file: +param_update_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def param_update_fun(model):$/;" f member:SparseDataParallelModelTest.run_model file: +param_update_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def param_update_fun(model):$/;" f member:SparseDataParallelModelTestWithSharedIndices.run_model file: +ParasiteAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid/parasite_axes.py /^ParasiteAxes = parasite_axes_class_factory(Axes)$/;" v +ParasiteAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ParasiteAxes = parasite_axes_class_factory(Axes)$/;" v +ParasiteAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/parasite_axes.py /^ParasiteAxes = parasite_axes_class_factory(Axes)$/;" v +ParasiteAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/__init__.py /^ParasiteAxes = parasite_axes_class_factory(Axes)$/;" v +ParasiteAxesAuxTrans adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid/parasite_axes.py /^ParasiteAxesAuxTrans = parasite_axes_auxtrans_class_factory(ParasiteAxes)$/;" v +ParasiteAxesAuxTrans adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ParasiteAxesAuxTrans = parasite_axes_auxtrans_class_factory(ParasiteAxes)$/;" v +ParasiteAxesAuxTrans adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/parasite_axes.py /^ParasiteAxesAuxTrans = parasite_axes_auxtrans_class_factory(ParasiteAxes)$/;" v +ParasiteAxesAuxTrans adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/__init__.py /^ParasiteAxesAuxTrans = parasite_axes_auxtrans_class_factory(ParasiteAxes)$/;" v +ParasiteAxesAuxTransBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^class ParasiteAxesAuxTransBase:$/;" c +ParasiteAxesBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^class ParasiteAxesBase:$/;" c +parasite_axes_auxtrans_class_factory adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def parasite_axes_auxtrans_class_factory(axes_class=None):$/;" f +parasite_axes_class_factory adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^def parasite_axes_class_factory(axes_class=None):$/;" f +parenrep adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^parenrep = re.compile(r"[(]([^)]*)[)]\\*(\\d+)")$/;" v +parent adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def parent(self):$/;" m class:HLObject +parent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def parent(self):$/;" m class:NavigationToolbar2QT +parent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def parent(self, value):$/;" m class:NavigationToolbar2QT +parent adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def parent(self, node):$/;" m class:RootScope +parent adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def parent(self):$/;" m class:QN +parents adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def parents(self) -> Sequence['JaxprTracer']:$/;" m class:JaxprTracer +parents adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def parents(self): # like Django's Context.pop()$/;" m class:ChainMap +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:BucketizedColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:CrossedColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:EmbeddingColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:FeatureColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:HashedCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:IdentityCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:IndicatorColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:NumericColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:SequenceCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:SharedEmbeddingColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:VocabularyFileCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:VocabularyListCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parents(self):$/;" m class:WeightedCategoricalColumn +parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def parents(self):$/;" m class:SequenceNumericColumn +parent_child_names adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^def parent_child_names(name):$/;" f +parent_constrain adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def parent_constrain(self):$/;" m class:LayoutBox +parent_frame_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def parent_frame_arguments():$/;" f +parent_list adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def parent_list(ops):$/;" f function:verify_graph_equality file: +parent_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def parent_nodes(self):$/;" m class:Node +paren_repl adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def paren_repl(obj):$/;" f +pareto adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def pareto(key, b, shape=None, dtype=dtypes.float_):$/;" f +pareto adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^pareto = pareto_gen(a=1.0, name="pareto")$/;" v +Pareto adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^class Pareto(TransformedDistribution):$/;" c +pareto_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class pareto_gen(rv_continuous):$/;" c +parse adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def parse(self, arg):$/;" m class:HelpFlag +parse adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def parse(self, arg):$/;" m class:HelpfullFlag +parse adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def parse(self, arg):$/;" m class:HelpXMLFlag +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:ArgumentParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:BaseListParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:BooleanParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:EnumClassParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:EnumParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:ListParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:NumericParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def parse(self, argument):$/;" m class:WhitespaceSeparatedListParser +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ def parse(self, argument):$/;" m class:DEFINE_alias._FlagAlias +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def parse(self, argument):$/;" m class:Flag +parse adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def parse(self, arguments):$/;" m class:MultiFlag +parse adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def parse(self, value):$/;" m class:_LoggerLevelsParser +parse adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/parser.py /^ def parse(self):$/;" m class:Parser +parse adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def parse(self, timestr, default=None,$/;" m class:parser +parse adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def parse(self, tzstr):$/;" m class:_tzparser +parse adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^def parse(timestr, parserinfo=None, **kwargs):$/;" f +parse adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def parse(*args, **kwargs):$/;" f +Parse adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def Parse(text, message, ignore_unknown_fields=False, descriptor_pool=None):$/;" f +Parse adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def Parse(text,$/;" f +parse adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def parse(self, pattern):$/;" m class:FontconfigPatternParser +parse adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def parse(self, s, dpi=72, prop=None):$/;" m class:MathTextParser +parse adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def parse(self, s, fonts_object, fontsize, dpi):$/;" m class:Parser +parse adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_matplotlib.py /^ def parse(key):$/;" f function:test_use_doc_standard_backends file: +parse adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def parse(src):$/;" f +parse adpepsenv/lib/python3.8/site-packages/pasta/__init__.py /^def parse(src):$/;" f +parse adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def parse(self, filename, constraint):$/;" m class:RequirementsFileParser +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def parse(self, s):$/;" m class:LegacyVersion +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def parse(self, s):$/;" m class:NormalizedVersion +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def parse(self, s):$/;" m class:SemanticVersion +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def parse(self, s):$/;" m class:Version +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def parse(self, stream, *args, **kwargs):$/;" m class:HTMLParser +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def parse(doc, treebuilder="etree", namespaceHTMLElements=True, **kwargs):$/;" f +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def parse(self):$/;" m class:ContentAttrParser +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def parse(version):$/;" f +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def parse(cls, src, dist=None):$/;" m class:EntryPoint +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def parse(s):$/;" m class:Requirement +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def parse(version):$/;" f +parse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def parse(cls, src, dist=None):$/;" m class:EntryPoint +parse adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def parse(s):$/;" m class:Requirement +parse adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def parse(self, s):$/;" m class:FortranFormatParser +parse adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def parse(version):$/;" f +parse adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse(value):$/;" f member:ConfigHandler._get_parser_compound file: +parse adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse(self):$/;" m class:ConfigHandler +parse adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def parse (self, vstring):$/;" m class:LooseVersion +parse adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def parse (self, vstring):$/;" m class:StrictVersion +parse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def parse(version):$/;" f +parse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ def parse(self, arg):$/;" m class:_HelpFlag +parse adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ def parse(self, arg):$/;" m class:_HelpfullFlag +parse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def parse(self, stream, *args, **kwargs):$/;" m class:HTMLParser +parse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def parse(doc, treebuilder="etree", namespaceHTMLElements=True, **kwargs):$/;" f +parse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def parse(self):$/;" m class:ContentAttrParser +parse adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def parse(src, preamble_len=0, single_node=True):$/;" f +parse adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^ def parse(x):$/;" f function:_ntuple file: +parse adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/utils.py /^ def parse(x):$/;" f function:_ntuple_from_first file: +parse adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_onnx_graph.py /^def parse(graph):$/;" f +parse adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^def parse(graph, trace, args=None, omit_useless_nodes=True):$/;" f +parse adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ parse = _importer._get_module("moves.urllib_parse")$/;" v class:Module_six_moves_urllib +parse adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def parse(self, file, boundary, content_length):$/;" m class:MultiPartParser +parse adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def parse(self, stream, mimetype, content_length, options=None):$/;" m class:FormDataParser +parse adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def parse(args, kwargs):$/;" f function:_parse_signature file: +parse adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def parse(stream, Loader=Loader):$/;" f +parseAndAdd adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def parseAndAdd(self, text):$/;" m class:Formatter +ParseArffError adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class ParseArffError(ArffError):$/;" c +ParseBaseException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseBaseException(Exception):$/;" c +ParseBaseException adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseBaseException(Exception):$/;" c +ParseBaseException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseBaseException(Exception):$/;" c +ParseBaseException adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseBaseException(Exception):$/;" c +parseBlocks adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def parseBlocks(self, parent, blocks):$/;" m class:BlockParser +ParseBool adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def ParseBool(text):$/;" f +parseBoolValue adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def parseBoolValue(value, fail_on_errors=True, preserve_none=False):$/;" f +parsec adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^parsec = au \/ arcsec$/;" v +ParseCall adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^class ParseCall(ast.NodeVisitor):$/;" c +ParseCall adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^class ParseCall(ast.NodeVisitor):$/;" c +parseChunk adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def parseChunk(self, parent, text):$/;" m class:BlockParser +parseCmdLine adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def parseCmdLine(argv=(None,)):$/;" f +ParseDict adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def ParseDict(js_dict,$/;" f +ParsedLine adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^class ParsedLine(object):$/;" c +parseDocument adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def parseDocument(self, lines):$/;" m class:BlockParser +ParsedRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^class ParsedRequirement(object):$/;" c +ParsedVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ ParsedVersion = Union[Version, LegacyVersion]$/;" v +parsed_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def parsed_version(self):$/;" m class:Distribution +parsed_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def parsed_version(self):$/;" m class:Distribution +ParseElementEnhance adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseElementEnhance(ParserElement):$/;" c +ParseElementEnhance adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseElementEnhance(ParserElement):$/;" c +ParseElementEnhance adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseElementEnhance(ParserElement):$/;" c +ParseElementEnhance adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseElementEnhance(ParserElement):$/;" c +ParseEnum adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def ParseEnum(field, value):$/;" f +ParseError adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^class ParseError(Error):$/;" c +ParseError adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ParseError(self, message):$/;" m class:Tokenizer +ParseError adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class ParseError(Error):$/;" c +parseError adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def parseError(self, errorcode="XXX-undefined-error", datavars=None):$/;" m class:HTMLParser +ParseError adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^class ParseError(Exception):$/;" c +parseError adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def parseError(self, errorcode="XXX-undefined-error", datavars=None):$/;" m class:HTMLParser +ParseError adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^class ParseError(Exception):$/;" c +ParseErrorPreviousToken adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ParseErrorPreviousToken(self, message):$/;" m class:Tokenizer +ParseExample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseExample = tf_export("raw_ops.ParseExample")(_ops.to_raw_op(parse_example))$/;" v +ParseExampleDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ParseExampleDataset = tf_export("raw_ops.ParseExampleDataset")(_ops.to_raw_op(parse_example_data/;" v +ParseExampleDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ParseExampleDatasetV2 = tf_export("raw_ops.ParseExampleDatasetV2")(_ops.to_raw_op(parse_example_/;" v +ParseExampleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseExampleV2 = tf_export("raw_ops.ParseExampleV2")(_ops.to_raw_op(parse_example_v2))$/;" v +ParseException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseException(ParseBaseException):$/;" c +ParseException adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseException(ParseBaseException):$/;" c +ParseException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseException(ParseBaseException):$/;" c +ParseException adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseException(ParseBaseException):$/;" c +parseExpr adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def parseExpr(expr, module):$/;" f function:createResolutionCallbackFromEnv file: +ParseExpression adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseExpression(ParserElement):$/;" c +ParseExpression adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseExpression(ParserElement):$/;" c +ParseExpression adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseExpression(ParserElement):$/;" c +ParseExpression adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseExpression(ParserElement):$/;" c +ParseFatalException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseFatalException(ParseBaseException):$/;" c +ParseFatalException adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseFatalException(ParseBaseException):$/;" c +ParseFatalException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseFatalException(ParseBaseException):$/;" c +ParseFatalException adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseFatalException(ParseBaseException):$/;" c +parseFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseFile(self, file_or_filename, parseAll=False):$/;" m class:ParserElement +parseFile adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseFile( self, file_or_filename, parseAll=False ):$/;" m class:ParserElement +parseFile adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseFile(self, file_or_filename, parseAll=False):$/;" m class:ParserElement +parseFile adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseFile( self, file_or_filename, parseAll=False ):$/;" m class:ParserElement +ParseFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def ParseFloat(text):$/;" f +parseFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def parseFragment(self, stream, *args, **kwargs):$/;" m class:HTMLParser +parseFragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^def parseFragment(doc, container="div", treebuilder="etree", namespaceHTMLElements=True, **kwarg/;" f +parseFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def parseFragment(self, stream, *args, **kwargs):$/;" m class:HTMLParser +parseFragment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^def parseFragment(doc, container="div", treebuilder="etree", namespaceHTMLElements=True, **kwarg/;" f +ParseFromString adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def ParseFromString(self, serialized):$/;" m class:Message +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:infixNotation._FB +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, *args):$/;" m class:_PendingSkip +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:And +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CaselessLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CharsNotIn +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CloseMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Each +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:FollowedBy +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:GoToColumn +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Keyword +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:LineEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:LineStart +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Literal +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:MatchFirst +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:NoMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:NotAny +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Optional +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Or +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ParseElementEnhance +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ParserElement +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:QuotedString +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Regex +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:SkipTo +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:StringEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:StringStart +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:White +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Word +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:WordEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:WordStart +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ZeroOrMore +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_MultipleMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_SingleCharLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_WordRegex +parseImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc=0, doActions=True):$/;" m class:PrecededBy +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:And +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CaselessKeyword +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CaselessLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CharsNotIn +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CloseMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Each +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:FollowedBy +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:GoToColumn +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Keyword +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:LineEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:LineStart +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Literal +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:MatchFirst +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:NoMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:NotAny +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Optional +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Or +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ParseElementEnhance +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ParserElement +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:QuotedString +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Regex +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:SkipTo +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:StringEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:StringStart +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:White +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Word +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ZeroOrMore +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:_MultipleMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True ):$/;" m class:WordEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True ):$/;" m class:WordStart +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:infixNotation._FB +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, *args):$/;" m class:_PendingSkip +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:And +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CaselessLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CharsNotIn +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:CloseMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Each +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:FollowedBy +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:GoToColumn +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Keyword +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:LineEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:LineStart +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Literal +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:MatchFirst +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:NoMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:NotAny +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Optional +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Or +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ParseElementEnhance +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ParserElement +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:QuotedString +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Regex +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:SkipTo +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:StringEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:StringStart +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:White +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:Word +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:WordEnd +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:WordStart +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:ZeroOrMore +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_MultipleMatch +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_SingleCharLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True):$/;" m class:_WordRegex +parseImpl adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImpl(self, instring, loc=0, doActions=True):$/;" m class:PrecededBy +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:And +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CaselessKeyword +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CaselessLiteral +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CharsNotIn +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:CloseMatch +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Each +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:FollowedBy +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:GoToColumn +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Keyword +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:LineEnd +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:LineStart +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Literal +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:MatchFirst +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:NoMatch +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:NotAny +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Optional +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Or +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ParseElementEnhance +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ParserElement +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:QuotedString +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Regex +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:SkipTo +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:StringEnd +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:StringStart +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:White +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:Word +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:ZeroOrMore +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl( self, instring, loc, doActions=True ):$/;" m class:_MultipleMatch +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True ):$/;" m class:WordEnd +parseImpl adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseImpl(self, instring, loc, doActions=True ):$/;" m class:WordStart +parseImplAsGroupList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImplAsGroupList(self, instring, loc, doActions=True):$/;" m class:Regex +parseImplAsGroupList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImplAsGroupList(self, instring, loc, doActions=True):$/;" m class:Regex +parseImplAsMatch adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseImplAsMatch(self, instring, loc, doActions=True):$/;" m class:Regex +parseImplAsMatch adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseImplAsMatch(self, instring, loc, doActions=True):$/;" m class:Regex +ParseInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def ParseInteger(text, is_signed=False, is_long=False):$/;" f +ParseLines adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def ParseLines(self, lines, message):$/;" m class:_Parser +ParseLines adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def ParseLines(lines,$/;" f +ParseMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/reflection.py /^def ParseMessage(descriptor, byte_str):$/;" f +parseNestedExpr adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def parseNestedExpr(expr, module) -> Tuple[Any, int]:$/;" f function:createResolutionCallbackFromEnv file: +parser adpeps/__main__.py /^ parser = get_parser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ parser = argparse.ArgumentParser(description='Any Experiment training.')$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ parser = argparse.ArgumentParser(description="Generate op files.")$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/benchmark_generator.py /^ parser = argparse.ArgumentParser($/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ parser = argparse.ArgumentParser($/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ parser = argparse.ArgumentParser(description="Operators catalog generator.")$/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ parser = argparse.ArgumentParser(description="Operators catalog generator.")$/;" v +Parser adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/parser.py /^class Parser(object):$/;" c +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ parser = argparse.ArgumentParser($/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mul_gradient_benchmark.py /^ parser = argparse.ArgumentParser($/;" v +parser adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lengths_sum_benchmark.py /^ parser = argparse.ArgumentParser($/;" v +parser adpepsenv/lib/python3.8/site-packages/certifi/__main__.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class parser(object):$/;" c +parser adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^parser = None$/;" v +parser adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ from dateutil.parser import _parser as parser$/;" x member:tzstr.__init__ file: +parser adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ parser = ArgumentParser()$/;" v +Parser adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Parser:$/;" c +parser adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ parser = OptionParser()$/;" v +Parser adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_shell_utils.py /^def Parser(request):$/;" f +Parser adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^class Parser:$/;" c +parser adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/__main__.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parser(value):$/;" f member:ConfigHandler._exclude_files_parser file: +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/offline_analyzer.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_errors.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_keras.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_fibonacci_v2.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_tensorflow_server.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/import_pb_to_tensorboard.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/print_selective_registration_header.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/strip_unused.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^ parser = argparse.ArgumentParser()$/;" v +parser adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^parser = argparse.ArgumentParser(add_help=False)$/;" v +parser adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def parser():$/;" f +Parser adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^class Parser:$/;" c +parseRCDataRawtext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def parseRCDataRawtext(self, token, contentType):$/;" m class:HTMLParser +parseRCDataRawtext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def parseRCDataRawtext(self, token, contentType):$/;" m class:HTMLParser +ParserElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParserElement(object):$/;" c +ParserElement adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParserElement(object):$/;" c +ParserElement adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParserElement(object):$/;" c +ParserElement adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParserElement(object):$/;" c +ParserError adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class ParserError(ValueError):$/;" c +ParserError adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^class ParserError(MarkedYAMLError):$/;" c +ParseResults adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseResults(object):$/;" c +ParseResults adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseResults(object):$/;" c +ParseResults adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseResults(object):$/;" c +ParseResults adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseResults(object):$/;" c +parserinfo adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class parserinfo(object):$/;" c +parsers adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parsers(self):$/;" m class:ConfigHandler +parsers adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parsers(self):$/;" m class:ConfigMetadataHandler +parsers adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parsers(self):$/;" m class:ConfigOptionsHandler +parser_exit adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def parser_exit(self, msg):$/;" f function:build_parser file: +ParseSequenceExample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseSequenceExample = tf_export("raw_ops.ParseSequenceExample")(_ops.to_raw_op(parse_sequence_e/;" v +ParseSequenceExampleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseSequenceExampleV2 = tf_export("raw_ops.ParseSequenceExampleV2")(_ops.to_raw_op(parse_sequen/;" v +ParseSingleExample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseSingleExample = tf_export("raw_ops.ParseSingleExample")(_ops.to_raw_op(parse_single_example/;" v +ParseSingleSequenceExample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseSingleSequenceExample = tf_export("raw_ops.ParseSingleSequenceExample")(_ops.to_raw_op(pars/;" v +parseString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseString(self, instring, parseAll=False):$/;" m class:ParserElement +parseString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseString( self, instring, parseAll=False ):$/;" m class:ParserElement +parseString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseString(self, instring, parseAll=False):$/;" m class:ParserElement +parseString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseString( self, instring, parseAll=False ):$/;" m class:ParserElement +ParseSyntaxException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ParseSyntaxException(ParseFatalException):$/;" c +ParseSyntaxException adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ParseSyntaxException(ParseFatalException):$/;" c +ParseSyntaxException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ParseSyntaxException(ParseFatalException):$/;" c +ParseSyntaxException adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ParseSyntaxException(ParseFatalException):$/;" c +ParseTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^ParseTensor = tf_export("raw_ops.ParseTensor")(_ops.to_raw_op(parse_tensor))$/;" v +parseText adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/parser.py /^ def parseText(self):$/;" m class:Parser +parseWithTabs adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def parseWithTabs(self):$/;" m class:ParserElement +parseWithTabs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def parseWithTabs( self ):$/;" m class:ParserElement +parseWithTabs adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def parseWithTabs(self):$/;" m class:ParserElement +parseWithTabs adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def parseWithTabs( self ):$/;" m class:ParserElement +parse_accept_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_accept_header(value, cls=None):$/;" f +parse_age adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_age(value=None):$/;" f +parse_arg adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def parse_arg(self):$/;" m class:XeinsumSpecParser +parse_args adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def parse_args(args):$/;" f member:LayersTestCase.assertArgsEqual file: +parse_args adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def parse_args(self):$/;" m class:XeinsumSpecParser +parse_args adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def parse_args(self, args):$/;" m class:Command +parse_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/torch/distributed/launch.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def parse_args(*arg_descriptors):$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def parse_args():$/;" f +parse_args adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def parse_args():$/;" f +parse_arg_template adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^parse_arg_template = """$/;" v +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:Attribute +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:DateAttribute +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:NominalAttribute +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:NumericAttribute +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:RelationalAttribute +parse_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_attribute(cls, name, attr_string):$/;" m class:StringAttribute +parse_authorization_code_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def parse_authorization_code_response(uri, state=None):$/;" f +parse_authorization_header adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def parse_authorization_header(authorization_header):$/;" f +parse_authorization_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_authorization_header(value):$/;" f +parse_authorization_response adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def parse_authorization_response(self, url):$/;" m class:OAuth1Session +parse_axis_name adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def parse_axis_name(self):$/;" m class:XeinsumSpecParser +parse_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def parse_backend(arrays, backend):$/;" f +parse_bdist_wininst adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def parse_bdist_wininst(name):$/;" f +parse_block_mapping_first_key adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_mapping_first_key(self):$/;" m class:Parser +parse_block_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_mapping_key(self):$/;" m class:Parser +parse_block_mapping_value adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_mapping_value(self):$/;" m class:Parser +parse_block_node adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_node(self):$/;" m class:Parser +parse_block_node_or_indentless_sequence adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_node_or_indentless_sequence(self):$/;" m class:Parser +parse_block_sequence_entry adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_sequence_entry(self):$/;" m class:Parser +parse_block_sequence_first_entry adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_block_sequence_first_entry(self):$/;" m class:Parser +parse_cache_control adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def parse_cache_control(self, headers):$/;" m class:CacheController +parse_cache_control_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_cache_control_header(value, on_update=None, cls=None):$/;" f +parse_cli adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def parse_cli(self) -> typing.Tuple[optparse.Values, typing.List[str]]:$/;" m class:CryptoOperation +parse_cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_tensorflow_server.py /^def parse_cluster_spec(cluster_spec, cluster, verbose=False):$/;" f +parse_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^def parse_cmd():$/;" f +parse_command adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/main_parser.py /^def parse_command(args):$/;" f +parse_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_command(command):$/;" f +parse_command_line adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def parse_command_line(args=None):$/;" f function:main file: +parse_command_line adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def parse_command_line(self):$/;" m class:Distribution +parse_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def parse_config(filename, dirs=None):$/;" f +parse_configuration adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^def parse_configuration($/;" f +parse_config_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def parse_config_files(self):$/;" m class:system_info +parse_config_files adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def parse_config_files(self, filenames=None, ignore_option_errors=False):$/;" m class:Distribution +parse_config_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def parse_config_files(self, filenames=None):$/;" m class:Distribution +parse_config_h adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def parse_config_h(fp, vars=None):$/;" f +parse_config_h adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def parse_config_h(fp, g=None):$/;" f +parse_constants_2002to2014 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def parse_constants_2002to2014(d):$/;" f +parse_constants_2018toXXXX adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def parse_constants_2018toXXXX(d):$/;" f +parse_content_disposition adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def parse_content_disposition(content_disposition, default_filename):$/;" f +parse_content_range_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_content_range_header(value, on_update=None):$/;" f +parse_converter_args adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^def parse_converter_args(argstr):$/;" f +parse_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_cookie(header, charset="utf-8", errors="replace", cls=None):$/;" f +parse_credentials adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def parse_credentials(netloc):$/;" f +parse_csp_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_csp_header(value, on_update=None, cls=None):$/;" f +parse_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_data(self, data_str):$/;" m class:Attribute +parse_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_data(self, data_str):$/;" m class:DateAttribute +parse_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_data(self, data_str):$/;" m class:NominalAttribute +parse_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_data(self, data_str):$/;" m class:NumericAttribute +parse_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def parse_data(self, data_str):$/;" m class:RelationalAttribute +parse_date adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_date(value):$/;" f +parse_debug_node_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def parse_debug_node_name(node_name):$/;" f +parse_dict_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def parse_dict_header(value):$/;" f +parse_dict_header adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def parse_dict_header(value):$/;" f +parse_dict_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_dict_header(value, cls=dict):$/;" f +parse_distributions_h adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/parse.py /^def parse_distributions_h(ffi, inc_dir):$/;" f +parse_distutils_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/distutils_args.py /^def parse_distutils_args(args):$/;" f +parse_document_content adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_document_content(self):$/;" m class:Parser +parse_document_end adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_document_end(self):$/;" m class:Parser +parse_document_start adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_document_start(self):$/;" m class:Parser +parse_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def parse_editable(editable_req):$/;" f +parse_einsum_input adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def parse_einsum_input(operands):$/;" f +parse_elements adpeps/ipeps/ipeps.py /^ def parse_elements(self, elements):$/;" m class:iPEPS +parse_element_content adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def parse_element_content(self, element):$/;" m class:MarkdownInHtmlProcessor +parse_entity adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def parse_entity(entity, future_features):$/;" f +parse_env adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^ def parse_env(self, name, default, true_message, false_message):$/;" m class:EnabledProxy +parse_etags adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_etags(value):$/;" f +parse_event_records adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def parse_event_records(thread_records):$/;" f +parse_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_example(serialized, names, sparse_keys, dense_keys, dense_defaults, sparse_types, dens/;" f +parse_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_example(serialized, features, name=None, example_names=None):$/;" f +parse_example adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^from tensorflow.python.ops.parsing_ops import parse_example_v2 as parse_example$/;" x +parse_example adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^from tensorflow.python.ops.parsing_ops import parse_example_v2 as parse_example$/;" x +parse_example_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/parsing_ops.py /^def parse_example_dataset(features, num_parallel_calls=1, deterministic=None):$/;" f +parse_example_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parse_example_dataset(input_dataset, num_parallel_calls, dense_defaults, sparse_keys, dense_/;" f +parse_example_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parse_example_dataset_eager_fallback(input_dataset, num_parallel_calls, dense_defaults, spar/;" f +parse_example_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parse_example_dataset_v2(input_dataset, num_parallel_calls, dense_defaults, sparse_keys, den/;" f +parse_example_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def parse_example_dataset_v2_eager_fallback(input_dataset, num_parallel_calls, dense_defaults, s/;" f +parse_example_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_example_eager_fallback(serialized, names, sparse_keys, dense_keys, dense_defaults, spa/;" f +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:BucketizedColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:CrossedColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:EmbeddingColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:FeatureColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:HashedCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:IdentityCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:IndicatorColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:NumericColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:SequenceCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:SharedEmbeddingColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:VocabularyFileCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:VocabularyListCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def parse_example_spec(self):$/;" m class:WeightedCategoricalColumn +parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def parse_example_spec(self):$/;" m class:SequenceNumericColumn +parse_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_example_v2(serialized, names, sparse_keys, dense_keys, ragged_keys, dense_defaults, nu/;" f +parse_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_example_v2(serialized, features, example_names=None, name=None):$/;" f +parse_example_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_example_v2_eager_fallback(serialized, names, sparse_keys, dense_keys, ragged_keys, den/;" f +parse_experiment_plugin_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^def parse_experiment_plugin_data(content):$/;" f +parse_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def parse_expression(src):$/;" f +parse_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def parse_flags(line):$/;" f +parse_flags_with_absl adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def parse_flags_with_absl(self):$/;" m class:Config +parse_flags_with_usage adpepsenv/lib/python3.8/site-packages/absl/app.py /^def parse_flags_with_usage(args):$/;" f +parse_flow_mapping_empty_value adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_mapping_empty_value(self):$/;" m class:Parser +parse_flow_mapping_first_key adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_mapping_first_key(self):$/;" m class:Parser +parse_flow_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_mapping_key(self, first=False):$/;" m class:Parser +parse_flow_mapping_value adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_mapping_value(self):$/;" m class:Parser +parse_flow_node adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_node(self):$/;" m class:Parser +parse_flow_sequence_entry adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_sequence_entry(self, first=False):$/;" m class:Parser +parse_flow_sequence_entry_mapping_end adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_sequence_entry_mapping_end(self):$/;" m class:Parser +parse_flow_sequence_entry_mapping_key adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_sequence_entry_mapping_key(self):$/;" m class:Parser +parse_flow_sequence_entry_mapping_value adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_sequence_entry_mapping_value(self):$/;" m class:Parser +parse_flow_sequence_first_entry adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_flow_sequence_first_entry(self):$/;" m class:Parser +parse_fontconfig_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^parse_fontconfig_pattern = lru_cache()(FontconfigPatternParser().parse)$/;" v +parse_form_data adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def parse_form_data($/;" f +parse_from_environ adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def parse_from_environ(self, environ):$/;" m class:FormDataParser +parse_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def parse_from_string(self, spec):$/;" m class:DeviceSpecV1 +parse_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def parse_from_string(self, spec):$/;" m class:DeviceSpecV2 +parse_functions adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ parse_functions = {$/;" v class:FormDataParser +parse_group adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def parse_group(cls, group, lines, dist=None):$/;" m class:EntryPoint +parse_group adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def parse_group(cls, group, lines, dist=None):$/;" m class:EntryPoint +parse_header_links adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def parse_header_links(value):$/;" f +parse_header_links adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def parse_header_links(value):$/;" f +parse_hl_lines adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^def parse_hl_lines(expr):$/;" f +parse_http_list adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def parse_http_list(u):$/;" f +parse_if_range_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_if_range_header(value):$/;" f +parse_implicit_document_start adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_implicit_document_start(self):$/;" m class:Parser +parse_implicit_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def parse_implicit_response(uri, state=None, scope=None):$/;" f +parse_indentless_sequence_entry adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_indentless_sequence_entry(self):$/;" m class:Parser +parse_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_indices(indices_string):$/;" f +parse_init_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def parse_init_thresholds(thresholds, default_threshold=0.5):$/;" f +parse_input_fn_result adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^def parse_input_fn_result(result):$/;" f +parse_isodate adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def parse_isodate(self, datestr):$/;" m class:isoparser +parse_isotime adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def parse_isotime(self, timestr):$/;" m class:isoparser +parse_iterations_per_loop adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^def parse_iterations_per_loop(iterations_per_loop):$/;" f +parse_iterator_result adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^def parse_iterator_result(result):$/;" f +parse_keqv_list adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def parse_keqv_list(l):$/;" f +parse_known_args adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def parse_known_args(self, args=None, namespace=None):$/;" m class:ArgumentParser +parse_kwarg adpepsenv/lib/python3.8/site-packages/caffe2/python/benchmark_generator.py /^def parse_kwarg(kwarg_str):$/;" f +parse_kwargs adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^def parse_kwargs(desc):$/;" f +parse_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def parse_line(line):$/;" f function:get_line_parser file: +parse_lines adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^def parse_lines(lines):$/;" f +parse_lines adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def parse_lines(self, file, boundary, content_length, cap_at_buffer=True):$/;" m class:MultiPartParser +parse_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def parse_links(page):$/;" f +parse_list_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def parse_list_header(value):$/;" f +parse_list_header adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def parse_list_header(value):$/;" f +parse_list_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_list_header(value):$/;" f +parse_loop_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def parse_loop_header(loophead) :$/;" f +parse_makefile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def parse_makefile(fn, g=None):$/;" f +parse_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def parse_map(cls, data, dist=None):$/;" m class:EntryPoint +parse_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def parse_map(cls, data, dist=None):$/;" m class:EntryPoint +parse_marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def parse_marker(marker_string):$/;" f +parse_memory_interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_memory_interval(interval_str):$/;" f +parse_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def parse_message(message):$/;" f +parse_meta adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def parse_meta(config):$/;" f +parse_multipart_headers adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def parse_multipart_headers(iterable):$/;" f +parse_name_and_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def parse_name_and_version(p):$/;" f +parse_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def parse_netloc(netloc):$/;" f +parse_net_def adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def parse_net_def(path):$/;" f function:tensorboard_graphs file: +parse_nm adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^def parse_nm(nm_output):$/;" f +parse_node adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_node(self, block=False, indentless_sequence=False):$/;" m class:Parser +parse_node_or_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def parse_node_or_tensor_name(name):$/;" f +parse_numpy_printoption adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^def parse_numpy_printoption(kv_str):$/;" f +parse_nvprof_trace adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^def parse_nvprof_trace(path):$/;" f +parse_options adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^def parse_options(args=None, values=None):$/;" f +parse_options_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_options_header(value, multiple=False):$/;" f +parse_original_feature_from_example adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def parse_original_feature_from_example(example, feature_name):$/;" f +parse_output adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def parse_output(inclusive: bool) -> Tuple[FunctionCount, ...]:$/;" f member:_ValgrindWrapper._invoke file: +parse_parts adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def parse_parts(self, file, boundary, content_length):$/;" m class:MultiPartParser +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/metadata.py /^def parse_plugin_metadata(content):$/;" f +parse_positive_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^ def parse_positive_float(value_str):$/;" f function:parse_readable_time_str file: +parse_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/coverage.py /^ def parse_proto(x):$/;" f function:gen_covered_ops file: +parse_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def parse_proto(x):$/;" f member:SerializedTestCase.compare_test file: +parse_ranges adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_ranges(range_string):$/;" f +parse_ranges_highlight adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def parse_ranges_highlight(ranges_string):$/;" f +parse_range_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_range_header(value, make_inclusive=True):$/;" f +parse_readable_size_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_readable_size_str(size_str):$/;" f +parse_readable_time_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_readable_time_str(time_str):$/;" f +parse_request_body_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def parse_request_body_response(self, body, scope=None, **kwargs):$/;" m class:Client +parse_request_uri_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def parse_request_uri_response(self, *args, **kwargs):$/;" m class:Client +parse_request_uri_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/mobile_application.py /^ def parse_request_uri_response(self, uri, state=None, scope=None):$/;" m class:MobileApplicationClient +parse_request_uri_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^ def parse_request_uri_response(self, uri, state=None):$/;" m class:WebApplicationClient +parse_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def parse_requirement(req):$/;" f +parse_requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def parse_requirement(self, s):$/;" m class:Matcher +parse_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def parse_requirements($/;" f +parse_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def parse_requirements(strs):$/;" f +parse_requirements adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def parse_requirements(strs):$/;" f +parse_requirement_arg adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def parse_requirement_arg(spec):$/;" f +parse_requires_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def parse_requires_data(data):$/;" f member:EggInfoDistribution._get_metadata file: +parse_requires_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def parse_requires_path(req_path):$/;" f member:EggInfoDistribution._get_metadata file: +parse_req_from_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def parse_req_from_editable(editable_req):$/;" f +parse_req_from_line adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def parse_req_from_line(name, line_source):$/;" f +parse_retry_after adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def parse_retry_after(self, retry_after):$/;" m class:Retry +parse_retry_after adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def parse_retry_after(self, retry_after):$/;" m class:Retry +parse_routine adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^def parse_routine(name, args, types):$/;" f +parse_rule adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^def parse_rule(rule):$/;" f +parse_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def parse_saved_model(export_dir):$/;" f +parse_saved_model_with_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def parse_saved_model_with_debug_info(export_dir):$/;" f +parse_section adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section(self, section_options):$/;" m class:ConfigHandler +parse_sections adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def parse_sections(config):$/;" f +parse_section_data_files adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_data_files(self, section_options):$/;" m class:ConfigOptionsHandler +parse_section_entry_points adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_entry_points(self, section_options):$/;" m class:ConfigOptionsHandler +parse_section_exclude_package_data adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_exclude_package_data(self, section_options):$/;" m class:ConfigOptionsHandler +parse_section_extras_require adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_extras_require(self, section_options):$/;" m class:ConfigOptionsHandler +parse_section_packages__find adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_packages__find(self, section_options):$/;" m class:ConfigOptionsHandler +parse_section_package_data adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def parse_section_package_data(self, section_options):$/;" m class:ConfigOptionsHandler +parse_sequence_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_sequence_example(serialized, debug_name, context_dense_defaults, feature_list_dense_mi/;" f +parse_sequence_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_sequence_example(serialized,$/;" f +parse_sequence_example_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_sequence_example_eager_fallback(serialized, debug_name, context_dense_defaults, featur/;" f +parse_sequence_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_sequence_example_v2(serialized, debug_name, context_sparse_keys, context_dense_keys, c/;" f +parse_sequence_example_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_sequence_example_v2_eager_fallback(serialized, debug_name, context_sparse_keys, contex/;" f +parse_session_end_info_plugin_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^def parse_session_end_info_plugin_data(content):$/;" f +parse_session_start_info_plugin_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^def parse_session_start_info_plugin_data(content):$/;" f +parse_set_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_set_header(value, on_update=None):$/;" f +parse_single_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_single_example(serialized, dense_defaults, num_sparse, sparse_keys, dense_keys, sparse/;" f +parse_single_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_single_example(serialized, features, name=None, example_names=None):$/;" f +parse_single_example adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^from tensorflow.python.ops.parsing_ops import parse_single_example_v2 as parse_single_example$/;" x +parse_single_example adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^from tensorflow.python.ops.parsing_ops import parse_single_example_v2 as parse_single_example$/;" x +parse_single_example_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_single_example_eager_fallback(serialized, dense_defaults, num_sparse, sparse_keys, den/;" f +parse_single_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_single_example_v2($/;" f +parse_single_sequence_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_single_sequence_example(serialized, feature_list_dense_missing_assumed_empty, context_/;" f +parse_single_sequence_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def parse_single_sequence_example($/;" f +parse_single_sequence_example_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_single_sequence_example_eager_fallback(serialized, feature_list_dense_missing_assumed_/;" f +parse_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def parse_spec(spec=''):$/;" f +parse_starttag adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def parse_starttag(self, i): # pragma: no cover$/;" m class:HTMLExtractor +parse_stream_start adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def parse_stream_start(self):$/;" m class:Parser +parse_string adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def parse_string(astr, env, level, line) :$/;" f +parse_structure adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def parse_structure(astr, level):$/;" f +parse_structure adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def parse_structure(astr):$/;" f +parse_subscript adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def parse_subscript(self):$/;" m class:XeinsumSpecParser +parse_sub_patterns adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def parse_sub_patterns(self, data, parent, last, idx):$/;" m class:AsteriskProcessor +parse_summary adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^ def parse_summary(filename):$/;" f function:tensorboard_events file: +parse_tag adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def parse_tag(tag):$/;" f +parse_tag adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def parse_tag(tag):$/;" f +parse_tag adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def parse_tag(tag):$/;" f +parse_tag adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def parse_tag(tag):$/;" f +parse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_tensor(serialized, out_type, name=None):$/;" f +parse_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def parse_tensor_eager_fallback(serialized, out_type, name, ctx):$/;" f +parse_tensor_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^def parse_tensor_name(tensor_name):$/;" f +parse_tensor_name_with_slicing adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_tensor_name_with_slicing(in_str):$/;" f +parse_time_interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def parse_time_interval(interval_str):$/;" f +parse_token_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def parse_token_response(body, scope=None):$/;" f +parse_traced_name adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def parse_traced_name(module):$/;" f function:parse file: +parse_type_line adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def parse_type_line(type_line, rcb, loc):$/;" f +parse_tzstr adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def parse_tzstr(self, tzstr, zero_as_utc=True):$/;" m class:isoparser +parse_uri adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^def parse_uri(uri):$/;" f +parse_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def parse_url(url):$/;" f +parse_url adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def parse_url(url):$/;" f +parse_values adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def parse_values(astr):$/;" f +parse_variables adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def parse_variables(config):$/;" f +parse_version adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^def parse_version(ver, pre=False):$/;" f +parse_version adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ from packaging.version import parse as parse_version$/;" x member:FreeTypeFont.__init__ file: +parse_version adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^from pip._vendor.packaging.version import parse as parse_version$/;" x +parse_version adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^from pip._vendor.packaging.version import parse as parse_version$/;" x +parse_version adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^from pip._vendor.packaging.version import parse as parse_version$/;" x +parse_version adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^from pip._vendor.packaging.version import parse as parse_version$/;" x +parse_version adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^from pip._vendor.packaging.version import parse as parse_version$/;" x +parse_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def parse_version(v):$/;" f +parse_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def parse_version(v):$/;" f +parse_version adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def parse_version(version):$/;" f +parse_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def parse_wheel(wheel_zip, name):$/;" f +parse_wininst_info adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^def parse_wininst_info(wininfo_name, egginfo_name):$/;" f +parse_www_authenticate_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def parse_www_authenticate_header(value, on_update=None):$/;" f +Partial adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^class Partial(functools.partial):$/;" c +partial adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^partial = functools.partial$/;" v +PartialAttributeList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class PartialAttributeList(univ.SequenceOf):$/;" c +PartialBatchPaddingHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^class PartialBatchPaddingHandler(object):$/;" c +PartialCallableObjectProxy adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class PartialCallableObjectProxy(ObjectProxy):$/;" c +partialmethod adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^class partialmethod(functools.partial):$/;" c +PartialVal adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^class PartialVal(tuple):$/;" c +partial_apply_nontensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def partial_apply_nontensors(fn, args, **kwargs):$/;" f +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:CompositeTensorDataAdapter +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:DataAdapter +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:DatasetAdapter +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:GeneratorDataAdapter +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:ListsOfScalarsDataAdapter +partial_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def partial_batch_size(self):$/;" m class:TensorLikeDataAdapter +partial_crossing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def partial_crossing(self, partial_inputs, ragged_out, sparse_out):$/;" m class:CategoryCrossing +partial_eval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def partial_eval(self, f: lu.WrappedFun, pvals: Sequence[PartialVal],$/;" m class:JaxprTrace +partial_eval_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def partial_eval_jaxpr(jaxpr: ClosedJaxpr, unknowns: Sequence[bool],$/;" f function:omnistaging_disabler file: +partial_eval_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def partial_eval_jaxpr(jaxpr: ClosedJaxpr, unknowns: Sequence[bool],$/;" f +partial_eval_to_jaxpr_dynamic adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def partial_eval_to_jaxpr_dynamic(fun: lu.WrappedFun, in_pvals: Sequence[PartialVal]):$/;" f +partial_eval_wrapper adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def partial_eval_wrapper(pvs: Sequence[Optional[AbstractValue]], *consts):$/;" f +partial_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def partial_run(self, handle, fetches, feed_dict=None):$/;" m class:BaseSession +partial_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def partial_run(self, handle, fetches, feed_dict=None):$/;" m class:SessionInterface +partial_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def partial_run(self, handle, fetches, feed_dict=None):$/;" m class:BaseDebugWrapperSession +partial_run_setup adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def partial_run_setup(self, fetches, feeds=None):$/;" m class:BaseSession +partial_run_setup adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def partial_run_setup(self, fetches, feeds=None):$/;" m class:SessionInterface +partial_run_setup adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def partial_run_setup(self, fetches, feeds=None):$/;" m class:BaseDebugWrapperSession +partial_shape_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/convert.py /^def partial_shape_to_tensor(shape_like):$/;" f +partial_tile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def partial_tile(cls, tile_assignment):$/;" m class:Sharding +partial_tile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def partial_tile(tensor, tile_assignment, use_sharding_op=False):$/;" f +partition adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def partition(self, sep):$/;" m class:chararray +partition adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def partition(a, sep):$/;" f +partition adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def partition(a, kth, axis=-1, kind='introselect', order=None):$/;" f +partition adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def partition(self, *args, **kwargs):$/;" m class:MaskedArray +partition adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def partition($/;" f +partition adpepsenv/lib/python3.8/site-packages/pyasn1/compat/string.py /^ def partition(string, sep):$/;" f +PartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^PartitionedCall = tf_export("raw_ops.PartitionedCall")(_ops.to_raw_op(partitioned_call))$/;" v +PartitionedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class PartitionedVariable(object):$/;" c +partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def partitioned_call(args,$/;" f +partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def partitioned_call(args, Tout, f, config="", config_proto="", executor_type="", name=None):$/;" f +partitioned_call_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def partitioned_call_eager_fallback(args, Tout, f, config, config_proto, executor_type, name, ct/;" f +partitioned_dim_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def partitioned_dim_sizes(self):$/;" m class:RaggedTensorDynamicShape +partitioned_sharding_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def partitioned_sharding_spec(num_partitions: int,$/;" f +Partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class Partitioner(object):$/;" c +partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^ partitioner = lambda **unused_kwargs: slicing$/;" f function:create_partitioned_variables file: +partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def partitioner(self):$/;" m class:VariableScope +PartitionInfo adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PartitionInfo = _reflection.GeneratedProtocolMessageType('PartitionInfo', (_message.Message,), {$/;" v +PartitionsOrReplicated adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^PartitionsOrReplicated = Optional[Tuple[int, ...]]$/;" v +PartitionSpec adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^class PartitionSpec(tuple):$/;" c +partition_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def partition_graphs(self):$/;" m class:DebugDumpDir +partition_list adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def partition_list(choice, lst):$/;" f +partition_or_replicate_on_host adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^def partition_or_replicate_on_host(tensor, dims):$/;" f +partition_outer_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def partition_outer_dimension(self, row_partition):$/;" m class:StructuredTensor +part_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def part_shape(shape, axes):$/;" f member:TestOverwrite._check_nd_one file: +parzen adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def parzen(M, sym=True):$/;" f +pascal adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def pascal(n, kind='symmetric', exact=True):$/;" f +passer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def passer(*args):$/;" f member:TestRegression.test_frompyfunc_many_args file: +PassthroughStateManager adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^class PassthroughStateManager(object):$/;" c +passthrough_stateful_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^passthrough_stateful_ops = set([$/;" v +password adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def password(self):$/;" m class:Authorization +password adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def password(self):$/;" m class:BaseURL +PasswordRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class PasswordRecipientInfo(univ.Sequence):$/;" c +PasswordRecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class PasswordRecipientInfo(univ.Sequence):$/;" c +pass_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^pass_mapping = ($/;" v +pass_through adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ pass_through = False$/;" v class:TransformNode +pass_through adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ pass_through = True$/;" v class:BlendedGenericTransform +pass_through adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ pass_through = True$/;" v class:CompositeGenericTransform +pass_through adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ pass_through = True$/;" v class:TransformWrapper +PastaAnalyzeVisitor adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class PastaAnalyzeVisitor(_PastaEditVisitor):$/;" c +PASTA_DICT adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^PASTA_DICT = '__pasta__'$/;" v +paste adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def paste(self, im, box=None, mask=None):$/;" m class:Image +paste adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def paste(self, im, box=None):$/;" m class:PhotoImage +paste adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def paste(self, im, box=None):$/;" m class:Dib +paste adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def paste(self):$/;" m class:Traceback +paste_traceback adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def paste_traceback(self, request, traceback):$/;" m class:DebuggedApplication +Patch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Patch(artist.Artist):$/;" c +patch adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def patch(url, data=None, **kwargs):$/;" f +patch adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def patch(self, url, data=None, **kwargs):$/;" m class:Session +patch adpepsenv/lib/python3.8/site-packages/requests/api.py /^def patch(url, data=None, **kwargs):$/;" f +patch adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def patch(self, url, data=None, **kwargs):$/;" m class:Session +patch adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def patch(cls):$/;" m class:Distribution +patch adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def patch(self, *args, **kw):$/;" m class:Client +Patch3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Patch3D(Patch):$/;" c +Patch3DCollection adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Patch3DCollection(PatchCollection):$/;" c +PatchCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class PatchCollection(Collection):$/;" c +patchdoc adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^patchdoc = artist.kwdoc(Patch)$/;" v +patched_getline adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def patched_getline(*args, **kwargs):$/;" f +patches adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^import matplotlib.patches as patches$/;" I +patches adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ import matplotlib.patches as patches$/;" I function:plot_children file: +patchstr adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^patchstr = artist.kwdoc(Collection)$/;" v +PatchVisualizer adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^class PatchVisualizer(object):$/;" c +patch_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def patch_2d_to_3d(patch, z=0, zdir='z'):$/;" f +patch_all adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def patch_all():$/;" f +patch_collection_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def patch_collection_2d_to_3d(col, zs=0, zdir='z', depthshade=True):$/;" f +patch_for_msvc_specialized_compiler adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def patch_for_msvc_specialized_compiler():$/;" f +patch_func adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def patch_func(replacement, target_mod, func_name):$/;" f +patch_function_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def patch_function_wrapper(module, name):$/;" f +patch_list adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def patch_list(xs, ys, **kwargs):$/;" f member:Axes.bxp file: +patch_missing_pkg_info adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def patch_missing_pkg_info(self, attrs):$/;" m class:Distribution +patch_params adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^ def patch_params(mod_name, func_name):$/;" f function:patch_for_msvc_specialized_compiler file: +patch_path adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^def patch_path(path):$/;" f +patch_source adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^ def patch_source(filename, old_text):$/;" f function:_blas_ilp64_pre_build_hook file: +path adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def path(self):$/;" m class:ExternalLink +path adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def path(self):$/;" m class:SoftLink +Path adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^class Path:$/;" c +path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^import matplotlib.path as path$/;" I +path adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^ path = sys.modules['numpy'].__path__$/;" v +path adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^path = dirname(__file__)$/;" v +path adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path = r"""(?: %(path_abempty)s |$/;" v +path adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def path(self):$/;" m class:BranchBound +path adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def path(self):$/;" m class:RandomOptimizer +Path adpepsenv/lib/python3.8/site-packages/PIL/ImagePath.py /^Path = Image.core.path$/;" v +path adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def path(self):$/;" m class:Link +path adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def path(self):$/;" m class:TempDirectory +path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ path = property(_getpath, _setpath)$/;" v class:TarInfo +path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^ path = None$/;" v class:BuildEnvironment +path adpepsenv/lib/python3.8/site-packages/pip/__main__.py /^ path = os.path.dirname(os.path.dirname(__file__))$/;" v +Path adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^Path = collections.namedtuple('Path', 'path export_version')$/;" v +path adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def path(self):$/;" m class:BaseRequest +Path3DCollection adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Path3DCollection(PathCollection):$/;" c +PathCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class PathCollection(_CollectionWithSizes):$/;" c +pathCollectionObject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pathCollectionObject(self, gc, path, trans, padding, filled, stroked):$/;" m class:PdfFile +PathConverter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class PathConverter(BaseConverter):$/;" c +PathEffectRenderer adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class PathEffectRenderer(RendererBase):$/;" c +PathInfo adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^class PathInfo(object):$/;" c +pathjoin adpepsenv/lib/python3.8/site-packages/numpy/tests/test_scripts.py /^from os.path import join as pathjoin, isfile, dirname$/;" x +pathlib adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ pathlib = None$/;" v +PathMetadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class PathMetadata(DefaultProvider):$/;" c +PathMetadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class PathMetadata(DefaultProvider):$/;" c +PathNodeProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^PathNodeProto = _reflection.GeneratedProtocolMessageType('PathNodeProto', (_message.Message,), {$/;" v +pathological_data_1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^pathological_data_1 = np.array([$/;" v +pathological_data_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^pathological_data_2 = np.array([$/;" v +pathOperations adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pathOperations(path, transform, clip=None, simplify=None, sketch=None):$/;" m class:PdfFile +PathOptimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^class PathOptimizer(object):$/;" c +PathPatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class PathPatch(Patch):$/;" c +PathPatch3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class PathPatch3D(Patch3D):$/;" c +PathPatchEffect adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class PathPatchEffect(AbstractPathEffect):$/;" c +pathpatch_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def pathpatch_2d_to_3d(pathpatch, z=0, zdir='z'):$/;" f +PathPrefixMiddleware adpepsenv/lib/python3.8/site-packages/tensorboard/backend/path_prefix.py /^class PathPrefixMiddleware(object):$/;" c +PathProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^PathProto = _reflection.GeneratedProtocolMessageType('PathProto', (_message.Message,), {$/;" v +paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def paths(self,*paths,**kws):$/;" m class:Configuration +PathScaleCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/pathccompiler.py /^class PathScaleCCompiler(UnixCCompiler):$/;" c +PathScaleFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^class PathScaleFCompiler(FCompiler):$/;" c +PathSeparator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def PathSeparator(path):$/;" f +paths_and_labels_to_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image_dataset.py /^def paths_and_labels_to_dataset(image_paths,$/;" f +paths_and_labels_to_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text_dataset.py /^def paths_and_labels_to_dataset(file_paths,$/;" f +paths_on_pythonpath adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def paths_on_pythonpath(paths):$/;" m class:test +path_abempty adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path_abempty = r"(?: \/ %(segment)s )*" % locals()$/;" v +path_absolute adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path_absolute = r"\/ (?: %(segment_nz)s (?: \/ %(segment)s )* )?" % locals()$/;" v +PATH_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^PATH_CHARS = USERINFO_CHARS | {"@", "\/"}$/;" v +PATH_CHARS adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^PATH_CHARS = USERINFO_CHARS | {"@", "\/"}$/;" v +path_edge_tests adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^path_edge_tests = [$/;" v +path_effects adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^import matplotlib.patheffects as path_effects$/;" I +path_empty adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path_empty = r"" # FIXME$/;" v +path_is_parent adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def path_is_parent(parent_path, child_path):$/;" f +path_noscheme adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path_noscheme = r"%(segment_nz_nc)s (?: \/ %(segment)s )*" % locals()$/;" v +path_patched adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ path_patched = True$/;" v +path_patched adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ path_patched = False$/;" v +path_patched adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ path_patched = True$/;" v +path_patched adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ path_patched = False$/;" v +path_rootless adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^path_rootless = r"%(segment_nz)s (?: \/ %(segment)s )*" % locals()$/;" v +path_starts_with adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def path_starts_with(path, path_prefix):$/;" f +path_to_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def path_to_bytes(path):$/;" f +path_to_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def path_to_cache_dir(path):$/;" f +path_to_display adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def path_to_display(path):$/;" f +path_to_image adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image_dataset.py /^def path_to_image(path, image_size, num_channels, interpolation):$/;" f +path_to_str adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^def path_to_str(path):$/;" f +path_to_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^def path_to_str(path):$/;" f +path_to_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat_internal.py /^def path_to_str(path):$/;" f +path_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/io_utils.py /^def path_to_string(path):$/;" f +path_to_string_content adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text_dataset.py /^def path_to_string_content(path, max_length):$/;" f +path_to_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/urls.py /^def path_to_url(path):$/;" f +path_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def path_url(self):$/;" m class:RequestEncodingMixin +path_url adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def path_url(self):$/;" m class:RequestEncodingMixin +pattern adpeps/ipeps/config.py /^pattern: Union[Iterable, None] = None$/;" v +Pattern adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class Pattern: # pragma: no cover$/;" c +pattern adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ pattern = re.compile($/;" v class:EntryPoint +pattern adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ pattern = re.compile($/;" v class:EntryPoint +pattern adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ pattern = re.compile($/;" v class:HashChecker +pattern adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def pattern(self):$/;" m class:Trie +PatternMatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^class PatternMatcher(gast.NodeVisitor):$/;" c +PATTERNS adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^ PATTERNS = [$/;" v class:LegacyUnderscoreProcessor +PATTERNS adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ PATTERNS = [$/;" v class:AsteriskProcessor +PATTERNS adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ PATTERNS = [$/;" v class:UnderscoreProcessor +pause adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def pause(interval):$/;" f +PAX_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^PAX_FIELDS = ("path", "linkpath", "size", "mtime",$/;" v +PAX_FORMAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^PAX_FORMAT = 2 # POSIX.1-2001 (pax) format$/;" v +PAX_NAME_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^PAX_NAME_FIELDS = set(("path", "linkpath", "uname", "gname"))$/;" v +PAX_NUMBER_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^PAX_NUMBER_FIELDS = {$/;" v +Pb adpeps/utils/ctmtensors.py /^ Pb: TList = None$/;" v class:CTMTensors +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^def pb($/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/summary.py /^def pb(scalars_layout):$/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^def pb(name, data, bucket_count=None, display_name=None, description=None):$/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary.py /^def pb(name, images, max_outputs=3, display_name=None, description=None):$/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^def pb($/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def pb($/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^def pb(name, data, display_name=None, description=None):$/;" f +pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^def pb(name, data, display_name=None, description=None):$/;" f +pb2 adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^import caffe2.proto.caffe2_pb2 as pb2$/;" I +Pbb adpeps/utils/ctmtensors.py /^ Pbb: TList = None$/;" v class:CTMTensors +pbdn_seq adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def pbdn_seq(n, z):$/;" f +pbdv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pbdv(double x0, double x1, double *y0, double *y1) nogil$/;" f +pbdv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def pbdv_seq(v, x):$/;" f +PBEParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class PBEParameter(univ.Sequence):$/;" c +PBES2_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class PBES2_params(univ.Sequence):$/;" c +pbeWithMD2AndDES_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithMD2AndDES_CBC = _OID(pkcs_5, 1)$/;" v +pbeWithMD2AndRC2_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithMD2AndRC2_CBC = _OID(pkcs_5, 4)$/;" v +pbeWithMD5AndDES_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithMD5AndDES_CBC = _OID(pkcs_5, 3)$/;" v +pbeWithMD5AndRC2_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithMD5AndRC2_CBC = _OID(pkcs_5, 6)$/;" v +pbeWithSHA1AndDES_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithSHA1AndDES_CBC = _OID(pkcs_5, 10)$/;" v +pbeWithSHA1AndRC2_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pbeWithSHA1AndRC2_CBC = _OID(pkcs_5, 11)$/;" v +pbeWithSHAAnd128BitRC2_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd128BitRC2_CBC = _OID(pkcs_12PbeIds, 5)$/;" v +pbeWithSHAAnd128BitRC4 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd128BitRC4 = _OID(pkcs_12PbeIds, 1)$/;" v +pbeWithSHAAnd2_KeyTripleDES_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd2_KeyTripleDES_CBC = _OID(pkcs_12PbeIds, 4)$/;" v +pbeWithSHAAnd3_KeyTripleDES_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd3_KeyTripleDES_CBC = _OID(pkcs_12PbeIds, 3)$/;" v +pbeWithSHAAnd40BitRC2_CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd40BitRC2_CBC = _OID(pkcs_12PbeIds, 6)$/;" v +pbeWithSHAAnd40BitRC4 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pbeWithSHAAnd40BitRC4 = _OID(pkcs_12PbeIds, 2)$/;" v +pbkdf2_bin adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def pbkdf2_bin($/;" f +pbkdf2_hex adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def pbkdf2_hex($/;" f +PBKDF2_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class PBKDF2_params(univ.Sequence):$/;" c +PBMAC1_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class PBMAC1_params(univ.Sequence):$/;" c +PBMParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class PBMParameter(univ.Sequence):$/;" c +PBMParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PBMParameter(univ.Sequence):$/;" c +PBMParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class PBMParameter(univ.Sequence):$/;" c +PbufferT adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^PbufferT = [$/;" v +PbufferT adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^PbufferT = [$/;" v +pbvv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pbvv(double x0, double x1, double *y0, double *y1) nogil$/;" f +pbvv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def pbvv_seq(v, x):$/;" f +pbwa adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pbwa(double x0, double x1, double *y0, double *y1) nogil$/;" f +pc adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^from caffe2.python.predictor_constants import predictor_constants as pc$/;" x +pca_lowrank adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^def pca_lowrank(A, q=None, center=True, niter=2):$/;" f +PcdImageFile adpepsenv/lib/python3.8/site-packages/PIL/PcdImagePlugin.py /^class PcdImageFile(ImageFile.ImageFile):$/;" c +pcfd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def pcfd(v, x):$/;" f member:TestSystematic.test_pcfd file: +PcfFontFile adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^class PcfFontFile(FontFile.FontFile):$/;" c +pcfv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def pcfv(v, x):$/;" f member:TestSystematic.test_pcfv file: +pcfw adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def pcfw(a, x):$/;" f member:TestSystematic.test_pcfw file: +PCF_ACCELERATORS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_ACCELERATORS = 1 << 1$/;" v +PCF_BDF_ACCELERATORS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_BDF_ACCELERATORS = 1 << 8$/;" v +PCF_BDF_ENCODINGS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_BDF_ENCODINGS = 1 << 5$/;" v +PCF_BITMAPS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_BITMAPS = 1 << 3$/;" v +PCF_GLYPH_NAMES adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_GLYPH_NAMES = 1 << 7$/;" v +PCF_INK_METRICS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_INK_METRICS = 1 << 4$/;" v +PCF_MAGIC adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_MAGIC = 0x70636601 # "\\x01fcp"$/;" v +PCF_METRICS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_METRICS = 1 << 2$/;" v +PCF_PROPERTIES adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_PROPERTIES = 1 << 0$/;" v +PCF_SWIDTHS adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^PCF_SWIDTHS = 1 << 6$/;" v +pchanged adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pchanged(self):$/;" m class:Artist +pchanged adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ pchanged = Artist.pchanged$/;" v class:Container +pchar adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^pchar = r"(?: %(unreserved)s | %(pct_encoded)s | %(sub_delims)s | : | @ )" % locals($/;" v +pchip adpepsenv/lib/python3.8/site-packages/scipy/interpolate/__init__.py /^pchip = PchipInterpolator$/;" v +PchipInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^class PchipInterpolator(CubicHermiteSpline):$/;" c +pchip_antideriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def pchip_antideriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +pchip_antideriv2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def pchip_antideriv2(x, y, axis=0):$/;" f function:test_deriv_shapes file: +pchip_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def pchip_deriv(x, y, axis=0):$/;" f function:test_deriv_shapes file: +pchip_deriv2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def pchip_deriv2(x, y, axis=0):$/;" f function:test_deriv_shapes file: +pchip_deriv_inplace adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def pchip_deriv_inplace(x, y, axis=0):$/;" f function:test_deriv_shapes file: +pchip_interpolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^def pchip_interpolate(xi, yi, x, der=0, axis=0):$/;" f +PCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PCM = 0x0001$/;" v class:WAVE_FORMAT +pcolor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def pcolor(self, *args, shading=None, alpha=None, norm=None, cmap=None,$/;" m class:Axes +pcolor adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def pcolor($/;" f +pcolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def pcolor(self, *XYC, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +pcolorfast adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def pcolorfast(self, *args, alpha=None, norm=None, cmap=None, vmin=None,$/;" m class:Axes +PcolorImage adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^class PcolorImage(AxesImage):$/;" c +pcolormesh adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def pcolormesh(self, *args, alpha=None, norm=None, cmap=None, vmin=None,$/;" m class:Axes +pcolormesh adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def pcolormesh($/;" f +pcolormesh adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def pcolormesh(self, *XYC, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +pct_encoded adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^pct_encoded = r" %% %(HEXDIG)s %(HEXDIG)s" % locals()$/;" v +PcxImageFile adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^class PcxImageFile(ImageFile.ImageFile):$/;" c +pd adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft.py /^from . import pocketfft_flatbuffers_py_generated as pd$/;" x +pd adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^def pd():$/;" f +pd adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ import pandas as pd # pylint: disable=g-import-not-at-top$/;" I +pd adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ pd = None$/;" v +pd adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ import pandas as pd$/;" I +pd adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ import pandas as pd$/;" I +pd adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^ import pandas as pd$/;" I +pd adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ import pandas as pd$/;" I +PdComplex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ class PdComplex(np.complex128):$/;" c member:TestIscomplexobj.test_pandas_duck file: +PdDtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ class PdDtype:$/;" c member:TestIscomplexobj.test_pandas_duck file: +Pdescr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^Pdescr = [$/;" v +Pdescr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^Pdescr = [$/;" v +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/beta.py /^def pdf(x, a, b, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/cauchy.py /^def pdf(x, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/dirichlet.py /^def pdf(x, alpha):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/expon.py /^def pdf(x, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/gamma.py /^def pdf(x, a, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/laplace.py /^def pdf(x, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def pdf(x):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/multivariate_normal.py /^def pdf(x, mean, cov):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^def pdf(x, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/pareto.py /^def pdf(x, b, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/t.py /^def pdf(x, df, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/uniform.py /^def pdf(x, loc=0, scale=1):$/;" f +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def pdf(self, x):$/;" m class:gaussian_kde +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def pdf(self, x): # raises AttributeError in frozen discrete distribution$/;" m class:rv_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def pdf(self, x, *args, **kwds):$/;" m class:rv_continuous +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x):$/;" m class:dirichlet_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x):$/;" m class:invwishart_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, X):$/;" m class:matrix_normal_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x):$/;" m class:multivariate_normal_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x):$/;" m class:multivariate_t_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x):$/;" m class:wishart_frozen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x, alpha):$/;" m class:dirichlet_gen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x, df, scale):$/;" m class:invwishart_gen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x, df, scale):$/;" m class:wishart_gen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x, loc=None, shape=1, df=1, allow_singular=False):$/;" m class:multivariate_t_gen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, x, mean=None, cov=1, allow_singular=False):$/;" m class:multivariate_normal_gen +pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pdf(self, X, mean=None, rowcov=1, colcov=1):$/;" m class:matrix_normal_gen +PdfArray adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfArray(list):$/;" c +PdfBinary adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfBinary:$/;" c +PdfDict adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfDict(collections.UserDict):$/;" c +PDFDocEncoding adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^PDFDocEncoding = {$/;" v +PdfFile adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class PdfFile:$/;" c +PdfFormatError adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfFormatError(RuntimeError):$/;" c +PdfName adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfName:$/;" c +PdfPages adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class PdfPages:$/;" c +PdfPages adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class PdfPages:$/;" c +PdfParser adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfParser:$/;" c +pdfRepr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pdfRepr(self):$/;" m class:Name +pdfRepr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pdfRepr(self):$/;" m class:Operator +pdfRepr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pdfRepr(self):$/;" m class:Reference +pdfRepr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pdfRepr(self):$/;" m class:Verbatim +pdfRepr adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def pdfRepr(obj):$/;" f +PdfStream adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class PdfStream:$/;" c +pdf_repr adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^def pdf_repr(x):$/;" f +PDF_TESTS adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ PDF_TESTS = [($/;" v class:TestMultivariateT +pdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def pdist(X, metric='euclidean', *args, **kwargs):$/;" f +pdist adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^pdist = _add_docstr(torch.pdist, r"""$/;" v +pdot adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pdot(x, y, axis_name, pos_contract=((), ()), pos_batch=((), ())):$/;" f +pdot_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^pdot_p = core.Primitive('pdot')$/;" v +PDSName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PDSName(char.PrintableString):$/;" c +PDSName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PDSName(char.PrintableString):$/;" c +PDSName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PDSName(char.PrintableString):$/;" c +PDSParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PDSParameter(univ.Set):$/;" c +PDSParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PDSParameter(univ.Set):$/;" c +PDSParameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PDSParameter(univ.Set):$/;" c +pds_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^pds_name = univ.Integer(7)$/;" v +pds_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^pds_name = univ.Integer(7)$/;" v +pds_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^pds_name = univ.Integer(7)$/;" v +pdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pdtr(double x0, double x1) nogil$/;" f +pdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pdtrc(double x0, double x1) nogil$/;" f +pdtri adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pdtri(dl_number_t x0, double x1) nogil$/;" f +pdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pdtrik(double x0, double x1) nogil$/;" f +pdtrik_comp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def pdtrik_comp(p, m):$/;" f +PDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class PDU(univ.Sequence):$/;" c +Pdus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class Pdus(univ.Choice):$/;" c +PDUs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class PDUs(univ.Choice):$/;" c +pe adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^import caffe2.python.predictor.predictor_exporter as pe$/;" I +pe adpepsenv/lib/python3.8/site-packages/jax/api.py /^from .interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^from .interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^from jax.interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^from jax.interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^from ..interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^from . import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^from jax.interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^from jax.interpreters import partial_eval as pe$/;" x +pe adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^from jax.interpreters import partial_eval as pe$/;" x +peak_prominences adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def peak_prominences(x, peaks, wlen=None):$/;" f +peak_widths adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def peak_widths(x, peaks, rel_height=0.5, prominence_data=None, wlen=None):$/;" f +pearson3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^pearson3 = pearson3_gen(name="pearson3")$/;" v +pearson3_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class pearson3_gen(rv_continuous):$/;" c +pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def pearsonr(x, y):$/;" f +pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def pearsonr(x, y):$/;" f +PearsonRConstantInputWarning adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class PearsonRConstantInputWarning(RuntimeWarning):$/;" c +PearsonRNearConstantInputWarning adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class PearsonRNearConstantInputWarning(RuntimeWarning):$/;" c +pearson_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def pearson_fcn(self, B, x):$/;" m class:TestODR +pebi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^pebi = 2**50$/;" v +peek adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def peek(self):$/;" m class:TokenGenerator +peek adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def peek(self, bits):$/;" m class:BitStream +peek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def peek(self, index, name=None):$/;" m class:StagingArea +peek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def peek(self, key, indices=None, name=None):$/;" m class:MapStagingArea +peek adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def peek(self, index=0):$/;" m class:Reader +peek_conditional adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def peek_conditional(self, condition):$/;" m class:TokenGenerator +peek_event adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def peek_event(self):$/;" m class:Parser +peek_non_whitespace adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def peek_non_whitespace(self):$/;" m class:TokenGenerator +peek_objs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def peek_objs(self):$/;" m class:TraceableStack +peek_path_info adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def peek_path_info(environ, charset="utf-8", errors="replace"):$/;" f +peek_token adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def peek_token(self):$/;" m class:Scanner +peek_top_obj adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def peek_top_obj(self):$/;" m class:TraceableStack +peek_traceable_objs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def peek_traceable_objs(self):$/;" m class:TraceableStack +PeepholeLSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class PeepholeLSTMCell(LSTMCell):$/;" c +peer adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def peer(self) -> str:$/;" m class:ServicerContext +peer adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def peer(self):$/;" m class:GRPCServicerContext +peer adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def peer(self):$/;" m class:_ServerProtocolContext +peer adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def peer(self):$/;" m class:_Context +peer adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def peer(self):$/;" m class:ServicerContext +peer_identities adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def peer_identities(self) -> Optional[Iterable[bytes]]:$/;" m class:ServicerContext +peer_identities adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def peer_identities(self):$/;" m class:_Context +peer_identities adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def peer_identities(self):$/;" m class:ServicerContext +peer_identity_key adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def peer_identity_key(self) -> Optional[str]:$/;" m class:ServicerContext +peer_identity_key adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def peer_identity_key(self):$/;" m class:_Context +peer_identity_key adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def peer_identity_key(self):$/;" m class:ServicerContext +Pen adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^class Pen:$/;" c +PendInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class PendInfo(univ.Sequence):$/;" c +PendInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class PendInfo(univ.Sequence):$/;" c +PENDING adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^PENDING = 'PENDING'$/;" v +pending_exits_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def pending_exits_count(self):$/;" m class:_GradLoopState +pending_exits_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def pending_exits_count(self, cnt):$/;" m class:_GradLoopState +Pentanomial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Pentanomial(univ.Sequence):$/;" c +PEP420PackageFinder adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^class PEP420PackageFinder(PackageFinder):$/;" c +PEP440Warning adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class PEP440Warning(RuntimeWarning):$/;" c +PEP440Warning adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class PEP440Warning(RuntimeWarning):$/;" c +PEP440_VERSION_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^PEP440_VERSION_RE = re.compile(r'^v?(\\d+!)?(\\d+(\\.\\d+)*)((a|b|c|rc)(\\d+))?'$/;" v +Pep517HookCaller adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class Pep517HookCaller(object):$/;" c +Pep562 adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^class Pep562:$/;" c +PEP_560 adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^PEP_560 = sys.version_info[:3] >= (3, 7, 0)$/;" v +percent adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def percent(self):$/;" m class:Progress +percentage adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def percentage(self):$/;" m class:Progress +percentage_below adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def percentage_below(values,$/;" f +PercentFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class PercentFormatter(Formatter):$/;" c +percentile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def percentile(a, q, axis: Optional[Union[int, Tuple[int, ...]]] = None,$/;" f +percentile adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def percentile(a, q, axis=None, out=None,$/;" f +percentileofscore adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def percentileofscore(a, score, kind='rank'):$/;" f +percentile_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def percentile_filter(input, percentile, size=None, footprint=None,$/;" f +percent_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ percent_data = [$/;" v class:TestPercentFormatter +percent_ids adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ percent_ids = [$/;" v class:TestPercentFormatter +PERCENT_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^PERCENT_RE = re.compile(r"%[a-fA-F0-9]{2}")$/;" v +PERCENT_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^PERCENT_RE = re.compile(r"%[a-fA-F0-9]{2}")$/;" v +PerChannelMinMaxObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class PerChannelMinMaxObserver(_ObserverBase):$/;" c +perform_assert adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def perform_assert(graph, kind, actual, expected, consider_subgraphs):$/;" f member:JitTestCase.assertGraphContainsExactly file: +perform_operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def perform_operation(self, indata: bytes, key: rsa.key.AbstractKey,$/;" m class:CryptoOperation +perform_operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def perform_operation(self, indata: bytes, priv_key: rsa.key.AbstractKey,$/;" m class:DecryptOperation +perform_operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def perform_operation(self, indata: bytes, priv_key: rsa.key.AbstractKey,$/;" m class:SignOperation +perform_operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def perform_operation(self, indata: bytes, pub_key: rsa.key.AbstractKey,$/;" m class:EncryptOperation +perform_operation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def perform_operation(self, indata: bytes, pub_key: rsa.key.AbstractKey,$/;" m class:VerifyOperation +perform_replacements adpepsenv/lib/python3.8/site-packages/pasta/base/fstring_utils.py /^def perform_replacements(fstr, values):$/;" f +PerGenericStepDetails adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^PerGenericStepDetails = _reflection.GeneratedProtocolMessageType('PerGenericStepDetails', (_mess/;" v +PeriodicLogger adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class PeriodicLogger(object):$/;" c +periodogram adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def periodogram(x, fs=1.0, window='boxcar', nfft=None, detrend='constant',$/;" f +perm adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def perm(self, i_parents, x_parents, xi):$/;" m class:Complex +perm adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def perm(N, k, exact=False):$/;" f +perm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def perm(self):$/;" m class:LinearOperatorPermutation +PermanentIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^class PermanentIdentifier(univ.Sequence):$/;" c +PermissionDeniedError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class PermissionDeniedError(OpError):$/;" c +PermissionDeniedError adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^class PermissionDeniedError(PublicError):$/;" c +PermissionDeniedError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class PermissionDeniedError(RuntimeError):$/;" c +PermissionDeniedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class PermissionDeniedError(OpError):$/;" c +PermissionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ PermissionError = None$/;" v +PermissionError adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ PermissionError = None$/;" v +PERMISSION_DENIED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ PERMISSION_DENIED = (_cygrpc.StatusCode.permission_denied,$/;" v class:StatusCode +PERMISSION_DENIED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^PERMISSION_DENIED = error_codes.PERMISSION_DENIED$/;" v +PERMISSION_DENIED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^PERMISSION_DENIED = 7$/;" v +PERMISSION_DENIED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^PERMISSION_DENIED = 7$/;" v +PERMISSION_DENIED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^PERMISSION_DENIED = error_codes_pb2.PERMISSION_DENIED$/;" v +PermittedAlphabetConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class PermittedAlphabetConstraint(SingleValueConstraint):$/;" c +permutation adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def permutation(key, x):$/;" f +permutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def permutation(_):$/;" f member:TensorLikeDataAdapter.__init__ file: +permutation_matrices adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def permutation_matrices(n):$/;" f member:Axes3D.voxels file: +Permute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Permute(Layer):$/;" c +permute adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def permute(g, self, dims):$/;" f +permute_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def permute_dimensions(x, pattern):$/;" f +permute_final adpeps/tensor/ncon.py /^def permute_final(A, v, forder):$/;" f +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def permute_hidden(self, hx, permutation):$/;" m class:QuantizedLSTM +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def permute_hidden(self, hx, permutation):$/;" m class:QuantizedRNNBase +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def permute_hidden(self, hx: Tensor, permutation: Optional[Tensor]):$/;" m class:RNNBase +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def permute_hidden(self, hx: Tuple[Tensor, Tensor], permutation: Optional[Tensor]) -> Tuple[/;" m class:LSTM +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def permute_hidden( # type: ignore$/;" m class:LSTM +permute_hidden adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def permute_hidden(self, hx: Tensor, permutation: Optional[Tensor]) -> Tensor:$/;" m class:RNNBase +permute_n adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def permute_n(n):$/;" f member:TestUfunc.compare_matrix_multiply_results file: +perm_symmetry adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def perm_symmetry(self, i_s, x_parents, xi):$/;" m class:Complex +perplexity adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def perplexity(self):$/;" m class:Distribution +PerReplica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class PerReplica(DistributedValues, composite_tensor.CompositeTensor):$/;" c +PerReplicaSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class PerReplicaSpec(type_spec.TypeSpec):$/;" c +PersistentOpEvaluator adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^class PersistentOpEvaluator(object):$/;" c +persistent_id adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def persistent_id(obj):$/;" f function:_save file: +persistent_id adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def persistent_id(obj: Any) -> Optional[Tuple]:$/;" f function:_legacy_save file: +persistent_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def persistent_load(saved_id):$/;" f function:_legacy_load.legacy_load file: +persistent_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def persistent_load(saved_id):$/;" f function:_legacy_load file: +persistent_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def persistent_load(saved_id):$/;" f function:_load file: +persistent_load adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def persistent_load(self, pid):$/;" m class:DumpUnpickler +PersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PersonalName(univ.Set):$/;" c +PersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PersonalName(univ.Set):$/;" c +PersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PersonalName(univ.Set):$/;" c +PERSPECTIVE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^PERSPECTIVE = 2$/;" v +persp_transformation adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def persp_transformation(zfront, zback):$/;" f +pertain adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def pertain(self, name):$/;" m class:parserinfo +PERTAIN adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ PERTAIN = ["of"]$/;" v class:parserinfo +PerWorkerValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class PerWorkerValues(object):$/;" c +per_channel_dynamic_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^per_channel_dynamic_qconfig = QConfigDynamic(activation=default_dynamic_quant_observer,$/;" v +per_channel_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def per_channel_tensor(draw, shapes=None, elements=None, qparams=None):$/;" f +per_example_maxent_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def per_example_maxent_loss(labels, weights, logits, num_classes, eps=1e-15):$/;" f +PER_GRAPH_OBJECT_NAME_UIDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^PER_GRAPH_OBJECT_NAME_UIDS = weakref.WeakKeyDictionary()$/;" v +PER_HOST_V1 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ PER_HOST_V1 = 2$/;" v class:InputPipelineConfig +PER_HOST_V2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ PER_HOST_V2 = 3$/;" v class:InputPipelineConfig +per_image_standardization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def per_image_standardization(image):$/;" f +PER_REPLICA adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ PER_REPLICA = "PER_REPLICA"$/;" v class:InputReplicationMode +PER_SHARD_V1 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ PER_SHARD_V1 = 1$/;" v class:InputPipelineConfig +PER_WORKER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ PER_WORKER = "PER_WORKER"$/;" v class:InputReplicationMode +peta adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^peta = 1e15$/;" v +pf adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def pf(src, dst):$/;" f member:easy_install.unpack_and_compile file: +pf adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def pf(src, dst):$/;" f member:install_lib.copy_tree file: +pfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^from . import pypocketfft as pfft$/;" x +pfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^from . import pypocketfft as pfft$/;" x +pfi adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^from . import _pocketfft_internal as pfi$/;" x +pfiles_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ pfiles_path = os.getenv('ProgramFiles', 'C:\\\\Program Files')$/;" v +pfor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def pfor(loop_fn, iters, fallback_to_while_loop=True, parallel_iterations=None):$/;" f +PFor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class PFor(object):$/;" c +PForConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class PForConfig(object):$/;" c +PForTestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/test_util.py /^class PForTestCase(test.TestCase):$/;" c +pfor_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def pfor_config(self):$/;" m class:PFor +PFOR_CONFIG_ARG adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^PFOR_CONFIG_ARG = "pfor_config"$/;" v +pfor_control_flow_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/test_util.py /^from tensorflow.python.ops.parallel_for import control_flow_ops as pfor_control_flow_ops$/;" x +pfor_converter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def pfor_converter(self):$/;" m class:WhileOp +pfor_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^pfor_ops = LazyLoader($/;" v +pfor_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def pfor_ops(self):$/;" m class:PFor +PFX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^PFX = rfc7292.PFX$/;" v +PFX adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class PFX(univ.Sequence):$/;" c +PGroupFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^class PGroupFCompiler(FCompiler):$/;" c +PGroupFlangCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^class PGroupFlangCompiler(FCompiler):$/;" c +Phase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class Phase(with_metaclass(getMetaclass(debug, log))):$/;" c function:getPhases file: +Phase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class Phase(with_metaclass(getMetaclass(debug, log))):$/;" c function:getPhases file: +phases adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ phases = (u"\\U0001F539", u"\\U0001F537", u"\\U0001F535") # type: Any$/;" v class:BlueEmojiBar +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ phases = (u' ', u'▌', u'█')$/;" v class:IncrementalBar +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ phases = (' ', '░', '▒', '▓', '█')$/;" v class:ShadyBar +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ phases = ('⡀', '⡄', '⡆', '⡇', '⣇', '⣧', '⣷', '⣿')$/;" v class:PixelBar +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^ phases = (' ', '▁', '▂', '▃', '▄', '▅', '▆', '▇', '█')$/;" v class:Stack +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^ phases = ('○', '◔', '◑', '◕', '●')$/;" v class:Pie +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ phases = ('-', '\\\\', '|', '\/')$/;" v class:Spinner +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ phases = ['⎺', '⎻', '⎼', '⎽', '⎼', '⎻']$/;" v class:LineSpinner +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ phases = ['◑', '◒', '◐', '◓']$/;" v class:MoonSpinner +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ phases = ['◷', '◶', '◵', '◴']$/;" v class:PieSpinner +phases adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ phases = ['⣾', '⣷', '⣯', '⣟', '⡿', '⢿', '⣻', '⣽']$/;" v class:PixelSpinner +phase_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def phase_spectrum(self, x, Fs=None, Fc=None, window=None,$/;" m class:Axes +phase_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^phase_spectrum = functools.partial(_single_spectrum_helper, "phase")$/;" v +phase_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def phase_spectrum($/;" f +phi adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^ def phi(X):$/;" f function:cholesky_jvp_rule file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def phi(alpha):$/;" f function:line_search_wolfe2 file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def phi(alpha1):$/;" f function:line_search_armijo file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^ def phi(s):$/;" f function:line_search_wolfe1 file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def phi(s, store=True):$/;" f function:_nonlin_line_search file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def phi(alpha):$/;" f member:TestLineSearch.test_scalar_search_wolfe2_regression file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def phi(alpha):$/;" f member:TestLineSearch.test_scalar_search_wolfe2_with_low_amax file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def phi(s):$/;" f member:TestLineSearch.test_armijo_terminate_1 file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def phi(s):$/;" f member:TestLineSearch.test_wolfe_terminate file: +phi adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^ def phi(t):$/;" f function:F_7 file: +phi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^from scipy.constants import golden as phi$/;" x +phi adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^from scipy.constants import golden as phi$/;" x +phi adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^from scipy.constants import golden as phi$/;" x +Phi adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ Phi = lambda alpha, t: np.tan(np.pi*alpha\/2) if alpha != 1 else -2.0*np.log(np.abs(t))\//;" f member:levy_stable_gen._cf file: +PHILIPS_CELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PHILIPS_CELP = 0x0120$/;" v class:WAVE_FORMAT +PHILIPS_GRUNDIG adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PHILIPS_GRUNDIG = 0x0121$/;" v class:WAVE_FORMAT +PHILIPS_LPCBB adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PHILIPS_LPCBB = 0x0098$/;" v class:WAVE_FORMAT +PHILOX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ PHILOX = 1$/;" v class:Algorithm +PHILOX_STATE_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^PHILOX_STATE_SIZE = 3$/;" v +phio adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ phio = X[3]$/;" v +phi_3_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ phi_3_1 = lambda beta, alpha: phi_3(beta, alpha) if beta > 0 else phi_3(-beta, alpha)$/;" f member:levy_stable_gen._fitstart file: +phi_5_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ phi_5_1 = lambda beta, alpha: phi_5(beta, alpha) if beta > 0 else -phi_5(-beta, alpha)$/;" f member:levy_stable_gen._fitstart file: +phi_and_derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def phi_and_derivative(alpha, suf, s, Delta):$/;" f function:solve_lsq_trust_region file: +PhotoImage adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^class PhotoImage:$/;" c +PHOTOMETRIC_INTERPRETATION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^PHOTOMETRIC_INTERPRETATION = 262$/;" v +PHOTOSHOP_CHUNK adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^PHOTOSHOP_CHUNK = 34377 # photoshop properties$/;" v +PhysicalDeliveryCountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PhysicalDeliveryCountryName(univ.Choice):$/;" c +PhysicalDeliveryCountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PhysicalDeliveryCountryName(univ.Choice):$/;" c +PhysicalDeliveryCountryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PhysicalDeliveryCountryName(univ.Choice):$/;" c +PhysicalDeliveryOfficeName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PhysicalDeliveryOfficeName(PDSParameter):$/;" c +PhysicalDeliveryOfficeName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PhysicalDeliveryOfficeName(PDSParameter):$/;" c +PhysicalDeliveryOfficeName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PhysicalDeliveryOfficeName(PDSParameter):$/;" c +PhysicalDeliveryOfficeNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PhysicalDeliveryOfficeNumber(PDSParameter):$/;" c +PhysicalDeliveryOfficeNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PhysicalDeliveryOfficeNumber(PDSParameter):$/;" c +PhysicalDeliveryOfficeNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PhysicalDeliveryOfficeNumber(PDSParameter):$/;" c +PhysicalDeliveryOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PhysicalDeliveryOrganizationName(PDSParameter):$/;" c +PhysicalDeliveryOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PhysicalDeliveryOrganizationName(PDSParameter):$/;" c +PhysicalDeliveryOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PhysicalDeliveryOrganizationName(PDSParameter):$/;" c +PhysicalDeliveryPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PhysicalDeliveryPersonalName(PDSParameter):$/;" c +PhysicalDeliveryPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PhysicalDeliveryPersonalName(PDSParameter):$/;" c +PhysicalDeliveryPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PhysicalDeliveryPersonalName(PDSParameter):$/;" c +PhysicalDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class PhysicalDevice($/;" c +PhysicalQuantity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^class PhysicalQuantity(float):$/;" c +PhysicalQuantity2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^class PhysicalQuantity2(ndarray):$/;" c +physical_constants adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^physical_constants = {}$/;" v +physical_delivery_country_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^physical_delivery_country_name = univ.Integer(8)$/;" v +physical_delivery_country_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^physical_delivery_country_name = univ.Integer(8)$/;" v +physical_delivery_country_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^physical_delivery_country_name = univ.Integer(8)$/;" v +physical_delivery_office_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^physical_delivery_office_name = univ.Integer(10)$/;" v +physical_delivery_office_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^physical_delivery_office_name = univ.Integer(10)$/;" v +physical_delivery_office_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^physical_delivery_office_name = univ.Integer(10)$/;" v +physical_delivery_office_number adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^physical_delivery_office_number = univ.Integer(11)$/;" v +physical_delivery_office_number adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^physical_delivery_office_number = univ.Integer(11)$/;" v +physical_delivery_office_number adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^physical_delivery_office_number = univ.Integer(11)$/;" v +physical_delivery_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^physical_delivery_organization_name = univ.Integer(14)$/;" v +physical_delivery_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^physical_delivery_organization_name = univ.Integer(14)$/;" v +physical_delivery_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^physical_delivery_organization_name = univ.Integer(14)$/;" v +physical_delivery_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^physical_delivery_personal_name = univ.Integer(13)$/;" v +physical_delivery_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^physical_delivery_personal_name = univ.Integer(13)$/;" v +physical_delivery_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^physical_delivery_personal_name = univ.Integer(13)$/;" v +physical_resource_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def physical_resource_axes(self) -> Set[ResourceAxisName]:$/;" m class:ResourceEnv +pi adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^pi = np.pi$/;" v +pi adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^pi = np.pi$/;" v +pi adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^pi = np.pi$/;" v +pi adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^pi = np.pi$/;" v +pi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^pi = _math.pi$/;" v +Pi adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class Pi(ODE):$/;" c +pi adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^pi = np_export.np_export_constant(__name__, 'pi', np.pi)$/;" v +pick adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pick(self, mouseevent):$/;" m class:Artist +pick adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def pick(self, mouseevent):$/;" m class:FigureCanvasBase +pick adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def pick(self, mouseevent):$/;" m class:HostAxesBase +pick adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def pick(self, mouseevent):$/;" m class:ParasiteAxesBase +pickable adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pickable(self):$/;" m class:Artist +PickEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class PickEvent(Event):$/;" c +pickle adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ import pickle5 as pickle$/;" I +pickle adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/compat.py /^ import cPickle as pickle$/;" I +pickle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ import cPickle as pickle$/;" I function:test_kdtree_pickle file: +pickle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ import cPickle as pickle$/;" I function:test_kdtree_pickle_boxsize file: +pickle_function adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def pickle_function(func):$/;" f +PICKLE_KWARGS adpepsenv/lib/python3.8/site-packages/scipy/sparse/_matrix_io.py /^PICKLE_KWARGS = dict(allow_pickle=False)$/;" v +picknthweekday adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^def picknthweekday(year, month, dayofweek, hour, minute, whichweek):$/;" f +pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ pickradius = property(get_pickradius, set_pickradius)$/;" v class:Line2D +pick_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def pick_event(self, mouseevent, artist, **kwargs):$/;" m class:FigureCanvasBase +pick_unused_port adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def pick_unused_port():$/;" f +pick_vector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def pick_vector(cond, true_vector, false_vector, name="pick_vector"):$/;" f +pico adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^pico = 1e-12$/;" v +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ pic_flags = ['-fpic']$/;" v class:G95FCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ pic_flags = ['-fPIC']$/;" v class:GnuFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ pic_flags = ['+Z']$/;" v class:HPUXFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ pic_flags = ['-fPIC']$/;" v class:IntelFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ pic_flags = ['-KPIC']$/;" v class:MIPSFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ pic_flags = ['-fpic']$/;" v class:NVHPCFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ pic_flags = ['-fPIC']$/;" v class:PathScaleFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ pic_flags = ['']$/;" v class:PGroupFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ pic_flags = ['-fpic']$/;" v class:PGroupFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ pic_flags = ['-xcode=pic32']$/;" v class:SunFCompiler +pic_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ pic_flags = [] # Flags to create position-independent code$/;" v class:FCompiler +pid adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def pid(self):$/;" m class:_TensorTracker +pids adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^ def pids(self):$/;" m class:ProcessContext +pie adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def pie(self, x, explode=None, labels=None, colors=None,$/;" m class:Axes +pie adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def pie($/;" f +Pie adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^class Pie(Stack):$/;" c +piecefuncgen adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^ def piecefuncgen(num):$/;" f function:_bspline_piecefunctions file: +piecewise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def piecewise(x):$/;" f member:TestPiecewiseLinearTransform.test_binary_predictions_params_from_arg file: +piecewise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def piecewise(x, *args, **kw):$/;" f member:TestPiecewiseLinearTransform.test_multi_predictions_params_from_arg file: +piecewise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def piecewise(x, bounds, slopes, intercepts):$/;" f member:TestPiecewiseLinearTransform.test_1D_predictions_params_from_input file: +piecewise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def piecewise(x, bounds, slopes, intercepts):$/;" f member:TestPiecewiseLinearTransform.test_binary_predictions_params_from_input file: +piecewise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def piecewise(x, bounds, slopes, intercepts):$/;" f member:TestPiecewiseLinearTransform.test_multi_predictions_params_from_input file: +piecewise adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def piecewise(x, condlist, funclist, *args, **kw):$/;" f +piecewise adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def piecewise(x, condlist, funclist, *args, **kw):$/;" f +PiecewiseConstantDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class PiecewiseConstantDecay(LearningRateSchedule):$/;" c +piecewise_constant adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def piecewise_constant(boundaries: Any, values: Any):$/;" f +piecewise_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def piecewise_constant(x, boundaries, values, name=None):$/;" f +piecewise_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^piecewise_constant = learning_rate_decay.piecewise_constant$/;" v +piecewise_constant_decay adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/__init__.py /^from tensorflow.python.keras.optimizer_v2.legacy_learning_rate_decay import piecewise_constant a/;" x +pieslice adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def pieslice(self, xy, start, end, fill=None, outline=None, width=1):$/;" m class:ImageDraw +pieslice adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def pieslice(self, xy, start, end, *options):$/;" m class:Draw +PieSpinner adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^class PieSpinner(Spinner):$/;" c +pilinfo adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def pilinfo(out=None, supported_formats=True):$/;" f +PillowWriter adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class PillowWriter(AbstractMovieWriter):$/;" c +PILLOW_VERSION adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ PILLOW_VERSION = _Deprecated_Version(__version__)$/;" v +pil_image adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^ from PIL import Image as pil_image$/;" x +pil_image adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^ pil_image = None$/;" v +pil_image adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^ from PIL import Image as pil_image$/;" x +pil_image adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^ pil_image = None$/;" v +pil_to_array adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def pil_to_array(pilImage):$/;" f +pin adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def pin(self):$/;" m class:DebuggedApplication +pin adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def pin(self, value):$/;" m class:DebuggedApplication +ping adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^def ping(request, timeout=_METADATA_DEFAULT_TIMEOUT, retry_count=3):$/;" f +ping adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def ping(self, request=None, timeout_in_ms=5000):$/;" m class:WorkerHeartbeatManager +pink adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def pink():$/;" f +pinning adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def pinning(self, candidate):$/;" m class:BaseReporter +PINPolicy adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class PINPolicy(univ.Sequence):$/;" c +PINUsageMode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class PINUsageMode(char.UTF8String):$/;" c +pinv adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def pinv(a, rcond=None):$/;" f +pinv adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^pinv = linpkg.pinv$/;" v +pinv adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def pinv(a, rcond=1e-15, hermitian=False):$/;" f +pinv adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def pinv(a, cond=None, rcond=None, return_rank=False, check_finite=True):$/;" f +pinv adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pinv(self):$/;" m class:_PSD +pinv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def pinv(a, rcond=None, validate_args=False, name=None):$/;" f +pinv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def pinv2(a, cond=None, rcond=None, return_rank=False, check_finite=True):$/;" f +PinvCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class PinvCases(LinalgSquareTestCase,$/;" c +pinvh adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def pinvh(a, cond=None, rcond=None, lower=True, return_rank=False,$/;" f +PinvHermitianCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class PinvHermitianCases(HermitianTestCase, HermitianGeneralizedTestCase):$/;" c +pin_auth adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def pin_auth(self, request):$/;" m class:DebuggedApplication +pin_cookie_name adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def pin_cookie_name(self):$/;" m class:DebuggedApplication +pin_memory adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def pin_memory(self):$/;" m class:PackedSequence +pin_memory adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def pin_memory(self):$/;" m class:_StorageBase +pin_memory adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/pin_memory.py /^def pin_memory(data):$/;" f +PIN_TIME adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^PIN_TIME = 60 * 60 * 24 * 7$/;" v +PipDeprecationWarning adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^class PipDeprecationWarning(Warning):$/;" c +Pipe adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class Pipe(object):$/;" c +pipe adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def pipe($/;" f +PipedReaderBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class PipedReaderBuilder(ReaderBuilder):$/;" c +PIPELINE adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ PIPELINE = 'pipeline:'$/;" v class:Tags +PipError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class PipError(Exception):$/;" c +pipe_and_output adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def pipe_and_output($/;" f +PIPE_LEFT adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^PIPE_LEFT = 1$/;" v +PIPE_NONE adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^PIPE_NONE = 0$/;" v +pipe_requests adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def pipe_requests():$/;" f function:_run_request_pipe_thread file: +PIPE_RIGHT adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^PIPE_RIGHT = 2$/;" v +PipOption adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^class PipOption(Option):$/;" c +PipProvider adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^class PipProvider(AbstractProvider):$/;" c +PipScriptMaker adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^class PipScriptMaker(ScriptMaker):$/;" c +PipSession adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^class PipSession(requests.Session):$/;" c +PipXmlrpcTransport adpepsenv/lib/python3.8/site-packages/pip/_internal/network/xmlrpc.py /^class PipXmlrpcTransport(xmlrpc_client.Transport):$/;" c +pip_install adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^ def pip_install(self, reqs):$/;" m class:BuildEnvironment +pip_location adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^from pip import __file__ as pip_location$/;" x +pip_location adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^from pip import __file__ as pip_location$/;" x +pip_self_version_check adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^def pip_self_version_check(session, options):$/;" f +pivot adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ pivot = {'N': 'middle', 'S': 'middle', 'E': 'tip', 'W': 'tail'}$/;" v class:QuiverKey +pivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def pivot(self):$/;" m class:CondContext +pivot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def pivot(self):$/;" m class:WhileContext +PixarImageFile adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^class PixarImageFile(ImageFile.ImageFile):$/;" c +PixelBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class PixelBar(IncrementalBar):$/;" c +PixelShuffle adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^class PixelShuffle(Module):$/;" c +PixelSpinner adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^class PixelSpinner(Spinner):$/;" c +PIXELS_PER_INCH adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^PIXELS_PER_INCH = 75$/;" v +pixel_shuffle adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^pixel_shuffle = _add_docstr(torch.pixel_shuffle, r"""$/;" v +pixel_shuffle adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def pixel_shuffle(g, self, upscale_factor):$/;" f +pixel_shuffle adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def pixel_shuffle(g, self, upscale_factor):$/;" f +pjoin adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^from os.path import isfile, join as pjoin$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^from os.path import dirname, abspath, join as pjoin$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^from os.path import join as pjoin$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^from os.path import join as pjoin$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^from os.path import join as pjoin, dirname$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_pathological.py /^from os.path import dirname, join as pjoin$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^from os.path import join as pjoin, dirname$/;" x +pjoin adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^from os.path import realpath, abspath, dirname, isfile, join as pjoin, exists$/;" x +pkcs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs = _OID(rsadsi, 1)$/;" v +pkcs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pkcs = _OID(rsadsi, 1)$/;" v +PKCS12Attribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^PKCS12Attribute = rfc5652.Attribute$/;" v +pkcs12BagTypeMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs12BagTypeMap = { }$/;" v +pkcs12CertBagMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs12CertBagMap = { }$/;" v +pkcs12CRLBagMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs12CRLBagMap = { }$/;" v +pkcs12SecretBagMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs12SecretBagMap = { }$/;" v +pKCS7PDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pKCS7PDU = Attribute()$/;" v +PKCS8ShroudedKeyBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class PKCS8ShroudedKeyBag(EncryptedPrivateKeyInfo):$/;" c +Pkcs9email adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Pkcs9email(char.IA5String):$/;" c +PKCS9String adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class PKCS9String(univ.Choice):$/;" c +pkcs_1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^pkcs_1 = univ.ObjectIdentifier('1.2.840.113549.1.1')$/;" v +pkcs_1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^pkcs_1 = univ.ObjectIdentifier('1.2.840.113549.1.1')$/;" v +pkcs_1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^pkcs_1 = _OID(1, 2, 840, 113549, 1, 1)$/;" v +pkcs_1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^pkcs_1 = _OID(1, 2, 840, 113549, 1, 1)$/;" v +pkcs_1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^pkcs_1 = univ.ObjectIdentifier('1.2.840.113549.1.1')$/;" v +pkcs_12 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_12 = _OID(pkcs, 12)$/;" v +pkcs_12PbeIds adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_12PbeIds = _OID(pkcs_12, 1)$/;" v +Pkcs_12PbeParams adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class Pkcs_12PbeParams(univ.Sequence):$/;" c +pkcs_5 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^pkcs_5 = _OID(pkcs, 5)$/;" v +pkcs_7 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^pkcs_7 = univ.ObjectIdentifier('1.2.840.113549.1.7')$/;" v +pkcs_9 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^pkcs_9 = univ.ObjectIdentifier('1.2.840.113549.1.9')$/;" v +pkcs_9 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9 = _OID(1, 2, 840, 113549, 1, 9)$/;" v +pkcs_9 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^pkcs_9 = _OID(1, 2, 840, 113549, 1, 9)$/;" v +pkcs_9 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^pkcs_9 = _buildOid(1, 2, 840, 113549, 1, 9)$/;" v +pkcs_9 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_9 = _OID(pkcs, 9)$/;" v +pkcs_9_at adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at = _OID(pkcs_9, 25)$/;" v +pkcs_9_at_challengePassword adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_challengePassword = _OID(pkcs_9, 7)$/;" v +pkcs_9_at_contentType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_contentType = rfc5652.id_contentType$/;" v +pkcs_9_at_counterSignature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_counterSignature = rfc5652.id_countersignature$/;" v +pkcs_9_at_countryOfCitizenship adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_countryOfCitizenship = _OID(ietf_at, 4)$/;" v +pkcs_9_at_countryOfResidence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_countryOfResidence = _OID(ietf_at, 5)$/;" v +pkcs_9_at_dateOfBirth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_dateOfBirth = _OID(ietf_at, 1)$/;" v +pkcs_9_at_emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_emailAddress = rfc5280.id_emailAddress$/;" v +pkcs_9_at_encryptedPrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_encryptedPrivateKeyInfo = _OID(pkcs_9_at, 2)$/;" v +pkcs_9_at_extendedCertificateAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_extendedCertificateAttributes = _OID(pkcs_9, 9)$/;" v +pkcs_9_at_extensionRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_extensionRequest = _OID(pkcs_9, 14)$/;" v +pkcs_9_at_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_friendlyName = _OID(pkcs_9, 20)$/;" v +pkcs_9_at_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_9_at_friendlyName = _OID(pkcs_9, 20)$/;" v +pkcs_9_at_gender adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_gender = _OID(ietf_at, 3)$/;" v +pkcs_9_at_localKeyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_localKeyId = _OID(pkcs_9, 21)$/;" v +pkcs_9_at_localKeyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_9_at_localKeyId = _OID(pkcs_9, 21)$/;" v +pkcs_9_at_messageDigest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_messageDigest = rfc5652.id_messageDigest$/;" v +pkcs_9_at_pkcs15Token adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_pkcs15Token = _OID(pkcs_9_at, 1)$/;" v +pkcs_9_at_pkcs7PDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_pkcs7PDU = _OID(pkcs_9_at, 5)$/;" v +pkcs_9_at_placeOfBirth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_placeOfBirth = _OID(ietf_at, 2)$/;" v +pkcs_9_at_randomNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_randomNonce = _OID(pkcs_9_at, 3)$/;" v +pkcs_9_at_sequenceNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_sequenceNumber = _OID(pkcs_9_at, 4)$/;" v +pkcs_9_at_signingDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_signingDescription = _OID(pkcs_9, 13)$/;" v +pkcs_9_at_signingTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_signingTime = rfc5652.id_signingTime$/;" v +pkcs_9_at_smimeCapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_smimeCapabilities = _OID(pkcs_9, 15)$/;" v +pkcs_9_at_unstructuredAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_unstructuredAddress = _OID(pkcs_9, 8)$/;" v +pkcs_9_at_unstructuredName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_unstructuredName = _OID(pkcs_9, 2)$/;" v +pkcs_9_at_userPKCS12 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_at_userPKCS12 = _OID(2, 16, 840, 1, 113730, 3, 1, 216)$/;" v +pkcs_9_mo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_mo = _OID(pkcs_9, 0)$/;" v +pkcs_9_mr adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_mr = _OID(pkcs_9, 27)$/;" v +pkcs_9_mr_caseIgnoreMatch adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_mr_caseIgnoreMatch = _OID(pkcs_9_mr, 1)$/;" v +pkcs_9_mr_signingTimeMatch adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_mr_signingTimeMatch = _OID(pkcs_9_mr, 2)$/;" v +pkcs_9_oc adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_oc = _OID(pkcs_9, 24)$/;" v +pkcs_9_oc_naturalPerson adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_oc_naturalPerson = _OID(pkcs_9_oc, 2)$/;" v +pkcs_9_oc_pkcsEntity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_oc_pkcsEntity = _OID(pkcs_9_oc, 1)$/;" v +pkcs_9_sx adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_sx = _OID(pkcs_9, 26)$/;" v +pkcs_9_sx_pkcs9String adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_sx_pkcs9String = _OID(pkcs_9_sx, 1)$/;" v +pkcs_9_sx_signingTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_sx_signingTime = _OID(pkcs_9_sx, 2)$/;" v +pkcs_9_ub_challengePassword adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_challengePassword = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_emailAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_emailAddress = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_friendlyName = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_friendlyName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_9_ub_friendlyName = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_match adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_match = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_pkcs9String adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_pkcs9String = univ.Integer(255)$/;" v +pkcs_9_ub_pkcs9String adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^pkcs_9_ub_pkcs9String = univ.Integer(255)$/;" v +pkcs_9_ub_placeOfBirth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_placeOfBirth = univ.Integer(ub_name)$/;" v +pkcs_9_ub_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_pseudonym = univ.Integer(ub_name)$/;" v +pkcs_9_ub_signingDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_signingDescription = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_unstructuredAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_unstructuredAddress = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkcs_9_ub_unstructuredName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pkcs_9_ub_unstructuredName = univ.Integer(pkcs_9_ub_pkcs9String)$/;" v +pkey adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ pkey = r"HARDWARE\\DESCRIPTION\\System\\CentralProcessor"$/;" v class:Win32CPUInfo +pkginfo_to_metadata adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def pkginfo_to_metadata(egg_info_path, pkginfo_path):$/;" f +pkginfo_unicode adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def pkginfo_unicode(pkg_info, field):$/;" f +PkgNotFound adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^class PkgNotFound(IOError):$/;" c +PkgResourcesDeprecationWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class PkgResourcesDeprecationWarning(Warning):$/;" c +PkgResourcesDeprecationWarning adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class PkgResourcesDeprecationWarning(Warning):$/;" c +PKG_INFO adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ PKG_INFO = 'METADATA'$/;" v class:DistInfoDistribution +PKG_INFO adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ PKG_INFO = 'PKG-INFO'$/;" v class:Distribution +PKG_INFO adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ PKG_INFO = 'METADATA'$/;" v class:DistInfoDistribution +PKG_INFO adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ PKG_INFO = 'PKG-INFO'$/;" v class:Distribution +PKG_INFO_ENCODING adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^PKG_INFO_ENCODING = 'utf-8'$/;" v +PKG_INFO_PREFERRED_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^PKG_INFO_PREFERRED_VERSION = '1.1'$/;" v +pkg_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ pkg_name = args[1]$/;" v +pkg_resources_distribution_for_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def pkg_resources_distribution_for_wheel(wheel_zip, name, location):$/;" f +pkg_to_filename adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def pkg_to_filename(pkg_name):$/;" f +PKIArchiveOptions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class PKIArchiveOptions(univ.Choice):$/;" c +PKIArchiveOptions adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class PKIArchiveOptions(univ.Choice):$/;" c +PKIBody adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIBody(univ.Choice):$/;" c +PKIConfirmContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIConfirmContent(univ.Null):$/;" c +PKIData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class PKIData(univ.Sequence):$/;" c +PKIData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class PKIData(univ.Sequence):$/;" c +PKIFailureInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class PKIFailureInfo(univ.BitString):$/;" c +PKIFailureInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIFailureInfo(univ.BitString):$/;" c +PKIFreeText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^PKIFreeText = rfc4210.PKIFreeText$/;" v +PKIFreeText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIFreeText(univ.SequenceOf):$/;" c +PKIHeader adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIHeader(univ.Sequence):$/;" c +PKIMessage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIMessage(univ.Sequence):$/;" c +PKIMessages adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIMessages(univ.SequenceOf):$/;" c +PkiPath adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class PkiPath(univ.SequenceOf):$/;" c +PKIProtection adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIProtection(univ.BitString):$/;" c +PKIPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class PKIPublicationInfo(univ.Sequence):$/;" c +PKIPublicationInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class PKIPublicationInfo(univ.Sequence):$/;" c +PKIResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class PKIResponse(univ.Sequence):$/;" c +PKIResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class PKIResponse(univ.Sequence):$/;" c +PKIStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class PKIStatus(univ.Integer):$/;" c +PKIStatus adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIStatus(univ.Integer):$/;" c +PKIStatusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class PKIStatusInfo(univ.Sequence):$/;" c +PKIStatusInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PKIStatusInfo(univ.Sequence):$/;" c +PKMACValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class PKMACValue(univ.Sequence):$/;" c +PKMACValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class PKMACValue(univ.Sequence):$/;" c +Pl adpeps/utils/ctmtensors.py /^ Pl: TList = None$/;" v class:CTMTensors +Place adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Place(self, x, flags):$/;" m class:Builder +place adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def place(arr, mask, vals):$/;" f +placeholder adpepsenv/lib/python3.8/site-packages/pasta/base/fstring_utils.py /^def placeholder(val_index):$/;" f +placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def placeholder(shape=None,$/;" f +placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def placeholder(dtype, shape=None, name=None):$/;" f +placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder(dtype, shape=None, name=None):$/;" f +Placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Placeholder = tf_export("raw_ops.Placeholder")(_ops.to_raw_op(placeholder))$/;" v +placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def placeholder(dtype, ragged_rank, value_shape=None, name=None):$/;" f +placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.array_ops import sparse_placeholder as placeholder$/;" x +placeholder adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def placeholder(self, name: str) -> Node:$/;" m class:Graph +PlaceholderObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class PlaceholderObserver(ObserverBase):$/;" c +PlaceholderV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^PlaceholderV2 = tf_export("raw_ops.PlaceholderV2")(_ops.to_raw_op(placeholder_v2))$/;" v +PlaceholderWithDefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^PlaceholderWithDefault = tf_export("raw_ops.PlaceholderWithDefault")(_ops.to_raw_op(placeholder_/;" v +placeholder_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder_eager_fallback(dtype, shape, name, ctx):$/;" f +PLACEHOLDER_FOR_GREATER_OP_CODES adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PLACEHOLDER_FOR_GREATER_OP_CODES = 127$/;" v class:BuiltinOperator +placeholder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder_v2(dtype, shape, name=None):$/;" f +placeholder_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder_v2_eager_fallback(dtype, shape, name, ctx):$/;" f +placeholder_with_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def placeholder_with_default(input, shape, name=None): # pylint: disable=redefined-builtin$/;" f +placeholder_with_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder_with_default(input, shape, name=None):$/;" f +placeholder_with_default_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def placeholder_with_default_eager_fallback(input, shape, name, ctx):$/;" f +placeOfBirth adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^placeOfBirth = SingleAttribute()$/;" v +PlaceSOffsetT adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PlaceSOffsetT(self, x):$/;" m class:Builder +PlaceUOffsetT adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PlaceUOffsetT(self, x):$/;" m class:Builder +PlaceVOffsetT adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PlaceVOffsetT(self, x):$/;" m class:Builder +place_poles adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def place_poles(A, B, poles, method="YT", rtol=1e-3, maxiter=30):$/;" f +plainrep adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^plainrep = re.compile(r"([^*]+)\\*(\\d+)")$/;" v +PlainRequest adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/request.py /^class PlainRequest(StreamOnlyMixin, Request):$/;" c +plaintext adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def plaintext(self):$/;" m class:Traceback +plaintextState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def plaintextState(self):$/;" m class:HTMLTokenizer +plaintextState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def plaintextState(self):$/;" m class:HTMLTokenizer +PlaintextSymmetricKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class PlaintextSymmetricKey(univ.OctetString):$/;" c +Plan adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class Plan(object):$/;" c +PLANAR_CONFIGURATION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^PLANAR_CONFIGURATION = 284$/;" v +planck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^planck = planck_gen(a=0, name='planck', longname='A discrete exponential ')$/;" v +planck_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class planck_gen(rv_discrete):$/;" c +PlanDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PlanDef = _reflection.GeneratedProtocolMessageType('PlanDef', (_message.Message,), {$/;" v +plane adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ def plane(azimuth, elevation, x, y):$/;" f function:test_light_source_planar_hillshading file: +planning_output adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def planning_output(self):$/;" m class:AnyExpTrainer +PlansMap adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^PlansMap = _reflection.GeneratedProtocolMessageType('PlansMap', (_message.Message,), {$/;" v +plan_funcs adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^plan_funcs = (scipy.fft.fft, scipy.fft.fft2, scipy.fft.fftn,$/;" v +plan_mocks adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^plan_mocks = (mock_backend.fft, mock_backend.fft2, mock_backend.fftn,$/;" v +plasma adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def plasma():$/;" f +platform adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^platform = partial($/;" v +platform adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def platform(self):$/;" m class:Tag +platform adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def platform(self):$/;" m class:Tag +platform adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def platform(self):$/;" m class:Tag +platform adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def platform(self):$/;" m class:Tag +PlatformInfo adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^class PlatformInfo:$/;" c +PlatformInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^PlatformInfo = _reflection.GeneratedProtocolMessageType('PlatformInfo', (_message.Message,), {$/;" v +platforms adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ platforms = ($/;" v class:UserAgentParser +platform_bits adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^platform_bits = _bits[platform.architecture()[0]]$/;" v +platform_dependent adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ platform_dependent = re.compile(r'\\b(linux_(i\\d86|x86_64|arm\\w+)|'$/;" v class:SimpleScrapingLocator +platform_skip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^platform_skip = pytest.mark.skipif(not runtest,$/;" v +platform_skip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^platform_skip = pytest.mark.skipif(xfail_complex_tests,$/;" v +PLAT_SPEC_TO_RUNTIME adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^PLAT_SPEC_TO_RUNTIME = {$/;" v +PLAT_SPEC_TO_RUNTIME adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^PLAT_SPEC_TO_RUNTIME = {$/;" v +PLAT_TO_VCVARS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^PLAT_TO_VCVARS = {$/;" v +PLAT_TO_VCVARS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^PLAT_TO_VCVARS = {$/;" v +PLAT_TO_VCVARS adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^PLAT_TO_VCVARS = {$/;" v +Play adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Play(self, op):$/;" m class:IR +Plb adpeps/utils/ctmtensors.py /^ Plb: TList = None$/;" v class:CTMTensors +plentymarkets_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/plentymarkets.py /^def plentymarkets_compliance_fix(session):$/;" f +plot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def plot(self, *args, scalex=True, scaley=True, data=None, **kwargs):$/;" m class:Axes +plot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def plot(*args, scalex=True, scaley=True, data=None, **kwargs):$/;" f +plot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def plot(self, xs, ys, *args, zdir='z', **kwargs):$/;" m class:Axes3D +plot adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def plot(w, h):$/;" f member:TestFreqs.test_plot file: +plot adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def plot(w, h):$/;" f member:TestFreqz.test_plot file: +plot3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ plot3D = plot$/;" v class:Axes3D +PlotDirective adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^class PlotDirective(Directive):$/;" c +PlotError adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^class PlotError(RuntimeError):$/;" c +plotters adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ plotters = [Axes.scatter, Axes.bar,$/;" v class:TestPlotTypes +plotters adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ plotters = [Axes.scatter, Axes.bar, Axes.plot]$/;" v class:TestPlotTypes +plotting adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def plotting():$/;" f +plotting_positions adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def plotting_positions(data, alpha=0.4, beta=0.4):$/;" f +plot_children adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def plot_children(fig, box, level=0, printit=True):$/;" f +plot_complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def plot_complex(self):$/;" m class:Complex +plot_context adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^plot_context = dict()$/;" v +plot_date adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def plot_date(self, x, y, fmt='o', tz=None, xdate=True, ydate=False,$/;" m class:Axes +plot_date adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def plot_date($/;" f +plot_file adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_sphinxext.py /^ def plot_file(num):$/;" f function:test_tinypages file: +plot_func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def plot_func(ax, x, y, ls="x", label=None, w="xyz"):$/;" f +PLOT_IDS adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^PLOT_IDS = ["scatter", "plot", "bar"]$/;" v +PLOT_LIST adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^PLOT_LIST = [Axes.scatter, Axes.plot, Axes.bar]$/;" v +plot_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^def plot_model(model,$/;" f +plot_surface adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def plot_surface(self, X, Y, Z, *args, norm=None, vmin=None,$/;" m class:Axes3D +plot_trisurf adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def plot_trisurf(self, *args, color=None, norm=None, vmin=None, vmax=None,$/;" m class:Axes3D +plot_wireframe adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def plot_wireframe(self, X, Y, Z, *args, **kwargs):$/;" m class:Axes3D +plt adpeps/simulation/run_ipeps_exci.py /^ import matplotlib.pyplot as plt$/;" I function:evaluate file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ import matplotlib.pyplot as plt$/;" I member:Figure.__setstate__ file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ import matplotlib.pyplot as plt$/;" I function:thumbnail file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/pylab.py /^from matplotlib import cbook, mlab, pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ import matplotlib.pyplot as plt$/;" I member:Sankey.__init__ file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^ import matplotlib.pyplot as plt$/;" I function:mpl_test_settings file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/testing/widgets.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ collections, path, pyplot as plt, transforms as mtransforms, rcParams)$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg_filter.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^from matplotlib import dviread, pyplot as plt, checkdep_usetex, rcParams$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_container.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^from matplotlib import pyplot as plt, rc_context$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^from matplotlib import pyplot as plt, rc_context$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^ colors, image as mimage, patches, pyplot as plt, style, rcParams)$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_png.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^from matplotlib import pyplot as plt$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^from matplotlib import pyplot as plt, style$/;" x +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_texmanager.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ttconv.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ import matplotlib.pyplot as plt$/;" I function:plot_children file: +plt adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ from matplotlib import pyplot as plt$/;" x member:RcParams.__getitem__ file: +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ import matplotlib.pyplot as plt$/;" I function:colorbar file: +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ import matplotlib.pyplot as plt$/;" I function:host_axes file: +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ import matplotlib.pyplot as plt$/;" I function:host_subplot file: +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axislines.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axis_artist.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_clip_path.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ import matplotlib.pyplot as plt # type: ignore[import]$/;" I +plt adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ import matplotlib.pyplot as plt$/;" I +plt adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^ import matplotlib.pyplot as plt # type: ignore[import]$/;" I function:_held_figure file: +plt adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/lambertw.py /^ import matplotlib.pyplot as plt # type: ignore[import]$/;" I +plt adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/struve_convergence.py /^import matplotlib.pyplot as plt # type: ignore[import]$/;" I +plt adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ import matplotlib.pyplot as plt # type: ignore[import]$/;" I +plt adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^ import matplotlib.pyplot as plt$/;" I function:figure_to_image file: +plt_backend_agg adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^ import matplotlib.backends.backend_agg as plt_backend_agg$/;" I function:figure_to_image file: +plug adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def plug(self, *args, **kw):$/;" f function:NoValue.__new__.getPlug file: +plugin adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def plugin(context, callback):$/;" f function:metadata_call_credentials file: +PluginAsset adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^class PluginAsset(object):$/;" c +PluginAssets adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def PluginAssets(self, plugin_name):$/;" m class:EventAccumulator +PluginAssets adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def PluginAssets(self, plugin_name):$/;" m class:EventMultiplexer +PluginAssets adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def PluginAssets(self, plugin_name):$/;" m class:EventAccumulator +PluginAssets adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def PluginAssets(self, plugin_name):$/;" m class:EventMultiplexer +PluginControl adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^PluginControl = _reflection.GeneratedProtocolMessageType('PluginControl', (_message.Message,), {$/;" v +PluginDirectory adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^def PluginDirectory(logdir, plugin_name):$/;" f +PluginRunToTagToContent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def PluginRunToTagToContent(self, plugin_name):$/;" m class:EventMultiplexer +PluginRunToTagToContent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def PluginRunToTagToContent(self, plugin_name):$/;" m class:EventMultiplexer +PluginRunToTagToContent adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def PluginRunToTagToContent(self, plugin_name):$/;" m class:DebuggerV2EventMultiplexer +PluginSpecification adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^PluginSpecification = _reflection.GeneratedProtocolMessageType('PluginSpecification', (_message./;" v +PLUGINS_DIR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/metadata.py /^PLUGINS_DIR = "plugins" # must match plugin_asset_util.PLUGINS_DIR$/;" v +PLUGINS_LISTING_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^PLUGINS_LISTING_ROUTE = "\/plugins_listing"$/;" v +PluginTagToContent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def PluginTagToContent(self, plugin_name):$/;" m class:EventAccumulator +PluginTagToContent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def PluginTagToContent(self, plugin_name):$/;" m class:EventAccumulator +PLUGIN_ASSETS_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/metadata.py /^PLUGIN_ASSETS_NAME = "org_tensorflow_tensorboard_projector"$/;" v +plugin_content adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def plugin_content(self):$/;" m class:_TimeSeries +PLUGIN_DATA_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^PLUGIN_DATA_VERSION = 0$/;" v +PLUGIN_ENTRY_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^PLUGIN_ENTRY_ROUTE = "\/plugin_entry.html"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:AudioPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^PLUGIN_NAME = "audio"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ plugin_name = None$/;" v class:TBPlugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ plugin_name = "core"$/;" v class:CorePlugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:CustomScalarsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/metadata.py /^PLUGIN_NAME = "custom_scalars"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ plugin_name = debug_data_provider.PLUGIN_NAME$/;" v class:DebuggerV2Plugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^PLUGIN_NAME = "debugger-v2"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:DistributionsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/metadata.py /^PLUGIN_NAME = "distributions"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:GraphsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/metadata.py /^PLUGIN_NAME = "graphs"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:HistogramsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/metadata.py /^PLUGIN_NAME = "histograms"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:HParamsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^PLUGIN_NAME = "hparams"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:ImagesPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/metadata.py /^PLUGIN_NAME = "images"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:MeshPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^PLUGIN_NAME = "mesh"$/;" v +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metadata.py /^PLUGIN_NAME = "timeseries"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:MetricsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^PLUGIN_NAME = "npmi"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:NpmiPlugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^ plugin_name = "profile_redirect"$/;" v class:_ProfileRedirectPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/metadata.py /^PLUGIN_NAME = "projector"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:ProjectorPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^PLUGIN_NAME = "pr_curves"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:PrCurvesPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/metadata.py /^PLUGIN_NAME = "scalars"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:ScalarsPlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/metadata.py /^PLUGIN_NAME = "text"$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ plugin_name = metadata.PLUGIN_NAME$/;" v class:TextPlugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ plugin_name = "text_v2"$/;" v class:TextV2Plugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ plugin_name = PLUGIN_NAME$/;" v class:ProfilePlugin +PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^PLUGIN_NAME = 'profile'$/;" v +plugin_name adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ plugin_name = 'whatif'$/;" v class:WhatIfToolPlugin +plugin_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^ plugin_name = None$/;" v class:PluginAsset +plugin_names adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def plugin_names(self):$/;" m class:UploadStats +PLUGIN_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^PLUGIN_PREFIX = "\/plugin"$/;" v +plugin_types adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ plugin_types = csp_property("plugin-types")$/;" v class:ContentSecurityPolicy +PLUS_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ PLUS_CHAR = ord('+')$/;" v class:TimeEncoderMixIn +plus_or_dot adpeps/_version.py /^def plus_or_dot(pieces):$/;" f +plus_or_dot versioneer.py /^def plus_or_dot(pieces):$/;" f +pmap adpepsenv/lib/python3.8/site-packages/jax/api.py /^def pmap($/;" f +pmax adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pmax(x, axis_name, *, axis_index_groups=None):$/;" f +pmax_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^pmax_p = core.Primitive('pmax')$/;" v +pmean adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pmean(x, axis_name, *, axis_index_groups=None):$/;" f +pmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/bernoulli.py /^def pmf(k, p, loc=0):$/;" f +pmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/geom.py /^def pmf(k, p, loc=0):$/;" f +pmf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/poisson.py /^def pmf(k, mu, loc=0):$/;" f +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def pmf(self, k):$/;" m class:rv_frozen +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def pmf(self, k, *args, **kwds):$/;" m class:rv_discrete +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pmf(self, x):$/;" m class:multinomial_frozen +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pmf(self, x):$/;" m class:multivariate_hypergeom_frozen +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pmf(self, x, m, n):$/;" m class:multivariate_hypergeom_gen +pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def pmf(self, x, n, p):$/;" m class:multinomial_gen +pmin adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pmin(x, axis_name, *, axis_index_groups=None):$/;" f +pmin_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^pmin_p = core.Primitive('pmin')$/;" v +pmt adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def pmt(rate, nper, pv, fv=0, when='end'):$/;" f +PngImageFile adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class PngImageFile(ImageFile.ImageFile):$/;" c +PngInfo adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class PngInfo:$/;" c +PngStream adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class PngStream(ChunkStream):$/;" c +poch adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double poch(double x0, double x1) nogil$/;" f +poch adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^poch = cephes.poch$/;" v +poch_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def poch_(z, m):$/;" f +poch_minus adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def poch_minus(z, m):$/;" f +pocketfft adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft.py /^def pocketfft(c, a, *, fft_type: FftType, fft_lengths: List[int]):$/;" f +PocketFftDescriptor adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^class PocketFftDescriptor(object):$/;" c +PocketFftDescriptorAddAxes adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddAxes(builder, axes): builder.PrependUOffsetTRelativeSlot(5, flatbuffer/;" f +PocketFftDescriptorAddDtype adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddDtype(builder, dtype): builder.PrependInt8Slot(0, dtype, 0)$/;" f +PocketFftDescriptorAddFftType adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddFftType(builder, fftType): builder.PrependInt8Slot(1, fftType, 0)$/;" f +PocketFftDescriptorAddForward adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddForward(builder, forward): builder.PrependBoolSlot(6, forward, 0)$/;" f +PocketFftDescriptorAddScale adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddScale(builder, scale): builder.PrependFloat64Slot(7, scale, 0.0)$/;" f +PocketFftDescriptorAddShape adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddShape(builder, shape): builder.PrependUOffsetTRelativeSlot(2, flatbuff/;" f +PocketFftDescriptorAddStridesIn adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddStridesIn(builder, stridesIn): builder.PrependUOffsetTRelativeSlot(3, /;" f +PocketFftDescriptorAddStridesOut adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorAddStridesOut(builder, stridesOut): builder.PrependUOffsetTRelativeSlot(4/;" f +PocketFftDescriptorEnd adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorEnd(builder): return builder.EndObject()$/;" f +PocketFftDescriptorStart adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorStart(builder): builder.StartObject(8)$/;" f +PocketFftDescriptorStartAxesVector adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorStartAxesVector(builder, numElems): return builder.StartVector(4, numElem/;" f +PocketFftDescriptorStartShapeVector adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorStartShapeVector(builder, numElems): return builder.StartVector(8, numEle/;" f +PocketFftDescriptorStartStridesInVector adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorStartStridesInVector(builder, numElems): return builder.StartVector(8, nu/;" f +PocketFftDescriptorStartStridesOutVector adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^def PocketFftDescriptorStartStridesOutVector(builder, numElems): return builder.StartVector(8, n/;" f +PocketFftDescriptorT adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^class PocketFftDescriptorT(object):$/;" c +PocketFftDtype adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^class PocketFftDtype(object):$/;" c +PocketFftType adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^class PocketFftType(object):$/;" c +point adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def point(self, lut, mode=None):$/;" m class:Image +point adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def point(self, im):$/;" m class:ImageCmsTransform +point adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def point(self, xy, fill=None):$/;" m class:ImageDraw +Point adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ class Point(object):$/;" c member:TestMode.test_objects file: +Point2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Point2:$/;" c member:TestCreation.test_no_len_object_type file: +pointbiserialr adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def pointbiserialr(x, y):$/;" f +pointbiserialr adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def pointbiserialr(x, y):$/;" f +PointbiserialrResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^PointbiserialrResult = namedtuple('PointbiserialrResult', ('correlation',$/;" v +PointbiserialrResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^PointbiserialrResult = namedtuple('PointbiserialrResult',$/;" v +POINTER adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ HAND, POINTER, SELECT_REGION, MOVE, WAIT = range(5)$/;" v class:Cursors +Pointer adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^class Pointer(object):$/;" c +pointer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def pointer(self):$/;" m class:CursesNavigationHistory +points adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^points = [(0,0), (0,1), (1,0), (1,1), (0.5, 0.5), (0.5, 1.5)]$/;" v +points adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ points = [(0,0), (0,1), (1,0), (1,1)]$/;" v class:TestPlotting +PointSelection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^class PointSelection(Selection):$/;" c +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def points_to_pixels(self, points):$/;" m class:RendererAgg +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def points_to_pixels(self, points):$/;" m class:RendererCairo +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def points_to_pixels(self, points):$/;" m class:RendererPgf +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def points_to_pixels(self, points):$/;" m class:RendererTemplate +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def points_to_pixels(self, points):$/;" m class:RendererWx +points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def points_to_pixels(self, points):$/;" m class:RendererBase +point_at_t adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def point_at_t(self, t):$/;" m class:BezierSegment +poisson adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def poisson(key, lam, shape=(), dtype=dtypes.int_):$/;" f +poisson adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^poisson = poisson_gen(name="poisson", longname='A Poisson')$/;" v +Poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class Poisson(LossFunctionWrapper):$/;" c +poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def poisson(y_true, y_pred):$/;" f +Poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Poisson(MeanMetricWrapper):$/;" c +poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.random_ops import random_poisson as poisson$/;" x +poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_poisson_v2 as poisson$/;" x +poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_poisson_v2 as poisson$/;" x +Poisson adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^class Poisson(ExponentialFamily):$/;" c +PoissonNLLLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class PoissonNLLLoss(_Loss):$/;" c +poissonnllloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def poissonnllloss_no_reduce_test():$/;" f +PoissonRegressionHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^class PoissonRegressionHead(RegressionHead):$/;" c +poisson_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class poisson_gen(rv_discrete):$/;" c +poisson_nll_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def poisson_nll_loss(input, target, log_input=True, full=False, size_average=None, eps=1e-8,$/;" f +poisson_sparse_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def poisson_sparse_matrix(n, m):$/;" f function:test_triinterpcubic_cg_solver file: +poiss_moment5 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def poiss_moment5(mu):$/;" f member:TestExpect.test_moment file: +polak_ribiere_powell_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def polak_ribiere_powell_step(alpha, gfkp1=None):$/;" f function:_minimize_cg file: +polar adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def polar(*args, **kwargs):$/;" f +polar adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^import matplotlib.projections.polar as polar$/;" I +Polar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ class Polar:$/;" c function:test_as_mpl_axes_api file: +polar adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_polar.py /^def polar(a, side="right"):$/;" f +PolarAffine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class PolarAffine(mtransforms.Affine2DBase):$/;" c +PolarAxes adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class PolarAxes(Axes):$/;" c +PolarTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class PolarTransform(mtransforms.Transform):$/;" c +poles adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def poles(self):$/;" m class:LinearTimeInvariant +poles adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def poles(self):$/;" m class:ZerosPolesGain +poles adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def poles(self, poles):$/;" m class:ZerosPolesGain +Policy adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.policy import PolicyV1 as Policy$/;" x +Policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.keras.mixed_precision.policy import PolicyV1 as Policy$/;" x +Policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^class Policy(object):$/;" c +PolicyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyConstraints(univ.Sequence):$/;" c +PolicyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PolicyConstraints(univ.Sequence):$/;" c +PolicyConstraints adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PolicyConstraints(univ.Sequence):$/;" c +PolicyInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyInformation(univ.Sequence):$/;" c +PolicyInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^PolicyInformation = rfc5280.PolicyInformation$/;" v +PolicyInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PolicyInformation(univ.Sequence):$/;" c +PolicyInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^PolicyInformation = rfc5280.PolicyInformation$/;" v +PolicyInformation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PolicyInformation(univ.Sequence):$/;" c +PolicyMapping adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyMapping(univ.Sequence):$/;" c +PolicyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyMappings(univ.SequenceOf):$/;" c +PolicyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PolicyMappings(univ.SequenceOf):$/;" c +PolicyMappings adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PolicyMappings(univ.SequenceOf):$/;" c +PolicyQualifierId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyQualifierId(univ.ObjectIdentifier):$/;" c +PolicyQualifierId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PolicyQualifierId(univ.ObjectIdentifier):$/;" c +PolicyQualifierId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PolicyQualifierId(univ.ObjectIdentifier):$/;" c +PolicyQualifierInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PolicyQualifierInfo(univ.Sequence):$/;" c +PolicyQualifierInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PolicyQualifierInfo(univ.Sequence):$/;" c +PolicyQualifierInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PolicyQualifierInfo(univ.Sequence):$/;" c +policyQualifierInfoMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^policyQualifierInfoMap = {$/;" v +PolicyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^class PolicyV1(Policy):$/;" c +policy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def policy_scope(policy):$/;" f +PollRepContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PollRepContent(univ.SequenceOf):$/;" c +PollReqContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class PollReqContent(univ.SequenceOf):$/;" c +poll_wait_for_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def poll_wait_for_socket(sock, read=False, write=False, timeout=None):$/;" f +poll_wait_for_socket adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def poll_wait_for_socket(sock, read=False, write=False, timeout=None):$/;" f +Poly adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class Poly(dict):$/;" c +poly adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def poly(seq_of_zeros):$/;" f +Poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def Poly(request):$/;" f +poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^import numpy.polynomial.polynomial as poly$/;" I +poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^import numpy.polynomial as poly$/;" I +Poly1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^Poly1 = Poly$/;" v +poly1d adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^class poly1d:$/;" c +Poly2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^Poly2 = Poly$/;" v +poly2cheb adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def poly2cheb(pol):$/;" f +poly2herm adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def poly2herm(pol):$/;" f +poly2herme adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def poly2herme(pol):$/;" f +poly2lag adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^def poly2lag(pol):$/;" f +poly2leg adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^def poly2leg(pol):$/;" f +Poly3DCollection adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Poly3DCollection(PolyCollection):$/;" c +polyadd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def polyadd(a1, a2):$/;" f +polyadd adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polyadd(a1, a2):$/;" f +polyadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyadd(c1, c2):$/;" f +PolyBase adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^class PolyBase:$/;" c +PolyCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class PolyCollection(_CollectionWithSizes):$/;" c +polycompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polycompanion(c):$/;" f +POLYCOM_G722 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ POLYCOM_G722 = 0xA112$/;" v class:WAVE_FORMAT +POLYCOM_G728 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ POLYCOM_G728 = 0xA113$/;" v class:WAVE_FORMAT +POLYCOM_G729_A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ POLYCOM_G729_A = 0xA114$/;" v class:WAVE_FORMAT +POLYCOM_SIREN adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ POLYCOM_SIREN = 0xA115$/;" v class:WAVE_FORMAT +polyder adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def polyder(p, m=1):$/;" f +polyder adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polyder(p, m=1):$/;" f +polyder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyder(c, m=1, scl=1, axis=0):$/;" f +PolyDim adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^PolyDim = Union[int, masking.Poly] # A polymorphic shape dimension$/;" v +polydiv adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polydiv(u, v):$/;" f +polydiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polydiv(c1, c2):$/;" f +polydomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^polydomain = np.array([-1, 1])$/;" v +PolyDomainError adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^class PolyDomainError(PolyError):$/;" c +PolyError adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^class PolyError(Exception):$/;" c +polyfit adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polyfit(x, y, deg, rcond=None, full=False, w=None, cov=False):$/;" f +polyfit adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def polyfit(x, y, deg, rcond=None, full=False, w=None, cov=False):$/;" f +polyfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyfit(x, y, deg, rcond=None, full=False, w=None):$/;" f +polyfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyfromroots(roots):$/;" f +polyfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def polyfunc(*p):$/;" f member:TestPolys.check_poly file: +polyfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def polyfunc(*p):$/;" f member:TestRecurrence.check_poly file: +polygamma adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def polygamma(n, x):$/;" f +polygamma adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def polygamma(n, x):$/;" f +polygamma adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^polygamma = _broadcasting_binary_op(math_ops.polygamma)$/;" v +polygamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def polygamma(a, x, name=None):$/;" f +Polygamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Polygamma = tf_export("raw_ops.Polygamma")(_ops.to_raw_op(polygamma))$/;" v +polygamma_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def polygamma_eager_fallback(a, x, name, ctx):$/;" f +Polygon adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Polygon(Patch):$/;" c +polygon adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def polygon(self, xy, fill=None, outline=None):$/;" m class:ImageDraw +polygon adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def polygon(self, xy, *options):$/;" m class:Draw +PolygonSelector adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class PolygonSelector(_SelectorWidget):$/;" c +polygon_place_vertex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def polygon_place_vertex(xdata, ydata):$/;" f +polygrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polygrid2d(x, y, c):$/;" f +polygrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polygrid3d(x, y, z, c):$/;" f +polyint adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polyint(p, m=1, k=None):$/;" f +polyint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyint(c, m=1, k=[], lbnd=0, scl=1, axis=0):$/;" f +polyline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyline(off, scl):$/;" f +polymorphic adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic(a, name=None):$/;" f +Polymorphic adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Polymorphic = tf_export("raw_ops.Polymorphic")(_ops.to_raw_op(polymorphic))$/;" v +PolymorphicDefaultOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^PolymorphicDefaultOut = tf_export("raw_ops.PolymorphicDefaultOut")(_ops.to_raw_op(polymorphic_de/;" v +PolymorphicOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^PolymorphicOut = tf_export("raw_ops.PolymorphicOut")(_ops.to_raw_op(polymorphic_out))$/;" v +polymorphic_default_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic_default_out(T=_dtypes.string, name=None):$/;" f +polymorphic_default_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic_default_out_eager_fallback(T, name, ctx):$/;" f +polymorphic_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic_eager_fallback(a, name, ctx):$/;" f +polymorphic_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic_out(T, name=None):$/;" f +polymorphic_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def polymorphic_out_eager_fallback(T, name, ctx):$/;" f +polymul adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def polymul(a1, a2, *, trim_leading_zeros=False):$/;" f +polymul adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polymul(a1, a2):$/;" f +polymul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polymul(c1, c2):$/;" f +polymulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polymulx(c):$/;" f +Polynomial adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^class Polynomial(ABCPolyBase):$/;" c +polynomial adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def polynomial(order):$/;" f +PolynomialDecay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^class PolynomialDecay(LearningRateSchedule):$/;" c +polynomial_coefficients adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def polynomial_coefficients(self):$/;" m class:BezierSegment +polynomial_decay adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def polynomial_decay(step_size, decay_steps, final_step_size, power=1.0):$/;" f +polynomial_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/legacy_learning_rate_decay.py /^def polynomial_decay(learning_rate,$/;" f +polynomial_decay adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/learning_rate_decay.py /^polynomial_decay = learning_rate_decay.polynomial_decay$/;" v +polyone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^polyone = np.array([1])$/;" v +polypow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polypow(c, pow, maxpower=None):$/;" f +polyroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyroots(c):$/;" f +polysub adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def polysub(a1, a2):$/;" f +polysub adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polysub(a1, a2):$/;" f +polysub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polysub(c1, c2):$/;" f +polytopial_sperner_lemma adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def polytopial_sperner_lemma(self):$/;" m class:VertexGroup +polytrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^polytrim = pu.trimcoef$/;" v +polyval adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def polyval(p, x):$/;" f +polyval adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def polyval(p, x):$/;" f +polyval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyval(x, c, tensor=True):$/;" f +polyval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def polyval(coeffs, x, name=None):$/;" f +polyval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def polyval(p, x): # pylint: disable=missing-function-docstring$/;" f +polyval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyval2d(x, y, c):$/;" f +polyval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyval3d(x, y, z, c):$/;" f +polyvalfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyvalfromroots(x, r, tensor=True):$/;" f +polyvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyvander(x, deg):$/;" f +polyvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyvander2d(x, y, deg):$/;" f +polyvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^def polyvander3d(x, y, z, deg):$/;" f +polyx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^polyx = np.array([0, 1])$/;" v +polyzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^polyzero = np.array([0])$/;" v +poly_collection_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def poly_collection_2d_to_3d(col, zs=0, zdir='z'):$/;" f +pool adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^def pool(max_workers):$/;" f +Pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^class Pool(object):$/;" c +Pool adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ Pool = Union[pool.Pool, pool.ThreadPool]$/;" v +pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def pool($/;" f +pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import pool_v2 as pool$/;" x +pool adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import pool_v2 as pool$/;" x +Pool adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/pool.py /^class Pool(multiprocessing.pool.Pool):$/;" c +pool2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def pool2d(x,$/;" f +Pool2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ Pool2DOptions = 5$/;" v class:BuiltinOptions +Pool2DOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Pool2DOptions(object):$/;" c +Pool2DOptionsAddFilterHeight adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddFilterHeight(builder, filterHeight): builder.PrependInt32Slot(4, filterHeigh/;" f +Pool2DOptionsAddFilterWidth adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddFilterWidth(builder, filterWidth): builder.PrependInt32Slot(3, filterWidth, /;" f +Pool2DOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependIn/;" f +Pool2DOptionsAddPadding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddPadding(builder, padding): builder.PrependInt8Slot(0, padding, 0)$/;" f +Pool2DOptionsAddStrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddStrideH(builder, strideH): builder.PrependInt32Slot(2, strideH, 0)$/;" f +Pool2DOptionsAddStrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsAddStrideW(builder, strideW): builder.PrependInt32Slot(1, strideW, 0)$/;" f +Pool2DOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Pool2DOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Pool2DOptions +Pool2DOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsEnd(builder): return builder.EndObject()$/;" f +Pool2DOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Pool2DOptionsStart(builder): builder.StartObject(6)$/;" f +Pool2DOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Pool2DOptionsT(object):$/;" c +pool3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def pool3d(x,$/;" f +PoolError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class PoolError(HTTPError):$/;" c +PoolError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class PoolError(HTTPError):$/;" c +Pooling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class Pooling1D(Layer):$/;" c +Pooling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class Pooling2D(Layer):$/;" c +Pooling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^class Pooling3D(Layer):$/;" c +PoolingLayer adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def PoolingLayer(window_shape, strides=None, padding='VALID', spec=None):$/;" f function:_pooling_layer file: +PoolKey adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^PoolKey = collections.namedtuple("PoolKey", _key_fields)$/;" v +PoolKey adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^PoolKey = collections.namedtuple("PoolKey", _key_fields)$/;" v +PoolManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^class PoolManager(RequestMethods):$/;" c +PoolManager adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^class PoolManager(RequestMethods):$/;" c +PoolTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^class PoolTest(hu.HypothesisTestCase):$/;" c +pool_classes_by_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ pool_classes_by_scheme = {$/;" v class:SOCKSProxyManager +pool_classes_by_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^pool_classes_by_scheme = {"http": HTTPConnectionPool, "https": HTTPSConnectionPool}$/;" v +pool_classes_by_scheme adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ pool_classes_by_scheme = {$/;" v class:SOCKSProxyManager +pool_classes_by_scheme adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^pool_classes_by_scheme = {"http": HTTPConnectionPool, "https": HTTPSConnectionPool}$/;" v +pool_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def pool_fn(seqs):$/;" f member:GeneratorEnqueuer._get_executor_init file: +pool_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def pool_fn(seqs):$/;" f member:OrderedEnqueuer._get_executor_init file: +pool_mod adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ from google.protobuf import descriptor_pool as pool_mod$/;" x function:_BuildMessageFromTypeName file: +pool_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def pool_v2($/;" f +pop adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def pop(self, key, default=__marker):$/;" m class:Cache +pop adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def pop(self, _):$/;" m class:_DefaultSize +pop adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def pop(self, *args, **kwargs):$/;" m class:TTLCache +pop adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^ def pop(self):$/;" m class:ParameterSharingContext +pop adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def pop(self, key, default=__marker):$/;" m class:MutableMapping +pop adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def pop(self, key=-1):$/;" m class:RepeatedCompositeFieldContainer +pop adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def pop(self, key=-1):$/;" m class:RepeatedScalarFieldContainer +pop adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def pop(self, bottom: bool) -> None:$/;" m class:omnistaging_disabler.TraceStack +pop adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def pop(self) -> None:$/;" m class:TraceStack +pop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def pop(self):$/;" m class:GraphicsContextPdf +pop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def pop(self, event, index=-1):$/;" m class:BlockingMouseInput +pop adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ pop = pop_event$/;" v class:BlockingInput +pop adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ pop = collections.deque.pop$/;" v class:Stack +pop adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ pop = collections.deque.popleft$/;" v class:Queue +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def pop(self, idx=-1):$/;" m class:ConvertingList +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def pop(self, key, *args):$/;" m class:ChainMap +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def pop(self, key, default=__marker):$/;" m class:OrderedDict +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def pop(self, key, default=None):$/;" f +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pop(self, *args, **kwargs):$/;" m class:ParseResults +pop adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def pop(self, key, default=__marker):$/;" m class:HTTPHeaderDict +pop adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def pop( self, *args, **kwargs):$/;" m class:ParseResults +pop adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def pop(self):$/;" m class:Scope +pop adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pop(self, *args, **kwargs):$/;" m class:ParseResults +pop adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def pop(self):$/;" m class:OrderedSet +pop adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def pop( self, *args, **kwargs):$/;" m class:ParseResults +pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def pop(self):$/;" m class:TensorList +pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def pop(self):$/;" m class:_ContextSwitchStack +pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def pop(self):$/;" m class:Sequential +pop adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def pop(self, key: str) -> 'Parameter':$/;" m class:ParameterDict +pop adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def pop(self, key: str) -> Module:$/;" m class:ModuleDict +pop adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def pop(g, tensor_list, dim):$/;" f +pop adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def pop(self, key, default=__marker):$/;" m class:HTTPHeaderDict +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, index=-1):$/;" m class:ImmutableHeadersMixin +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, index=-1):$/;" m class:ImmutableListMixin +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, key, default=None):$/;" m class:ImmutableDictMixin +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, key, default=_missing):$/;" m class:MultiDict +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, key, default=_missing):$/;" m class:OrderedMultiDict +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, key, default=_missing):$/;" m class:UpdateDictMixin +pop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def pop(self, key=None, default=_missing):$/;" m class:Headers +pop adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def pop(self):$/;" m class:LocalStack +poparg adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def poparg(args):$/;" f function:main.parse_command_line file: +popitem adpepsenv/lib/python3.8/site-packages/cachetools/fifo.py /^ def popitem(self):$/;" m class:FIFOCache +popitem adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^ def popitem(self):$/;" m class:LFUCache +popitem adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def popitem(self):$/;" m class:LRUCache +popitem adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def popitem(self):$/;" m class:MRUCache +popitem adpepsenv/lib/python3.8/site-packages/cachetools/rr.py /^ def popitem(self):$/;" m class:RRCache +popitem adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def popitem(self):$/;" m class:TTLCache +popitem adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def popitem(self):$/;" m class:MutableMapping +popitem adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def popitem(self):$/;" m class:ChainMap +popitem adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def popitem(self, last=True):$/;" m class:OrderedDict +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitem(self):$/;" m class:Headers +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitem(self):$/;" m class:ImmutableDictMixin +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitem(self):$/;" m class:ImmutableHeadersMixin +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitem(self):$/;" m class:MultiDict +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitem(self):$/;" m class:OrderedMultiDict +popitem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ popitem = calls_update("popitem")$/;" v class:UpdateDictMixin +popitemlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitemlist(self):$/;" m class:ImmutableMultiDictMixin +popitemlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitemlist(self):$/;" m class:MultiDict +popitemlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def popitemlist(self):$/;" m class:OrderedMultiDict +PopLinkWitnessV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class PopLinkWitnessV2(univ.Sequence):$/;" c +PopLinkWitnessV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class PopLinkWitnessV2(univ.Sequence):$/;" c +poplist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def poplist(self, key):$/;" m class:ImmutableMultiDictMixin +poplist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def poplist(self, key):$/;" m class:MultiDict +poplist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def poplist(self, key):$/;" m class:OrderedMultiDict +POPODecKeyChallContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class POPODecKeyChallContent(univ.SequenceOf):$/;" c +POPODecKeyRespContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class POPODecKeyRespContent(univ.SequenceOf):$/;" c +POPOPrivKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class POPOPrivKey(univ.Choice):$/;" c +POPOPrivKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class POPOPrivKey(univ.Choice):$/;" c +POPOSigningKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class POPOSigningKey(univ.Sequence):$/;" c +POPOSigningKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class POPOSigningKey(univ.Sequence):$/;" c +POPOSigningKeyInput adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class POPOSigningKeyInput(univ.Sequence):$/;" c +POPOSigningKeyInput adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class POPOSigningKeyInput(univ.Sequence):$/;" c +populate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def populate(self, f):$/;" m class:TestTrackOrder +populate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def populate(self, g):$/;" m class:TestTrackOrder +PopulateProtoWithFileName adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def PopulateProtoWithFileName(self):$/;" m class:Net +populate_code_attributes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def populate_code_attributes(self, response):$/;" m class:Client +populate_cpu_children adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def populate_cpu_children(self):$/;" m class:EventList +populate_deserializable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^def populate_deserializable_objects():$/;" f +populate_deserializable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^def populate_deserializable_objects():$/;" f +populate_dict_with_module_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def populate_dict_with_module_objects(target_dict, modules, obj_filter):$/;" f +populate_namespace_from_OP_to_IO adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def populate_namespace_from_OP_to_IO(self):$/;" m class:GraphPy +populate_rows_and_columns adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def populate_rows_and_columns(self) -> Tuple[Tuple[_Row, ...], Tuple[_Column, ...]]:$/;" m class:Table +populate_sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def populate_sample_weight(self, sample_weight, sample_weight_mode):$/;" m class:_TrainingEndpoint +populate_stores adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def populate_stores(self, stores):$/;" m class:WrappedFun +populate_token_attributes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def populate_token_attributes(self, response):$/;" m class:Client +PopulationCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^PopulationCount = tf_export("raw_ops.PopulationCount")(_ops.to_raw_op(population_count))$/;" v +population_count adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def population_count(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +population_count adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def population_count(x):$/;" f +population_count adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def population_count(x: Array) -> Array:$/;" f +population_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def population_count(x, name=None):$/;" f +population_count_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def population_count_eager_fallback(x, name, ctx):$/;" f +population_count_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^population_count_p = standard_unop(_int, 'population_count')$/;" v +pop_all adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def pop_all(self):$/;" m class:ExitStack +pop_capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def pop_capture(self, tensor):$/;" m class:FuncGraph +pop_click adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def pop_click(self, event, index=-1):$/;" m class:BlockingContourLabeler +pop_click adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def pop_click(self, event, index=-1):$/;" m class:BlockingMouseInput +pop_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def pop_event(self, index=-1):$/;" m class:BlockingInput +pop_label adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def pop_label(self, index=-1):$/;" m class:ContourLabeler +pop_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def pop_modifiers(self):$/;" m class:ModifierContext +pop_obj adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def pop_obj(self):$/;" m class:TraceableStack +pop_path_info adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def pop_path_info(environ, charset="utf-8", errors="replace"):$/;" f +pop_state adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def pop_state(self):$/;" m class:Parser +pop_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def pop_tape(tape):$/;" f +port adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^port = r"(?: %(DIGIT)s )*" % locals()$/;" v +port adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def port(self):$/;" m class:BaseURL +portpicker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ portpicker = None$/;" v +portrait adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ portrait, landscape = range(2)$/;" v class:_Orientation +port_by_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^port_by_scheme = {"http": 80, "https": 443}$/;" v +port_by_scheme adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^port_by_scheme = {"http": 80, "https": 443}$/;" v +port_integer adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def port_integer(self):$/;" m class:WSGIRequestHandler +POS adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ def POS(self, x=1, y=1):$/;" m class:AnsiCursor +position adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def position(self):$/;" m class:HTMLUnicodeInputStream +position adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ position = property(getPosition, setPosition)$/;" v class:EncodingBytes +position adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def position(self):$/;" m class:HTMLUnicodeInputStream +position adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ position = property(getPosition, setPosition)$/;" v class:EncodingBytes +PositionWeighted adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/position_weighted.py /^class PositionWeighted(ModelLayer):$/;" c +position_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def position_cursor(self, x):$/;" m class:TextBox +position_in_sys_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def position_in_sys_path(path):$/;" f function:_rebuild_mod_path file: +position_in_sys_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def position_in_sys_path(path):$/;" f function:_rebuild_mod_path file: +POSITIVE adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ POSITIVE = 3$/;" v class:SequenceLikelihood +positive adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^positive = _one_to_one_unop(np.positive, lambda x: x)$/;" v +POSITIVE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ POSITIVE = 3$/;" v class:SequenceLikelihood +positive adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def positive(x):$/;" f +positive adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^positive = _GreaterThan(0.)$/;" v +positive_definite adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^positive_definite = _PositiveDefinite()$/;" v +positive_integer adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^positive_integer = _IntegerGreaterThan(1)$/;" v +POSITIVE_SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ POSITIVE_SHORTCUT_THRESHOLD = 0.95$/;" v class:SingleByteCharSetProber +POSITIVE_SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ POSITIVE_SHORTCUT_THRESHOLD = 0.95$/;" v class:SingleByteCharSetProber +POSIX adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ POSIX = ('setitimer' in dir(signal))$/;" v +POSIX adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ POSIX = False$/;" v +PosixParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^class PosixParser:$/;" c +POSIX_MAGIC adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^POSIX_MAGIC = b"ustar\\x0000" # magic posix tar string$/;" v +PossibleTapeGradientTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def PossibleTapeGradientTypes(tensors):$/;" f +possible_aliases adpepsenv/lib/python3.8/site-packages/numpy/core/_add_newdocs.py /^possible_aliases = numeric_type_aliases([$/;" v +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ possible_executables = ['g77', 'f77']$/;" v class:GnuFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ possible_executables = ['gfortran', 'f95']$/;" v class:Gnu95FCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ possible_executables = ['efl'] # XXX this is a wild guess$/;" v class:IntelItaniumVisualFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ possible_executables = ['ifort', 'efort', 'efc']$/;" v class:IntelEM64TFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ possible_executables = ['ifort', 'efort', 'efc']$/;" v class:IntelItaniumFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ possible_executables = ['ifort', 'ifc']$/;" v class:IntelFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ possible_executables = ['ifort', 'ifl']$/;" v class:IntelVisualFCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ possible_executables = ['flang']$/;" v class:PGroupFlangCompiler +possible_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ possible_executables = []$/;" v class:FCompiler +POSSIBLE_GRADIENT_TYPES_FIRST_ORDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^POSSIBLE_GRADIENT_TYPES_FIRST_ORDER = 1$/;" v +POSSIBLE_GRADIENT_TYPES_HIGHER_ORDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^POSSIBLE_GRADIENT_TYPES_HIGHER_ORDER = 2$/;" v +POSSIBLE_GRADIENT_TYPES_NONE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^POSSIBLE_GRADIENT_TYPES_NONE = 0$/;" v +possibly_convert_to_numpy adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^def possibly_convert_to_numpy(x):$/;" f +post adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def post(x):$/;" f function:rand_some_equal file: +post adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ post = lambda x: np.where(x == 0, np.array(1, dtype=x.dtype), x)$/;" f function:rand_nonzero file: +post adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ post = lambda x: x * (high - low) + low$/;" f function:rand_uniform file: +post adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ post = lambda x: x + 1$/;" f function:rand_positive file: +post adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ post = lambda x: x + np.where(x > 0, offset, -offset)$/;" f function:rand_not_small file: +post adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def post(self):$/;" m class:LegacyVersion +post adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def post(self):$/;" m class:Version +post adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def post(url, data=None, json=None, **kwargs):$/;" f +post adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def post(self, url, data=None, json=None, **kwargs):$/;" m class:Session +post adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def post(self):$/;" m class:LegacyVersion +post adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def post(self):$/;" m class:Version +post adpepsenv/lib/python3.8/site-packages/requests/api.py /^def post(url, data=None, json=None, **kwargs):$/;" f +post adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def post(self, url, data=None, json=None, **kwargs):$/;" m class:Session +post adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def post(self):$/;" m class:LegacyVersion +post adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def post(self):$/;" m class:Version +post adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def post(self, *args, **kw):$/;" m class:Client +PostalCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PostalCode(univ.Choice):$/;" c +PostalCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PostalCode(univ.Choice):$/;" c +PostalCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PostalCode(univ.Choice):$/;" c +postal_code adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^postal_code = univ.Integer(9)$/;" v +postal_code adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^postal_code = univ.Integer(9)$/;" v +postal_code adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^postal_code = univ.Integer(9)$/;" v +postcrack adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def postcrack(block, args=None, tab=''):$/;" f +postcrack2 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def postcrack2(block, tab='', param_map=None):$/;" f +PosteRestanteAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PosteRestanteAddress(PDSParameter):$/;" c +PosteRestanteAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PosteRestanteAddress(PDSParameter):$/;" c +PosteRestanteAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PosteRestanteAddress(PDSParameter):$/;" c +posterize adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def posterize(image, bits):$/;" f +poste_restante_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^poste_restante_address = univ.Integer(19)$/;" v +poste_restante_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^poste_restante_address = univ.Integer(19)$/;" v +poste_restante_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^poste_restante_address = univ.Integer(19)$/;" v +postlist adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ postlist = crackfortran(files)$/;" v +postlude adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ postlude = _one_liner("""$/;" v class:RewritePthDistributions +PostOfficeBoxAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PostOfficeBoxAddress(PDSParameter):$/;" c +PostOfficeBoxAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PostOfficeBoxAddress(PDSParameter):$/;" c +PostOfficeBoxAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PostOfficeBoxAddress(PDSParameter):$/;" c +postParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Combine +postParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Dict +postParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Group +postParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:ParserElement +postParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Suppress +postParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Combine +postParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Dict +postParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Group +postParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:ParserElement +postParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Suppress +postParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Combine +postParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Dict +postParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Group +postParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:ParserElement +postParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def postParse(self, instring, loc, tokenlist):$/;" m class:Suppress +postParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Combine +postParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Dict +postParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Group +postParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:ParserElement +postParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def postParse( self, instring, loc, tokenlist ):$/;" m class:Suppress +PostponedError adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ class PostponedError(object):$/;" c class:NamedTypes +postprocess adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def postprocess(self, tempname, filename):$/;" m class:ResourceManager +postprocess adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def postprocess(self, tempname, filename):$/;" m class:ResourceManager +postprocess adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^ def postprocess(x):$/;" f function:make_system file: +POSTPROCESSING adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^POSTPROCESSING: Dict[str, Callable] = {}$/;" v +PostProcessing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def PostProcessing(self):$/;" m class:_ControlFlowState +Postprocessor adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^class Postprocessor(util.Processor):$/;" c +post_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def post_event(self):$/;" m class:BlockingInput +post_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def post_event(self):$/;" m class:BlockingKeyMouseInput +post_event adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def post_event(self):$/;" m class:BlockingMouseInput +post_execute adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ def post_execute():$/;" f function:install_repl_displayhook file: +post_hook_add_one adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def post_hook_add_one(output_grads, input_grads):$/;" f member:DistAutogradTest.test_post_hooks file: +post_hook_add_two adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def post_hook_add_two(output_grads, input_grads):$/;" f member:DistAutogradTest.test_post_hooks file: +post_office_box_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^post_office_box_address = univ.Integer(18)$/;" v +post_office_box_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^post_office_box_address = univ.Integer(18)$/;" v +post_office_box_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^post_office_box_address = univ.Integer(18)$/;" v +post_process adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def post_process(self, trace, out_tracers, params):$/;" m class:CallPrimitive +post_process adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def post_process(self, trace, out_tracers, params):$/;" m class:MapPrimitive +post_process adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def post_process(self, trace, out_tracers, params):$/;" m class:CustomJVPCallPrimitive +post_process adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def post_process(self, trace, out_tracers, params):$/;" m class:CustomVJPCallPrimitive +post_process adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def post_process(self, trace, out_tracers, params):$/;" m class:XMapPrimitive +post_process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def post_process_call(self, call_primitive: core.Primitive,$/;" m class:TensorFlowTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def post_process_call(self, call_primitive, out_tracers, params):$/;" m class:JetTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def post_process_call(self, call_primitive, out_tracers, params):$/;" m class:JVPTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def post_process_call(self, call_primitive, out_tracers, params):$/;" m class:BatchTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def post_process_call(self, call_primitive, out_tracers, params):$/;" m class:MaskTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_call(self, call_primitive, out_tracers, params):$/;" m class:DynamicJaxprTrace +post_process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_call(self, primitive, out_tracers, params):$/;" m class:JaxprTrace +post_process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def post_process_custom_jvp_call(self, out_tracers, params):$/;" m class:TensorFlowTrace +post_process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def post_process_custom_jvp_call(self, out_tracers, params):$/;" m class:JVPTrace +post_process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def post_process_custom_jvp_call(self, out_tracers, params):$/;" m class:BatchTrace +post_process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_custom_jvp_call(self, out_tracers, params):$/;" m class:DynamicJaxprTrace +post_process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_custom_jvp_call(self, out_tracers, params):$/;" m class:JaxprTrace +post_process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def post_process_custom_vjp_call(self, out_tracers, params):$/;" m class:TensorFlowTrace +post_process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def post_process_custom_vjp_call(self, out_tracers, params):$/;" m class:JVPTrace +post_process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ post_process_custom_vjp_call = post_process_custom_jvp_call$/;" v class:BatchTrace +post_process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_custom_vjp_call(self, out_tracers, params):$/;" m class:DynamicJaxprTrace +post_process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_custom_vjp_call(self, out_tracers, params):$/;" m class:JaxprTrace +post_process_map adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def post_process_map(self, map_primitive, out_tracers, params):$/;" m class:TensorFlowTrace +post_process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ post_process_map = post_process_call$/;" v class:JVPTrace +post_process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def post_process_map(self, call_primitive, out_tracers, params):$/;" m class:BatchTrace +post_process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def post_process_map(self, map_primitive, out_tracers, params):$/;" m class:DynamicJaxprTrace +post_process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ post_process_map = post_process_call$/;" v class:JaxprTrace +POST_PRUNING adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ NO_PRUNING, PRE_PRUNING, POST_PRUNING = range(0, 3)$/;" v class:PruningMode +post_to_server adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def post_to_server(self, data, auth=None):$/;" m class:register +post_training_dynamic_range_int8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_dynamic_range_int8(self):$/;" m class:QuantizationMode +post_training_fp16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_fp16(self):$/;" m class:QuantizationMode +post_training_int16x8_allow_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_int16x8_allow_float(self):$/;" m class:QuantizationMode +post_training_int16x8_no_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_int16x8_no_float(self):$/;" m class:QuantizationMode +post_training_int8_allow_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_int8_allow_float(self):$/;" m class:QuantizationMode +post_training_int8_no_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def post_training_int8_no_float(self):$/;" m class:QuantizationMode +potential adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def potential(lambda1, mu1, nu1, lambda2, mu2, nu2, h2, k2):$/;" f function:test_ellip_potential file: +PotScaleInt16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PotScaleInt16(self):$/;" m class:AddOptions +PotScaleInt16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PotScaleInt16(self):$/;" m class:SubOptions +pow adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def pow(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +pow adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def pow(x, deg):$/;" f +pow adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^pow = np.power$/;" v +pow adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def pow(x: Array, y: Array) -> Array:$/;" f +pow adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^pow = _broadcasting_binary_op(math_ops.pow)$/;" v +POW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ POW = 78$/;" v class:BuiltinOperator +pow adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def pow(x, a):$/;" f +Pow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Pow = tf_export("raw_ops.Pow")(_ops.to_raw_op(_pow))$/;" v +pow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def pow(x, y, name=None): # pylint: disable=redefined-builtin$/;" f +pow adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^def pow(g, self, exponent):$/;" f +pow adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def pow(g, self, exponent):$/;" f +power adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def power(x1, x2):$/;" f +power adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def power(x, a):$/;" f function:test_tritools file: +power adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def power(x, p):$/;" f +power adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def power(a, b, third=None):$/;" f +power adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def power(self, n, dtype=None):$/;" m class:spmatrix +power adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def power(self, n, dtype=None):$/;" m class:_data_matrix +power adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def power(x1, x2):$/;" f +PowerDivCase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^PowerDivCase = namedtuple('Case', ['f_obs', 'f_exp', 'ddof', 'axis',$/;" v +powerlaw adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^powerlaw = powerlaw_gen(a=0.0, b=1.0, name="powerlaw")$/;" v +powerlaw_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class powerlaw_gen(rv_continuous):$/;" c +powerlognorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^powerlognorm = powerlognorm_gen(a=0.0, name="powerlognorm")$/;" v +powerlognorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class powerlognorm_gen(rv_continuous):$/;" c +PowerNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class PowerNorm(Normalize):$/;" c +powernorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^powernorm = powernorm_gen(name='powernorm')$/;" v +powernorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class powernorm_gen(rv_continuous):$/;" c +PowerOnly adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class PowerOnly(np.ndarray):$/;" c member:TestBinop.test_pow_override_with_errors file: +PowerTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class PowerTransform(Transform):$/;" c +power_divergence adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def power_divergence(f_obs, f_exp=None, ddof=0, axis=0, lambda_=None):$/;" f +Power_divergenceResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Power_divergenceResult = namedtuple('Power_divergenceResult',$/;" v +power_div_1d_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^power_div_1d_cases = [$/;" v +power_div_empty_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^power_div_empty_cases = [$/;" v +POWER_OF_TWO adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ POWER_OF_TWO = 1$/;" v class:PaddingSpec +powf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^ def powf(X):$/;" f member:TestMathOps.test_elementwise_power file: +powf_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^ def powf_grad(g_out, outputs, fwd_inputs):$/;" f member:TestMathOps.test_elementwise_power file: +PowOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PowOptions = 56$/;" v class:BuiltinOptions +PowOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PowOptions(object):$/;" c +PowOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def PowOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:PowOptions +PowOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PowOptionsEnd(builder): return builder.EndObject()$/;" f +PowOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def PowOptionsStart(builder): builder.StartObject(0)$/;" f +PowOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class PowOptionsT(object):$/;" c +powt_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def powt_grad(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseOps.test_powt file: +powt_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_grad(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_grad_axis0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_grad_axis0(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_grad_axis1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_grad_axis1(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_grad_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_grad_broadcast(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_grad_mixed adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_grad_mixed(g_out, outputs, fwd_inputs):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def powt_op(X, Y):$/;" f member:TestElementwiseOps.test_powt file: +powt_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_op(X, Y):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_op_axis0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_op_axis0(X, Y):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_op_axis1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_op_axis1(X, Y):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powt_op_mixed adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def powt_op_mixed(X, Y):$/;" f member:TestElementwiseBroadcast.test_broadcast_powt file: +powx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def powx(x, p):$/;" f member:TestInterpolate.test_approximation file: +powx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def powx(x, p):$/;" f member:TestInterpolate.test_approximation file: +pow_for adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def pow_for(exp, arr):$/;" f member:TestBinop.test_pow_array_object_dtype file: +pow_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^pow_p = standard_naryop([_float | _complex, _float | _complex], 'pow')$/;" v +pp adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^import posixpath as pp$/;" I +pp adpepsenv/lib/python3.8/site-packages/h5py/_hl/datatype.py /^import posixpath as pp$/;" I +pp adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^import posixpath as pp$/;" I +pp adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^def pp(s):$/;" f +ppBasis adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^ppBasis = _OID(id_characteristic_two_basis, 3)$/;" v +ppcc_max adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def ppcc_max(x, brack=(0.0, 1.0), dist='tukeylambda'):$/;" f +ppcc_plot adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def ppcc_plot(x, a, b, dist='tukeylambda', plot=None, N=80):$/;" f +ppermute adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def ppermute(x, axis_name, perm):$/;" f +ppermute_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ppermute_p = core.Primitive('ppermute')$/;" v +ppf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def ppf(x):$/;" f +ppf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/norm.py /^def ppf(q, loc=0, scale=1):$/;" f +ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def ppf(self, q):$/;" m class:TestProbplot.test_dist_keyword.custom_dist +ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def ppf(self, q):$/;" m class:rv_frozen +ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def ppf(self, q, *args, **kwds):$/;" m class:rv_continuous +ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def ppf(self, q, *args, **kwds):$/;" m class:rv_discrete +ppf_greater adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def ppf_greater(p, beta, m):$/;" f member:crystalball_gen._ppf file: +ppf_less adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def ppf_less(p, beta, m):$/;" f member:crystalball_gen._ppf file: +PpmImageFile adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^class PpmImageFile(ImageFile.ImageFile):$/;" c +ppmt adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def ppmt(rate, per, nper, pv, fv=0, when='end'):$/;" f +PPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class PPoly(_PPolyBase):$/;" c +pprint adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def pprint(self):$/;" m class:record +pprint adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/__init__.py /^def pprint(walker):$/;" f +pprint adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def pprint(self, *args, **kwargs):$/;" m class:ParseResults +pprint adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def pprint(self, *args, **kwargs):$/;" m class:ParseResults +pprint adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def pprint(self, *args, **kwargs):$/;" m class:ParseResults +pprint adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def pprint(self):$/;" m class:Output +pprint adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def pprint(self, *args, **kwargs):$/;" m class:ParseResults +pprint adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/__init__.py /^def pprint(walker):$/;" f +pprint_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ pprint_data = [$/;" v class:TestLogFormatter +pprint_getters adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pprint_getters(self):$/;" m class:ArtistInspector +pprint_setters adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pprint_setters(self, prop=None, leadingspace=2):$/;" m class:ArtistInspector +pprint_setters_rest adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def pprint_setters_rest(self, prop=None, leadingspace=4):$/;" m class:ArtistInspector +pprint_styles adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def pprint_styles(cls):$/;" m class:_Style +ppu adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^from jax._src import pprint_util as ppu$/;" x +pp_eqn adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_eqn(eqn: JaxprEqn, print_shapes: bool = False) -> PrettyPrint:$/;" f +pp_eqns adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_eqns(eqns: Sequence[JaxprEqn],$/;" f +pp_eqn_compact adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_eqn_compact(primitive_name: str, params: Dict) -> PrettyPrint:$/;" f +pp_format adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def pp_format(printer, obj, stream, indent, allowance, context, level):$/;" m class:FakeObject +pp_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_jaxpr(jaxpr: Jaxpr, source_info: bool = False) -> PrettyPrint:$/;" f +pp_jaxprs adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_jaxprs(jaxprs) -> PrettyPrint:$/;" f +pp_jaxpr_eqn_range adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_jaxpr_eqn_range(jaxpr: Jaxpr, lo: int, hi: int,$/;" f +pp_kv_pair adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_kv_pair(k, v):$/;" f +pp_kv_pairs adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_kv_pairs(kv_pairs):$/;" f +pp_val adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def pp_val(arg) -> ppu.PrettyPrint:$/;" f function:_print_tap_func file: +pp_vars adpepsenv/lib/python3.8/site-packages/jax/core.py /^def pp_vars(vs: Sequence[Any], print_shapes: bool = False) -> str:$/;" f +Pr adpeps/utils/ctmtensors.py /^ Pr: TList = None$/;" v class:CTMTensors +PR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ PR = 'PR'$/;" v class:AUCCurve +pragma adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def pragma(self):$/;" m class:CommonRequestDescriptorsMixin +Prb adpeps/utils/ctmtensors.py /^ Prb: TList = None$/;" v class:CTMTensors +PrCurvePluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^PrCurvePluginData = _reflection.GeneratedProtocolMessageType('PrCurvePluginData', (_message.Mess/;" v +PrCurvesPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^class PrCurvesPlugin(base_plugin.TBPlugin):$/;" c +pre adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^pre = partial($/;" v +pre adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def pre(self):$/;" m class:LegacyVersion +pre adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def pre(self):$/;" m class:Version +pre adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def pre(self):$/;" m class:LegacyVersion +pre adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def pre(self):$/;" m class:Version +pre adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def pre(self):$/;" m class:LegacyVersion +pre adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def pre(self):$/;" m class:Version +PrecededBy adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class PrecededBy(ParseElementEnhance):$/;" c +PrecededBy adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class PrecededBy(ParseElementEnhance):$/;" c +Precision adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^Precision = xla_client.PrecisionConfig.Precision$/;" v +Precision adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ Precision = _xla.PrecisionConfig_Precision$/;" v class:PrecisionConfig +precision adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def precision(key):$/;" f +Precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Precision(Metric):$/;" c +precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def precision(labels,$/;" f +PRECISION adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PRECISION = 'precision'$/;" v class:MetricKeys +precision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def precision(self):$/;" m class:DeviceTypeTestBase +precision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def precision(self, prec):$/;" m class:DeviceTypeTestBase +PRECISION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^PRECISION = 1e-5$/;" v +precision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def precision(self) -> float:$/;" m class:TestCase +precision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def precision(self, prec: float) -> None:$/;" m class:TestCase +precision adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ precision = 4$/;" v class:__PrinterOptions +PrecisionAtRecall adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class PrecisionAtRecall(SensitivitySpecificityBase):$/;" c +PrecisionConfig adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class PrecisionConfig(object):$/;" c +PrecisionConfig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^PrecisionConfig = _reflection.GeneratedProtocolMessageType('PrecisionConfig', (_message.Message,/;" v +PrecisionLike adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^PrecisionLike = Union[None, PrecisionType, Tuple[PrecisionType, PrecisionType]]$/;" v +precisionOverride adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class precisionOverride(object):$/;" c +PrecisionType adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^PrecisionType = Any$/;" v +precision_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def precision_across_replicas(_, total_var, max_var):$/;" f function:_streaming_sparse_average_precision_at_top_k file: +precision_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def precision_across_replicas(_, tp, fp):$/;" f function:precision_at_top_k file: +precision_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def precision_across_replicas(_, values):$/;" f function:precision_at_thresholds file: +precision_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def precision_at_k(labels,$/;" f +PRECISION_AT_RECALL adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PRECISION_AT_RECALL = 'precision_at_recall_%g'$/;" v class:MetricKeys +PRECISION_AT_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PRECISION_AT_THRESHOLD = 'precision\/positive_threshold_%g'$/;" v class:MetricKeys +precision_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def precision_at_thresholds(labels,$/;" f +precision_at_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def precision_at_top_k(labels,$/;" f +PRECISION_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^PRECISION_INDEX = 4$/;" v +precision_matrix adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def precision_matrix(self):$/;" m class:LowRankMultivariateNormal +precision_matrix adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def precision_matrix(self):$/;" m class:MultivariateNormal +precision_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def precision_modes(self):$/;" m class:TfTrtIntegrationTestBase +PRECISION_MODES adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^PRECISION_MODES = [FP32, FP16, INT8]$/;" v +preckwd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ preckwd = lambda prec: {'unique': False, 'precision': prec}$/;" f member:TestRealScalars.test_dragon4 file: +precomputed_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^precomputed_cases = [$/;" v +PreconditionFailed adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class PreconditionFailed(HTTPException):$/;" c +PreconditionRequired adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class PreconditionRequired(HTTPException):$/;" c +PreConvertTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^class PreConvertTest(hu.HypothesisTestCase):$/;" c +PRED adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^PRED = 1$/;" v +pred adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def pred(self):$/;" m class:CondContext +predicate adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def predicate(token):$/;" f member:TokenGenerator.next_name file: +predicate adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def predicate(token):$/;" f member:TokenGenerator.str file: +predicate adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def predicate(token):$/;" f member:TokenGenerator.whitespace file: +predicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def predicate(e):$/;" f member:TensorFlowTestCase.assertRaisesWithPredicateMatch file: +predicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ predicate = lambda _: True$/;" f member:Module._flatten file: +Predict adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def Predict(self, request, context):$/;" m class:PredictionServiceServicer +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def predict(self,$/;" m class:Model +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^ def predict(self,$/;" m class:ArrayLikeTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def predict(self, *args, **kwargs):$/;" m class:DistributionMultiWorkerTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def predict(self,$/;" m class:DistributionSingleWorkerTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def predict(self,$/;" m class:EagerDatasetOrIteratorTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def predict(self,$/;" m class:GeneratorLikeTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def predict(self,$/;" m class:GeneratorOrSequenceTrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def predict(self,$/;" m class:TrainingLoop +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def predict(self,$/;" m class:Model +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def predict(self, x, **kwargs):$/;" m class:KerasClassifier +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def predict(self, x, **kwargs):$/;" m class:KerasRegressor +predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_predict as predict$/;" x +PREDICT adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ PREDICT = 'infer'$/;" v class:EstimatorModeKeys +PREDICT adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ PREDICT = 'predict'$/;" v class:KerasModeKeys +predict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def predict(self, features):$/;" m class:ARModel +PREDICT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ PREDICT = tf.saved_model.DEFAULT_SERVING_SIGNATURE_DEF_KEY$/;" v class:SavedModelLabels +predict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def predict(self, features):$/;" m class:TimeSeriesModel +predict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def predict(self,$/;" m class:Estimator +predict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def predict(self, features):$/;" m class:ModelFunction +predict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def predict(self,$/;" m class:TPUEstimator +predict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def predict(self, input: Tensor) -> Tensor:$/;" m class:AdaptiveLogSoftmaxWithLoss +PREDICTION adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ PREDICTION = "prediction"$/;" v class:InstantiationContext +prediction adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def prediction(self):$/;" m class:LayerModelHelper +PredictionFeatures adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class PredictionFeatures(Times, State):$/;" c +PredictionKeys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^class PredictionKeys(object):$/;" c +PredictionLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^PredictionLog = _reflection.GeneratedProtocolMessageType('PredictionLog', (_message.Message,), d/;" v +PredictionResults adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class PredictionResults(Times):$/;" c +predictions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def predictions(self):$/;" m class:_SupervisedOutput +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def predictions(self, examples):$/;" m class:_SDCAModel +PREDICTIONS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ PREDICTIONS = 'predictions'$/;" v class:PredictionKeys +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def predictions(self, logits, keys=None):$/;" m class:Head +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def predictions(self, logits, keys=None):$/;" m class:BinaryClassHead +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def predictions(self, logits, keys=None):$/;" m class:MultiClassHead +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def predictions(self, logits, keys=None):$/;" m class:MultiHead +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def predictions(self, logits, keys=None):$/;" m class:MultiLabelHead +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def predictions(self, logits):$/;" m class:RegressionHead +predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def predictions(self, logits, keys=None):$/;" m class:SequentialHeadWrapper +PredictionServiceServicer adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^class PredictionServiceServicer(object):$/;" c +PredictionServiceStub adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^class PredictionServiceStub(object):$/;" c +PREDICTIONS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ PREDICTIONS_NAME = 'predictions'$/;" v class:_SupervisedOutput +PREDICTION_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PREDICTION_MEAN = 'prediction\/mean'$/;" v class:MetricKeys +prediction_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def prediction_ops(self, times, values, exogenous_regressors):$/;" m class:ARModel +PREDICTION_SCHEMA adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ PREDICTION_SCHEMA = 'prediction_schema'$/;" v class:Tags +PredictLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^PredictLog = _reflection.GeneratedProtocolMessageType('PredictLog', (_message.Message,), dict($/;" v +Predictor adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def Predictor(init_net, predict_net):$/;" f +PREDICTOR adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^PREDICTOR = 317$/;" v +PredictorConsts adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^PredictorConsts = _reflection.GeneratedProtocolMessageType('PredictorConsts', (_message.Message,/;" v +PredictorExporterTest adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^class PredictorExporterTest(unittest.TestCase):$/;" c +PredictorExportMeta adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^class PredictorExportMeta(collections.namedtuple($/;" c +predictor_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^from caffe2.python.predictor_constants import predictor_constants as predictor_constants$/;" x +predictor_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^from caffe2.python.predictor_constants import predictor_constants as predictor_constants$/;" x +predictor_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor_constants.py /^predictor_constants = predictor_consts.PredictorConsts()$/;" v +predictor_consts adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor_constants.py /^import caffe2.proto.predictor_consts_pb2 as predictor_consts$/;" I +PredictOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class PredictOutput(ExportOutput):$/;" c +PredictRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^PredictRequest = _reflection.GeneratedProtocolMessageType('PredictRequest', (_message.Message,),/;" v +PredictResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^PredictResponse = _reflection.GeneratedProtocolMessageType('PredictResponse', (_message.Message,/;" v +predict_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def predict_classes(self, x, batch_size=32, verbose=0):$/;" m class:Sequential +predict_cluster_index adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def predict_cluster_index(self, input_fn):$/;" m class:KMeansClustering +predict_continuation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^def predict_continuation(continue_from,$/;" f +predict_factor adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^def predict_factor(h_abs, h_abs_old, error_norm, error_norm_old):$/;" f +predict_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def predict_function(iterator):$/;" f member:Model.make_predict_function file: +predict_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def predict_generator(self,$/;" m class:Model +predict_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^predict_generator = functools.partial($/;" v +predict_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def predict_generator(self,$/;" m class:Model +predict_init_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def predict_init_name(self):$/;" m class:PredictorExportMeta +PREDICT_INPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^PREDICT_INPUTS = "inputs"$/;" v +predict_input_record adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def predict_input_record(self):$/;" m class:ModelLayer +predict_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^predict_loop = functools.partial($/;" v +PREDICT_METHOD_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^PREDICT_METHOD_NAME = "tensorflow\/serving\/predict"$/;" v +predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/models/__sym_init__.py /^predict_net = _parseFile('predict_net.pb')$/;" v +predict_net_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def predict_net_name(self):$/;" m class:PredictorExportMeta +predict_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def predict_on_batch(x, y=None, sample_weights=None):$/;" f function:_make_replica_execution_function file: +predict_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def predict_on_batch(self, x):$/;" m class:Model +predict_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def predict_on_batch(x, y=None, sample_weights=None): # pylint: disable=unused-argument$/;" f function:_make_execution_function file: +predict_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def predict_on_batch(self, x):$/;" m class:Model +PREDICT_OUTPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^PREDICT_OUTPUTS = "outputs"$/;" v +predict_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def predict_output_schema(self):$/;" m class:ModelLayer +predict_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def predict_output_schema(self, output_schema):$/;" m class:ModelLayer +predict_proba adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def predict_proba(self, x, batch_size=32, verbose=0):$/;" m class:Sequential +predict_proba adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def predict_proba(self, x, **kwargs):$/;" m class:KerasClassifier +PREDICT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^PREDICT_SERVING_KEY = 'predict'$/;" v +predict_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def predict_signature_def(inputs, outputs):$/;" f +predict_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def predict_step(self, data):$/;" m class:Model +predict_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def predict_step(unused_scalar_stopping_signal):$/;" f member:_ModelFnWrapper.convert_to_single_tpu_predict_step file: +PREDICT_STEPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^PREDICT_STEPS = 1$/;" v +pred_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^import caffe2.python.predictor.predictor_exporter as pred_exp$/;" I +pred_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^import caffe2.python.predictor.predictor_exporter as pred_exp$/;" I +pred_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def pred_net(self):$/;" m class:Caffe2CppRep +pred_utils adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^import caffe2.python.predictor.predictor_py_utils as pred_utils$/;" I +pred_utils adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^import caffe2.python.predictor.predictor_py_utils as pred_utils$/;" I +pred_utils adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^import caffe2.python.predictor.predictor_py_utils as pred_utils$/;" I +PreferredOrLegacyPackageIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class PreferredOrLegacyPackageIdentifier(univ.Choice):$/;" c +PreferredOrLegacyStalePackageIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class PreferredOrLegacyStalePackageIdentifier(univ.Choice):$/;" c +PreferredPackageIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class PreferredPackageIdentifier(univ.Sequence):$/;" c +PreferredSignatureAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class PreferredSignatureAlgorithm(univ.Sequence):$/;" c +PreferredSignatureAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class PreferredSignatureAlgorithms(univ.SequenceOf):$/;" c +preferred_clock adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ preferred_clock = time.clock$/;" v +preferred_clock adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ preferred_clock = time.clock$/;" v +prefer_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def prefer_binary():$/;" f +prefer_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def prefer_binary(self):$/;" m class:PackageFinder +PREFER_GPU adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ PREFER_GPU = 'prefer_gpu'$/;" v class:Tags +prefer_static_broadcast_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def prefer_static_broadcast_shape(shape1,$/;" f +prefer_static_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def prefer_static_rank(x):$/;" f +prefer_static_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def prefer_static_shape(x):$/;" f +prefer_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def prefer_static_value(x):$/;" f +prefer_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def prefer_url(self, url1, url2):$/;" m class:Locator +prefetch adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def prefetch(x):$/;" f +prefetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def prefetch(self, buffer_size):$/;" m class:DatasetV1 +prefetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def prefetch(self, buffer_size):$/;" m class:DatasetV2 +PrefetchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class PrefetchDataset(UnaryUnchangedStructureDataset):$/;" c +PrefetchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^PrefetchDataset = tf_export("raw_ops.PrefetchDataset")(_ops.to_raw_op(prefetch_dataset))$/;" v +prefetch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def prefetch_dataset(input_dataset, buffer_size, output_types, output_shapes, slack_period=0, le/;" f +prefetch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def prefetch_dataset_eager_fallback(input_dataset, buffer_size, output_types, output_shapes, sla/;" f +prefetch_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ prefetch_src = csp_property("prefetch-src")$/;" v class:ContentSecurityPolicy +prefetch_to_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^def prefetch_to_device(device, buffer_size=None):$/;" f +prefix adpeps/utils/printing.py /^prefix = None$/;" v +prefix adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ prefix = "Fused8BitRowwise" if opts.fused else ""$/;" v +prefix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def prefix(self, node, default=''):$/;" m class:BaseVisitor +prefix adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ prefix = property(lambda self: self._prefix)$/;" v class:ImageFileDirectory_v2 +PREFIX adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^PREFIX = os.path.normpath(sys.prefix)$/;" v +prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_options.py /^ prefix = options.create_option($/;" v class:StatsOptions +prefix adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def prefix(self, length=1):$/;" m class:Reader +PREFIXES adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^PREFIXES = [$/;" v +prefixes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^prefixes = {v: k for k, v in namespaces.items()}$/;" v +prefixes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^prefixes = dict([(v, k) for k, v in namespaces.items()])$/;" v +PREFIXES_TO_IGNORE adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ PREFIXES_TO_IGNORE = {'distributed_ctx_init'}$/;" v class:Analyzer +prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def prefixlen(self):$/;" m class:_BaseNetwork +PrefixSuffixGoldenTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class PrefixSuffixGoldenTest(with_metaclass(PrefixSuffixGoldenTestMeta,$/;" c +PrefixSuffixGoldenTestMeta adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class PrefixSuffixGoldenTestMeta(type):$/;" c +PrefixSuffixTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class PrefixSuffixTest(test_utils.TestCase):$/;" c +prefix_mapping adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treeadapters/sax.py /^prefix_mapping = {}$/;" v +prefix_mapping adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treeadapters/sax.py /^prefix_mapping = {}$/;" v +prefix_to_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def prefix_to_dir(self, prefix):$/;" m class:Cache +preinit adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def preinit():$/;" f +prelinearize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def prelinearize(input, shape=[], layout=[], name=None):$/;" f +Prelinearize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^Prelinearize = tf_export("raw_ops.Prelinearize")(_ops.to_raw_op(prelinearize))$/;" v +PrelinearizeTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^PrelinearizeTuple = tf_export("raw_ops.PrelinearizeTuple")(_ops.to_raw_op(prelinearize_tuple))$/;" v +prelinearize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def prelinearize_eager_fallback(input, shape, layout, name, ctx):$/;" f +prelinearize_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def prelinearize_tuple(inputs, shapes, layouts=[], name=None):$/;" f +prelinearize_tuple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def prelinearize_tuple_eager_fallback(inputs, shapes, layouts, name, ctx):$/;" f +preload_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/self_check.py /^def preload_check():$/;" f +PRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def PRelu(self, *args, **kwargs):$/;" m class:CNNModelHelper +prelu adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/nonlinearity.py /^def prelu(model, blob_in, blob_out, num_channels=1, slope_init=None,$/;" f +PRELU adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ PRELU = 54$/;" v class:BuiltinOperator +PReLU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class PReLU(Layer):$/;" c +prelu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def prelu(input, weight):$/;" f +PReLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class PReLU(Module):$/;" c +prelu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def prelu(g, self, weight):$/;" f +prelu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def prelu(g, self, weight):$/;" f +prelude adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ prelude = _one_liner("""$/;" v class:RewritePthDistributions +prelu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def prelu_ref(X, W):$/;" f member:TestActivations.test_prelu file: +Prep adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Prep(self, size, additionalBytes):$/;" m class:Builder +prepare adpeps/simulation/run_ipeps_exci.py /^def prepare(config_file):$/;" f +prepare adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def prepare(cls, model, device='CPU', raw_values_dict=None, **kwargs):$/;" m class:Caffe2Backend +prepare adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^prepare = Caffe2Backend.prepare$/;" v +prepare adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare(self):$/;" m class:Request +prepare adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare(self,$/;" m class:PreparedRequest +prepare adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare(self):$/;" m class:Request +prepare adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare(self,$/;" m class:PreparedRequest +prepare adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def prepare(self, model, qconfig_dict, inplace=False):$/;" m class:Quantizer +prepare adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def prepare(model, inplace=False, allow_list=None,$/;" f +PreparedConstraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^class PreparedConstraint(object):$/;" c +PreparedRequest adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^class PreparedRequest(RequestEncodingMixin, RequestHooksMixin):$/;" c +PreparedRequest adpepsenv/lib/python3.8/site-packages/requests/models.py /^class PreparedRequest(RequestEncodingMixin, RequestHooksMixin):$/;" c +prepared_backend adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^prepared_backend = caffe2.python.onnx.backend.prepare(graph)$/;" v +prepareInputTensorsToRandomTopoTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def prepareInputTensorsToRandomTopoTest(seed,$/;" f +prepareTestCase adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def prepareTestCase(self, test):$/;" m class:FPUModeCheckPlugin +prepare_anchor adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def prepare_anchor(self, anchor):$/;" m class:Emitter +prepare_auth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_auth(self, auth, url=''):$/;" m class:PreparedRequest +prepare_auth adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_auth(self, auth, url=''):$/;" m class:PreparedRequest +prepare_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_authorization_request(self, authorization_url, state=None,$/;" m class:Client +prepare_authorization_response adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def prepare_authorization_response(self, request, token, headers, body, status):$/;" m class:GrantTypeBase +prepare_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^def prepare_batch(batch):$/;" f +prepare_bearer_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def prepare_bearer_body(token, body=''):$/;" f +prepare_bearer_headers adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def prepare_bearer_headers(token, headers=None):$/;" f +prepare_bearer_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def prepare_bearer_uri(token, uri):$/;" f +prepare_body adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_body(self, data, files, json=None):$/;" m class:PreparedRequest +prepare_body adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_body(self, data, files, json=None):$/;" m class:PreparedRequest +prepare_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def prepare_bounds(bounds, n):$/;" f +prepare_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/lsq_linear.py /^def prepare_bounds(bounds, n):$/;" f +prepare_cffi adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object prepare_cffi(bitgen_t *bitgen)$/;" f +prepare_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def prepare_config(config):$/;" f member:TensorFlowTestCase._create_session file: +prepare_configure_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def prepare_configure_subplots(self):$/;" m class:NavigationToolbar2Mac +prepare_content_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_content_length(self, body):$/;" m class:PreparedRequest +prepare_content_length adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_content_length(self, body):$/;" m class:PreparedRequest +prepare_cookies adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_cookies(self, cookies):$/;" m class:PreparedRequest +prepare_cookies adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_cookies(self, cookies):$/;" m class:PreparedRequest +prepare_ctypes adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object prepare_ctypes(bitgen_t *bitgen)$/;" f +prepare_data adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/figureoptions.py /^ def prepare_data(d, init):$/;" f function:figure_edit file: +prepare_distribution_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/base.py /^ def prepare_distribution_metadata(self, finder, build_isolation):$/;" m class:AbstractDistribution +prepare_distribution_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/installed.py /^ def prepare_distribution_metadata(self, finder, build_isolation):$/;" m class:InstalledDistribution +prepare_distribution_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^ def prepare_distribution_metadata(self, finder, build_isolation):$/;" m class:SourceDistribution +prepare_distribution_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/wheel.py /^ def prepare_distribution_metadata(self, finder, build_isolation):$/;" m class:WheelDistribution +prepare_dynamic adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def prepare_dynamic(self, model, qconfig_dict, inplace=False):$/;" m class:Quantizer +prepare_dynamic adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def prepare_dynamic(model, qconfig_dict=None):$/;" f +prepare_dynamic_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def prepare_dynamic_fx(graph_module, qconfig_dict, inplace=False):$/;" f +prepare_dynamic_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def prepare_dynamic_jit(model, qconfig_dict, inplace=False):$/;" f +prepare_editable_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def prepare_editable_requirement($/;" m class:RequirementPreparer +prepare_events adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^def prepare_events(events):$/;" f +prepare_form_encoded_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/parameters.py /^def prepare_form_encoded_body(oauth_params, body):$/;" f +prepare_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def prepare_fx(graph_module, qconfig_dict, inplace=False):$/;" f +prepare_grant_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def prepare_grant_uri(uri, client_id, response_type, redirect_uri=None,$/;" f +prepare_graph_for_ui adpepsenv/lib/python3.8/site-packages/tensorboard/backend/process_graph.py /^def prepare_graph_for_ui($/;" f +prepare_headers adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/parameters.py /^def prepare_headers(oauth_params, headers=None, realm=None):$/;" f +prepare_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_headers(self, headers):$/;" m class:PreparedRequest +prepare_headers adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_headers(self, headers):$/;" m class:PreparedRequest +prepare_hooks adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_hooks(self, hooks):$/;" m class:PreparedRequest +prepare_hooks adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_hooks(self, hooks):$/;" m class:PreparedRequest +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:GRUCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:AttentionCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:BasicRNNCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:LayerNormLSTMCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:LSTMCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:MultiRNNCell +prepare_input adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def prepare_input(self, model, input_blob):$/;" m class:RNNCell +prepare_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^def prepare_input(x, y, axis, dydx=None):$/;" f +prepare_installed_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def prepare_installed_requirement($/;" m class:RequirementPreparer +prepare_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def prepare_jit(model, qconfig_dict, inplace=False):$/;" f +prepare_linked_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def prepare_linked_requirement(self, req, parallel_builds=False):$/;" m class:RequirementPreparer +prepare_loss_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def prepare_loss_functions(loss, output_names):$/;" f +prepare_loss_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def prepare_loss_weights(training_endpoints, loss_weights=None):$/;" f +prepare_mac_header adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def prepare_mac_header(token, uri, key, http_method,$/;" f +prepare_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def prepare_metadata(self):$/;" m class:InstallRequirement +prepare_metadata_for_build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def prepare_metadata_for_build_wheel($/;" m class:Pep517HookCaller +prepare_metadata_for_build_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def prepare_metadata_for_build_wheel($/;" f +prepare_metadata_for_build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def prepare_metadata_for_build_wheel(self, metadata_directory,$/;" m class:_BuildMetaBackend +prepare_metadata_for_build_wheel adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^prepare_metadata_for_build_wheel = _BACKEND.prepare_metadata_for_build_wheel$/;" v +prepare_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_method(self, method):$/;" m class:PreparedRequest +prepare_method adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_method(self, method):$/;" m class:PreparedRequest +prepare_model_outputs adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def prepare_model_outputs($/;" f +prepare_model_with_stubs adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def prepare_model_with_stubs(float_module, q_module, module_swap_list, Logger):$/;" f +prepare_multiprocessing_environment adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def prepare_multiprocessing_environment(path):$/;" f +prepare_mul_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def prepare_mul_rnn(model, input_blob, shape, T, outputs_with_grad, num_layers):$/;" f +prepare_or_wait_for_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def prepare_or_wait_for_session(self,$/;" m class:Supervisor +prepare_prediction_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def prepare_prediction_net(filename, db_type, device_option=None):$/;" f +prepare_qat adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def prepare_qat(model, mapping=None, inplace=False):$/;" f +prepare_qat_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def prepare_qat_fx(graph_module, qconfig_dict, inplace=False):$/;" f +prepare_refresh_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_refresh_body(self, body='', refresh_token=None, scope=None, **kwargs):$/;" m class:Client +prepare_refresh_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_refresh_token_request(self, token_url, refresh_token=None,$/;" m class:Client +prepare_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def prepare_request(self, request):$/;" m class:Session +prepare_request adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def prepare_request(self, request):$/;" m class:Session +prepare_request_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/backend_application.py /^ def prepare_request_body(self, body='', scope=None,$/;" m class:BackendApplicationClient +prepare_request_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_request_body(self, *args, **kwargs):$/;" m class:Client +prepare_request_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/legacy_application.py /^ def prepare_request_body(self, username, password, body='', scope=None,$/;" m class:LegacyApplicationClient +prepare_request_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/service_application.py /^ def prepare_request_body(self,$/;" m class:ServiceApplicationClient +prepare_request_body adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^ def prepare_request_body(self, code=None, redirect_uri=None, body='',$/;" m class:WebApplicationClient +prepare_request_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_request_uri(self, *args, **kwargs):$/;" m class:Client +prepare_request_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/mobile_application.py /^ def prepare_request_uri(self, uri, redirect_uri=None, scope=None,$/;" m class:MobileApplicationClient +prepare_request_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^ def prepare_request_uri(self, uri, redirect_uri=None, scope=None,$/;" m class:WebApplicationClient +prepare_request_uri_query adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/parameters.py /^def prepare_request_uri_query(oauth_params, uri):$/;" f +prepare_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def prepare_response(self, request, cached):$/;" m class:Serializer +prepare_run_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/dumping_wrapper.py /^ def prepare_run_debug_urls(self, fetches, feed_dict):$/;" m class:DumpingDebugWrapperSession +prepare_run_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def prepare_run_debug_urls(self, fetches, feed_dict):$/;" m class:NonInteractiveDebugWrapperSession +prepare_run_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def prepare_run_debug_urls(self, fetches, feed_dict):$/;" m class:GrpcDebugWrapperSession +prepare_sample_weight_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def prepare_sample_weight_modes(training_endpoints, sample_weight_mode):$/;" f +prepare_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def prepare_session(self,$/;" m class:SessionManager +prepare_static_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def prepare_static_fx(graph_module, qconfig_dict, inplace=False):$/;" f +prepare_sys adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def prepare_sys(n, m, k, fun, bc, fun_jac, bc_jac, x, h):$/;" f +prepare_tag adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def prepare_tag(self, tag):$/;" m class:Emitter +prepare_tag_handle adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def prepare_tag_handle(self, handle):$/;" m class:Emitter +prepare_tag_prefix adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def prepare_tag_prefix(self, prefix):$/;" m class:Emitter +prepare_test_args adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def prepare_test_args(self, label='fast', verbose=1, extra_argv=None,$/;" m class:NoseTester +prepare_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_token_request(self, token_url, authorization_response=None,$/;" m class:Client +prepare_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def prepare_token_request(grant_type, body='', include_client_id=True, **kwargs):$/;" f +prepare_token_revocation_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def prepare_token_revocation_request(self, revocation_url, token,$/;" m class:Client +prepare_token_revocation_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def prepare_token_revocation_request(url, token, token_type_hint="access_token",$/;" f +prepare_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def prepare_url(self, url, params):$/;" m class:PreparedRequest +prepare_url adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def prepare_url(self, url, params):$/;" m class:PreparedRequest +prepare_version adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def prepare_version(self, version):$/;" m class:Emitter +prepare_zip_archive adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def prepare_zip_archive(cls, file, device='CPU', **kwargs):$/;" m class:Caffe2Backend +prepare_zip_archive adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^prepare_zip_archive = Caffe2Backend.prepare_zip_archive$/;" v +preParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def preParse(self, instring, loc):$/;" m class:GoToColumn +preParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def preParse(self, instring, loc):$/;" m class:ParserElement +preParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def preParse( self, instring, loc ):$/;" m class:GoToColumn +preParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def preParse( self, instring, loc ):$/;" m class:ParserElement +preParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def preParse(self, instring, loc):$/;" m class:GoToColumn +preParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def preParse(self, instring, loc):$/;" m class:ParserElement +preParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def preParse( self, instring, loc ):$/;" m class:GoToColumn +preParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def preParse( self, instring, loc ):$/;" m class:ParserElement +Prepend adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Prepend(self, flags, off):$/;" m class:Builder +prepend adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def prepend(self, points):$/;" m class:PointSelection +prepend adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^def prepend(node, name, value):$/;" f +prepend adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def prepend(self, line, font_attr_segs=None):$/;" m class:RichTextLines +prepend adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def prepend(text, tag='[prepend]'):$/;" f function:pretty_str file: +PrependBool adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependBool(self, x):$/;" m class:Builder +PrependBoolSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependBoolSlot(self, *args): self.PrependSlot(N.BoolFlags, *args)$/;" m class:Builder +PrependByte adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependByte(self, x):$/;" m class:Builder +PrependByteSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependByteSlot(self, *args): self.PrependSlot(N.Uint8Flags, *args)$/;" m class:Builder +PrependFloat32 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependFloat32(self, x):$/;" m class:Builder +PrependFloat32Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependFloat32Slot(self, *args): self.PrependSlot(N.Float32Flags,$/;" m class:Builder +PrependFloat64 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependFloat64(self, x):$/;" m class:Builder +PrependFloat64Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependFloat64Slot(self, *args): self.PrependSlot(N.Float64Flags,$/;" m class:Builder +PrependInt16 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt16(self, x):$/;" m class:Builder +PrependInt16Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt16Slot(self, *args): self.PrependSlot(N.Int16Flags, *args)$/;" m class:Builder +PrependInt32 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt32(self, x):$/;" m class:Builder +PrependInt32Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt32Slot(self, *args): self.PrependSlot(N.Int32Flags, *args)$/;" m class:Builder +PrependInt64 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt64(self, x):$/;" m class:Builder +PrependInt64Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt64Slot(self, *args): self.PrependSlot(N.Int64Flags, *args)$/;" m class:Builder +PrependInt8 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt8(self, x):$/;" m class:Builder +PrependInt8Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependInt8Slot(self, *args): self.PrependSlot(N.Int8Flags, *args)$/;" m class:Builder +PrependSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependSlot(self, flags, o, x, d):$/;" m class:Builder +PrependSOffsetTRelative adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependSOffsetTRelative(self, off):$/;" m class:Builder +PrependStructSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependStructSlot(self, v, x, d):$/;" m class:Builder +PrependUint16 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint16(self, x):$/;" m class:Builder +PrependUint16Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint16Slot(self, *args): self.PrependSlot(N.Uint16Flags, *args)$/;" m class:Builder +PrependUint32 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint32(self, x):$/;" m class:Builder +PrependUint32Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint32Slot(self, *args): self.PrependSlot(N.Uint32Flags, *args)$/;" m class:Builder +PrependUint64 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint64(self, x):$/;" m class:Builder +PrependUint64Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint64Slot(self, *args): self.PrependSlot(N.Uint64Flags, *args)$/;" m class:Builder +PrependUint8 adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint8(self, x):$/;" m class:Builder +PrependUint8Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUint8Slot(self, *args): self.PrependSlot(N.Uint8Flags, *args)$/;" m class:Builder +PrependUOffsetTRelative adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUOffsetTRelative(self, off):$/;" m class:Builder +PrependUOffsetTRelativeSlot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependUOffsetTRelativeSlot(self, o, x, d):$/;" m class:Builder +PrependVOffsetT adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def PrependVOffsetT(self, x): self.Prepend(N.VOffsetTFlags, x)$/;" m class:Builder +prepend_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def prepend_name_scope(name, import_scope):$/;" f +prepend_root adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/legacy.py /^ def prepend_root(path):$/;" f function:install file: +prepend_scheme_if_needed adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def prepend_scheme_if_needed(url, new_scheme):$/;" f +prepend_scheme_if_needed adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def prepend_scheme_if_needed(url, new_scheme):$/;" f +PrePostDevType adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ PrePostDevType = Union[InfiniteTypes, Tuple[str, int]]$/;" v +preprocess adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^def preprocess(content):$/;" f +preprocess adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def preprocess (self,$/;" m class:BCPPCompiler +preprocess adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def preprocess(self, source, output_file=None, macros=None,$/;" m class:CCompiler +preprocess adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def preprocess(self, source, output_file=None, macros=None,$/;" m class:UnixCCompiler +preprocess adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def preprocess(self, root_node): # pylint: disable=unused-argument$/;" m class:APIChangeSpec +preprocess adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def preprocess(self, root_node, after_compat_v1_upgrade=False):$/;" m class:TFAPIChangeSpec +preprocess adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def preprocess($/;" f +PreprocessError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class PreprocessError (CCompilerError):$/;" c +PREPROCESSING adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ PREPROCESSING = 'preprocessing'$/;" v class:Tags +PreprocessingLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^class PreprocessingLayer(Layer):$/;" c +PreprocessingLayerTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^class PreprocessingLayerTest(test.TestCase):$/;" c +PreprocessingStage adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^class PreprocessingStage(base_preprocessing_layer.PreprocessingLayer,$/;" c +preprocessing_integer_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import integer_lookup as preprocessing_integer/;" x +preprocessing_integer_lookup_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import integer_lookup_v1 as preprocessing_inte/;" x +preprocessing_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import normalization as preprocessing_normaliz/;" x +preprocessing_normalization_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import normalization_v1 as preprocessing_norma/;" x +preprocessing_string_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import string_lookup as preprocessing_string_l/;" x +preprocessing_string_lookup_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import string_lookup_v1 as preprocessing_strin/;" x +preprocessing_text_vectorization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import text_vectorization as preprocessing_tex/;" x +preprocessing_text_vectorization_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^from tensorflow.python.keras.layers.preprocessing import text_vectorization_v1 as preprocessing_/;" x +Preprocessor adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^class Preprocessor(util.Processor):$/;" c +preprocessor adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def preprocessor(output_directory, filepath, stats, hip_clang_launch, is_pytorch_extension, clea/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^def preprocess_input(x, data_format=None): # pylint: disable=unused-argument$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def preprocess_input(x, data_format=None, mode='caffe'):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_resnet_v2.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def preprocess_input(x, data_format=None): # pylint: disable=unused-argument$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg16.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg19.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/xception.py /^def preprocess_input(x, data_format=None):$/;" f +preprocess_inputs_arg_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def preprocess_inputs_arg_string(inputs_str):$/;" f +PREPROCESS_INPUT_DEFAULT_ERROR_DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_DEFAULT_ERROR_DOC = """$/;" v +PREPROCESS_INPUT_DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_DOC = """$/;" v +PREPROCESS_INPUT_ERROR_DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_ERROR_DOC = """$/;" v +preprocess_input_examples_arg_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def preprocess_input_examples_arg_string(input_examples_str):$/;" f +preprocess_input_exprs_arg_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def preprocess_input_exprs_arg_string(input_exprs_str):$/;" f +PREPROCESS_INPUT_MODE_DOC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_MODE_DOC = """$/;" v +PREPROCESS_INPUT_RET_DOC_CAFFE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_RET_DOC_CAFFE = """$/;" v +PREPROCESS_INPUT_RET_DOC_TF adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_RET_DOC_TF = """$/;" v +PREPROCESS_INPUT_RET_DOC_TORCH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^PREPROCESS_INPUT_RET_DOC_TORCH = """$/;" v +preprocess_weights_for_loading adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def preprocess_weights_for_loading(layer,$/;" f +preproc_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def preproc_output_schema(self):$/;" m class:LayerModelHelper +preproc_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def preproc_output_schema(self, schema):$/;" m class:LayerModelHelper +prep_a_data_parallel_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def prep_a_data_parallel_model(self, model, dataset, is_train):$/;" m class:AnyExpTrainer +prep_data_parallel_models adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def prep_data_parallel_models(self):$/;" m class:AnyExpTrainer +prep_data_parallel_models adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/override_no_test_model_no_checkpoint.py /^def prep_data_parallel_models(self):$/;" f +Prequest adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Prequest(Request) [$/;" c +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:_IndividualSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:_IndividualSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:_IndividualSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:_IndividualSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self):$/;" m class:_IndividualSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:BaseSpecifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:Specifier +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:SpecifierSet +prereleases adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def prereleases(self, value):$/;" m class:_IndividualSpecifier +PREREL_TAGS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ PREREL_TAGS = set(['a', 'b', 'c', 'rc', 'dev'])$/;" v class:NormalizedVersion +prescan adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def prescan(self):$/;" m class:PackageIndex +PresentationAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PresentationAddress(univ.Sequence):$/;" c +PresentationAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PresentationAddress(univ.Sequence):$/;" c +PresentationAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PresentationAddress(univ.Sequence):$/;" c +presentTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def presentTypes(self):$/;" m class:TagMap +preserve adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def preserve(self):$/;" m class:ContextStack +preserve_comment adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def preserve_comment(self, line_no, key, comment, beginline):$/;" m class:TomlDecoder +preserve_comment adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def preserve_comment(self, line_no, key, comment, beginline):$/;" m class:TomlPreserveCommentDecoder +presets adpepsenv/lib/python3.8/site-packages/PIL/JpegPresets.py /^presets = {$/;" v +press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def press(self, event):$/;" m class:NavigationToolbar2 +press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def press(self, event):$/;" m class:_SelectorWidget +pressure_network adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^def pressure_network(flow_rates, Qtot, k):$/;" f +pressure_network_fun_and_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^def pressure_network_fun_and_grad(flow_rates, Qtot, k):$/;" f +pressure_network_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^def pressure_network_jacobian(flow_rates, Qtot, k):$/;" f +press_pan adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def press_pan(self, event):$/;" m class:NavigationToolbar2 +press_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def press_zoom(self, event):$/;" m class:NavigationToolbar2Wx +press_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def press_zoom(self, event):$/;" m class:NavigationToolbar2 +pretrained_params adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ net, pretrained_params = TranslateModel($/;" v +PrettifyTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^class PrettifyTreeprocessor(Treeprocessor):$/;" c +PrettyHelpFormatter adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^class PrettyHelpFormatter(optparse.IndentedHelpFormatter):$/;" c +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def prettyIn(self, value):$/;" m class:SimpleAsn1Type +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def prettyIn(self, value):$/;" m class:AbstractCharacterString +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:OctetString +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:BitString +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:Integer +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:Null +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:ObjectIdentifier +prettyIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyIn(self, value):$/;" m class:Real +prettyOut adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def prettyOut(self, value):$/;" m class:SimpleAsn1Type +prettyOut adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def prettyOut(self, value):$/;" m class:AbstractCharacterString +prettyOut adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyOut(self, value):$/;" m class:Integer +prettyOut adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyOut(self, value):$/;" m class:ObjectIdentifier +prettyOut adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyOut(self, value):$/;" m class:OctetString +PrettyPrint adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^class PrettyPrint:$/;" c +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def prettyPrint(self, scope=0):$/;" m class:Asn1Type +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def prettyPrint(self, scope=0):$/;" m class:SimpleAsn1Type +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def prettyPrint(self, scope=0):$/;" m class:AbstractCharacterString +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrint(self, scope=0):$/;" m class:OctetString +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrint(self, scope=0):$/;" m class:Real +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrint(self, scope=0):$/;" m class:SequenceAndSetBase +prettyPrint adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrint(self, scope=0):$/;" m class:SequenceOfAndSetOfBase +PrettyPrinter adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^class PrettyPrinter(gast.NodeVisitor):$/;" c +prettyPrintType adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def prettyPrintType(self, scope=0):$/;" m class:SimpleAsn1Type +prettyPrintType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrintType(self, scope=0):$/;" m class:SequenceAndSetBase +prettyPrintType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def prettyPrintType(self, scope=0):$/;" m class:SequenceOfAndSetOfBase +pretty_eta adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def pretty_eta(self):$/;" m class:DownloadProgressMixin +pretty_node_names adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^pretty_node_names = {$/;" v +pretty_printed_concrete_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def pretty_printed_concrete_signatures(self, verbose=True):$/;" m class:Function +pretty_printed_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def pretty_printed_signature(self, verbose=True):$/;" m class:ConcreteFunction +pretty_print_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def pretty_print_spec(spec):$/;" f member:ConcreteFunction.pretty_printed_signature file: +pretty_str adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def pretty_str(envinfo):$/;" f +prev adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ prev = textwrap.dedent(new_lines.pop())$/;" v +PreventGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^PreventGradient = tf_export("raw_ops.PreventGradient")(_ops.to_raw_op(prevent_gradient))$/;" v +prevent_feeding adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def prevent_feeding(self, tensor):$/;" m class:Graph +prevent_fetching adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def prevent_fetching(self, op):$/;" m class:Graph +prevent_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def prevent_gradient(input, message="", name=None):$/;" f +prevent_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def prevent_gradient_eager_fallback(input, message, name, ctx):$/;" f +previous adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def previous(self):$/;" m class:EncodingBytes +previous adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def previous(self):$/;" m class:EncodingBytes +PreviousBuildDirError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class PreviousBuildDirError(PipError):$/;" c +PREVIOUS_BUILD_DIR_ERROR adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/status_codes.py /^PREVIOUS_BUILD_DIR_ERROR = 4$/;" v +previous_context adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^previous_context = None$/;" v +previous_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ previous_getter = lambda **kwargs: default_variable_creator(None, **kwargs)$/;" f member:VariableMetaclass._variable_v1_call file: +previous_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ previous_getter = lambda **kws: default_variable_creator_v2(None, **kws)$/;" f member:VariableMetaclass._variable_v2_call file: +prevZoomRect adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ prevZoomRect = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +prev_error_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ prev_error_mode = kernel32.SetErrorMode(0x0001)$/;" v +prev_error_mode adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ prev_error_mode = kernel32.SetErrorMode(0x0001)$/;" v +prewitt adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def prewitt(input, axis=-1, output=None, mode="reflect", cval=0.0):$/;" f +pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/setup.py /^def pre_build_hook(build_ext, ext):$/;" f +pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/setup.py /^def pre_build_hook(build_ext, ext):$/;" f +pre_order adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def pre_order(self, func=(lambda x: x.id)):$/;" m class:ClusterNode +PRE_PRUNING adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ NO_PRUNING, PRE_PRUNING, POST_PRUNING = range(0, 3)$/;" v class:PruningMode +PRE_REL_MAP adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^PRE_REL_MAP = {"a": 'alpha', "b": 'beta', "rc": 'candidate'}$/;" v +primal_dtype_to_tangent_dtype adpepsenv/lib/python3.8/site-packages/jax/core.py /^def primal_dtype_to_tangent_dtype(primal_dtype):$/;" f +prime192v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime192v1 = _OID(primeCurve, 1)$/;" v +prime192v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime192v2 = _OID(primeCurve, 2)$/;" v +prime192v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime192v3 = _OID(primeCurve, 3)$/;" v +prime239v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime239v1 = _OID(primeCurve, 4)$/;" v +prime239v2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime239v2 = _OID(primeCurve, 5)$/;" v +prime239v3 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime239v3 = _OID(primeCurve, 6)$/;" v +prime256v1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime256v1 = _OID(primeCurve, 7)$/;" v +primeCurve adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^primeCurve = _OID(ellipticCurve, 1)$/;" v +primefac adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^def primefac(n):$/;" f +prime_field adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^prime_field = _OID(id_fieldType, 1)$/;" v +Prime_p adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Prime_p(univ.Integer):$/;" c +Primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Primitive:$/;" c +primitives adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def primitives(jaxpr: core.Jaxpr):$/;" f +primitives_by_shape adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def primitives_by_shape(jaxpr: core.Jaxpr):$/;" f +primitives_by_source adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def primitives_by_source(jaxpr: core.Jaxpr):$/;" f +PrimitiveType adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^PrimitiveType = _xla.PrimitiveType$/;" v +PrimitiveType adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^PrimitiveType = enum_type_wrapper.EnumTypeWrapper(_PRIMITIVETYPE)$/;" v +primitive_batchers adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^primitive_batchers : Dict[core.Primitive, BatchingRule] = {}$/;" v +primitive_computation adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def primitive_computation(prim, axis_env, backend, tuple_args, *avals, **params):$/;" f +primitive_computation_and_count adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def primitive_computation_and_count(*args, **kwargs):$/;" f function:count_primitive_compiles file: +primitive_inverses adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^primitive_inverses: Dict[core.Primitive, Callable] = {}$/;" v +primitive_ivjps adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^primitive_ivjps: Dict[core.Primitive, Callable] = {}$/;" v +primitive_jvps adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^primitive_jvps : Dict[core.Primitive, Callable] = {}$/;" v +primitive_subcomputation adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def primitive_subcomputation(prim, *avals, **params):$/;" f +primitive_transposes adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^primitive_transposes: Dict[core.Primitive, Callable] = {}$/;" v +PRIMITIVE_TYPE_INVALID adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^PRIMITIVE_TYPE_INVALID = 0$/;" v +primitive_uses_outfeed adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def primitive_uses_outfeed(prim: core.Primitive, params: Dict) -> bool:$/;" f +prim_ConstantChunk adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def prim_ConstantChunk(g, self, chunks, dim):$/;" f +prim_ConstantSplit adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def prim_ConstantSplit(g, self, split_size, dim):$/;" f +prim_fun adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def prim_fun(*args):$/;" f function:xla_primitive_callable file: +prim_shape adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def prim_shape(g, self):$/;" f +print adpeps/utils/printing.py /^def print(*args, level: int=None, **kwargs):$/;" f +Print adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^Print = tf_export("raw_ops.Print")(_ops.to_raw_op(_print))$/;" v +Print adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def Print(input_, data, message=None, first_n=None, summarize=None, name=None):$/;" f +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.logging_ops import print_v2 as print$/;" x +print adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def print(self):$/;" m class:Compare +printables adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def printables(cls):$/;" m class:unicode_set +printables adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^printables = "".join(c for c in string.printable if c not in string.whitespace)$/;" v +printables adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^printables = "".join(c for c in string.printable if c not in string.whitespace)$/;" v +printables adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def printables(cls):$/;" m class:unicode_set +printables adpepsenv/lib/python3.8/site-packages/pyparsing.py /^printables = "".join(c for c in string.printable if c not in string.whitespace)$/;" v +printables adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^printables = "".join(c for c in string.printable if c not in string.whitespace)$/;" v +PrintableString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class PrintableString(AbstractCharacterString):$/;" c +PrintableStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class PrintableStringDecoder(OctetStringDecoder):$/;" c +printall adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def printall(self):$/;" m class:GraphPy +Printer adpepsenv/lib/python3.8/site-packages/astunparse/printer.py /^class Printer(ast.NodeVisitor):$/;" c +Printer adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^class Printer(Visitor, Text):$/;" c +Printer adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^class Printer(annotate.BaseVisitor):$/;" c +Printer adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^class Printer(object):$/;" c +PrintError adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^class PrintError(Exception):$/;" c +printErrors adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def printErrors(self):$/;" m class:_TextAndXMLTestResult +PrintField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def PrintField(self, field, value):$/;" m class:_Printer +PrintField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def PrintField(field,$/;" f +PrintFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def PrintFieldValue(self, field, value):$/;" m class:_Printer +PrintFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def PrintFieldValue(field,$/;" f +printGraphModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def printGraphModule(self, graph_module, print_str=True):$/;" m class:QuantizationTestCase +PrintMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def PrintMessage(self, message):$/;" m class:_Printer +PrintMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def PrintMessage(message,$/;" f +printoptions adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def printoptions(*args, **kwargs):$/;" f +PrintTrie adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^def PrintTrie(trie, prefix, max_depth, min_size, color):$/;" f +PrintV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^PrintV2 = tf_export("raw_ops.PrintV2")(_ops.to_raw_op(print_v2))$/;" v +print_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_ adpepsenv/lib/python3.8/site-packages/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def print_(*objects, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def print_(*args, **kwargs):$/;" f +print_ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^print_ = getattr(moves.builtins, "print", None)$/;" v +print_assert_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def print_assert_equal(test_string, actual, desired):$/;" f +print_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def print_attribute(name, tp, data):$/;" f +print_autograd_prof_summary adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def print_autograd_prof_summary(prof, mode, sortby='cpu_time', topk=15):$/;" f +print_bmp adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_bmp(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +print_cancast_table adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^def print_cancast_table(ntypes):$/;" f +print_children adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def print_children(lb):$/;" f +print_coercion_table adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^def print_coercion_table(ntypes, inputfirstvalue, inputsecondvalue, firstarray, use_promote_type/;" f +print_commands adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def print_commands(self):$/;" m class:Distribution +print_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def print_commands(self):$/;" m class:Distribution +print_command_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def print_command_list(self, commands, header, max_length):$/;" m class:Distribution +print_config_file_values adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def print_config_file_values(self, variant):$/;" m class:ConfigurationCommand +print_cprofile_summary adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def print_cprofile_summary(prof, sortby='tottime', topk=15):$/;" f +print_cycles adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def print_cycles(objects, outstream=sys.stdout, show_progress=False):$/;" f +print_dict adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^def print_dict(d, show_missing=True):$/;" f +print_env_var_values adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def print_env_var_values(self):$/;" m class:ConfigurationCommand +print_eps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def print_eps(self, outfile, *args, **kwargs):$/;" m class:FigureCanvasPS +print_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^ def print_figure(self, *args, **kwargs):$/;" m class:FigureCanvasQTAgg +print_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_figure(self, filename, *args, **kwargs):$/;" m class:_FigureCanvasWxBase +print_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def print_figure($/;" m class:FigureCanvasBase +print_figure_impl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def print_figure_impl(fh):$/;" f member:FigureCanvasPS._print_figure file: +print_flags_with_values adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def print_flags_with_values(self, flag_values):$/;" m class:MultiFlagsValidator +print_flags_with_values adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def print_flags_with_values(self, flag_values):$/;" m class:SingleFlagValidator +print_flags_with_values adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def print_flags_with_values(self, flag_values):$/;" m class:Validator +print_foo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def print_foo(self, filename, *args, **kwargs):$/;" m class:FigureCanvasTemplate +print_footer adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ def print_footer(cls):$/;" m class:ReportBase +print_header adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ def print_header(cls):$/;" m class:ReportBase +print_header_linear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def print_header_linear():$/;" f +print_header_nonlinear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def print_header_nonlinear():$/;" f +print_help adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def print_help(self, header=None, file=None):$/;" m class:FancyGetopt +print_histogram adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def print_histogram(histogram: Dict[Any, int]):$/;" f +print_iteration adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^ def print_iteration(cls, *args):$/;" m class:ReportBase +print_iteration_header adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def print_iteration_header():$/;" f +print_iteration_linear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def print_iteration_linear(iteration, cost, cost_reduction, step_norm,$/;" f +print_iteration_nonlinear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def print_iteration_nonlinear(iteration, nfev, cost, cost_reduction,$/;" f +print_iteration_progress adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def print_iteration_progress(iteration, residual, bc_residual, total_nodes,$/;" f +print_job adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_job(text, job):$/;" f +print_jpeg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ print_jpeg = print_jpg$/;" v class:FigureCanvasAgg +print_jpeg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_jpeg(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +print_jpg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def print_jpg(self, filename_or_obj, *args, dryrun=False, pil_kwargs=None,$/;" m class:FigureCanvasAgg +print_jpg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ print_jpg = print_jpeg$/;" v class:FigureCanvasWx +print_label adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def print_label(self, linecontour, labelwidth):$/;" m class:ContourLabeler +print_layer_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^ def print_layer_summary(layer):$/;" f function:print_summary file: +print_layer_summary_with_connections adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^ def print_layer_summary_with_connections(layer):$/;" f function:print_summary file: +print_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def print_lr(self, is_verbose, group, lr, epoch=None):$/;" m class:_LRScheduler +print_mdl adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^from tensorflow.python import _pywrap_tfprof as print_mdl$/;" x +print_net adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def print_net(net):$/;" f +print_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_net(text, net):$/;" f +print_net_def adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_net_def(text, net_def):$/;" f +print_op adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_op(text, op):$/;" f +PRINT_OPTS adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^PRINT_OPTS = __PrinterOptions()$/;" v +print_or_update adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^ def print_or_update(message):$/;" f function:start file: +print_out adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def print_out(self):$/;" m class:Vertex +print_out adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def print_out(self):$/;" m class:VertexGroup +print_path adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def print_path(path):$/;" f function:print_cycles file: +print_pcx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_pcx(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +print_pdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_pdf(self, fobj, *args, **kwargs):$/;" m class:FigureCanvasCairo +print_pdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def print_pdf(self, filename, *,$/;" m class:FigureCanvasPdf +print_pdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def print_pdf(self, fname_or_fh, *args, **kwargs):$/;" m class:FigureCanvasPgf +print_pgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def print_pgf(self, fname_or_fh, *args, **kwargs):$/;" m class:FigureCanvasPgf +print_png adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def print_png(self, filename_or_obj, *args,$/;" m class:FigureCanvasAgg +print_png adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_png(self, fobj):$/;" m class:FigureCanvasCairo +print_png adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ def print_png(self, filename, *args, **kwargs):$/;" m class:FigureCanvasGTK3Agg +print_png adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def print_png(self, fname_or_fh, *args, **kwargs):$/;" m class:FigureCanvasPgf +print_png adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_png(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +print_ps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_ps(self, fobj, *args, **kwargs):$/;" m class:FigureCanvasCairo +print_ps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def print_ps(self, outfile, *args, **kwargs):$/;" m class:FigureCanvasPS +print_python_version adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def print_python_version():$/;" f +print_raw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def print_raw(self, filename_or_obj, *args):$/;" m class:FigureCanvasAgg +print_raw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ print_raw = print_rgba$/;" v class:FigureCanvasCairo +print_recursively adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def print_recursively(self):$/;" m class:_SchemaNode +print_report adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def print_report(self, energy_trial, accept):$/;" m class:BasinHoppingRunner +print_results adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^def print_results(hits, name_column_width=None, terminal_width=None):$/;" f +print_results adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^def print_results(distributions, list_files=False, verbose=False):$/;" f +print_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ print_rgba = print_raw$/;" v class:FigureCanvasAgg +print_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_rgba(self, fobj):$/;" m class:FigureCanvasCairo +print_row adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^ def print_row(fields, positions):$/;" f function:print_summary file: +PRINT_SEPARATOR adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^PRINT_SEPARATOR = "=" * 70 + "\\n"$/;" v +print_serving_message adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def print_serving_message(self):$/;" m class:TensorBoardServer +print_serving_message adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def print_serving_message(self):$/;" m class:WerkzeugServer +print_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def print_source(self, args, screen_info=None):$/;" m class:DebugAnalyzer +print_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def print_source(self, args, screen_info=None):$/;" m class:ProfileAnalyzer +print_state adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^print_state = numpy.get_printoptions()$/;" v +print_step adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_step(text, step):$/;" f +print_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def print_summary(model, line_length=None, positions=None, print_fn=None):$/;" f +print_svg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_svg(self, fobj, *args, **kwargs):$/;" m class:FigureCanvasCairo +print_svg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def print_svg(self, filename, *args, **kwargs):$/;" m class:FigureCanvasSVG +print_svgz adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def print_svgz(self, fobj, *args, **kwargs):$/;" m class:FigureCanvasCairo +print_svgz adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def print_svgz(self, filename, *args, **kwargs):$/;" m class:FigureCanvasSVG +print_task adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_task(text, task):$/;" f +print_task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def print_task_group(text, tg, header=None):$/;" f +print_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def print_tensor(self, args, screen_info=None):$/;" m class:DebugAnalyzer +print_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def print_tensor(x, message=''):$/;" f +print_tensors_in_checkpoint_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^def print_tensors_in_checkpoint_file(file_name, tensor_name, all_tensors,$/;" f +print_test_debug_info adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def print_test_debug_info(testname, items_dict):$/;" f +print_tif adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def print_tif(self, filename_or_obj, *, dryrun=False, pil_kwargs=None):$/;" m class:FigureCanvasAgg +print_tif adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ print_tif = print_tiff$/;" v class:FigureCanvasWx +print_tiff adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ print_tiff = print_tif$/;" v class:FigureCanvasAgg +print_tiff adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_tiff(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +print_to_buffer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def print_to_buffer(self):$/;" m class:FigureCanvasAgg +print_tree adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def print_tree(lb):$/;" f +print_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def print_v2(input, output_stream="stderr", end="\\n", name=None):$/;" f +print_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def print_v2(*inputs, **kwargs):$/;" f +print_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def print_v2_eager_fallback(input, output_stream, end, name, ctx):$/;" f +print_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^ def print_wrapper(*vals):$/;" f function:_tf_py_func_print file: +print_xml_summary adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def print_xml_summary(self, stream):$/;" m class:_TestCaseResult +print_xml_summary adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def print_xml_summary(self, stream):$/;" m class:_TestSuiteResult +print_xpm adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def print_xpm(self, filename, *args, **kwargs):$/;" m class:FigureCanvasWx +PriorityQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class PriorityQueue(QueueBase):$/;" c +PriorityQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^PriorityQueue = tf_export("raw_ops.PriorityQueue")(_ops.to_raw_op(priority_queue))$/;" v +PriorityQueueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^PriorityQueueV2 = tf_export("raw_ops.PriorityQueueV2")(_ops.to_raw_op(priority_queue_v2))$/;" v +priority_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def priority_queue(shapes, component_types=[], capacity=-1, container="", shared_name="", name=N/;" f +priority_queue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def priority_queue_eager_fallback(shapes, component_types, capacity, container, shared_name, nam/;" f +priority_queue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def priority_queue_v2(shapes, component_types=[], capacity=-1, container="", shared_name="", nam/;" f +priority_queue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def priority_queue_v2_eager_fallback(shapes, component_types, capacity, container, shared_name, /;" f +prism adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def prism():$/;" f +PRIVATE adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ PRIVATE = 'private'$/;" v class:WorkspaceType +private adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ private = cache_property("private", "*", None)$/;" v class:ResponseCacheControl +PrivateDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PrivateDomainName(univ.Choice):$/;" c +PrivateDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PrivateDomainName(univ.Choice):$/;" c +PrivateDomainName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PrivateDomainName(univ.Choice):$/;" c +PrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class PrivateKey(univ.OctetString):$/;" c +PrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class PrivateKey(univ.OctetString):$/;" c +PrivateKey adpepsenv/lib/python3.8/site-packages/rsa/key.py /^class PrivateKey(AbstractKey):$/;" c +PrivateKeyAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class PrivateKeyAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +PrivateKeyAlgorithms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class PrivateKeyAlgorithms(AlgorithmIdentifier):$/;" c +PrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class PrivateKeyInfo(univ.Sequence):$/;" c +PrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class PrivateKeyInfo(univ.Sequence):$/;" c +PrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class PrivateKeyInfo(OneAsymmetricKey):$/;" c +PrivateKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^PrivateKeyInfo = rfc5958.PrivateKeyInfo$/;" v +PrivateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class PrivateKeyUsagePeriod(univ.Sequence):$/;" c +PrivateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class PrivateKeyUsagePeriod(univ.Sequence):$/;" c +PrivateKeyUsagePeriod adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class PrivateKeyUsagePeriod(univ.Sequence):$/;" c +privatepattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^privatepattern = re.compile($/;" v +PrivateThreadPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^class PrivateThreadPool(object):$/;" c +PrivateThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^PrivateThreadPoolDataset = tf_export("raw_ops.PrivateThreadPoolDataset")(_ops.to_raw_op(private_/;" v +PRIVATE_BUT_PRESENT_MODULES adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^PRIVATE_BUT_PRESENT_MODULES = ['numpy.' + s for s in [$/;" v +private_class adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^ class private_class(c):$/;" c function:__deprecate_private_class file: +private_map adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def private_map(self):$/;" m class:PublicAPIVisitor +private_threadpool_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threading_options.py /^ private_threadpool_size = options.create_option($/;" v class:ThreadingOptions +private_thread_pool_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def private_thread_pool_dataset(input_dataset, num_threads, output_types, output_shapes, name=No/;" f +private_thread_pool_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def private_thread_pool_dataset_eager_fallback(input_dataset, num_threads, output_types, output_/;" f +private_to_public adpepsenv/lib/python3.8/site-packages/rsa/util.py /^def private_to_public() -> None:$/;" f +PRNGKey adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def PRNGKey(seed: int) -> jnp.ndarray:$/;" f +prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def prob(self, value, name="prob"):$/;" m class:Distribution +PROBABILITIES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ PROBABILITIES = 'probabilities'$/;" v class:PredictionKeys +PROBABILITY_MEAN_AT_CLASS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PROBABILITY_MEAN_AT_CLASS = 'probability_mean\/class%d'$/;" v class:MetricKeys +PROBABILITY_MEAN_AT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ PROBABILITY_MEAN_AT_NAME = 'probability_mean\/%s'$/;" v class:MetricKeys +ProbArg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^class ProbArg(object):$/;" c +probe adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def probe():$/;" f function:client file: +ProbingState adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class ProbingState(object):$/;" c +ProbingState adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class ProbingState(object):$/;" c +probit adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^ def probit(x):$/;" f function:test_truncated_normal file: +problem adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^problem = "SPARSKIT\/drivcav\/e05r0200"$/;" v +PROBLEMS adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^PROBLEMS = [SimpleOscillator, ComplexExp, Pi, CoupledDecay]$/;" v +probplot adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def probplot(x, sparams=(), dist='norm', fit=True, plot=None, rvalue=False):$/;" f +probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def probs(self):$/;" m class:Bernoulli +probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def probs(self):$/;" m class:Categorical +probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def probs(self):$/;" m class:Multinomial +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def probs(self):$/;" m class:Bernoulli +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def probs(self):$/;" m class:Binomial +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def probs(self):$/;" m class:Categorical +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def probs(self):$/;" m class:ContinuousBernoulli +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def probs(self):$/;" m class:Geometric +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def probs(self):$/;" m class:Multinomial +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def probs(self):$/;" m class:NegativeBinomial +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def probs(self):$/;" m class:OneHotCategorical +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def probs(self):$/;" m class:LogitRelaxedBernoulli +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def probs(self):$/;" m class:RelaxedBernoulli +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def probs(self):$/;" m class:ExpRelaxedCategorical +probs adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def probs(self):$/;" m class:RelaxedOneHotCategorical +probs_to_logits adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def probs_to_logits(probs, is_binary=False):$/;" f +proc adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def proc(rec):$/;" f member:TestReaderWithLimit.test_runtime_threads file: +proc1 adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline_test.py /^ def proc1(rec):$/;" f member:TestPipeline.test_dequeue_many file: +proc1 adpepsenv/lib/python3.8/site-packages/caffe2/python/session_test.py /^ def proc1(rec):$/;" f member:TestLocalSession.test_local_session file: +proc2 adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline_test.py /^ def proc2(rec):$/;" f member:TestPipeline.test_dequeue_many file: +proc2 adpepsenv/lib/python3.8/site-packages/caffe2/python/session_test.py /^ def proc2(rec):$/;" f member:TestLocalSession.test_local_session file: +proceed adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def proceed(prompt, allowed_chars, error_prompt=None, default=None):$/;" f +PROCEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ PROCEED = "proceed"$/;" v class:OnSessionInitAction +process adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/record_queue_test.py /^ def process(net, fields):$/;" f member:TestRecordQueue.test_record_queue file: +process adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process(self, trace, fun, tracers, params):$/;" m class:CallPrimitive +process adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process(self, trace, fun, tracers, params):$/;" m class:MapPrimitive +process adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def process(self, trace, fun, tracers, params):$/;" m class:XMapPrimitive +process adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def process(self, s, *args, **kwargs):$/;" m class:CallbackRegistry +process adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def process(src, dst):$/;" f member:easy_install.exe_to_egg file: +Process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ Process = AbslForkServerProcess # pylint: disable=invalid-name$/;" v class:AbslForkServerContext +Process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ class Process(object):$/;" c +Process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ Process = multiprocessing.Process$/;" v +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.BeforeHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InCaptionPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InCellPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InColumnGroupPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InForeignContentPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InitialPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InRowPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InSelectInTablePhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InSelectPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTableBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTablePhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTableTextPhase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.Phase +processCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.TextPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.AfterHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.BeforeHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InCaptionPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InCellPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InColumnGroupPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InForeignContentPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InFramesetPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InHeadPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InitialPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InRowPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InSelectInTablePhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InSelectPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTableBodyPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTablePhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.InTableTextPhase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.Phase +processCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processCharacters(self, token):$/;" m class:getPhases.TextPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterBodyPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InitialPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InTableTextPhase +processComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.Phase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.AfterBodyPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InitialPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.InTableTextPhase +processComment adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processComment(self, token):$/;" m class:getPhases.Phase +ProcessContext adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^class ProcessContext:$/;" c +processDoctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processDoctype(self, token):$/;" m class:getPhases.InitialPhase +processDoctype adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processDoctype(self, token):$/;" m class:getPhases.Phase +processDoctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processDoctype(self, token):$/;" m class:getPhases.InitialPhase +processDoctype adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processDoctype(self, token):$/;" m class:getPhases.Phase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InForeignContentPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InitialPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InTableTextPhase +processEndTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.Phase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InForeignContentPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InitialPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.InTableTextPhase +processEndTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEndTag(self, token):$/;" m class:getPhases.Phase +processEntityInAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def processEntityInAttribute(self, allowedChar):$/;" m class:HTMLTokenizer +processEntityInAttribute adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def processEntityInAttribute(self, allowedChar):$/;" m class:HTMLTokenizer +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterAfterBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterAfterFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.BeforeHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.BeforeHtmlPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InCaptionPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InCellPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InColumnGroupPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InHeadNoscriptPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InitialPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InRowPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InSelectInTablePhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InSelectPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTableBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTablePhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTableTextPhase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.Phase +processEOF adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.TextPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterAfterBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterAfterFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.AfterHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.BeforeHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.BeforeHtmlPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InCaptionPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InCellPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InColumnGroupPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InFramesetPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InHeadNoscriptPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InHeadPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InitialPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InRowPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InSelectInTablePhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InSelectPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTableBodyPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTablePhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.InTableTextPhase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.Phase +processEOF adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processEOF(self):$/;" m class:getPhases.TextPhase +ProcessGroupAgentRpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class ProcessGroupAgentRpcTest(RpcAgentTestFixture):$/;" c +ProcessGroupRpcAgentTestFixture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^class ProcessGroupRpcAgentTestFixture(RpcAgentTestFixture):$/;" c +ProcessingMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^class ProcessingMode(object):$/;" c +ProcessingReader adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^class ProcessingReader(Reader):$/;" c +processKernelLaunches adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def processKernelLaunches(string, stats):$/;" f +Processor adpepsenv/lib/python3.8/site-packages/markdown/util.py /^class Processor:$/;" c +processor_name adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def processor_name(processor):$/;" f +processor_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def processor_schema():$/;" f function:make_processor file: +ProcessPool adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^from multiprocessing import Pool as ProcessPool$/;" x +ProcessPoolExecutor adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^class ProcessPoolExecutor(MPIPoolExecutor): # noqa: D204$/;" c +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.BeforeHeadPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InitialPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InRowPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTableBodyPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTablePhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTableTextPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.Phase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.BeforeHeadPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InitialPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InRowPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTableBodyPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTablePhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.InTableTextPhase +processSpaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharacters(self, token):$/;" m class:getPhases.Phase +processSpaceCharactersDropNewline adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharactersDropNewline(self, token):$/;" m class:getPhases.InBodyPhase +processSpaceCharactersDropNewline adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharactersDropNewline(self, token):$/;" m class:getPhases.InBodyPhase +processSpaceCharactersNonPre adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processSpaceCharactersNonPre(self, token):$/;" m class:getPhases.InBodyPhase +processSpaceCharactersNonPre adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processSpaceCharactersNonPre(self, token):$/;" m class:getPhases.InBodyPhase +processStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InForeignContentPhase +processStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InitialPhase +processStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InTableTextPhase +processStartTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.Phase +processStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.BeforeHtmlPhase +processStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InForeignContentPhase +processStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InitialPhase +processStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.InTableTextPhase +processStartTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def processStartTag(self, token):$/;" m class:getPhases.Phase +ProcessUnusedLoopExits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def ProcessUnusedLoopExits(self, pending_count, to_ops_set):$/;" m class:_ControlFlowState +process_anchor adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def process_anchor(self, indicator):$/;" m class:Emitter +process_batch_and_step_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def process_batch_and_step_size(strategy,$/;" f +process_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_call(self, call_primitive, f, tracers, params):$/;" m class:Trace +process_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_call(self, primitive, f, tracers, params):$/;" m class:EvalTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def process_call(self, call_primitive, f: lu.WrappedFun, tracers, params):$/;" m class:CallbackTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def process_call(self, call_primitive, f, tracers, params):$/;" m class:DoublingTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def process_call(self, call_primitive: core.Primitive, f: lu.WrappedFun,$/;" m class:TensorFlowTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def process_call(self, call_primitive, f, tracers, params):$/;" m class:JetTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def process_call(self, call_primitive, f: lu.WrappedFun, tracers, params):$/;" m class:JVPTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def process_call(self, call_primitive, f: lu.WrappedFun, tracers, params):$/;" m class:BatchTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def process_call(self, call_primitive, f, tracers, params):$/;" m class:MaskTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_call(self, call_primitive, f, tracers, params):$/;" m class:DynamicJaxprTrace +process_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_call(self, primitive, f: lu.WrappedFun, tracers, params):$/;" m class:JaxprTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_custom_jvp_call(self, primitive, fun, jvp, tracers):$/;" m class:EvalTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_custom_jvp_call(self, primitive, fun, jvp, tracers):$/;" m class:Trace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def process_custom_jvp_call(self, primitive, fun, jvp, tracers):$/;" m class:CallbackTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def process_custom_jvp_call(self, prim, fun, jvp, tracers):$/;" m class:TensorFlowTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def process_custom_jvp_call(self, primitive, fun, jvp, tracers):$/;" m class:JetTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def process_custom_jvp_call(self, _, __, f_jvp, tracers):$/;" m class:JVPTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def process_custom_jvp_call(self, prim, fun, jvp, tracers):$/;" m class:BatchTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_jvp_call(self, prim, fun, jvp, tracers):$/;" f function:omnistaging_disabler file: +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_jvp_call(self, prim, fun, jvp, tracers):$/;" m class:DynamicJaxprTrace +process_custom_jvp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_jvp_call(self, prim, fun, jvp, tracers):$/;" m class:JaxprTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_custom_vjp_call(self, primitive, fun, fwd, bwd, tracers, out_trees):$/;" m class:EvalTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_custom_vjp_call(self, primitive, fun, fwd, bwd, tracers, out_trees):$/;" m class:Trace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def process_custom_vjp_call(self, primitive, fun, fwd, bwd, tracers,$/;" m class:CallbackTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def process_custom_vjp_call(self, prim, fun, fwd, bwd, tracers, out_trees):$/;" m class:TensorFlowTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def process_custom_vjp_call(self, primitive, fun, fwd, bwd, tracers, out_trees):$/;" m class:JetTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def process_custom_vjp_call(self, _, __, fwd, bwd, tracers, *, out_trees):$/;" m class:JVPTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def process_custom_vjp_call(self, prim, fun, fwd, bwd, tracers, *, out_trees):$/;" m class:BatchTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_vjp_call(self, prim, fun, fwd, bwd, tracers, out_trees):$/;" f function:omnistaging_disabler file: +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_vjp_call(self, prim, fun, fwd, bwd, tracers, out_trees):$/;" m class:DynamicJaxprTrace +process_custom_vjp_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_custom_vjp_call(self, prim, fun, fwd, bwd, tracers, out_trees):$/;" m class:JaxprTrace +process_c_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^from numpy.distutils.conv_template import process_file as process_c_file$/;" x +process_directive adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def process_directive(self, directive):$/;" m class:Manifest +process_directives adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def process_directives(self):$/;" m class:Parser +process_distribution adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def process_distribution(self, requirement, dist, deps=True, *info):$/;" m class:easy_install +process_empty_scalar adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def process_empty_scalar(self, mark):$/;" m class:Parser +process_entries adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def process_entries(entries):$/;" f member:Metadata._to_legacy file: +process_env_traces adpepsenv/lib/python3.8/site-packages/jax/core.py /^def process_env_traces(primitive: Union['CallPrimitive', 'MapPrimitive'],$/;" f +process_event adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^def process_event(wall_time, step, string_ndarray):$/;" f +process_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def process_exists(self, task_type, task_id):$/;" m class:MultiProcessRunner +process_figure_for_rasterizing adpepsenv/lib/python3.8/site-packages/matplotlib/tight_bbox.py /^def process_figure_for_rasterizing(fig, bbox_inches_restore, fixed_dpi=None):$/;" f +process_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def process_file(source):$/;" f +process_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def process_file(source):$/;" f +process_file adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def process_file(self,$/;" m class:ASTCodeUpgrader +process_file adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def process_file(in_filename, out_filename, upgrader):$/;" f +process_file adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_main.py /^def process_file(in_filename, out_filename, upgrader):$/;" f +process_filename adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def process_filename(self, fn, nested=False):$/;" m class:PackageIndex +process_fortran_name adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def process_fortran_name(name, funcname):$/;" f +process_f_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^from numpy.distutils.from_template import process_file as process_f_file$/;" x +PROCESS_GROUP_TESTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^PROCESS_GROUP_TESTS = [$/;" v +process_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def process_image(self, padded_src, dpi):$/;" m class:test_agg_filter.BaseFilter +process_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def process_image(self, padded_src, dpi):$/;" m class:test_agg_filter.DropShadowFilter +process_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def process_image(self, padded_src, dpi):$/;" m class:test_agg_filter.GaussianFilter +process_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def process_image(self, padded_src, dpi):$/;" m class:test_agg_filter.OffsetFilter +process_index adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def process_index(self, url, page):$/;" m class:PackageIndex +process_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def process_layer(layer_data):$/;" f function:reconstruct_from_config file: +process_map adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_map(self, call_primitive, f, tracers, params):$/;" m class:Trace +process_map adpepsenv/lib/python3.8/site-packages/jax/core.py /^ process_map = process_call$/;" v class:EvalTrace +process_map adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def process_map(self, map_primitive, f, tracers, params):$/;" m class:TensorFlowTrace +process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ process_map = process_call$/;" v class:JVPTrace +process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def process_map(self, map_primitive, f: lu.WrappedFun, tracers, params):$/;" m class:BatchTrace +process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_map(self, map_primitive, f, tracers, params):$/;" m class:DynamicJaxprTrace +process_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ process_map = process_call$/;" v class:JaxprTrace +process_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^ def process_node(node):$/;" f function:ops_used_by_graph_def file: +process_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def process_node(layer, node_data):$/;" f function:reconstruct_from_config file: +process_opened_file adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def process_opened_file(self, in_filename, in_file, out_filename, out_file):$/;" m class:ASTCodeUpgrader +process_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:EvalTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:Trace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:CallbackTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:DoublingTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def process_primitive(self, primitive: core.Primitive,$/;" m class:TensorFlowTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:JetTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:JVPTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:BatchTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:MaskTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:DynamicJaxprTrace +process_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def process_primitive(self, primitive, tracers, params):$/;" m class:JaxprTrace +process_project_url adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def process_project_url(self, project_url, link_evaluator):$/;" m class:PackageFinder +process_quadrature_grid_and_probs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def process_quadrature_grid_and_probs(quadrature_grid_and_probs,$/;" f +process_raw_trace adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/raw_to_tool_data.py /^def process_raw_trace(raw_trace):$/;" f +process_results adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def process_results(results, test_variance):$/;" f +process_scalar adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def process_scalar(self):$/;" m class:Emitter +process_selected adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def process_selected(self, ind, xs, ys):$/;" m class:VertexSelector +process_shebang adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def process_shebang(self, data):$/;" m class:Wheel +process_str adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def process_str(astr):$/;" f +process_str adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def process_str(allstr):$/;" f +process_tag adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def process_tag(self):$/;" m class:Emitter +process_template_line adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def process_template_line(self, line):$/;" m class:FileList +process_template_line adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def process_template_line(self, line):$/;" m class:FileList +process_tree adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def process_tree(self, root_directory, output_root_directory,$/;" m class:ASTCodeUpgrader +process_tree_inplace adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def process_tree_inplace(self, root_directory):$/;" m class:ASTCodeUpgrader +process_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def process_update(x):$/;" f member:Layer.add_update file: +process_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def process_url(self, url, retrieve=False):$/;" m class:PackageIndex +process_value adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def process_value(value):$/;" m class:Normalize +process_weights adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def process_weights(weight, bias, dtype):$/;" f member:RNNCellBase.from_float file: +procrustes adpepsenv/lib/python3.8/site-packages/scipy/spatial/_procrustes.py /^def procrustes(data1, data2):$/;" f +prod adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def prod(xs):$/;" f member:TestOperators.test_fully_connected_axis file: +prod adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def prod(xs):$/;" f member:TestSoftmaxOps.test_softmax_axis file: +prod adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ prod = lambda xs: reduce(op.mul, xs) if xs else 1$/;" f member:Poly.evaluate file: +prod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def prod(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +prod adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def prod(xs):$/;" f +prod adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def prod(a, axis=None, dtype=None, out=None, keepdims=np._NoValue,$/;" f +prod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def prod(self, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" m class:MaskedArray +prod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^prod = _frommethod('prod')$/;" v +prod adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def prod(self, axis=None, dtype=None, out=None):$/;" m class:matrix +prod adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def prod(iterable):$/;" f +prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def prod(x, axis=None, keepdims=False):$/;" f +prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def prod(input, axis, keep_dims=False, name=None):$/;" f +Prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Prod = tf_export("raw_ops.Prod")(_ops.to_raw_op(prod))$/;" v +prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def prod(a, axis=None, dtype=None, keepdims=None):$/;" f +prod adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^prod = _reduce_with_dtype('ReduceProd', 'prod', allow_multi_dim_support=False) # torch.prod doe/;" v +prod adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^def prod(values, base=1):$/;" f +producer_name adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^producer_name = "pytorch"$/;" v +producer_version adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^producer_version = _C._onnx.PRODUCER_VERSION$/;" v +produces_sparse_gradient adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def produces_sparse_gradient(module):$/;" f member:DistributedDataParallel._ddp_init_helper file: +product adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def product(x, y):$/;" f member:ControlFlowOpsTest.test_while_batched_cond file: +product adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^product = prod$/;" v +product adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def product(*args, **kwargs):$/;" f +product adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ product = prod$/;" v class:MaskedArray +product adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^product = _frommethod('prod')$/;" v +ProductOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^class ProductOperator(LinearOperator):$/;" c +product_xs_y adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def product_xs_y(xs, y):$/;" f member:ControlFlowOpsTest.test_while_batched_cond file: +product_xs_ys adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def product_xs_ys(xs, ys):$/;" f member:ControlFlowOpsTest.test_while_batched_cond file: +prod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def prod_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +prod_single_zero adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def prod_single_zero(dim_size):$/;" f +prod_zeros adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def prod_zeros(dim_size, dim_select):$/;" f +ProfDAGProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^ProfDAGProto = _reflection.GeneratedProtocolMessageType('ProfDAGProto', (_message.Message,), {$/;" v +ProfDAGProtos adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^ProfDAGProtos = _reflection.GeneratedProtocolMessageType('ProfDAGProtos', (_message.Message,), {$/;" v +profile adpepsenv/lib/python3.8/site-packages/absl/app.py /^ import cProfile as profile$/;" I function:_run_main file: +profile adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ profile = None$/;" v class:main.parse_command_line.Options +profile adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^def profile(name, **kargs):$/;" f +Profile adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^ def Profile(self, request, context):$/;" m class:ProfilerServiceServicer +Profile adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Profile = _reflection.GeneratedProtocolMessageType('Profile', (_message.Message,), {$/;" v +profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^def profile(graph=None,$/;" f +Profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^class Profile(object):$/;" c +profile adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class profile(object):$/;" c +profile adpepsenv/lib/python3.8/site-packages/torch/cuda/profiler.py /^def profile():$/;" f +ProfileAnalysisServicer adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^class ProfileAnalysisServicer(object):$/;" c +ProfileAnalysisServicer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^class ProfileAnalysisServicer(object):$/;" c +ProfileAnalysisStub adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^class ProfileAnalysisStub(object):$/;" c +ProfileAnalysisStub adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^class ProfileAnalysisStub(object):$/;" c +ProfileAnalyzer adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^class ProfileAnalyzer(object):$/;" c +ProfileDataTableView adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^class ProfileDataTableView(object):$/;" c +ProfileDatum adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^class ProfileDatum(object):$/;" c +ProfileNode adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^ProfileNode = _reflection.GeneratedProtocolMessageType('ProfileNode', (_message.Message,), {$/;" v +ProfileNode adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^ProfileNode = _reflection.GeneratedProtocolMessageType('ProfileNode', (_message.Message,), {$/;" v +ProfileOptionBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^class ProfileOptionBuilder(object):$/;" c +ProfileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^ProfileOptions = _reflection.GeneratedProtocolMessageType('ProfileOptions', (_message.Message,),/;" v +ProfilePlugin adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^class ProfilePlugin(base_plugin.TBPlugin):$/;" c +ProfilePluginLoader adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin_loader.py /^class ProfilePluginLoader(base_plugin.TBLoader):$/;" c +ProfileProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^ProfileProto = _reflection.GeneratedProtocolMessageType('ProfileProto', (_message.Message,), {$/;" v +ProfileProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^ProfileProto = _reflection.GeneratedProtocolMessageType('ProfileProto', (_message.Message,), {$/;" v +profiler adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^profiler = _xla.profiler$/;" v +profiler adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^from tensorflow.python.profiler import profiler_v2 as profiler # pylint: disable=g-direct-tenso/;" x +profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^from tensorflow.python.profiler import profiler_v2 as profiler$/;" x +Profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^class Profiler(object):$/;" c +profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^from tensorflow.python.profiler import profiler_v2 as profiler$/;" x +profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^from tensorflow.python.profiler import profiler_v2 as profiler$/;" x +Profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^class Profiler(object):$/;" c +ProfilerAlreadyRunningError adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^class ProfilerAlreadyRunningError(Exception):$/;" c +ProfileRedirectPluginLoader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^class ProfileRedirectPluginLoader(base_plugin.TBLoader):$/;" c +ProfileRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^ProfileRequest = _reflection.GeneratedProtocolMessageType('ProfileRequest', (_message.Message,),/;" v +ProfileResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^ProfileResponse = _reflection.GeneratedProtocolMessageType('ProfileResponse', (_message.Message,/;" v +ProfilerHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class ProfilerHook(session_run_hook.SessionRunHook):$/;" c +ProfilerMiddleware adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/profiler.py /^class ProfilerMiddleware(object):$/;" c +ProfilerNotRunningError adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^class ProfilerNotRunningError(Exception):$/;" c +ProfilerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^class ProfilerOptions($/;" c +ProfilerServiceMonitorResult adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^ProfilerServiceMonitorResult = _reflection.GeneratedProtocolMessageType('ProfilerServiceMonitorR/;" v +ProfilerServiceServicer adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^class ProfilerServiceServicer(object):$/;" c +ProfilerServiceStub adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^class ProfilerServiceStub(object):$/;" c +ProfileSessionDataRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^ProfileSessionDataRequest = _reflection.GeneratedProtocolMessageType('ProfileSessionDataRequest'/;" v +ProfileSessionDataResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^ProfileSessionDataResponse = _reflection.GeneratedProtocolMessageType('ProfileSessionDataRespons/;" v +ProfileSessionInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^ProfileSessionInfo = _reflection.GeneratedProtocolMessageType('ProfileSessionInfo', (_message.Me/;" v +ProfileToolData adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^ProfileToolData = _reflection.GeneratedProtocolMessageType('ProfileToolData', (_message.Message,/;" v +profileToProfile adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def profileToProfile($/;" f +ProfileType adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ProfileType = enum_type_wrapper.EnumTypeWrapper(_PROFILETYPE)$/;" v +profile_data_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def profile_data_generator(device_step_stats):$/;" f member:ProfileAnalyzer._get_profile_data_generator file: +profile_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def profile_graph(self, options):$/;" m class:Profiler +profile_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def profile_name_scope(self, options):$/;" m class:Profiler +profile_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def profile_operations(self, options):$/;" m class:Profiler +profile_python adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def profile_python(self, options):$/;" m class:Profiler +PROFILE_RUN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ PROFILE_RUN = "profile_run"$/;" v class:OnRunStartAction +PROFILING adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ PROFILING = 3$/;" v class:ProfilingMode +ProfilingMode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^class ProfilingMode(Enum):$/;" c +prof_callable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def prof_callable(callable, *args, **kwargs):$/;" f +prof_func_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def prof_func_call(*args, **kwargs):$/;" f +prof_meth_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def prof_meth_call(*args, **kwargs):$/;" f +progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ progbar = None$/;" v class:get_file.ProgressTracker +Progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^class Progbar(object):$/;" c +ProgbarLogger adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class ProgbarLogger(Callback):$/;" c +program adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^def program():$/;" f +ProgramContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^class ProgramContext(object):$/;" c +ProgramFiles adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ ProgramFiles = environ.get('ProgramFiles', '')$/;" v class:SystemInfo +ProgramFilesx86 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ ProgramFilesx86 = environ.get('ProgramFiles(x86)', ProgramFiles)$/;" v class:SystemInfo +ProgramShape adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ProgramShape = _xla.ProgramShape$/;" v +ProgramShapeProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ProgramShapeProto = _reflection.GeneratedProtocolMessageType('ProgramShapeProto', (_message.Mess/;" v +Progress adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class Progress(object):$/;" c +progress adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def progress(self):$/;" m class:Progress +Progress adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^class Progress(Infinite):$/;" c +progressBar adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^def progressBar(percentage):$/;" f +ProgressTracker adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ class ProgressTracker(object):$/;" c function:get_file file: +progress_bar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^progress_bar = partial($/;" v +ProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ProjClip(self):$/;" m class:BidirectionalSequenceLSTMOptions +ProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ProjClip(self):$/;" m class:LSTMOptions +ProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ProjClip(self):$/;" m class:UnidirectionalSequenceLSTMOptions +PROJECT adpepsenv/lib/python3.8/site-packages/google/auth/environment_vars.py /^PROJECT = "GOOGLE_CLOUD_PROJECT"$/;" v +project docs/source/conf.py /^project = 'AD-PEPS'$/;" v +projected_cg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def projected_cg(H, c, Z, Y, b, trust_radius=np.inf,$/;" f +ProjectionRegistry adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^class ProjectionRegistry:$/;" c +projections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^def projections(A, method=None, orth_tol=1e-12, max_refin=3, tol=1e-15):$/;" f +projection_registry adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^projection_registry = ProjectionRegistry()$/;" v +ProjectorConfig adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^ProjectorConfig = _reflection.GeneratedProtocolMessageType('ProjectorConfig', (_message.Message,/;" v +ProjectorConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^ProjectorConfig = _reflection.GeneratedProtocolMessageType('ProjectorConfig', (_message.Message,/;" v +ProjectorPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^class ProjectorPlugin(base_plugin.TBPlugin):$/;" c +PROJECTOR_FILENAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/metadata.py /^PROJECTOR_FILENAME = "projector_config.pbtxt"$/;" v +project_base adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ project_base = os.path.dirname(os.path.abspath(sys.executable))$/;" v +project_base adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ project_base = os.path.abspath(os.environ["_PYTHON_PROJECT_BASE"])$/;" v +project_base adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ project_base = _fix_pcbuild(project_base)$/;" v +project_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ project_func = lambda _, elem: elem$/;" f function:snapshot._apply_fn file: +project_id adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def project_id(self):$/;" m class:Credentials +project_name adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ project_name = sys.argv[project_name_idx + 1]$/;" v +project_name adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^project_name = 'tensorflow'$/;" v +PROJECT_NAME_AND_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^PROJECT_NAME_AND_VERSION = re.compile('([a-z0-9_]+([.-][a-z_][a-z0-9_]*)*)-'$/;" v +project_name_idx adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ project_name_idx = sys.argv.index('--project_name')$/;" v +project_name_no_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ project_name_no_gpu = project_name[:-len('-gpu')]$/;" v +project_number adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def project_number(self):$/;" m class:Credentials +project_on_sys_path adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def project_on_sys_path(self, include_dists=[]):$/;" m class:test +PROJECT_ROOT_DIR adpeps/__init__.py /^PROJECT_ROOT_DIR = Path(ROOT_DIR).parent$/;" v +proj_points adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def proj_points(points, M):$/;" f +proj_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def proj_transform(xs, ys, zs, M):$/;" f +proj_transform_clip adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def proj_transform_clip(xs, ys, zs, M):$/;" f +proj_trans_points adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def proj_trans_points(points, M):$/;" f +promote_tf_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def promote_tf_dtype(tf_dtype):$/;" f function:_top_k file: +promote_types adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def promote_types(a, b):$/;" f +promote_types adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^promote_types = dtypes.promote_types$/;" v +promote_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def promote_types(type1, type2): # pylint: disable=missing-function-docstring$/;" f +ProofOfPossession adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class ProofOfPossession(univ.Choice):$/;" c +ProofOfPossession adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class ProofOfPossession(univ.Choice):$/;" c +prop adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def prop(self):$/;" m class:test_setattr_cm.A +prop adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def prop(self, val):$/;" m class:test_setattr_cm.A +prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def prop(self):$/;" f function:_make_master_property file: +propagate_qconfig_ adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def propagate_qconfig_(module, qconfig_dict=None, allow_list=None):$/;" f +properties adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def properties(self):$/;" m class:Artist +properties adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def properties(self):$/;" m class:ArtistInspector +PropertyStub adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^PropertyStub = collections.namedtuple('Property', ('resolution_callback', 'def_'))$/;" v +property_keys adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ property_keys = {'peak_heights', 'left_thresholds', 'right_thresholds',$/;" v class:TestFindPeaks +PropModule adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^class PropModule(types.ModuleType):$/;" c +props adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ props = cbook._deprecate_privatize_attribute("3.3")$/;" v class:Shadow +props adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ props = ("user_data_dir",$/;" v +props adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ props = ("user_data_dir",$/;" v +props adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ props = ("user_data_dir",$/;" v +PROSODY_1612 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PROSODY_1612 = 0x0027$/;" v class:WAVE_FORMAT +PROSODY_8KBPS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ PROSODY_8KBPS = 0x0094$/;" v class:WAVE_FORMAT +ProtectedPart adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class ProtectedPart(univ.Sequence):$/;" c +protected_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def protected_body():$/;" f function:_py_while_stmt file: +protected_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def protected_body(protected_iter):$/;" f function:_py_for_stmt file: +protect_pip_from_modification_on_windows adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def protect_pip_from_modification_on_windows(modifying_pip):$/;" f +Proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Proto(self):$/;" m class:ExecutionStep +Proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Proto(self):$/;" m class:Net +Proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Proto(self):$/;" m class:Plan +Proto adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def Proto(self):$/;" m class:ModelHelper +proto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def proto(self):$/;" m class:Sharding +ProtoAssertions adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^class ProtoAssertions(object):$/;" c +PROTOBUF_FILE adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ PROTOBUF_FILE = 1$/;" v class:ExportTypes +protocol adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def protocol(self):$/;" m class:RunConfig +Protocol adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Protocol(metaclass=_ProtocolMeta):$/;" c +Protocol adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Protocol = typing.Protocol$/;" v +ProtocolEncrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class ProtocolEncrKey(SubjectPublicKeyInfo):$/;" c +ProtocolEncrKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class ProtocolEncrKey(rfc3280.SubjectPublicKeyInfo):$/;" c +ProtocolError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ProtocolError(HTTPError):$/;" c +ProtocolError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ProtocolError(HTTPError):$/;" c +ProtocolReceiver adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class ProtocolReceiver(six.with_metaclass(abc.ABCMeta)):$/;" c +protocol_context adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def protocol_context(self):$/;" m class:_Rendezvous +protocol_context adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def protocol_context(self):$/;" m class:_FaceServicerContext +protocol_context adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def protocol_context(self):$/;" m class:RpcContext +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS = 2$/;" v +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS$/;" v +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS$/;" v +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS = 2$/;" v +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS$/;" v +PROTOCOL_SSLv23 adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ PROTOCOL_SSLv23 = PROTOCOL_TLS$/;" v +PROTOCOL_TLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ from ssl import PROTOCOL_SSLv23 as PROTOCOL_TLS$/;" x +PROTOCOL_TLS adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ from ssl import PROTOCOL_SSLv23 as PROTOCOL_TLS$/;" x +PROTOCOL_VERSION adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^PROTOCOL_VERSION = 1001$/;" v +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.BMPString()$/;" v class:BMPStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.GeneralString()$/;" v class:GeneralStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.GraphicString()$/;" v class:GraphicStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.IA5String()$/;" v class:IA5StringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.NumericString()$/;" v class:NumericStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.PrintableString()$/;" v class:PrintableStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.TeletexString()$/;" v class:TeletexStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.UniversalString()$/;" v class:UniversalStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.UTF8String()$/;" v class:UTF8StringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.VideotexString()$/;" v class:VideotexStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = char.VisibleString()$/;" v class:VisibleStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = None$/;" v class:AbstractConstructedDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = None$/;" v class:AbstractDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Any('')$/;" v class:ExplicitTagDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Any()$/;" v class:AnyDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.BitString(())$/;" v class:BitStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Boolean(0)$/;" v class:BooleanDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Choice()$/;" v class:ChoiceDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Integer(0)$/;" v class:IntegerDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Null('')$/;" v class:NullDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.ObjectIdentifier(())$/;" v class:ObjectIdentifierDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.OctetString('')$/;" v class:OctetStringDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Real()$/;" v class:RealDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Sequence()$/;" v class:SequenceDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.SequenceOf()$/;" v class:SequenceOfDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.Set()$/;" v class:SetDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = univ.SetOf()$/;" v class:SetOfDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = useful.GeneralizedTime()$/;" v class:GeneralizedTimeDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = useful.ObjectDescriptor()$/;" v class:ObjectDescriptorDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoComponent = useful.UTCTime()$/;" v class:UTCTimeDecoder +protoComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^ protoComponent = univ.Boolean(0)$/;" v class:BooleanDecoder +protoDict adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ protoDict = dict$/;" v class:SetEncoder +protoDict adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ protoDict = OrderedDict$/;" v class:SequenceEncoder +ProtoEq adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^def ProtoEq(a, b):$/;" f +protoRecordComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoRecordComponent = None$/;" v class:UniversalConstructedTypeDecoder +protoRecordComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoRecordComponent = univ.Sequence()$/;" v class:SequenceOrSequenceOfDecoder +protoRecordComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoRecordComponent = univ.Set()$/;" v class:SetOrSetOfDecoder +protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ from grpc_tools.protoc import _protos as protos # pylint: disable=unused-import$/;" x +protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ protos = _uninstalled_protos$/;" v +protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ protos = _interpreter_version_protos$/;" v +protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def protos(protobuf_path): # pylint: disable=unused-argument$/;" f +protoSequenceComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoSequenceComponent = None$/;" v class:UniversalConstructedTypeDecoder +protoSequenceComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoSequenceComponent = univ.SequenceOf()$/;" v class:SequenceOrSequenceOfDecoder +protoSequenceComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ protoSequenceComponent = univ.SetOf()$/;" v class:SetOrSetOfDecoder +protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ from grpc_tools.protoc import _protos_and_services as protos_and_services # pylint:/;" x +protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ protos_and_services = _uninstalled_protos_and_services$/;" v +protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ protos_and_services = _uninstalled_protos_and_services$/;" v +protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ protos_and_services = _interpreter_version_protos_and_services$/;" v +protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def protos_and_services(protobuf_path): # pylint: disable=unused-argument$/;" f +protos_to_graph_def adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def protos_to_graph_def(net_defs, shapes=None, **kwargs):$/;" f +ProtoTypeToCppProtoType adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def ProtoTypeToCppProtoType(proto_type):$/;" m class:FieldDescriptor +ProtoVersion adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^ProtoVersion = enum_type_wrapper.EnumTypeWrapper(_PROTOVERSION)$/;" v +PROTO_COMPILE_TIME_MAX_DEVICE_TYPES adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_COMPILE_TIME_MAX_DEVICE_TYPES = 10$/;" v +PROTO_CPU adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_CPU = 0$/;" v +PROTO_CUDA adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_CUDA = 1$/;" v +proto_fingerprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^def proto_fingerprint(message_proto):$/;" f +PROTO_FPGA adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_FPGA = 7$/;" v +PROTO_HIP adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_HIP = 6$/;" v +PROTO_IDEEP adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_IDEEP = 5$/;" v +PROTO_MKLDNN adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_MKLDNN = 2$/;" v +PROTO_MSNPU adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_MSNPU = 8$/;" v +PROTO_ONLY_FOR_TEST adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_ONLY_FOR_TEST = 20901$/;" v +PROTO_OPENCL adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_OPENCL = 4$/;" v +PROTO_OPENGL adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_OPENGL = 3$/;" v +PROTO_RE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^PROTO_RE = re.compile(r'^[\\w-]+:\/{0,3}', re.IGNORECASE)$/;" v +proto_value_for_feature adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def proto_value_for_feature(example, feature_name):$/;" f +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/metadata.py /^PROTO_VERSION = 0$/;" v +PROTO_VERSION_NEWEST adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^PROTO_VERSION_NEWEST = 6$/;" v +PROTO_XLA adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^PROTO_XLA = 9$/;" v +provides adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def provides(self):$/;" m class:Distribution +provides adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def provides(self):$/;" m class:Metadata +provides adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def provides(self, value):$/;" m class:Metadata +provides_defaults_for adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def provides_defaults_for(self, rule):$/;" m class:Rule +provides_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def provides_distribution(self, name, version=None):$/;" m class:DistributionPath +Provision adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^def Provision(allow_soft_placement=True,$/;" f +ProximalAdagradOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^class ProximalAdagradOptimizer(optimizer.Optimizer):$/;" c +ProximalAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^ProximalAdagradParameters = _reflection.GeneratedProtocolMessageType('ProximalAdagradParameters'/;" v +ProximalAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class ProximalAdagradParameters(_OptimizationParameters):$/;" c +ProximalAdagradSlotVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ProximalAdagradSlotVariable = collections.namedtuple($/;" v +ProximalAdagradSlotVariableName adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ProximalAdagradSlotVariableName = collections.namedtuple($/;" v +ProximalGradientDescentOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^class ProximalGradientDescentOptimizer(optimizer.Optimizer):$/;" c +ProximalYogiParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^ProximalYogiParameters = _reflection.GeneratedProtocolMessageType('ProximalYogiParameters', (_me/;" v +ProximalYogiParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class ProximalYogiParameters(_OptimizationParameters):$/;" c +ProximalYogiSlotVariableNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ProximalYogiSlotVariableNames = collections.namedtuple($/;" v +ProximalYogiSlotVariables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ProximalYogiSlotVariables = collections.namedtuple('ProximalYogiSlotVariables',$/;" v +proxy adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def proxy(name):$/;" f member:Group.visit file: +proxy adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def proxy(name):$/;" f member:Group.visititems file: +proxy adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ proxy = {}$/;" v class:CaseInsensitiveDict +proxy adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^proxy = partial($/;" v +proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ proxy = None$/;" v class:PoolManager +Proxy adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^class Proxy:$/;" c +proxy adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ proxy = None$/;" v class:PoolManager +proxy adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def proxy(self, obj, recursive):$/;" f member:DebugReprGenerator._sequence_repr_maker file: +proxy adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def proxy(*children, **arguments):$/;" f member:HTMLBuilder.__getattr__ file: +ProxyConfig adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ProxyConfig = collections.namedtuple("ProxyConfig", _proxy_config_fields)$/;" v +ProxyError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ProxyError(ConnectionError):$/;" c +ProxyError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ProxyError(HTTPError):$/;" c +ProxyError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ProxyError(ConnectionError):$/;" c +ProxyError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ProxyError(HTTPError):$/;" c +ProxyFix adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/proxy_fix.py /^class ProxyFix(object):$/;" c +ProxyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class ProxyInfo(univ.SequenceOf):$/;" c +ProxyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class ProxyInfo(univ.SequenceOf):$/;" c +ProxyManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^class ProxyManager(PoolManager):$/;" c +ProxyManager adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^class ProxyManager(PoolManager):$/;" c +ProxyMiddleware adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^class ProxyMiddleware(object):$/;" c +ProxySchemeUnknown adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ProxySchemeUnknown(AssertionError, ValueError):$/;" c +ProxySchemeUnknown adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ProxySchemeUnknown(AssertionError, URLSchemeUnknown):$/;" c +ProxySchemeUnsupported adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ProxySchemeUnsupported(ValueError):$/;" c +proxy_bypass adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^ def proxy_bypass(host): # noqa$/;" f +proxy_bypass adpepsenv/lib/python3.8/site-packages/requests/utils.py /^ def proxy_bypass(host): # noqa$/;" f +proxy_bypass_registry adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^ def proxy_bypass_registry(host):$/;" f +proxy_bypass_registry adpepsenv/lib/python3.8/site-packages/requests/utils.py /^ def proxy_bypass_registry(host):$/;" f +proxy_config adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ proxy_config = None$/;" v class:PoolManager +proxy_from_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^def proxy_from_url(url, **kw):$/;" f +proxy_from_url adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^def proxy_from_url(url, **kw):$/;" f +proxy_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def proxy_headers(self, proxy):$/;" m class:HTTPAdapter +proxy_headers adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def proxy_headers(self, proxy):$/;" m class:HTTPAdapter +proxy_manager_for adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def proxy_manager_for(self, *args, **kwargs):$/;" m class:_MutualTlsAdapter +proxy_manager_for adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def proxy_manager_for(self, proxy, **proxy_kwargs):$/;" m class:HTTPAdapter +proxy_manager_for adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def proxy_manager_for(self, proxy, **proxy_kwargs):$/;" m class:HTTPAdapter +proxy_revalidate adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ proxy_revalidate = cache_property("proxy-revalidate", None, bool)$/;" v class:ResponseCacheControl +proxy_to adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def proxy_to(self, opts, path, prefix):$/;" m class:ProxyMiddleware +pro_ang1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_ang1(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +pro_ang1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_ang1_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +pro_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pro_cv(double x0, double x1, double x2) nogil$/;" f +pro_cv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def pro_cv_seq(m, n, c):$/;" f +pro_rad1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_rad1(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +pro_rad1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_rad1_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +pro_rad2 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_rad2(double x0, double x1, double x2, double x3, double *y0, double *y1) nogil$/;" f +pro_rad2_cv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void pro_rad2_cv(double x0, double x1, double x2, double x3, double x4, double *y0, double /;" f +prune adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def prune(self):$/;" m class:bsr_matrix +prune adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def prune(self):$/;" m class:_cs_matrix +prune adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def prune(self, dir):$/;" m class:FileList +prune adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def prune(self, feeds, fetches, name=None, input_signature=None):$/;" m class:WrappedFunction +prune adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def prune(self, t, default_mask=None):$/;" m class:BasePruningMethod +Prune2Sparse adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^def Prune2Sparse(cur, id2node, name2id, ops, model):$/;" f +prune_file_list adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def prune_file_list(self):$/;" m class:manifest_maker +prune_file_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def prune_file_list(self):$/;" m class:sdist +prune_unconnected_ops_from_xla adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def prune_unconnected_ops_from_xla(prune_graph):$/;" f +PruningContainer adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class PruningContainer(BasePruningMethod):$/;" c +PruningMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^class PruningMode(object):$/;" c +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "global"$/;" v class:CustomFromMask +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "structured"$/;" v class:LnStructured +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "structured"$/;" v class:RandomStructured +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "unstructured"$/;" v class:Identity +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "unstructured"$/;" v class:L1Unstructured +PRUNING_TYPE adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ PRUNING_TYPE = "unstructured"$/;" v class:RandomUnstructured +pr_curve adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^pr_curve = _pr_curve_summary.op$/;" v +pr_curve adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def pr_curve(tag, labels, predictions, num_thresholds=127, weights=None):$/;" f +pr_curves_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def pr_curves_impl(self, ctx, experiment, runs, tag):$/;" m class:PrCurvesPlugin +pr_curves_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.pr_curve import metadata as pr_curves_metadata$/;" x +pr_curves_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def pr_curves_route(self, request):$/;" m class:PrCurvesPlugin +pr_curve_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^from tensorboard.plugins.pr_curve import metadata as pr_curve_metadata$/;" x +pr_curve_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^pr_curve_pb = _pr_curve_summary.pb$/;" v +pr_curve_raw adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def pr_curve_raw(tag, tp, fp, tn, fn, precision, recall, num_thresholds=127, weights=None):$/;" f +pr_curve_raw_data_op adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^pr_curve_raw_data_op = _pr_curve_summary.raw_data_op$/;" v +pr_curve_raw_data_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^pr_curve_raw_data_pb = _pr_curve_summary.raw_data_pb$/;" v +pr_curve_streaming_op adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^pr_curve_streaming_op = _pr_curve_summary.streaming_op$/;" v +pr_norm_cb adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def pr_norm_cb(r):$/;" f member:TestGMRES.test_callback_type file: +PS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ PS = "ps"$/;" v class:_TaskType +PS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^PS = dc._TaskType.PS$/;" v +PS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ PS = 'ps'$/;" v class:TaskType +PsBackendHelper adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class PsBackendHelper:$/;" c +psd adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def psd(self, x, NFFT=None, Fs=None, Fc=None, detrend=None,$/;" m class:Axes +psd adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def psd(x, NFFT=None, Fs=None, detrend=None, window=None,$/;" f +psd adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def psd($/;" f +psDefs adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^psDefs = [$/;" v +PsdImageFile adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^class PsdImageFile(ImageFile.ImageFile):$/;" c +PSDraw adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^class PSDraw:$/;" c +PseudoFP16Initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^class PseudoFP16Initializer(Initializer):$/;" c +pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^pseudonym = Attribute()$/;" v +PseudoToolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ class PseudoToolbar:$/;" c member:SaveFigureGTK3.trigger file: +pseudo_huber adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double pseudo_huber(double x0, double x1) nogil$/;" f +pseudo_tempname adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def pseudo_tempname(self):$/;" m class:easy_install +PSFile adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^class PSFile:$/;" c +PsFont adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^PsFont = namedtuple('PsFont', 'texname psname effects encoding filename')$/;" v +psfont adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^ def psfont(*args, **kwargs):$/;" f function:test_missing_psfont file: +psfont adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^ def psfont(*args, **kwargs):$/;" f function:test_missing_psfont file: +PsfontsMap adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class PsfontsMap:$/;" c +pshuffle adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pshuffle(x, axis_name, perm):$/;" f +psi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^psi = pound * g \/ (inch * inch)$/;" v +psi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t psi(Dd_number_t x0) nogil$/;" f +psio adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ psio = X[7]$/;" v +psi_2_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ psi_2_1 = lambda nu_beta, nu_alpha: psi_2(nu_beta, nu_alpha) if nu_beta > 0 else -psi_2(/;" f member:levy_stable_gen._fitstart file: +PSKCAlgorithmParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class PSKCAlgorithmParameters(univ.Choice):$/;" c +PSKCKeyUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class PSKCKeyUsage(char.UTF8String):$/;" c +PSKCKeyUsages adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class PSKCKeyUsages(univ.SequenceOf):$/;" c +psnr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def psnr(a, b, max_val, name=None):$/;" f +PSourceAlgorithm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class PSourceAlgorithm(AlgorithmIdentifier):$/;" c +pSpecifiedEmpty adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^pSpecifiedEmpty = rfc4055.pSpecifiedEmptyIdentifier$/;" v +pSpecifiedEmptyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^pSpecifiedEmptyIdentifier = rfc4055.pSpecifiedEmptyIdentifier$/;" v +pSpecifiedEmptyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^pSpecifiedEmptyIdentifier = rfc5280.AlgorithmIdentifier()$/;" v +pstoeps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def pstoeps(tmpfile, bbox=None, rotated=False):$/;" f +psum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def psum(x, axis_name, *, axis_index_groups=None):$/;" f +psum_bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def psum_bind(*args, axis_name, axis_index_groups):$/;" f +psum_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^psum_p = core.Primitive('psum')$/;" v +pswapaxes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def pswapaxes(x, axis_name, axis, *, axis_index_groups=None):$/;" f +ps_backend_helper adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ps_backend_helper = PsBackendHelper()$/;" v +ps_distribute_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^from tensorflow.python.distribute import ps_values as ps_distribute_values$/;" x +Pt adpeps/utils/ctmtensors.py /^ Pt: TList = None$/;" v class:CTMTensors +pt adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ from IPython.core import pylabtools as pt$/;" x member:FigureCanvasBase._fix_ipython_backend2gui file: +pt adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^pt = point = inch \/ 72 # typography$/;" v +Ptb adpeps/utils/ctmtensors.py /^ Ptb: TList = None$/;" v class:CTMTensors +pteqr_get_d_e_A_z adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def pteqr_get_d_e_A_z(dtype, realtype, n, compute_z):$/;" f +PthDistributions adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ PthDistributions = RewritePthDistributions$/;" v +PthDistributions adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class PthDistributions(Environment):$/;" c +ptp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ptp(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +ptp adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def ptp(a, axis=None, out=None, keepdims=np._NoValue):$/;" f +ptp adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def ptp(self, axis=None, out=None, fill_value=None, keepdims=False):$/;" m class:MaskedArray +ptp adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def ptp(obj, axis=None, out=None, fill_value=None, keepdims=np._NoValue):$/;" f +ptp adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def ptp(self, axis=None, out=None):$/;" m class:matrix +ptp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def ptp(a, axis=None, keepdims=None):$/;" f +pts_to_midstep adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def pts_to_midstep(x, *args):$/;" f +pts_to_poststep adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def pts_to_poststep(x, *args):$/;" f +pts_to_prestep adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def pts_to_prestep(x, *args):$/;" f +pt_repl adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def pt_repl(m):$/;" f function:preprocessor file: +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^from . import polyutils as pu$/;" x +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^import numpy.polynomial.polyutils as pu$/;" I +pu adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^from . import polyutils as pu$/;" x +PubKeyHeader adpepsenv/lib/python3.8/site-packages/rsa/asn1.py /^class PubKeyHeader(univ.Sequence):$/;" c +public adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def public(self):$/;" m class:LegacyVersion +public adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def public(self):$/;" m class:Version +public adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def public(self):$/;" m class:LegacyVersion +public adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def public(self):$/;" m class:Version +public adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def public(self):$/;" m class:LegacyVersion +public adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def public(self):$/;" m class:Version +public adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def public(self):$/;" m class:LegacyVersion +public adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def public(self):$/;" m class:Version +public adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ public = cache_property("public", None, bool)$/;" v class:ResponseCacheControl +PublicAPIVisitor adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^class PublicAPIVisitor(object):$/;" c +PublicError adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^class PublicError(RuntimeError):$/;" c +publicId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ publicId = property(_getPublicId, _setPublicId)$/;" v class:getETreeBuilder.DocumentType +publicId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ publicId = property(_getPublicId, _setPublicId)$/;" v class:getETreeBuilder.DocumentType +PublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class PublicKey(univ.BitString):$/;" c +PublicKey adpepsenv/lib/python3.8/site-packages/rsa/key.py /^class PublicKey(AbstractKey):$/;" c +publicpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^publicpattern = re.compile($/;" v +PUBLIC_ALIASED_MODULES adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^PUBLIC_ALIASED_MODULES = [$/;" v +PUBLIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^PUBLIC_ATTRIBUTES = CommonEndpoints.all_functions.union($/;" v +public_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def public_model_fn(features, labels, mode, config):$/;" f member:Estimator.model_fn file: +PUBLIC_MODULES adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^PUBLIC_MODULES = ['numpy.' + s for s in [$/;" v +public_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_export.py /^def public_name(np_fun_name):$/;" f +publish adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def publish(self, event, *args, **kwargs):$/;" m class:EventMixin +PublishTrustAnchors adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class PublishTrustAnchors(univ.Sequence):$/;" c +PublishTrustAnchors adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class PublishTrustAnchors(univ.Sequence):$/;" c +publish_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^def publish_traceback(debug_server_urls,$/;" f +pulls_fd adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def pulls_fd(self):$/;" m class:PyDecoder +punc8bit adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^punc8bit = srange(r"[\\0xa1-\\0xbf\\0xd7\\0xf7]")$/;" v +punc8bit adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^punc8bit = srange(r"[\\0xa1-\\0xbf\\0xd7\\0xf7]")$/;" v +punc8bit adpepsenv/lib/python3.8/site-packages/pyparsing.py /^punc8bit = srange(r"[\\0xa1-\\0xbf\\0xd7\\0xf7]")$/;" v +punc8bit adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^punc8bit = srange(r"[\\0xa1-\\0xbf\\0xd7\\0xf7]")$/;" v +punctClass adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^punctClass = r"""[!"#\\$\\%'()*+,-.\\\/:;<=>?\\@\\[\\\\\\]\\^_`{|}~]"""$/;" v +PUNCTUATION adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^PUNCTUATION = Word("-_.")$/;" v +PUNCTUATION adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^PUNCTUATION = Word("-_.")$/;" v +PUNCTUATION adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^PUNCTUATION = Word("-_.")$/;" v +pure adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def pure(self, val):$/;" m class:Trace +pure adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def pure(self, x): return x$/;" m class:EvalTrace +pure adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def pure(self, val):$/;" m class:CallbackTrace +pure adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def pure(self, val: Any):$/;" m class:DoublingTrace +pure adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def pure(self, val: Union[TfVal, core.Unit]) -> TensorFlowTracer:$/;" m class:TensorFlowTrace +pure adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def pure(self, val):$/;" m class:JetTrace +pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def pure(self, val):$/;" m class:JVPTrace +pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def pure(self, val):$/;" m class:BatchTrace +pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def pure(self, val):$/;" m class:MaskTrace +pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def pure(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +pure adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ pure = lift = sublift = new_const$/;" v class:DynamicJaxprTrace +PURE_ASCII adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ PURE_ASCII = 0$/;" v class:InputState +PURE_ASCII adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ PURE_ASCII = 0$/;" v class:InputState +PurgeData adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def PurgeData(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +PurgeDataRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^PurgeDataRequest = _reflection.GeneratedProtocolMessageType('PurgeDataRequest', (_message.Messag/;" v +PurgeDataResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^PurgeDataResponse = _reflection.GeneratedProtocolMessageType('PurgeDataResponse', (_message.Mess/;" v +PurgeStats adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^PurgeStats = _reflection.GeneratedProtocolMessageType('PurgeStats', (_message.Message,), {$/;" v +purge_cache adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def purge_cache(self, options, args):$/;" m class:CacheCommand +push adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def push(self, main_trace: MainTrace, bottom: bool) -> None:$/;" m class:omnistaging_disabler.TraceStack +push adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def push(self, main_trace: MainTrace) -> None:$/;" m class:TraceStack +push adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def push(self):$/;" m class:GraphicsContextPdf +push adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def push(self, o):$/;" m class:Stack +push adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def push(self, task):$/;" m class:Pool +push adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def push(self, cid, pos, length):$/;" m class:ChunkStream +push adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def push(self, exit):$/;" m class:ExitStack +push adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def push(self, token):$/;" m class:Scope +push adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def push(self, is_building_function, enter_context_fn, device_stack):$/;" m class:_ContextSwitchStack +push adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def push():$/;" m class:ThreadedStream +push adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def push(self, obj):$/;" m class:LocalStack +pushd adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def pushd(target):$/;" f +push_current adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def push_current(self):$/;" m class:NavigationToolbar2 +push_current adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def push_current(self, figure=None):$/;" m class:ToolViewsPositions +push_forwardprop_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop_util.py /^def push_forwardprop_state():$/;" f +push_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def push_modifiers(self, modifiers):$/;" m class:ModifierContext +push_new_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def push_new_tape(persistent=False, watch_accessed_variables=True):$/;" f +push_obj adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def push_obj(self, obj, offset=0):$/;" m class:TraceableStack +push_state adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def push_state(self):$/;" m class:Parser +push_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def push_tape(tape):$/;" f +put adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def put(self, chunk, data_input_coordinator):$/;" m class:BatchFeeder +put adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def put(arg):$/;" f function:execute_with_python_values file: +put adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ put = collections.deque.append$/;" v class:Queue +put adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ put = collections.deque.append$/;" v class:Stack +put adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def put(a, ind, v, mode='raise'):$/;" f +put adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def put(self, indices, values, mode='raise'):$/;" m class:MaskedArray +put adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def put(a, indices, values, mode='raise'):$/;" f +put adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def put(url, data=None, **kwargs):$/;" f +put adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def put(self, url, data=None, **kwargs):$/;" m class:Session +put adpepsenv/lib/python3.8/site-packages/requests/api.py /^def put(url, data=None, **kwargs):$/;" f +put adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def put(self, url, data=None, **kwargs):$/;" m class:Session +put adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def put(*a):$/;" f +put adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def put(self, closure):$/;" m class:_CoordinatedClosureQueue +put adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def put(self, key, value):$/;" m class:_EagerTensorCache +put adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def put(self, key, vals, indices=None, name=None):$/;" m class:MapStagingArea +put adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def put(self, values, name=None):$/;" m class:StagingArea +put adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def put(self, item):$/;" m class:CloseableQueue +put adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def put(self, *args, **kw):$/;" m class:Client +putalpha adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def putalpha(self, alpha):$/;" m class:Image +putchunk adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def putchunk(fp, cid, *data):$/;" f +putdata adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def putdata(self, data, scale=1.0, offset=0.0):$/;" m class:Image +putheader adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def putheader(self, header, *values):$/;" m class:HTTPConnection +puti16 adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^def puti16(fp, values):$/;" f +putmask adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def putmask(a, mask, values):$/;" f +putmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def putmask(a, mask, values): # , mode='raise'):$/;" f +putpalette adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def putpalette(self, data, rawmode="RGB"):$/;" m class:Image +putpixel adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def putpixel(self, xy, value):$/;" m class:Image +putpixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ putpixel = __setitem__$/;" v class:PyAccess +putrequest adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def putrequest(self, method, url, *args, **kwargs):$/;" m class:HTTPConnection +putrequest adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def putrequest(self, method, url, *args, **kwargs):$/;" m class:HTTPConnection +put_along_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def put_along_axis(arr, indices, values, axis):$/;" f +put_api_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def put_api_def(self, text):$/;" m class:ApiDefMap +put_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def put_back(self, closure):$/;" m class:_CoordinatedClosureQueue +put_metric adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def put_metric(self, key, value, count=True):$/;" m class:Metrics +put_operand adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def put_operand(stuff, index, sort, operand, aggregation):$/;" f function:_find_all_hints_in_nodes file: +put_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def put_variables(self, mdict, write_header=None):$/;" m class:MatFile4Writer +put_variables adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def put_variables(self, mdict, write_header=None):$/;" m class:MatFile5Writer +pv adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def pv(rate, nper, pmt, fv=0, when='end'):$/;" f +pwd adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^pwd = os.path.dirname(os.path.abspath(__file__))$/;" v +PWL adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^from multiprocessing.pool import Pool as PWL$/;" x +px adpeps/ipeps/config.py /^px: float = 0 * math.pi$/;" v +pxd_decl adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def pxd_decl(name, ret_type, args):$/;" f +pxd_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^pxd_template = """cdef {ret_type} {name}({args}) nogil$/;" v +pxd_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^pxd_template = """ctypedef {ret_type} {name}_t({args}) nogil$/;" v +PxlaResultHandler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^PxlaResultHandler = Callable[..., Callable[[List[xb.xla_client._xla.PyLocalBuffer]], Any]]$/;" v +pxla_result_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^pxla_result_handlers: Dict[Type[core.AbstractValue], PxlaResultHandler] = {}$/;" v +py adpeps/ipeps/config.py /^py: float = 0 * math.pi$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ PY2 = True$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ PY2 = True$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^PY2 = sys.version_info[0] == 2$/;" v +PY2 adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^PY2 = sys.version_info[0] == 2$/;" v +PY26 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY26 = sys.version_info[0:2] == (2, 6)$/;" v +PY27 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY27 = sys.version_info[0:2] == (2, 7)$/;" v +PY275 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY275 = sys.version_info[0:3] >= (2, 7, 5)$/;" v +PY2_PREAMBLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^PY2_PREAMBLE = textwrap.dedent("""$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ PY3 = False$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ PY3 = True$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ PY3 = False$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ PY3 = True$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/torch/_six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY3 adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^PY3 = sys.version_info[0] == 3$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY34 adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^PY34 = sys.version_info[0:2] >= (3, 4)$/;" v +PY37 adpepsenv/lib/python3.8/site-packages/markdown/util.py /^PY37 = (3, 7) <= sys.version_info$/;" v +PY37 adpepsenv/lib/python3.8/site-packages/torch/_six.py /^PY37 = sys.version_info[0] == 3 and sys.version_info[1] >= 7$/;" v +PY3_PREAMBLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^PY3_PREAMBLE = ''$/;" v +PyAccess adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class PyAccess:$/;" c +PyArray_calloc_aligned adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^ cdef void *PyArray_calloc_aligned(size_t n, size_t s)$/;" f +PyArray_free_aligned adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^ cdef void PyArray_free_aligned(void *p)$/;" f +PyArray_malloc_aligned adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^ cdef void *PyArray_malloc_aligned(size_t n)$/;" f +PyArray_MultiIterNew1 adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object PyArray_MultiIterNew1(a):$/;" f +PyArray_MultiIterNew1 adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object PyArray_MultiIterNew1(a):$/;" f +PyArray_MultiIterNew2 adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object PyArray_MultiIterNew2(a, b):$/;" f +PyArray_MultiIterNew2 adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object PyArray_MultiIterNew2(a, b):$/;" f +PyArray_MultiIterNew3 adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object PyArray_MultiIterNew3(a, b, c):$/;" f +PyArray_MultiIterNew3 adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object PyArray_MultiIterNew3(a, b, c):$/;" f +PyArray_MultiIterNew4 adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object PyArray_MultiIterNew4(a, b, c, d):$/;" f +PyArray_MultiIterNew4 adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object PyArray_MultiIterNew4(a, b, c, d):$/;" f +PyArray_MultiIterNew5 adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline object PyArray_MultiIterNew5(a, b, c, d, e):$/;" f +PyArray_MultiIterNew5 adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline object PyArray_MultiIterNew5(a, b, c, d, e):$/;" f +PyArray_realloc_aligned adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^ cdef void *PyArray_realloc_aligned(void *p, size_t n)$/;" f +PyAsn1Error adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class PyAsn1Error(Exception):$/;" c +PyAsn1UnicodeDecodeError adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class PyAsn1UnicodeDecodeError(PyAsn1UnicodeError, UnicodeDecodeError):$/;" c +PyAsn1UnicodeEncodeError adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class PyAsn1UnicodeEncodeError(PyAsn1UnicodeError, UnicodeEncodeError):$/;" c +PyAsn1UnicodeError adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class PyAsn1UnicodeError(PyAsn1Error, UnicodeError):$/;" c +pybuf adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cimport cpython.buffer as pybuf$/;" I +PyCFuncPtr adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^PyCFuncPtr = ctypes.CFUNCTYPE(ctypes.c_void_p).__bases__[0]$/;" v +PyCMSError adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^class PyCMSError(Exception):$/;" c +PyCodecState adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^class PyCodecState:$/;" c +PyCTError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/errors.py /^class PyCTError(Exception):$/;" c +pyc_output_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def pyc_output_path(path):$/;" f function:_install_wheel file: +pyc_source_file_paths adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def pyc_source_file_paths():$/;" f function:_install_wheel file: +PyDataType_SHAPE adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline tuple PyDataType_SHAPE(dtype d):$/;" f +PyDataType_SHAPE adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline tuple PyDataType_SHAPE(dtype d):$/;" f +PyDecoder adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^class PyDecoder:$/;" c +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^from tensorflow.python.framework import device as pydev$/;" x +pydev adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^from tensorflow.python.framework import device as pydev$/;" x +PyDialog adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^class PyDialog(Dialog):$/;" c +pydot adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^ pydot = None$/;" v +pydot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^ pydot = None$/;" v +pydot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^ import pydotplus as pydot$/;" I +pydot adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/vis_utils.py /^ import pydot_ng as pydot$/;" I +pyf adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ pyf = crack2fortran(postlist)$/;" v +pyffilename adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ pyffilename = l$/;" v +pyffilename adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^pyffilename = ''$/;" v +PyFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^PyFunc = tf_export("raw_ops.PyFunc")(_ops.to_raw_op(py_func))$/;" v +PyFuncStateless adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^PyFuncStateless = tf_export("raw_ops.PyFuncStateless")(_ops.to_raw_op(py_func_stateless))$/;" v +pyf_src adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_from_template.py /^pyf_src = """$/;" v +pygments adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ pygments = False$/;" v +pygments adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ pygments = True$/;" v +pylab_helpers adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ import matplotlib._pylab_helpers as pylab_helpers$/;" I member:Figure.__setstate__ file: +PyLocalBuffer adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^PyLocalBuffer = Any$/;" v +pymatrixid adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^import scipy.linalg.interpolative as pymatrixid$/;" I +pyod adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def pyod(filename):$/;" f +pyopenssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^ pyopenssl = None$/;" v +pyopenssl adpepsenv/lib/python3.8/site-packages/requests/help.py /^ pyopenssl = None$/;" v +PyOpenSSLContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^class PyOpenSSLContext(object):$/;" c +PyOpenSSLContext adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^class PyOpenSSLContext(object):$/;" c +pyparsing_common adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class pyparsing_common:$/;" c +pyparsing_common adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class pyparsing_common:$/;" c +pyparsing_common adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class pyparsing_common:$/;" c +pyparsing_common adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class pyparsing_common:$/;" c +pyparsing_test adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class pyparsing_test:$/;" c +pyparsing_test adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class pyparsing_test:$/;" c +pyparsing_unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class pyparsing_unicode(unicode_set):$/;" c +pyparsing_unicode adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class pyparsing_unicode(unicode_set):$/;" c +PyPI adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^PyPI = PackageIndex($/;" v +PyPIConfig adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^class PyPIConfig(configparser.RawConfigParser):$/;" c +PyPIJSONLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class PyPIJSONLocator(Locator):$/;" c +PyPIRCCommand adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^class PyPIRCCommand(Command):$/;" c +PyPIRPCLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class PyPIRPCLocator(Locator):$/;" c +PYPI_MD5 adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^PYPI_MD5 = re.compile($/;" v +pyplot_wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def pyplot_wrapper(foo=cbook.deprecation._deprecated_parameter):$/;" f function:test_delete_parameter file: +pyproject_toml_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def pyproject_toml_path(self):$/;" m class:InstallRequirement +PyRecordReader_New adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^class PyRecordReader_New:$/;" c +pyrex_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def pyrex_sources(self, sources, extension):$/;" m class:build_src +pytestmark adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^pytestmark = pytest.mark.skipif($/;" v +pytestmark adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^ pytestmark = pytest.mark.skip('Missing TeX of Ghostscript or dvipng')$/;" v +pytestmark adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^pytestmark = pytest.mark.skipif(sparse is None,$/;" v +PytestTester adpepsenv/lib/python3.8/site-packages/numpy/_pytesttester.py /^class PytestTester:$/;" c +PytestTester adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^class PytestTester(object):$/;" c +pytest_addoption adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conftest.py /^def pytest_addoption(parser):$/;" f +pytest_addoption adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def pytest_addoption(parser):$/;" f +pytest_configure adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conftest.py /^def pytest_configure(config):$/;" f +pytest_configure adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^def pytest_configure(config):$/;" f +pytest_configure adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def pytest_configure(config):$/;" f +pytest_configure adpepsenv/lib/python3.8/site-packages/scipy/conftest.py /^def pytest_configure(config):$/;" f +pytest_itemcollected adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def pytest_itemcollected(item):$/;" f +pytest_plugins adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/onnx_backend_test.py /^pytest_plugins = 'onnx.backend.test.report',$/;" v +pytest_runtest_setup adpepsenv/lib/python3.8/site-packages/scipy/conftest.py /^def pytest_runtest_setup(item):$/;" f +pytest_sessionstart adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^def pytest_sessionstart(session):$/;" f +pytest_unconfigure adpepsenv/lib/python3.8/site-packages/matplotlib/testing/conftest.py /^def pytest_unconfigure(config):$/;" f +Python adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Python($/;" m class:Net +PythonFormatter adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class PythonFormatter(logging.Formatter):$/;" c +PythonHandler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class PythonHandler(logging.StreamHandler):$/;" c +pythonlib_dir adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def pythonlib_dir():$/;" f +PythonOpStats adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^class PythonOpStats(object):$/;" c +PythonOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^class PythonOpTest(hu.HypothesisTestCase):$/;" c +PythonOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^class PythonOpTest(hu.HypothesisTestCase):$/;" c +PythonState adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/python_state.py /^class PythonState(base.Trackable):$/;" c +PythonStateSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class PythonStateSaveable(saveable_object.SaveableObject):$/;" c +PythonStringStateSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class PythonStringStateSaveable(PythonStateSaveable):$/;" c +pythonStyleComment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^pythonStyleComment = Regex(r"#.*").setName("Python style comment")$/;" v +pythonStyleComment adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^pythonStyleComment = Regex(r"#.*").setName("Python style comment")$/;" v +pythonStyleComment adpepsenv/lib/python3.8/site-packages/pyparsing.py /^pythonStyleComment = Regex(r"#.*").setName("Python style comment")$/;" v +pythonStyleComment adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^pythonStyleComment = Regex(r"#.*").setName("Python style comment")$/;" v +PythonUDF adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^PythonUDF = collections.namedtuple("PythonUDF", ["func", "args", "kwargs"])$/;" v +PythonVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ PythonVersion = Sequence[int]$/;" v +PythonVersion adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ PythonVersion = Sequence[int]$/;" v +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_2_unicode_compatible adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def python_2_unicode_compatible(klass):$/;" f +python_build adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^python_build = _python_build()$/;" v +python_code adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def python_code(self, root_module: str) -> Tuple[str, str, List[str]]:$/;" m class:Graph +python_exit_status adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/__init__.py /^python_exit_status = False$/;" v +python_format adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def python_format(self):$/;" m class:ExpFormat +python_format adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def python_format(self):$/;" m class:IntFormat +python_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def python_function(self):$/;" m class:Function +python_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def python_function(self):$/;" m class:Function +python_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def python_function():$/;" f +python_grad_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def python_grad_func(self):$/;" m class:_DefinedFunction +python_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def python_graph(self):$/;" m class:DebugDumpDir +python_handler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def python_handler(self):$/;" m class:ABSLHandler +python_implementation adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def python_implementation():$/;" f +PYTHON_MAX_ITERATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^PYTHON_MAX_ITERATIONS = 100000000 # Fails in about one minute for empty loops.$/;" v +PYTHON_MAX_ITERATIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^PYTHON_MAX_ITERATIONS = 100000000 # Fails in about one minute for empty loops.$/;" v +python_multiprocessing adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^import multiprocessing as python_multiprocessing$/;" I +PYTHON_NAMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^PYTHON_NAMES = {$/;" v +PYTHON_NAMES adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^PYTHON_NAMES = {$/;" v +python_op_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^def python_op_builder():$/;" f +python_properties adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def python_properties(self):$/;" m class:SavedModelSaver +python_properties adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def python_properties(self):$/;" m class:InputLayerSavedModelSaver +python_properties adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def python_properties(self):$/;" m class:LayerSavedModelSaver +python_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def python_restore(self, restored_strings):$/;" m class:PythonStringStateSaveable +python_return_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def python_return_future() -> Future[Tensor]:$/;" f member:FutureTypingTest.test_future_python_annotation file: +python_scalar_dtypes adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^python_scalar_dtypes = {$/;" v +PYTHON_SCALAR_SHAPE adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^PYTHON_SCALAR_SHAPE = _PythonScalar()$/;" v +PYTHON_SOURCE_EXTENSION adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^PYTHON_SOURCE_EXTENSION = ".py"$/;" v +python_tag adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def python_tag():$/;" f +python_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^import types as python_types$/;" I +python_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^import types as python_types$/;" I +python_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^import types as python_types$/;" I +python_version adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^python_version = partial($/;" v +PYTHON_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^PYTHON_VERSION = re.compile(r'-py(\\d\\.?\\d?)')$/;" v +PyToPy adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^class PyToPy(GenericTranspiler):$/;" c +PYTORCH_CUDA_MEMCHECK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^PYTORCH_CUDA_MEMCHECK = os.getenv('PYTORCH_CUDA_MEMCHECK', '0') == '1'$/;" v +PYTORCH_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^PYTORCH_MAP = {}$/;" v +PYTORCH_ONNX_CAFFE2_BUNDLE adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^PYTORCH_ONNX_CAFFE2_BUNDLE = _C._onnx.PYTORCH_ONNX_CAFFE2_BUNDLE$/;" v +PYTORCH_SPECIFIC_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/cuda_to_hip_mappings.py /^PYTORCH_SPECIFIC_MAPPINGS = collections.OrderedDict($/;" v +PYTORCH_TEMPLATE_MAP adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^PYTORCH_TEMPLATE_MAP = {"Dtype": "scalar_t", "T": "scalar_t"}$/;" v +PYTORCH_TRIE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^PYTORCH_TRIE = Trie()$/;" v +PyToTF adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class PyToTF(transpiler.PyToPy):$/;" c +pytree adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^pytree = xla_client._xla.pytree$/;" v +PyTrie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/__init__.py /^from .py import Trie as PyTrie$/;" x +pytype adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def pytype(x):$/;" f member:MpmathData.check file: +pytype_aval_mappings adpepsenv/lib/python3.8/site-packages/jax/core.py /^pytype_aval_mappings: Dict[type, Callable[[Any], AbstractValue]] = {}$/;" v +pytype_aval_mappings adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^pytype_aval_mappings: Dict[Any, Callable[[Any], core.AbstractValue]] = {$/;" v +PYVER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^PYVER = 'py' + VER_SUFFIX$/;" v +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pywrap_tfe adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python import pywrap_tfe as pywrap_tfe$/;" x +pyx_decl_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def pyx_decl_func(name, ret_type, args, header_name):$/;" f +pyx_decl_sub adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def pyx_decl_sub(name, args, header_name):$/;" f +pyx_func_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^pyx_func_template = """$/;" v +pyx_sub_template adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^pyx_sub_template = """cdef extern from "{header_name}":$/;" v +PY_3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^PY_3 = system_version[0] == 3$/;" v +PY_3 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^PY_3 = system_version[0] == 3$/;" v +PY_3 adpepsenv/lib/python3.8/site-packages/pyparsing.py /^PY_3 = system_version[0] == 3$/;" v +PY_3 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^PY_3 = system_version[0] == 3$/;" v +py_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^py_all = all$/;" v +py_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^py_any = any$/;" v +py_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^import collections as py_collections$/;" I +py_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^import collections as py_collections$/;" I +PY_COMPILED adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^PY_COMPILED = 2$/;" v +py_dll_path adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ py_dll_path = os.path.join(os.path.dirname(sys.executable), 'Library', 'bin')$/;" v +py_dll_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ py_dll_path = os.path.join(sys.exec_prefix, 'Library', 'bin')$/;" v +PY_FROZEN adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^PY_FROZEN = 7$/;" v +py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def py_func(input, token, Tout, name=None):$/;" f +py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def py_func(func, inp, Tout, stateful=True, name=None):$/;" f +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_function adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.script_ops import eager_py_func as py_function$/;" x +py_func_common adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def py_func_common(func, inp, Tout, stateful=True, name=None):$/;" f +py_func_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def py_func_eager_fallback(input, token, Tout, name, ctx):$/;" f +py_func_if_in_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def py_func_if_in_function(f):$/;" f +py_func_stateless adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def py_func_stateless(input, token, Tout, name=None):$/;" f +py_func_stateless_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^def py_func_stateless_eager_fallback(input, token, Tout, name, ctx):$/;" f +py_glob adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^import glob as py_glob$/;" I +PY_LIMITED_API_PATTERN adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^PY_LIMITED_API_PATTERN = r'cp3\\d'$/;" v +PY_MAJOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^PY_MAJOR = '{}.{}'.format(*sys.version_info)$/;" v +PY_MAJOR adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^PY_MAJOR = '{}.{}'.format(*sys.version_info)$/;" v +py_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def py_method(ind):$/;" f function:GenericArrayLikeDataAdapter.slice_inputs.grab_batch file: +PY_SOURCE adpepsenv/lib/python3.8/site-packages/setuptools/_imp.py /^PY_SOURCE = 1$/;" v +py_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^py_sum = sum$/;" v +py_tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^from tensorflow.python.client import pywrap_tf_session as py_tf$/;" x +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = bool$/;" v class:BoolFlags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = float$/;" v class:Float32Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = float$/;" v class:Float64Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Int16Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Int32Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Int64Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Int8Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Uint16Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Uint32Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Uint64Flags +py_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^ py_type = int$/;" v class:Uint8Flags +py_ver adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^py_ver = "%d%d" % tuple(sys.version_info[:2])$/;" v +py_vq adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def py_vq(obs, code_book, check_finite=True):$/;" f +py_vq2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^py_vq2 = np.deprecate(py_vq, old_name='py_vq2', new_name='py_vq')$/;" v +PZERO adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^PZERO = np.PZERO$/;" v +p_wchar adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ p_wchar = ctypes.POINTER(wintypes.WCHAR) # Pointer to a wide char$/;" v class:tzres +QAPCommonTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^class QAPCommonTests(object):$/;" c +qApp adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^qApp = None$/;" v +QAT adpepsenv/lib/python3.8/site-packages/torch/quantization/quant_type.py /^ QAT = 2$/;" v class:QuantType +QAT_MODULE_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^QAT_MODULE_MAPPINGS = {$/;" v +QConfig adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^class QConfig(namedtuple('QConfig', ['activation', 'weight'])):$/;" c +QConfigDynamic adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^class QConfigDynamic(namedtuple('QConfigDynamic', ['activation', 'weight'])):$/;" c +QDESIGN_MUSIC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ QDESIGN_MUSIC = 0x0450$/;" v class:WAVE_FORMAT +qengine_is_fbgemm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def qengine_is_fbgemm():$/;" f +qengine_is_qnnpack adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def qengine_is_qnnpack():$/;" f +qfont_to_tuple adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def qfont_to_tuple(font):$/;" f +qform adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def qform(A, S):$/;" f +QFunctional adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^class QFunctional(torch.nn.Module):$/;" c +qhull adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^import scipy.spatial.qhull as qhull$/;" I +qhull adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^import scipy.spatial.qhull as qhull$/;" I +qint16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint16 = DType(types_pb2.DT_QINT16)$/;" v +qint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint16 = DType(types_pb2.DT_QINT16)$/;" v +qint16_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint16_ref = DType(types_pb2.DT_QINT16_REF)$/;" v +qint16_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint16_ref = DType(types_pb2.DT_QINT16_REF)$/;" v +qint32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint32 = DType(types_pb2.DT_QINT32)$/;" v +qint32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint32 = DType(types_pb2.DT_QINT32)$/;" v +QInt32Storage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class QInt32Storage(_C.QInt32StorageBase, _StorageBase):$/;" c +qint32_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint32_ref = DType(types_pb2.DT_QINT32_REF)$/;" v +qint32_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint32_ref = DType(types_pb2.DT_QINT32_REF)$/;" v +qint8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint8 = DType(types_pb2.DT_QINT8)$/;" v +qint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint8 = DType(types_pb2.DT_QINT8)$/;" v +QInt8Storage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class QInt8Storage(_C.QInt8StorageBase, _StorageBase):$/;" c +qint8_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^qint8_ref = DType(types_pb2.DT_QINT8_REF)$/;" v +qint8_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^qint8_ref = DType(types_pb2.DT_QINT8_REF)$/;" v +qmf adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def qmf(hk):$/;" f +qmr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def qmr(A, b, x0=None, tol=1e-5, maxiter=None, M1=None, M2=None, callback=None,$/;" f +QN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ QN = 'Qualified name, as it appeared in the code. See qual_names.py.'$/;" v class:Basic +QN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^class QN(object):$/;" c +qnorm adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def qnorm(carry):$/;" f function:_iterative_classical_gram_schmidt.body_function file: +qnorm_cond adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def qnorm_cond(carry):$/;" f function:_iterative_classical_gram_schmidt.body_function file: +QnResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^class QnResolver(gast.NodeTransformer):$/;" c +qop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def qop(self):$/;" m class:Authorization +qop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ qop = _set_property($/;" v class:WWWAuthenticate +qparams adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def qparams(draw, dtypes=None, scale_min=None, scale_max=None,$/;" f +qr adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def qr(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +qr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr(x, full_matrices: bool = True):$/;" f +qr adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def qr(a, mode="reduced"):$/;" f +qr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def qr(a, overwrite_a=False, lwork=None, mode="full", pivoting=False,$/;" f +qr adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def qr(a, mode='reduced'):$/;" f +qr adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_qr.py /^def qr(a, overwrite_a=False, lwork=None, mode='full', pivoting=False,$/;" f +qr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def qr(input, full_matrices=False, name=None):$/;" f +Qr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Qr = tf_export("raw_ops.Qr")(_ops.to_raw_op(qr))$/;" v +qr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^qr = linalg_ops.qr$/;" v +qr_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr_abstract_eval(operand, full_matrices):$/;" f +qr_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr_batching_rule(batched_args, batch_dims, full_matrices):$/;" f +qr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def qr_eager_fallback(input, full_matrices, name, ctx):$/;" f +qr_factorization_projections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^def qr_factorization_projections(A, m, n, orth_tol, max_refin, tol):$/;" f +qr_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr_impl(operand, full_matrices):$/;" f +qr_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr_jvp_rule(primals, tangents, full_matrices):$/;" f +qr_multiply adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_qr.py /^def qr_multiply(a, c, mode='right', pivoting=False, conjugate=False,$/;" f +qr_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^qr_p = Primitive('qr')$/;" v +qr_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def qr_translation_rule(c, operand, full_matrices):$/;" f +qspline1d adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def qspline1d(signal, lamb=0.0):$/;" f +qspline1d_eval adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def qspline1d_eval(cj, newx, dx=1.0, x0=0):$/;" f +QTensorProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^QTensorProto = _reflection.GeneratedProtocolMessageType('QTensorProto', (_message.Message,), {$/;" v +QT_API adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API = _ETS[QT_API_ENV]$/;" v +QT_API adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API = QT_API_PYQT5$/;" v +QT_API adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API = QT_API_PYQTv2$/;" v +QT_API adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API = QT_API_PYSIDE$/;" v +QT_API adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API = QT_API_PYSIDE2$/;" v +QT_API_ENV adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ QT_API_ENV = QT_API_ENV.lower()$/;" v +QT_API_ENV adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_ENV = os.environ.get("QT_API")$/;" v +QT_API_PYQT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_PYQT = "PyQt4" # Use the old sip v1 API (Py3 defaults to v2).$/;" v +QT_API_PYQT5 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_PYQT5 = "PyQt5"$/;" v +QT_API_PYQTv2 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_PYQTv2 = "PyQt4v2"$/;" v +QT_API_PYSIDE adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_PYSIDE = "PySide"$/;" v +QT_API_PYSIDE2 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_API_PYSIDE2 = "PySide2"$/;" v +qt_core adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def qt_core(request):$/;" f +qt_is_installed adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^ qt_is_installed = True$/;" v +QT_RC_MAJOR_VERSION adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^QT_RC_MAJOR_VERSION = int(QtCore.qVersion().split(".")[0])$/;" v +qt_version adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^ qt_version = None$/;" v +qt_versions adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^qt_versions = [$/;" v +quad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def quad(x, y):$/;" f function:test_triinterp file: +QUAD adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^QUAD = 3$/;" v +quad adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def quad(func, a, b, args=(), full_output=0, epsabs=1.49e-8, epsrel=1.49e-8,$/;" f +quad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def quad(self, x, a, b, args):$/;" m class:TestQuadrature +QuadContourSet adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^class QuadContourSet(ContourSet):$/;" c +QuadMesh adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class QuadMesh(Collection):$/;" c +quadratic adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^quadratic = _QuadraticModel()$/;" v +quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def quadratic(x, *args):$/;" f member:TestDifferentialEvolutionSolver.test_args_tuple_is_passed file: +quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def quadratic(self, x):$/;" m class:TestDifferentialEvolutionSolver +quadratic adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def quadratic(x):$/;" f +quadratic_assignment adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def quadratic_assignment(A, B, method="faq", options=None):$/;" f +QUADRATIC_ASSIGNMENT_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^QUADRATIC_ASSIGNMENT_METHODS = ['faq', '2opt']$/;" v +quadrature adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def quadrature(func, a, b, args=(), tol=1.49e-8, rtol=1.49e-8, maxiter=50,$/;" f +quadrature_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^quadrature_params = pytest.mark.parametrize($/;" v +QuadTransform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^class QuadTransform(Transform):$/;" c +quad_explain adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def quad_explain(output=sys.stdout):$/;" f +quad_vec adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def quad_vec(f, a, b, epsabs=1e-200, epsrel=1e-8, norm='2', cache_size=100e6, limit=10000,$/;" f +quake2palette adpepsenv/lib/python3.8/site-packages/PIL/WalImageFile.py /^quake2palette = ($/;" v +QUALCOMM_HALFRATE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ QUALCOMM_HALFRATE = 0x0151$/;" v class:WAVE_FORMAT +QUALCOMM_PUREVOICE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ QUALCOMM_PUREVOICE = 0x0150$/;" v class:WAVE_FORMAT +quality adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def quality(self, key):$/;" m class:Accept +QualnameWrapper adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ class QualnameWrapper(torch.nn.Module):$/;" c member:TracedModule.__init__ file: +quantile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def quantile(a, q, axis: Optional[Union[int, Tuple[int, ...]]] = None, out=None,$/;" f +quantile adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def quantile(a, q, axis=None, out=None,$/;" f +quantile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def quantile(self, value, name="quantile"):$/;" m class:Distribution +QuantileAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^class QuantileAccumulator(tracking.TrackableResource):$/;" c +QuantileAccumulatorSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^class QuantileAccumulatorSaveable(saver.BaseSaverBuilder.SaveableObject):$/;" c +quantile_add_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_quantile_stream_resource_a/;" x +quantile_flush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_quantile_stream_resource_f/;" x +quantile_resource_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_quantile_stream_resource_d/;" x +quantile_resource_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_quantile_stream_resource_h/;" x +Quantity adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^class Quantity:$/;" c +quantity_converter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def quantity_converter():$/;" f +Quantization adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Quantization(self):$/;" m class:Tensor +QuantizationDetails adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class QuantizationDetails(object):$/;" c +QuantizationDetailsCreator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationDetailsCreator(unionType, table):$/;" f +QuantizationMode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class QuantizationMode(object):$/;" c +QuantizationParameters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class QuantizationParameters(object):$/;" c +QuantizationParametersAddDetails adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddDetails(builder, details): builder.PrependUOffsetTRelativeSlot(5, f/;" f +QuantizationParametersAddDetailsType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddDetailsType(builder, detailsType): builder.PrependUint8Slot(4, deta/;" f +QuantizationParametersAddMax adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddMax(builder, max): builder.PrependUOffsetTRelativeSlot(1, flatbuffe/;" f +QuantizationParametersAddMin adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddMin(builder, min): builder.PrependUOffsetTRelativeSlot(0, flatbuffe/;" f +QuantizationParametersAddQuantizedDimension adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddQuantizedDimension(builder, quantizedDimension): builder.PrependInt/;" f +QuantizationParametersAddScale adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddScale(builder, scale): builder.PrependUOffsetTRelativeSlot(2, flatb/;" f +QuantizationParametersAddZeroPoint adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersAddZeroPoint(builder, zeroPoint): builder.PrependUOffsetTRelativeSlot(/;" f +QuantizationParametersBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def QuantizationParametersBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:QuantizationParameters +QuantizationParametersEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersEnd(builder): return builder.EndObject()$/;" f +QuantizationParametersStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersStart(builder): builder.StartObject(7)$/;" f +QuantizationParametersStartMaxVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersStartMaxVector(builder, numElems): return builder.StartVector(4, numEl/;" f +QuantizationParametersStartMinVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersStartMinVector(builder, numElems): return builder.StartVector(4, numEl/;" f +QuantizationParametersStartScaleVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersStartScaleVector(builder, numElems): return builder.StartVector(4, num/;" f +QuantizationParametersStartZeroPointVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizationParametersStartZeroPointVector(builder, numElems): return builder.StartVector(8,/;" f +QuantizationParametersT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class QuantizationParametersT(object):$/;" c +QuantizationTestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class QuantizationTestCase(TestCase):$/;" c +QUANTIZATION_PATTERNS adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^QUANTIZATION_PATTERNS = OrderedDict()$/;" v +quantization_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^ def quantization_ref(X):$/;" f member:TestFloatToFusedRandRowwiseQuantized.test_rand_quantization file: +quantize adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def quantize(self, colors=256, method=None, kmeans=0, palette=None, dither=1):$/;" m class:Image +QUANTIZE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ QUANTIZE = 114$/;" v class:BuiltinOperator +quantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def quantize($/;" f +Quantize adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^class Quantize(torch.nn.Module):$/;" c +quantize adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def quantize(model, run_fn, run_args, mapping=None, inplace=False):$/;" f +QuantizeAndDequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeAndDequantize = tf_export("raw_ops.QuantizeAndDequantize")(_ops.to_raw_op(quantize_and_d/;" v +QuantizeAndDequantizeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeAndDequantizeV2 = tf_export("raw_ops.QuantizeAndDequantizeV2")(_ops.to_raw_op(quantize_a/;" v +QuantizeAndDequantizeV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeAndDequantizeV3 = tf_export("raw_ops.QuantizeAndDequantizeV3")(_ops.to_raw_op(quantize_a/;" v +QuantizeAndDequantizeV4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeAndDequantizeV4 = tf_export("raw_ops.QuantizeAndDequantizeV4")(_ops.to_raw_op(quantize_a/;" v +QuantizeAndDequantizeV4Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeAndDequantizeV4Grad = tf_export("raw_ops.QuantizeAndDequantizeV4Grad")(_ops.to_raw_op(qu/;" v +QuantizedAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^QuantizedAdd = tf_export("raw_ops.QuantizedAdd")(_ops.to_raw_op(quantized_add))$/;" v +QuantizedAvgPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedAvgPool = tf_export("raw_ops.QuantizedAvgPool")(_ops.to_raw_op(quantized_avg_pool))$/;" v +QuantizedBatchNormWithGlobalNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedBatchNormWithGlobalNormalization = tf_export("raw_ops.QuantizedBatchNormWithGlobalNorma/;" v +QuantizedBiasAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedBiasAdd = tf_export("raw_ops.QuantizedBiasAdd")(_ops.to_raw_op(quantized_bias_add))$/;" v +QuantizedConcat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizedConcat = tf_export("raw_ops.QuantizedConcat")(_ops.to_raw_op(quantized_concat))$/;" v +QuantizedConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2D = tf_export("raw_ops.QuantizedConv2D")(_ops.to_raw_op(quantized_conv2d))$/;" v +QuantizedConv2DAndRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DAndRelu = tf_export("raw_ops.QuantizedConv2DAndRelu")(_ops.to_raw_op(quantized_co/;" v +QuantizedConv2DAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DAndReluAndRequantize = tf_export("raw_ops.QuantizedConv2DAndReluAndRequantize")(_/;" v +QuantizedConv2DAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DAndRequantize = tf_export("raw_ops.QuantizedConv2DAndRequantize")(_ops.to_raw_op(/;" v +QuantizedConv2DPerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DPerChannel = tf_export("raw_ops.QuantizedConv2DPerChannel")(_ops.to_raw_op(quanti/;" v +QuantizedConv2DWithBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBias = tf_export("raw_ops.QuantizedConv2DWithBias")(_ops.to_raw_op(quantized_/;" v +QuantizedConv2DWithBiasAndRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasAndRelu = tf_export("raw_ops.QuantizedConv2DWithBiasAndRelu")(_ops.to_raw/;" v +QuantizedConv2DWithBiasAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasAndReluAndRequantize = tf_export("raw_ops.QuantizedConv2DWithBiasAndReluA/;" v +QuantizedConv2DWithBiasAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasAndRequantize = tf_export("raw_ops.QuantizedConv2DWithBiasAndRequantize")/;" v +QuantizedConv2DWithBiasSignedSumAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasSignedSumAndReluAndRequantize = tf_export("raw_ops.QuantizedConv2DWithBia/;" v +QuantizedConv2DWithBiasSumAndRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasSumAndRelu = tf_export("raw_ops.QuantizedConv2DWithBiasSumAndRelu")(_ops./;" v +QuantizedConv2DWithBiasSumAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedConv2DWithBiasSumAndReluAndRequantize = tf_export("raw_ops.QuantizedConv2DWithBiasSumAn/;" v +QuantizedDepthwiseConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedDepthwiseConv2D = tf_export("raw_ops.QuantizedDepthwiseConv2D")(_ops.to_raw_op(quantize/;" v +QuantizedDepthwiseConv2DWithBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedDepthwiseConv2DWithBias = tf_export("raw_ops.QuantizedDepthwiseConv2DWithBias")(_ops.to/;" v +QuantizedDepthwiseConv2DWithBiasAndRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedDepthwiseConv2DWithBiasAndRelu = tf_export("raw_ops.QuantizedDepthwiseConv2DWithBiasAnd/;" v +QuantizedDepthwiseConv2DWithBiasAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedDepthwiseConv2DWithBiasAndReluAndRequantize = tf_export("raw_ops.QuantizedDepthwiseConv/;" v +QuantizedDimension adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def QuantizedDimension(self):$/;" m class:QuantizationParameters +QuantizedEngine adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^class QuantizedEngine(types.ModuleType):$/;" c +QuantizedGRU adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedGRU(QuantizedRNNBase):$/;" c +QuantizedGRUCell adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedGRUCell(QuantizedRNNCellBase):$/;" c +QuantizedInstanceNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizedInstanceNorm = tf_export("raw_ops.QuantizedInstanceNorm")(_ops.to_raw_op(quantized_inst/;" v +QuantizedLinear adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedLinear(torch.jit.ScriptModule):$/;" c +QuantizedLinearFP16 adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedLinearFP16(torch.jit.ScriptModule):$/;" c +QuantizedLSTM adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedLSTM(QuantizedRNNBase):$/;" c +QuantizedLSTMCell adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedLSTMCell(QuantizedRNNCellBase):$/;" c +QuantizedMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^QuantizedMatMul = tf_export("raw_ops.QuantizedMatMul")(_ops.to_raw_op(quantized_mat_mul))$/;" v +QuantizedMatMulWithBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMatMulWithBias = tf_export("raw_ops.QuantizedMatMulWithBias")(_ops.to_raw_op(quantized_/;" v +QuantizedMatMulWithBiasAndDequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMatMulWithBiasAndDequantize = tf_export("raw_ops.QuantizedMatMulWithBiasAndDequantize")/;" v +QuantizedMatMulWithBiasAndRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMatMulWithBiasAndRelu = tf_export("raw_ops.QuantizedMatMulWithBiasAndRelu")(_ops.to_raw/;" v +QuantizedMatMulWithBiasAndReluAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMatMulWithBiasAndReluAndRequantize = tf_export("raw_ops.QuantizedMatMulWithBiasAndReluA/;" v +QuantizedMatMulWithBiasAndRequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMatMulWithBiasAndRequantize = tf_export("raw_ops.QuantizedMatMulWithBiasAndRequantize")/;" v +QuantizedMaxPool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedMaxPool = tf_export("raw_ops.QuantizedMaxPool")(_ops.to_raw_op(quantized_max_pool))$/;" v +QuantizedMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^QuantizedMul = tf_export("raw_ops.QuantizedMul")(_ops.to_raw_op(quantized_mul))$/;" v +QuantizeDownAndShrinkRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^QuantizeDownAndShrinkRange = tf_export("raw_ops.QuantizeDownAndShrinkRange")(_ops.to_raw_op(quan/;" v +QuantizedRelu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedRelu = tf_export("raw_ops.QuantizedRelu")(_ops.to_raw_op(quantized_relu))$/;" v +QuantizedRelu6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedRelu6 = tf_export("raw_ops.QuantizedRelu6")(_ops.to_raw_op(quantized_relu6))$/;" v +QuantizedReluX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^QuantizedReluX = tf_export("raw_ops.QuantizedReluX")(_ops.to_raw_op(quantized_relu_x))$/;" v +QuantizedReshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizedReshape = tf_export("raw_ops.QuantizedReshape")(_ops.to_raw_op(quantized_reshape))$/;" v +QuantizedResizeBilinear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^QuantizedResizeBilinear = tf_export("raw_ops.QuantizedResizeBilinear")(_ops.to_raw_op(quantized_/;" v +QuantizedRNNBase adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedRNNBase(torch.jit.ScriptModule):$/;" c +QuantizedRNNCell adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedRNNCell(QuantizedRNNCellBase):$/;" c +QuantizedRNNCellBase adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^class QuantizedRNNCellBase(torch.jit.ScriptModule):$/;" c +quantized_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_add(x, y, min_x, max_x, min_y, max_y, Toutput=_dtypes.qint32, name=None):$/;" f +quantized_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_add_eager_fallback(x, y, min_x, max_x, min_y, max_y, Toutput, name, ctx):$/;" f +quantized_avg_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_avg_pool(input, min_input, max_input, ksize, strides, padding, name=None):$/;" f +quantized_avg_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_avg_pool_eager_fallback(input, min_input, max_input, ksize, strides, padding, name/;" f +quantized_batch_norm_with_global_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_batch_norm_with_global_normalization(t, t_min, t_max, m, m_min, m_max, v, v_min, v/;" f +quantized_batch_norm_with_global_normalization_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_batch_norm_with_global_normalization_eager_fallback(t, t_min, t_max, m, m_min, m_m/;" f +quantized_bias_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_bias_add(input, bias, min_input, max_input, min_bias, max_bias, out_type, name=Non/;" f +quantized_bias_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_bias_add_eager_fallback(input, bias, min_input, max_input, min_bias, max_bias, out/;" f +quantized_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_concat(concat_dim, values, input_mins, input_maxes, name=None):$/;" f +quantized_concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_concat_eager_fallback(concat_dim, values, input_mins, input_maxes, name, ctx):$/;" f +quantized_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d(input, filter, min_input, max_input, min_filter, max_filter, strides, paddi/;" f +quantized_conv2d_and_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_relu(input, filter, min_input, max_input, min_filter, max_filter, strid/;" f +quantized_conv2d_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_relu_and_requantize(input, filter, min_input, max_input, min_filter, ma/;" f +quantized_conv2d_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_relu_and_requantize_eager_fallback(input, filter, min_input, max_input,/;" f +quantized_conv2d_and_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_relu_eager_fallback(input, filter, min_input, max_input, min_filter, ma/;" f +quantized_conv2d_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_requantize(input, filter, min_input, max_input, min_filter, max_filter,/;" f +quantized_conv2d_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_and_requantize_eager_fallback(input, filter, min_input, max_input, min_filt/;" f +quantized_conv2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_eager_fallback(input, filter, min_input, max_input, min_filter, max_filter,/;" f +quantized_conv2d_per_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_per_channel(input, filter, min_input, max_input, min_filter, max_filter, st/;" f +quantized_conv2d_per_channel_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_per_channel_eager_fallback(input, filter, min_input, max_input, min_filter,/;" f +quantized_conv2d_with_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias(input, filter, bias, min_input, max_input, min_filter, max_filter/;" f +quantized_conv2d_with_bias_and_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_relu(input, filter, bias, min_input, max_input, min_filter, m/;" f +quantized_conv2d_with_bias_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_relu_and_requantize(input, filter, bias, min_input, max_input/;" f +quantized_conv2d_with_bias_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_relu_and_requantize_eager_fallback(input, filter, bias, min_i/;" f +quantized_conv2d_with_bias_and_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_relu_eager_fallback(input, filter, bias, min_input, max_input/;" f +quantized_conv2d_with_bias_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_requantize(input, filter, bias, min_input, max_input, min_fil/;" f +quantized_conv2d_with_bias_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_and_requantize_eager_fallback(input, filter, bias, min_input, max/;" f +quantized_conv2d_with_bias_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_eager_fallback(input, filter, bias, min_input, max_input, min_fil/;" f +quantized_conv2d_with_bias_signed_sum_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_signed_sum_and_relu_and_requantize(input, filter, bias, min_input/;" f +quantized_conv2d_with_bias_signed_sum_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_signed_sum_and_relu_and_requantize_eager_fallback(input, filter, /;" f +quantized_conv2d_with_bias_sum_and_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_sum_and_relu(input, filter, bias, min_input, max_input, min_filte/;" f +quantized_conv2d_with_bias_sum_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_sum_and_relu_and_requantize(input, filter, bias, min_input, max_i/;" f +quantized_conv2d_with_bias_sum_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_sum_and_relu_and_requantize_eager_fallback(input, filter, bias, m/;" f +quantized_conv2d_with_bias_sum_and_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_conv2d_with_bias_sum_and_relu_eager_fallback(input, filter, bias, min_input, max_i/;" f +QUANTIZED_CUSTOM_MODULE_CLASS_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^QUANTIZED_CUSTOM_MODULE_CLASS_MAPPINGS = dict()$/;" v +quantized_depthwise_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d(input, filter, min_input, max_input, min_filter, max_filter, stri/;" f +quantized_depthwise_conv2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_eager_fallback(input, filter, min_input, max_input, min_filter, m/;" f +quantized_depthwise_conv2d_with_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias(input, filter, bias, min_input, max_input, min_filter, /;" f +quantized_depthwise_conv2d_with_bias_and_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias_and_relu(input, filter, bias, min_input, max_input, min/;" f +quantized_depthwise_conv2d_with_bias_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias_and_relu_and_requantize(input, filter, bias, min_input,/;" f +quantized_depthwise_conv2d_with_bias_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias_and_relu_and_requantize_eager_fallback(input, filter, b/;" f +quantized_depthwise_conv2d_with_bias_and_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias_and_relu_eager_fallback(input, filter, bias, min_input,/;" f +quantized_depthwise_conv2d_with_bias_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_depthwise_conv2d_with_bias_eager_fallback(input, filter, bias, min_input, max_inpu/;" f +QUANTIZED_DTYPES adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^QUANTIZED_DTYPES = _QUANTIZED_DTYPES_REF.union(_QUANTIZED_DTYPES_NO_REF)$/;" v +QUANTIZED_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^QUANTIZED_DTYPES = _QUANTIZED_DTYPES_REF.union(_QUANTIZED_DTYPES_NO_REF)$/;" v +quantized_gru adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^quantized_gru = torch.ops.aten.quantized_gru$/;" v +quantized_instance_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_instance_norm(x, x_min, x_max, output_range_given=False, given_y_min=0, given_y_ma/;" f +quantized_instance_norm_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_instance_norm_eager_fallback(x, x_min, x_max, output_range_given, given_y_min, giv/;" f +QUANTIZED_INT16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^QUANTIZED_INT16 = 6$/;" v +quantized_lstm adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^quantized_lstm = torch.ops.aten.quantized_lstm$/;" v +quantized_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_mat_mul(a, b, min_a, max_a, min_b, max_b, Toutput=_dtypes.qint32, transpose_a=Fals/;" f +quantized_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_mat_mul_eager_fallback(a, b, min_a, max_a, min_b, max_b, Toutput, transpose_a, tra/;" f +quantized_mat_mul_with_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias(a, b, bias, min_a, max_a, min_b, max_b, Toutput=_dtypes.qint32, /;" f +quantized_mat_mul_with_bias_and_dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_dequantize(a, b, bias, min_a, max_a, min_b, max_b, min_freez/;" f +quantized_mat_mul_with_bias_and_dequantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_dequantize_eager_fallback(a, b, bias, min_a, max_a, min_b, m/;" f +quantized_mat_mul_with_bias_and_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_relu(a, b, bias, min_a, max_a, min_b, max_b, Toutput=_dtypes/;" f +quantized_mat_mul_with_bias_and_relu_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_relu_and_requantize(a, b, bias, min_a, max_a, min_b, max_b, /;" f +quantized_mat_mul_with_bias_and_relu_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_relu_and_requantize_eager_fallback(a, b, bias, min_a, max_a,/;" f +quantized_mat_mul_with_bias_and_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_relu_eager_fallback(a, b, bias, min_a, max_a, min_b, max_b, /;" f +quantized_mat_mul_with_bias_and_requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_requantize(a, b, bias, min_a, max_a, min_b, max_b, min_freez/;" f +quantized_mat_mul_with_bias_and_requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_and_requantize_eager_fallback(a, b, bias, min_a, max_a, min_b, m/;" f +quantized_mat_mul_with_bias_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_mat_mul_with_bias_eager_fallback(a, b, bias, min_a, max_a, min_b, max_b, Toutput, /;" f +quantized_max_pool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_max_pool(input, min_input, max_input, ksize, strides, padding, name=None):$/;" f +quantized_max_pool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_max_pool_eager_fallback(input, min_input, max_input, ksize, strides, padding, name/;" f +quantized_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_mul(x, y, min_x, max_x, min_y, max_y, Toutput=_dtypes.qint32, name=None):$/;" f +quantized_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantized_mul_eager_fallback(x, y, min_x, max_x, min_y, max_y, Toutput, name, ctx):$/;" f +quantized_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu(features, min_features, max_features, out_type=_dtypes.quint8, name=None):$/;" f +quantized_relu6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu6(features, min_features, max_features, out_type=_dtypes.quint8, name=None):$/;" f +quantized_relu6_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu6_eager_fallback(features, min_features, max_features, out_type, name, ctx):$/;" f +quantized_relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu_eager_fallback(features, min_features, max_features, out_type, name, ctx):$/;" f +quantized_relu_x adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu_x(features, max_value, min_features, max_features, out_type=_dtypes.quint8, n/;" f +quantized_relu_x_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def quantized_relu_x_eager_fallback(features, max_value, min_features, max_features, out_type, n/;" f +quantized_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_reshape(tensor, shape, input_min, input_max, name=None):$/;" f +quantized_reshape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantized_reshape_eager_fallback(tensor, shape, input_min, input_max, name, ctx):$/;" f +quantized_resize_bilinear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def quantized_resize_bilinear(images, size, min, max, align_corners=False, half_pixel_centers=Fa/;" f +quantized_resize_bilinear_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def quantized_resize_bilinear_eager_fallback(images, size, min, max, align_corners, half_pixel_c/;" f +QUANTIZED_UINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^QUANTIZED_UINT8 = dtypes.uint8$/;" v +QUANTIZED_UINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^QUANTIZED_UINT8 = 2$/;" v +QuantizeHandler adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^class QuantizeHandler(ABC):$/;" c +QuantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ QuantizeOptions = 89$/;" v class:BuiltinOptions +QuantizeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class QuantizeOptions(object):$/;" c +QuantizeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def QuantizeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:QuantizeOptions +QuantizeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizeOptionsEnd(builder): return builder.EndObject()$/;" f +QuantizeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def QuantizeOptionsStart(builder): builder.StartObject(0)$/;" f +QuantizeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class QuantizeOptionsT(object):$/;" c +Quantizer adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^class Quantizer:$/;" c +quantizer_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def quantizer_flags(self, input_ty=None, output_ty=None):$/;" m class:QuantizationMode +QuantizeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^QuantizeV2 = tf_export("raw_ops.QuantizeV2")(_ops.to_raw_op(quantize_v2))$/;" v +quantize_and_dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def quantize_and_dequantize($/;" f +quantize_and_dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize(input, signed_input=True, num_bits=8, range_given=False, input_min=0/;" f +quantize_and_dequantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_eager_fallback(input, signed_input, num_bits, range_given, input_min/;" f +quantize_and_dequantize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def quantize_and_dequantize_v2($/;" f +quantize_and_dequantize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v2(input, input_min, input_max, signed_input=True, num_bits=8, range/;" f +quantize_and_dequantize_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v2_eager_fallback(input, input_min, input_max, signed_input, num_bit/;" f +quantize_and_dequantize_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v3(input, input_min, input_max, num_bits, signed_input=True, range_g/;" f +quantize_and_dequantize_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v3_eager_fallback(input, input_min, input_max, num_bits, signed_inpu/;" f +quantize_and_dequantize_v4 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v4(input, input_min, input_max, signed_input=True, num_bits=8, range/;" f +quantize_and_dequantize_v4_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v4_eager_fallback(input, input_min, input_max, signed_input, num_bit/;" f +quantize_and_dequantize_v4_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v4_grad(gradients, input, input_min, input_max, axis=-1, name=None):$/;" f +quantize_and_dequantize_v4_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_and_dequantize_v4_grad_eager_fallback(gradients, input, input_min, input_max, axis,/;" f +quantize_and_pack adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def quantize_and_pack(w, b):$/;" f member:RNNBase.from_float file: +quantize_down_and_shrink_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantize_down_and_shrink_range(input, input_min, input_max, out_type, name=None):$/;" f +quantize_down_and_shrink_range_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def quantize_down_and_shrink_range_eager_fallback(input, input_min, input_max, out_type, name, c/;" f +quantize_dynamic adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def quantize_dynamic(model, qconfig_spec=None, dtype=torch.qint8,$/;" f +quantize_dynamic_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def quantize_dynamic_fx(model, qconfig_dict, inplace=False, debug=False):$/;" f +quantize_dynamic_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def quantize_dynamic_jit(model, qconfig_dict, inplace=False, debug=False):$/;" f +quantize_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def quantize_jit(model, qconfig_dict, run_fn, run_args, inplace=False, debug=False):$/;" f +quantize_linear_modules adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^def quantize_linear_modules(module, dtype=torch.int8):$/;" f +quantize_node adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def quantize_node(root_module, graph, node, activation_post_process):$/;" f +quantize_per_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def quantize_per_tensor(g, input, scale, zero_point, dtype):$/;" f +quantize_qat adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def quantize_qat(model, run_fn, run_args, inplace=False):$/;" f +quantize_rnn_cell_modules adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^def quantize_rnn_cell_modules(module):$/;" f +quantize_rnn_modules adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^def quantize_rnn_modules(module, dtype=torch.int8):$/;" f +quantize_static_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def quantize_static_fx(model, qconfig_dict, run_fn, run_args, inplace=False, debug=False):$/;" f +quantize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def quantize_v2($/;" f +quantize_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_v2(input, min_range, max_range, T, mode="MIN_COMBINED", round_mode="HALF_AWAY_FROM_/;" f +quantize_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def quantize_v2_eager_fallback(input, min_range, max_range, T, mode, round_mode, narrow_range, a/;" f +QuantStub adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^class QuantStub(nn.Module):$/;" c +QuantStubModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class QuantStubModel(torch.nn.Module):$/;" c +QuantSubModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class QuantSubModel(torch.nn.Module):$/;" c +QuantType adpepsenv/lib/python3.8/site-packages/torch/quantization/quant_type.py /^class QuantType(enum.IntEnum):$/;" c +QuantWrapper adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^class QuantWrapper(nn.Module):$/;" c +QUARTERDECK adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ QUARTERDECK = 0x0220$/;" v class:WAVE_FORMAT +quatro_mul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def quatro_mul(a, b, c, d):$/;" f member:TestSpecialMethods.test_ufunc_override_mro file: +query adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^query = r"(?: %(pchar)s | \/ | \\? )*" % locals()$/;" v +query adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def query($/;" m class:KDTree +query adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def query(self):$/;" m class:Event +query adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def query(self):$/;" m class:Stream +query_ball_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def query_ball_point(self, x, r, p=2., eps=0, workers=1,$/;" m class:KDTree +query_ball_tree adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def query_ball_tree(self, other, r, p=2., eps=0):$/;" m class:KDTree +query_call_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_call_keys(self):$/;" m class:EventListenerTestServicer +query_call_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_call_types(self):$/;" m class:EventListenerTestServicer +QUERY_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^QUERY_CHARS = FRAGMENT_CHARS = PATH_CHARS | {"?"}$/;" v +QUERY_CHARS adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^QUERY_CHARS = FRAGMENT_CHARS = PATH_CHARS | {"?"}$/;" v +query_graph_versions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_graph_versions(self):$/;" m class:EventListenerTestServicer +query_handlers adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def query_handlers(handler_call_details):$/;" f function:_find_method_handler file: +query_op_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_op_traceback(self, op_name):$/;" m class:EventListenerTestServicer +query_origin_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_origin_stack(self):$/;" m class:EventListenerTestServicer +query_pairs adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def query_pairs(self, r, p=2., eps=0, output_type='set'):$/;" m class:KDTree +query_palette adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def query_palette(self, handle):$/;" m class:Dib +query_source_file_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def query_source_file_line(self, file_path, lineno):$/;" m class:EventListenerTestServicer +query_string adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def query_string(self):$/;" m class:EnvironBuilder +query_string adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def query_string(self, value):$/;" m class:EnvironBuilder +query_string adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ query_string = environ_property($/;" v class:BaseRequest +query_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^def query_vcvarsall(version, arch="x86"):$/;" f +Queue adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ import queue as Queue$/;" I +queue adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ import Queue as queue$/;" I member:TestOperators.test_blobs_queue_threading file: +queue adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def queue(self):$/;" m class:QueueWrapper +Queue adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^class Queue(QueueWrapper):$/;" c +Queue adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^class Queue(collections.deque):$/;" c +queue adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import Queue as queue$/;" I +Queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from six.moves import queue as Queue # pylint: disable=redefined-builtin$/;" x +Queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/traverse.py /^from six.moves import queue as Queue # pylint: disable=redefined-builtin$/;" x +Queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^from six.moves import queue as Queue$/;" x +queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ import Queue as queue$/;" I +queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def queue(self):$/;" m class:QueueRunner +Queue adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from six.moves import queue as Queue # pylint: disable=redefined-builtin$/;" x +Queue adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^class Queue(multiprocessing.queues.Queue):$/;" c +QueueBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class QueueBase(object):$/;" c +QueueClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueClose = tf_export("raw_ops.QueueClose")(_ops.to_raw_op(queue_close))$/;" v +QueueClosedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^class QueueClosedError(Exception):$/;" c +QueueCloseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueCloseV2 = tf_export("raw_ops.QueueCloseV2")(_ops.to_raw_op(queue_close_v2))$/;" v +QueueCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ QueueCls = LifoQueue$/;" v class:ConnectionPool +QueueCls adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ QueueCls = LifoQueue$/;" v class:ConnectionPool +QueueDequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeue = tf_export("raw_ops.QueueDequeue")(_ops.to_raw_op(queue_dequeue))$/;" v +QueueDequeueMany adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeueMany = tf_export("raw_ops.QueueDequeueMany")(_ops.to_raw_op(queue_dequeue_many))$/;" v +QueueDequeueManyV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeueManyV2 = tf_export("raw_ops.QueueDequeueManyV2")(_ops.to_raw_op(queue_dequeue_many_v/;" v +QueueDequeueUpTo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeueUpTo = tf_export("raw_ops.QueueDequeueUpTo")(_ops.to_raw_op(queue_dequeue_up_to))$/;" v +QueueDequeueUpToV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeueUpToV2 = tf_export("raw_ops.QueueDequeueUpToV2")(_ops.to_raw_op(queue_dequeue_up_to_/;" v +QueueDequeueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueDequeueV2 = tf_export("raw_ops.QueueDequeueV2")(_ops.to_raw_op(queue_dequeue_v2))$/;" v +QueueEnqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueEnqueue = tf_export("raw_ops.QueueEnqueue")(_ops.to_raw_op(queue_enqueue))$/;" v +QueueEnqueueMany adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueEnqueueMany = tf_export("raw_ops.QueueEnqueueMany")(_ops.to_raw_op(queue_enqueue_many))$/;" v +QueueEnqueueManyV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueEnqueueManyV2 = tf_export("raw_ops.QueueEnqueueManyV2")(_ops.to_raw_op(queue_enqueue_many_v/;" v +QueueEnqueueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueEnqueueV2 = tf_export("raw_ops.QueueEnqueueV2")(_ops.to_raw_op(queue_enqueue_v2))$/;" v +QueueIsClosed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueIsClosed = tf_export("raw_ops.QueueIsClosed")(_ops.to_raw_op(queue_is_closed))$/;" v +QueueIsClosedV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueIsClosedV2 = tf_export("raw_ops.QueueIsClosedV2")(_ops.to_raw_op(queue_is_closed_v2))$/;" v +QueueRunner adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^class QueueRunner(object):$/;" c +QueueRunnerDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^QueueRunnerDef = _reflection.GeneratedProtocolMessageType('QueueRunnerDef', (_message.Message,),/;" v +QueueSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueSize = tf_export("raw_ops.QueueSize")(_ops.to_raw_op(queue_size))$/;" v +QueueSizeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^QueueSizeV2 = tf_export("raw_ops.QueueSizeV2")(_ops.to_raw_op(queue_size_v2))$/;" v +QueueWrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^class QueueWrapper(dataio.Pipe):$/;" c +queue_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_close(handle, cancel_pending_enqueues=False, name=None):$/;" f +queue_closed_exception_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def queue_closed_exception_types(self):$/;" m class:QueueRunner +queue_close_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_close_eager_fallback(handle, cancel_pending_enqueues, name, ctx):$/;" f +queue_close_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_close_v2(handle, cancel_pending_enqueues=False, name=None):$/;" f +queue_close_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_close_v2_eager_fallback(handle, cancel_pending_enqueues, name, ctx):$/;" f +queue_dequeue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue(handle, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_eager_fallback(handle, component_types, timeout_ms, name, ctx):$/;" f +queue_dequeue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_many(handle, n, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_many_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_many_eager_fallback(handle, n, component_types, timeout_ms, name, ctx):$/;" f +queue_dequeue_many_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_many_v2(handle, n, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_many_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_many_v2_eager_fallback(handle, n, component_types, timeout_ms, name, ctx):$/;" f +queue_dequeue_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_up_to(handle, n, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_up_to_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_up_to_eager_fallback(handle, n, component_types, timeout_ms, name, ctx):$/;" f +queue_dequeue_up_to_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_up_to_v2(handle, n, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_up_to_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_up_to_v2_eager_fallback(handle, n, component_types, timeout_ms, name, ctx):$/;" f +queue_dequeue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_v2(handle, component_types, timeout_ms=-1, name=None):$/;" f +queue_dequeue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_dequeue_v2_eager_fallback(handle, component_types, timeout_ms, name, ctx):$/;" f +queue_enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue(handle, components, timeout_ms=-1, name=None):$/;" f +queue_enqueue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_eager_fallback(handle, components, timeout_ms, name, ctx):$/;" f +queue_enqueue_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_many(handle, components, timeout_ms=-1, name=None):$/;" f +queue_enqueue_many_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_many_eager_fallback(handle, components, timeout_ms, name, ctx):$/;" f +queue_enqueue_many_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_many_v2(handle, components, timeout_ms=-1, name=None):$/;" f +queue_enqueue_many_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_many_v2_eager_fallback(handle, components, timeout_ms, name, ctx):$/;" f +queue_enqueue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_v2(handle, components, timeout_ms=-1, name=None):$/;" f +queue_enqueue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_enqueue_v2_eager_fallback(handle, components, timeout_ms, name, ctx):$/;" f +queue_is_closed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_is_closed(handle, name=None):$/;" f +queue_is_closed_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_is_closed_eager_fallback(handle, name, ctx):$/;" f +queue_is_closed_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_is_closed_v2(handle, name=None):$/;" f +queue_is_closed_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_is_closed_v2_eager_fallback(handle, name, ctx):$/;" f +queue_parsed_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def queue_parsed_features(feature_map):$/;" f +queue_parsed_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^def queue_parsed_features(feature_map):$/;" f +queue_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def queue_ref(self):$/;" m class:QueueBase +QUEUE_RUNNERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ QUEUE_RUNNERS = "queue_runners"$/;" v class:GraphKeys +queue_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_size(handle, name=None):$/;" f +queue_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_size_eager_fallback(handle, name, ctx):$/;" f +queue_size_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_size_v2(handle, name=None):$/;" f +queue_size_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def queue_size_v2_eager_fallback(handle, name, ctx):$/;" f +quick_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def quick_execute(op_name, num_outputs, inputs, attrs, ctx, name=None):$/;" f +quiet adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ quiet = 0$/;" v +quiet adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ quiet = 1$/;" v +quiet adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^quiet = 0 # Be verbose if 0 (Obsolete: not used any more)$/;" v +quiet adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^quiet = partial($/;" v +QuietOrderedDict adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^class QuietOrderedDict(MaybeOrderedDict):$/;" c +quiet_subprocess_runner adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^def quiet_subprocess_runner(cmd, cwd=None, extra_environ=None):$/;" f +quint16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^quint16 = DType(types_pb2.DT_QUINT16)$/;" v +quint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^quint16 = DType(types_pb2.DT_QUINT16)$/;" v +quint16_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^quint16_ref = DType(types_pb2.DT_QUINT16_REF)$/;" v +quint16_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^quint16_ref = DType(types_pb2.DT_QUINT16_REF)$/;" v +quint8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^quint8 = DType(types_pb2.DT_QUINT8)$/;" v +quint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^quint8 = DType(types_pb2.DT_QUINT8)$/;" v +QUInt8Storage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class QUInt8Storage(_C.QUInt8StorageBase, _StorageBase):$/;" c +quint8_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^quint8_ref = DType(types_pb2.DT_QUINT8_REF)$/;" v +quint8_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^quint8_ref = DType(types_pb2.DT_QUINT8_REF)$/;" v +quiver adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def quiver(self, *args, **kw):$/;" m class:Axes +quiver adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def quiver(*args, data=None, **kw):$/;" f +Quiver adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^class Quiver(mcollections.PolyCollection):$/;" c +quiver adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def quiver(self, *args,$/;" m class:Axes3D +quiver3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ quiver3D = quiver$/;" v class:Axes3D +quiverkey adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def quiverkey(self, Q, X, Y, U, label, **kw):$/;" m class:Axes +quiverkey adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def quiverkey(Q, X, Y, U, label, **kw):$/;" f +QuiverKey adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^class QuiverKey(martist.Artist):$/;" c +quiverkey_doc adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def quiverkey_doc(self):$/;" m class:QuiverKey +quiver_doc adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ quiver_doc = _quiver_doc$/;" v class:Quiver +quota_project_id adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def quota_project_id(self):$/;" m class:Credentials +quote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def quote(s, safe=b'\/'):$/;" f +quote adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def quote(s):$/;" f +quote adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def quote(self, char):$/;" m class:Trie +quote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def quote(string):$/;" f function:_make_fast_url_quote file: +QuotedString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class QuotedString(Token):$/;" c +quotedString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^quotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*') + '"/;" v +QuotedString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class QuotedString(Token):$/;" c +quotedString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^quotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*')+'"'|$/;" v +QuotedString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class QuotedString(Token):$/;" c +quotedString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^quotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*') + '"/;" v +QuotedString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class QuotedString(Token):$/;" c +quotedString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^quotedString = Combine(Regex(r'"(?:[^"\\n\\r\\\\]|(?:"")|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*')+'"'|$/;" v +quote_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def quote_args(args):$/;" f +quote_attr_values adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ quote_attr_values = "legacy" # be secure by default$/;" v class:HTMLSerializer +quote_attr_values adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ quote_attr_values = "legacy" # be secure by default$/;" v class:HTMLSerializer +quote_char adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ quote_char = '"'$/;" v class:HTMLSerializer +quote_char adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ quote_char = '"'$/;" v class:HTMLSerializer +quote_etag adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def quote_etag(etag, weak=False):$/;" f +quote_header_value adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def quote_header_value(value, extra_chars="", allow_token=True):$/;" f +quote_ps_string adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def quote_ps_string(s):$/;" f +qz adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def qz(A, B, output='real', lwork=None, sort=None, overwrite_a=False,$/;" f +qz_decomp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def qz_decomp(self, sort):$/;" m class:TestOrdQZ +Q_X adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^Q_X = array([[5.26563660e-01, 3.14160190e-01, 8.00656370e-02],$/;" v +r adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^r = x*x + b$/;" v +r adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ r = lambda: np.asarray(scale * rand(*_dims_of_shape(shape)), dtype)$/;" f function:_rand_dtype file: +r adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ r = roots$/;" v class:poly1d +R adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^R = gas_constant = _cd('molar gas constant')$/;" v +R adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^ class R(object):$/;" c function:_make_readerlike file: +r1 adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^r1 = numbacall()$/;" v +r2 adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^r2 = numpycall()$/;" v +R2C adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ R2C = 2$/;" v class:PocketFftType +r2c adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def r2c(forward, x, n=None, axis=-1, norm=None, overwrite_x=False,$/;" f +r2cn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def r2cn(forward, x, s=None, axes=None, norm=None, overwrite_x=False,$/;" f +r2r_fftpack adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def r2r_fftpack(forward, x, n=None, axis=-1, norm=None, overwrite_x=False):$/;" f +RACAL_RECORDER_G720_A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RACAL_RECORDER_G720_A = 0x00A2$/;" v class:WAVE_FORMAT +RACAL_RECORDER_G723_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RACAL_RECORDER_G723_1 = 0x00A3$/;" v class:WAVE_FORMAT +RACAL_RECORDER_GSM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RACAL_RECORDER_GSM = 0x00A1$/;" v class:WAVE_FORMAT +RACAL_RECORDER_TETRA_ACELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RACAL_RECORDER_TETRA_ACELP = 0x00A4$/;" v class:WAVE_FORMAT +rad adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^rad = UnitDbl(1.0, "rad")$/;" v +rad2deg adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def rad2deg(x):$/;" f +rad2deg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def rad2deg(x):$/;" f +Radau adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^class Radau(OdeSolver):$/;" c +RadauDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^class RadauDenseOutput(DenseOutput):$/;" c +rademacher adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def rademacher(key, shape, dtype=dtypes.int_):$/;" f +RadialAxis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class RadialAxis(maxis.YAxis):$/;" c +RadialConstraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^class RadialConstraint(Constraint):$/;" c +RadialLocator adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class RadialLocator(mticker.Locator):$/;" c +RadialTick adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class RadialTick(maxis.YTick):$/;" c +radial_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import RadialConstraint as radial_constraint$/;" x +radial_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import RadialConstraint as radial_constraint$/;" x +radial_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import RadialConstraint as radial_constraint$/;" x +radial_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import RadialConstraint as radial_constraint$/;" x +radial_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^radial_constraint = RadialConstraint$/;" v +radial_gradient adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def radial_gradient(mode):$/;" f +radian adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double radian(double x0, double x1, double x2) nogil$/;" f +radians adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^radians = deg2rad$/;" v +RadioButtons adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class RadioButtons(AxesWidget):$/;" c +RADIOTIME_TIME_SHIFT_RADIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RADIOTIME_TIME_SHIFT_RADIO = 0xA117$/;" v class:WAVE_FORMAT +radio_group adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ radio_group = 'default'$/;" v class:ToolPan +radio_group adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ radio_group = 'default'$/;" v class:ToolZoom +radio_group adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ radio_group = None$/;" v class:ToolToggleBase +radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def radius(self):$/;" m class:RegularPolygon +radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def radius(self, radius):$/;" m class:RegularPolygon +radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ radius = property(get_radius, set_radius)$/;" v class:Circle +Radius adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Radius(self):$/;" m class:LocalResponseNormalizationOptions +rad_fn adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^def rad_fn(x, pos=None):$/;" f +RaggedBincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RaggedBincount = tf_export("raw_ops.RaggedBincount")(_ops.to_raw_op(ragged_bincount))$/;" v +RaggedCountSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^RaggedCountSparseOutput = tf_export("raw_ops.RaggedCountSparseOutput")(_ops.to_raw_op(ragged_cou/;" v +RaggedCross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^RaggedCross = tf_export("raw_ops.RaggedCross")(_ops.to_raw_op(ragged_cross))$/;" v +RaggedDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^class RaggedDispatcher(dispatch.OpDispatcher):$/;" c +RaggedEnqueueData adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class RaggedEnqueueData($/;" c +RaggedFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^class RaggedFeature($/;" c +RaggedFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^RaggedFeature = parsing_config.RaggedFeature$/;" v +RaggedGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^RaggedGather = tf_export("raw_ops.RaggedGather")(_ops.to_raw_op(ragged_gather))$/;" v +RaggedKerasTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class RaggedKerasTensor(KerasTensor):$/;" c +RaggedRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^RaggedRange = tf_export("raw_ops.RaggedRange")(_ops.to_raw_op(ragged_range))$/;" v +RaggedTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^class RaggedTensor(composite_tensor.CompositeTensor,$/;" c +RaggedTensorDynamicShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^class RaggedTensorDynamicShape(object):$/;" c +RaggedTensorFromVariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^RaggedTensorFromVariant = tf_export("raw_ops.RaggedTensorFromVariant")(_ops.to_raw_op(ragged_ten/;" v +RaggedTensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^class RaggedTensorSpec(type_spec.BatchableTypeSpec):$/;" c +RaggedTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _RaggedTensorStructure as RaggedTensorStructur/;" x +RaggedTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _RaggedTensorStructure as RaggedTensorStructur/;" x +RaggedTensorToSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^RaggedTensorToSparse = tf_export("raw_ops.RaggedTensorToSparse")(_ops.to_raw_op(ragged_tensor_to/;" v +RaggedTensorToTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^RaggedTensorToTensor = tf_export("raw_ops.RaggedTensorToTensor")(_ops.to_raw_op(ragged_tensor_to/;" v +RaggedTensorToVariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^RaggedTensorToVariant = tf_export("raw_ops.RaggedTensorToVariant")(_ops.to_raw_op(ragged_tensor_/;" v +RaggedTensorToVariantGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^RaggedTensorToVariantGradient = tf_export("raw_ops.RaggedTensorToVariantGradient")(_ops.to_raw_o/;" v +RaggedTensorType adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^class RaggedTensorType(object):$/;" c +RaggedTensorValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^class RaggedTensorValue(object):$/;" c +ragged_assert_compatible_and_get_flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def ragged_assert_compatible_and_get_flat_values(values, mask=None):$/;" f +ragged_bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ragged_bincount(splits, values, size, weights, binary_output=False, name=None):$/;" f +ragged_bincount_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def ragged_bincount_eager_fallback(splits, values, size, weights, binary_output, name, ctx):$/;" f +ragged_count_sparse_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def ragged_count_sparse_output(splits, values, weights, binary_output, minlength=-1, maxlength=-/;" f +ragged_count_sparse_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def ragged_count_sparse_output_eager_fallback(splits, values, weights, binary_output, minlength,/;" f +ragged_cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^def ragged_cross(ragged_values, ragged_row_splits, sparse_indices, sparse_values, sparse_shape, /;" f +ragged_cross_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^def ragged_cross_eager_fallback(ragged_values, ragged_row_splits, sparse_indices, sparse_values,/;" f +ragged_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^ def ragged_factory(values, row_splits):$/;" f function:constant file: +ragged_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^def ragged_gather(params_nested_splits, params_dense_values, indices, OUTPUT_RAGGED_RANK, name=N/;" f +ragged_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^def ragged_gather_eager_fallback(params_nested_splits, params_dense_values, indices, OUTPUT_RAGG/;" f +ragged_hash adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_operators.py /^def ragged_hash(self):$/;" f +ragged_one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def ragged_one_hot(indices,$/;" f +ragged_op_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def ragged_op_list(tf_version=1):$/;" f +ragged_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^def ragged_range(starts, limits, deltas, Tsplits=_dtypes.int64, name=None):$/;" f +ragged_range_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^def ragged_range_eager_fallback(starts, limits, deltas, Tsplits, name, ctx):$/;" f +ragged_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def ragged_rank(self):$/;" m class:RaggedKerasTensor +ragged_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def ragged_rank(self):$/;" m class:RaggedTensor +ragged_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def ragged_rank(self):$/;" m class:RaggedTensorSpec +ragged_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ ragged_rank = property(lambda self: self._ragged_rank)$/;" v class:RaggedTensorType +ragged_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def ragged_rank(self):$/;" m class:RaggedTensorValue +ragged_reduce_aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def ragged_reduce_aggregate(reduce_op,$/;" f +ragged_tensor_from_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_from_variant(encoded_ragged, input_ragged_rank, output_ragged_rank, Tvalues, T/;" f +ragged_tensor_from_variant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_from_variant_eager_fallback(encoded_ragged, input_ragged_rank, output_ragged_r/;" f +ragged_tensor_getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def ragged_tensor_getitem(self, key):$/;" f +ragged_tensor_to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_sparse(rt_nested_splits, rt_dense_values, name=None):$/;" f +ragged_tensor_to_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_sparse_eager_fallback(rt_nested_splits, rt_dense_values, name, ctx):$/;" f +ragged_tensor_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def ragged_tensor_to_string(rt, summarize=None):$/;" f +ragged_tensor_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_tensor(shape, values, default_value, row_partition_tensors, row_partition_t/;" f +ragged_tensor_to_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_tensor_eager_fallback(shape, values, default_value, row_partition_tensors, /;" f +ragged_tensor_to_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_variant(rt_nested_splits, rt_dense_values, batched_input, name=None):$/;" f +ragged_tensor_to_variant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_variant_eager_fallback(rt_nested_splits, rt_dense_values, batched_input, na/;" f +ragged_tensor_to_variant_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_variant_gradient(encoded_ragged_grad, row_splits, dense_values_shape, Tvalu/;" f +ragged_tensor_to_variant_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^def ragged_tensor_to_variant_gradient_eager_fallback(encoded_ragged_grad, row_splits, dense_valu/;" f +ragged_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def ragged_to_dense(rt_input, default_value=None, shape=None):$/;" f +RAISED adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^ RAISED = object()$/;" v class:Outcome.Kind +raiseIfNotEqual adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def raiseIfNotEqual(a, b, msg):$/;" f +Raiser adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Raiser:$/;" c member:TestMethods.test_sort_raises file: +raises adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def raises(*args):$/;" f +RaisesInFinalize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class RaisesInFinalize(np.ndarray):$/;" c member:TestArrayFinalize.test_lifetime_on_error file: +raises_anything adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def raises_anything(*args, **kwargs):$/;" m class:TestMethods.test_sort_raises.Raiser +raises_exception adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def raises_exception(self, e):$/;" m class:TestRaises +raise_concretization_error adpepsenv/lib/python3.8/site-packages/jax/core.py /^def raise_concretization_error(val: Tracer, context=""):$/;" f +raise_error adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def raise_error(s, loc, toks):$/;" f function:Error file: +raise_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/special_functions.py /^ def raise_error(x):$/;" f function:stack file: +raise_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def raise_error(unused_self):$/;" f member:HiddenTfApiAttribute.__init__ file: +raise_errors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def raise_errors(self, timeout_sec=0):$/;" m class:ErrorRendezvous +raise_error_because_not_equal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def raise_error_because_not_equal():$/;" f member:TestFinancial.test_ppmt_special_rate_decimal file: +raise_err_msg adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def raise_err_msg(patterns, e):$/;" f function:_check_seekable file: +raise_exception_on_not_ok_status adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class raise_exception_on_not_ok_status(object):$/;" c +raise_exception_on_not_ok_status adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class raise_exception_on_not_ok_status(object):$/;" c +raise_for_status adpepsenv/lib/python3.8/site-packages/pip/_internal/network/utils.py /^def raise_for_status(resp):$/;" f +raise_for_status adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def raise_for_status(self):$/;" m class:Response +raise_for_status adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def raise_for_status(self):$/;" m class:Response +raise_from adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def raise_from(value, from_value):$/;" f +raise_from adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def raise_from(value, from_value):$/;" f +raise_from adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def raise_from(value, from_value):$/;" f +raise_from adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def raise_from(value, from_value):$/;" f +raise_from adpepsenv/lib/python3.8/site-packages/six.py /^ def raise_from(value, from_value):$/;" f +raise_from adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def raise_from(value, from_value):$/;" f +raise_from_error adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^def raise_from_error(error, params=None):$/;" f +raise_from_error adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^def raise_from_error(error, params=None):$/;" f +raise_from_not_ok_status adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def raise_from_not_ok_status(e, name):$/;" f +raise_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def raise_func():$/;" f +raise_if_exceeds adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def raise_if_exceeds(self, locs):$/;" m class:Locator +raise_ioerror adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^def raise_ioerror(error):$/;" f +raise_model_input_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def raise_model_input_error(model):$/;" f +raise_not_implemented adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def raise_not_implemented():$/;" f +raise_option_error adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def raise_option_error(parser, option, msg):$/;" f +raise_or_inc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def raise_or_inc(value):$/;" f +raise_oserror adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^def raise_oserror(error):$/;" f +raise_requested_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def raise_requested_exception(self):$/;" m class:Coordinator +raise_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def raise_script():$/;" f +raise_to_shaped adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^raise_to_shaped = core.raise_to_shaped$/;" v +raise_to_shaped adpepsenv/lib/python3.8/site-packages/jax/core.py /^def raise_to_shaped(aval: AbstractValue, weak_type=None):$/;" f +raise_to_shaped_mappings adpepsenv/lib/python3.8/site-packages/jax/core.py /^raise_to_shaped_mappings : Dict[type, Callable] = {$/;" v +raise_zero_rank_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^ def raise_zero_rank_error():$/;" f function:_tf_tensor_len file: +raising_cb_reg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def raising_cb_reg(func):$/;" f +raising_function adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def raising_function():$/;" f function:raising_cb_reg file: +raising_function_VE adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def raising_function_VE():$/;" f function:raising_cb_reg file: +rand adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def rand(shape, dtype):$/;" f function:rand_some_inf file: +rand adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def rand(shape, dtype):$/;" f function:rand_some_inf_and_nan file: +rand adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def rand(shape, dtype):$/;" f function:rand_some_nan file: +rand adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def rand(shape, dtype):$/;" f function:rand_some_zero file: +rand adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def rand(*args):$/;" f +rand adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def rand(*shape):$/;" f +rand adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def rand(*a):$/;" f member:TestJacobianDotSolve._check_dot file: +rand adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def rand(m, n, density=0.01, format="coo", dtype=None, random_state=None):$/;" f +rand adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^rand = _deprecated(_msg.format('rand'))(rand)$/;" v +rand adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def rand(*size):$/;" f +rand adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def rand(g, shapes, dtype, *options):$/;" f +RandArg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^class RandArg(NamedTuple):$/;" c +randbits adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from random import getrandbits as randbits$/;" x +randBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def randBlob(name, type, *dims, **kwargs):$/;" f +randBlobFloat32 adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def randBlobFloat32(name, *dims, **kwargs):$/;" f +randBlobsFloat32 adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def randBlobsFloat32(names, *dims, **kwargs):$/;" f +randint adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def randint(key: jnp.ndarray,$/;" f +randint adpepsenv/lib/python3.8/site-packages/rsa/randnum.py /^def randint(maxvalue: int) -> int:$/;" f +randint adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^randint = randint_gen(name='randint', longname='A discrete uniform '$/;" v +randint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def randint(low, high=None, size=None, dtype=onp.int): # pylint: disable=missing-function-docst/;" f +randint_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class randint_gen(rv_discrete):$/;" c +randn adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^randn = normal$/;" v +randn adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ randn = lambda: np.asarray(rng.randn(*shape), dtype=dtype)$/;" f function:rand_like file: +randn adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def randn(*args):$/;" f +randn adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^randn = _deprecated(_msg.format('randn'))(randn)$/;" v +randn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def randn(*args):$/;" f +randn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def randn(g, shapes, dtype, *options):$/;" f +randn_like adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def randn_like(x):$/;" f function:gradgradcheck file: +randn_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def randn_like(g, self, dtype, layout=None, device=None, pin_memory=False, memory_format=None):$/;" f +randn_like adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^randn_like = torch.randn_like$/;" v +random adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^random = np.random.random$/;" v +random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^random = Generator(MT19937())$/;" v +random adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def random(mode="RGB"):$/;" f +random adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def random(size):$/;" f +random adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def random(size):$/;" f +random adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def random(m, n, density=0.01, format='coo', dtype=None,$/;" f +random adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^import numpy.random as random$/;" I +random adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def random(size=None):$/;" f +random adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/__init__.py /^from tensorflow.python.ops.numpy_ops import np_random as random$/;" x +RandomAlgorithm adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RandomAlgorithm = enum_type_wrapper.EnumTypeWrapper(_RANDOMALGORITHM)$/;" v +RandomContrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomContrast(PreprocessingLayer):$/;" c +RandomCrop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomCrop(PreprocessingLayer):$/;" c +RandomCrop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^RandomCrop = tf_export("raw_ops.RandomCrop")(_ops.to_raw_op(random_crop))$/;" v +RandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^ RandomDataset = RandomDatasetV2$/;" v +RandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^RandomDataset = tf_export("raw_ops.RandomDataset")(_ops.to_raw_op(random_dataset))$/;" v +RandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.random_ops import RandomDatasetV1 as RandomDataset$/;" x +RandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.random_ops import RandomDatasetV2 as RandomDataset$/;" x +RandomDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.random_ops import RandomDatasetV2 as RandomDataset$/;" x +RandomDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^class RandomDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +RandomDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^class RandomDatasetV2(dataset_ops.DatasetSource):$/;" c +RandomDisplacement adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class RandomDisplacement(object):$/;" c +RandomDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RandomDistribution = enum_type_wrapper.EnumTypeWrapper(_RANDOMDISTRIBUTION)$/;" v +RandomFlip adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomFlip(PreprocessingLayer):$/;" c +RandomFourierFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/random_fourier_features.py /^class RandomFourierFeatures(ModelLayer):$/;" c +RandomFourierFeatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^class RandomFourierFeatures(base_layer.Layer):$/;" c +RandomGamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomGamma = tf_export("raw_ops.RandomGamma")(_ops.to_raw_op(random_gamma))$/;" v +RandomGammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomGammaGrad = tf_export("raw_ops.RandomGammaGrad")(_ops.to_raw_op(random_gamma_grad))$/;" v +RandomGreedy adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^class RandomGreedy(RandomOptimizer):$/;" c +RandomHeight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomHeight(PreprocessingLayer):$/;" c +RandomNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class RandomNonce(univ.OctetString):$/;" c +randomNonce adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^randomNonce = CMSSingleAttribute()$/;" v +RandomNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class RandomNormal(init_ops.RandomNormal):$/;" c +RandomNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class RandomNormal(init_ops_v2.RandomNormal, Initializer):$/;" c +RandomNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class RandomNormal(Initializer):$/;" c +RandomNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class RandomNormal(Initializer):$/;" c +RandomOptimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^class RandomOptimizer(paths.PathOptimizer):$/;" c +RandomPoisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomPoisson = tf_export("raw_ops.RandomPoisson")(_ops.to_raw_op(random_poisson))$/;" v +RandomPoissonV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomPoissonV2 = tf_export("raw_ops.RandomPoissonV2")(_ops.to_raw_op(random_poisson_v2))$/;" v +RandomRotation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomRotation(PreprocessingLayer):$/;" c +RandomSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class RandomSampler(Sampler[int]):$/;" c +RandomShuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomShuffle = tf_export("raw_ops.RandomShuffle")(_ops.to_raw_op(random_shuffle))$/;" v +RandomShuffleQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class RandomShuffleQueue(QueueBase):$/;" c +RandomShuffleQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^RandomShuffleQueue = tf_export("raw_ops.RandomShuffleQueue")(_ops.to_raw_op(random_shuffle_queue/;" v +RandomShuffleQueueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^RandomShuffleQueueV2 = tf_export("raw_ops.RandomShuffleQueueV2")(_ops.to_raw_op(random_shuffle_q/;" v +RandomStandardNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomStandardNormal = tf_export("raw_ops.RandomStandardNormal")(_ops.to_raw_op(random_standard_/;" v +RandomStructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class RandomStructured(BasePruningMethod):$/;" c +RandomTranslation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomTranslation(PreprocessingLayer):$/;" c +RandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class RandomUniform(init_ops.RandomUniform):$/;" c +RandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class RandomUniform(init_ops_v2.RandomUniform, Initializer):$/;" c +RandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomUniform = tf_export("raw_ops.RandomUniform")(_ops.to_raw_op(random_uniform))$/;" v +RandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class RandomUniform(Initializer):$/;" c +RandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class RandomUniform(Initializer):$/;" c +RandomUniformInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^RandomUniformInt = tf_export("raw_ops.RandomUniformInt")(_ops.to_raw_op(random_uniform_int))$/;" v +RandomUnstructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^class RandomUnstructured(BasePruningMethod):$/;" c +RandomWidth adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomWidth(PreprocessingLayer):$/;" c +RandomZoom adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class RandomZoom(PreprocessingLayer):$/;" c +random_bernoulli adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_bernoulli(shape, p=0.0, dtype=None, seed=None):$/;" f +random_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_binomial(shape, p=0.0, dtype=None, seed=None):$/;" f +random_brightness adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_brightness(x, brightness_range):$/;" f +random_brightness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_brightness = image.random_brightness$/;" v +random_brightness adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_brightness(image, max_delta, seed=None):$/;" f +random_channel_shift adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_channel_shift(x, intensity_range, channel_axis=0):$/;" f +random_channel_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_channel_shift = image.random_channel_shift$/;" v +random_choices adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def random_choices(population, weights):$/;" f +random_choices adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ from random import choices as random_choices$/;" x +random_contrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_contrast(image, lower, upper, seed=None):$/;" f +random_contrasted_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_contrasted_inputs():$/;" f member:RandomContrast.call file: +random_correlation adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^random_correlation = random_correlation_gen()$/;" v +random_correlation_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class random_correlation_gen(multi_rv_generic):$/;" c +random_crop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def random_crop(image, size, seed=0, seed2=0, name=None):$/;" f +random_crop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_crop(value, size, seed=None, name=None):$/;" f +random_cropped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_cropped_inputs():$/;" f member:RandomCrop.call file: +random_crop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def random_crop_eager_fallback(image, size, seed, seed2, name, ctx):$/;" f +random_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def random_dataset(seed, seed2, output_types, output_shapes, name=None):$/;" f +random_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def random_dataset_eager_fallback(seed, seed2, output_types, output_shapes, name, ctx):$/;" f +random_entry adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^def random_entry(n, min_eig, max_eig, case):$/;" f +random_flipped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_flipped_inputs():$/;" f member:RandomFlip.call file: +random_flip_left_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_flip_left_right(image, seed=None):$/;" f +random_flip_up_down adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_flip_up_down(image, seed=None):$/;" f +random_fullrank_matrix_distinct_singular_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_fullrank_matrix_distinct_singular_value(matrix_size, *batch_dims,$/;" f +random_gamma adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def random_gamma(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +random_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_gamma(shape, alpha, seed=0, seed2=0, name=None):$/;" f +random_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_gamma(shape,$/;" f +random_gamma_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_gamma_eager_fallback(shape, alpha, seed, seed2, name, ctx):$/;" f +random_gamma_grad adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def random_gamma_grad(a: Array, x: Array) -> Array:$/;" f +random_gamma_grad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^random_gamma_grad = _broadcasting_binary_op(gen_random_ops.random_gamma_grad)$/;" v +random_gamma_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_gamma_grad(alpha, sample, name=None):$/;" f +random_gamma_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_gamma_grad_eager_fallback(alpha, sample, name, ctx):$/;" f +random_gamma_grad_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^random_gamma_grad_p = standard_naryop([_float, _float], 'random_gamma_grad',$/;" v +random_gamma_p adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^random_gamma_p = core.Primitive('random_gamma')$/;" v +random_greedy adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^def random_greedy(inputs, output, idx_dict, memory_limit=None, **optimizer_kwargs):$/;" f +random_greedy_128 adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^random_greedy_128 = functools.partial(random_greedy, max_repeats=128)$/;" v +random_height_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_height_inputs():$/;" f member:RandomHeight.call file: +random_hue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_hue(image, max_delta, seed=None):$/;" f +RANDOM_INIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^RANDOM_INIT = 'random'$/;" v +RANDOM_INIT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ RANDOM_INIT = clustering_ops.RANDOM_INIT$/;" v class:KMeansClustering +random_ints adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def random_ints():$/;" f member:TestMatmul.test_matmul_object file: +random_jpeg_quality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_jpeg_quality(image, min_jpeg_quality, max_jpeg_quality, seed=None):$/;" f +random_lowrank_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_lowrank_matrix(rank, rows, columns, *batch_dims, **kwargs):$/;" f +random_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_matrix(rows, columns, *batch_dims, **kwargs):$/;" f +random_model_parameters adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def random_model_parameters(self, seed=None):$/;" m class:ARModel +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def random_normal(mu, sigma, dims, name=None):$/;" f +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import RandomNormal as random_normal$/;" x +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomNormal as random_normal$/;" x +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomNormal as random_normal$/;" x +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import RandomNormal as random_normal$/;" x +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_normal(shape, mean=0.0, stddev=1.0, dtype=None, seed=None):$/;" f +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^random_normal = init_ops.random_normal_initializer$/;" v +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def random_normal(self, shape, mean=0.0, stddev=1, dtype=dtypes.float32):$/;" m class:_RandomGenerator +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_normal(shape, mean=0.0, stddev=1.0, dtype=dtypes.float32, seed=None):$/;" f +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_normal(shape,$/;" f +random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import RandomNormal as random_normal$/;" x +random_normal_correlated_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_normal_correlated_columns(shape,$/;" f +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^random_normal_initializer = RandomNormal$/;" v +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^random_normal_initializer = RandomNormal$/;" v +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.init_ops_v2 import RandomNormal as random_normal_initializer$/;" x +random_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomNormal as random_normal_initializer$/;" x +random_normal_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_normal_variable(shape, mean, scale, dtype=None, name=None,$/;" f +random_ortho adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def random_ortho(dim):$/;" f member:TestOrthoGroup.test_pairwise_distances file: +random_path adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ def random_path(r, n, inputs, output, size_dict):$/;" m class:test_custom_random_optimizer.NaiveRandomOptimizer +random_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_poisson(shape, rate, seed=0, seed2=0, name=None):$/;" f +random_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_poisson(lam, shape, dtype=dtypes.float32, seed=None, name=None):$/;" f +random_poisson_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_poisson_eager_fallback(shape, rate, seed, seed2, name, ctx):$/;" f +random_poisson_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_poisson_v2(shape, rate, seed=0, seed2=0, dtype=_dtypes.int64, name=None):$/;" f +random_poisson_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_poisson_v2(shape, lam, dtype=dtypes.float32, seed=None, name=None):$/;" f +random_poisson_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_poisson_v2_eager_fallback(shape, rate, seed, seed2, dtype, name, ctx):$/;" f +random_ports adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def random_ports(port, n):$/;" f member:WebAggApplication.initialize file: +random_positive_definite_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_positive_definite_matrix(shape,$/;" f +random_raw adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object random_raw(bitgen_t *bitgen, object lock, object size, object output)$/;" f +random_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def random_reader(self, init_net=None, indices=None, cursor_name=None,$/;" m class:Dataset +random_rotated_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_rotated_inputs():$/;" f member:RandomRotation.call file: +random_rotation adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_rotation(x, rg, row_axis=1, col_axis=2, channel_axis=0,$/;" f +random_rotation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_rotation = image.random_rotation$/;" v +random_saturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def random_saturation(image, lower, upper, seed=None):$/;" f +random_seed adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ from random import seed as random_seed$/;" x +random_seed adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ random_seed = np.random.seed$/;" v +random_seed_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^from tensorflow.python.framework import random_seed as random_seed_ops$/;" x +random_shear adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_shear(x, intensity, row_axis=1, col_axis=2, channel_axis=0,$/;" f +random_shear adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_shear = image.random_shear$/;" v +random_shift adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_shift(x, wrg, hrg, row_axis=1, col_axis=2, channel_axis=0,$/;" f +random_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_shift = image.random_shift$/;" v +random_shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_shuffle(value, seed=0, seed2=0, name=None):$/;" f +random_shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_shuffle(value, seed=None, name=None):$/;" f +random_shuffle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_shuffle_eager_fallback(value, seed, seed2, name, ctx):$/;" f +random_shuffle_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def random_shuffle_queue(component_types, shapes=[], capacity=-1, min_after_dequeue=0, seed=0, s/;" f +random_shuffle_queue_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def random_shuffle_queue_eager_fallback(component_types, shapes, capacity, min_after_dequeue, se/;" f +random_shuffle_queue_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def random_shuffle_queue_v2(component_types, shapes=[], capacity=-1, min_after_dequeue=0, seed=0/;" f +random_shuffle_queue_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def random_shuffle_queue_v2_eager_fallback(component_types, shapes, capacity, min_after_dequeue,/;" f +random_sign_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_sign_uniform(shape,$/;" f +random_sleep adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def random_sleep(self, previous_attempt_number, delay_since_first_attempt_ms):$/;" m class:Retrying +random_slice adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def random_slice(n, step):$/;" f function:iter_random_view_pairs file: +random_slice adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def random_slice(n, step):$/;" f function:test_internal_overlap_slices file: +random_slice_fixed_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def random_slice_fixed_size(n, step, size):$/;" f function:iter_random_view_pairs file: +random_sparse_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_sparse_matrix(rows, columns, density=0.01, **kwargs):$/;" f +random_sparse_pd_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_sparse_pd_matrix(matrix_size, density=0.01, **kwargs):$/;" f +random_split adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^def random_split(dataset: Dataset[T], lengths: Sequence[int],$/;" f +random_square_matrix_of_rank adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_square_matrix_of_rank(l, rank, dtype=torch.double, device='cpu'):$/;" f +random_standard_normal adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^random_standard_normal = lib.random_standard_normal$/;" v +random_standard_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_standard_normal(shape, dtype, seed=0, seed2=0, name=None):$/;" f +random_standard_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_standard_normal_eager_fallback(shape, dtype, seed, seed2, name, ctx):$/;" f +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def random_state(self):$/;" m class:rv_frozen +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def random_state(self):$/;" m class:rv_generic +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def random_state(self, seed):$/;" m class:rv_frozen +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def random_state(self, seed):$/;" m class:rv_generic +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def random_state(self):$/;" m class:multi_rv_frozen +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def random_state(self):$/;" m class:multi_rv_generic +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def random_state(self, seed):$/;" m class:multi_rv_frozen +random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def random_state(self, seed):$/;" m class:multi_rv_generic +random_structured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def random_structured(module, name, amount, dim):$/;" f +random_symmetric_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_symmetric_matrix(l, *batches, **kwargs):$/;" f +random_symmetric_pd_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_symmetric_pd_matrix(matrix_size, *batch_dims, **kwargs):$/;" f +random_symmetric_psd_matrix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def random_symmetric_psd_matrix(l, *batches, **kwargs):$/;" f +random_token_generator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def random_token_generator(request, refresh_token=False):$/;" f +random_topology_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def random_topology_test(seed, *inp_tensor_list):$/;" f +random_transform adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def random_transform(self, x, seed=None):$/;" m class:ImageDataGenerator +random_translated_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_translated_inputs():$/;" f member:RandomTranslation.call file: +random_triangle_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def random_triangle_matrix(n, lower=True):$/;" f member:TestSpsolveTriangular.test_random file: +random_tril_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_tril_matrix(shape,$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def random_uniform(minval, maxval, dims, name=None):$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import RandomUniform as random_uniform$/;" x +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomUniform as random_uniform$/;" x +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomUniform as random_uniform$/;" x +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import RandomUniform as random_uniform$/;" x +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_uniform(shape, minval=0.0, maxval=1.0, dtype=None, seed=None):$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_uniform(shape, dtype, seed=0, seed2=0, name=None):$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^random_uniform = init_ops.random_uniform_initializer$/;" v +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def random_uniform(self, shape, minval, maxval, dtype):$/;" m class:_RandomGenerator +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def random_uniform(shape,$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def random_uniform(shape,$/;" f +random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import RandomUniform as random_uniform$/;" x +random_uniform_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_uniform_eager_fallback(shape, dtype, seed, seed2, name, ctx):$/;" f +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^random_uniform_initializer = RandomUniform$/;" v +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^random_uniform_initializer = RandomUniform$/;" v +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.init_ops_v2 import RandomUniform as random_uniform_initializer$/;" x +random_uniform_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.init_ops_v2 import RandomUniform as random_uniform_initializer$/;" x +random_uniform_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_uniform_int(shape, minval, maxval, seed=0, seed2=0, name=None):$/;" f +random_uniform_int_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def random_uniform_int_eager_fallback(shape, minval, maxval, seed, seed2, name, ctx):$/;" f +random_uniform_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def random_uniform_variable(shape, low, high, dtype=None, name=None, seed=None):$/;" f +random_unstructured adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def random_unstructured(module, name, amount):$/;" f +random_width_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_width_inputs():$/;" f member:RandomWidth.call file: +random_zoom adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def random_zoom(x, zoom_range, row_axis=1, col_axis=2, channel_axis=0,$/;" f +random_zoom adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^random_zoom = image.random_zoom$/;" v +random_zoomed_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def random_zoomed_inputs():$/;" f member:RandomZoom.call file: +rand_array adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def rand_array(*dims):$/;" f +rand_bool adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_bool(rng):$/;" f +rand_default adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_default(rng, scale=3):$/;" f +rand_equation adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^def rand_equation(n, reg, n_out=0, d_min=2, d_max=9, seed=None, global_dim=False, return_size_di/;" f +rand_fullrange adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_fullrange(rng, standardize_nans=False):$/;" f +rand_int adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_int(rng, low=0, high=None):$/;" f +rand_like adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_like(rng, x):$/;" f +rand_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def rand_like(g, self, dtype, layout=None, device=None, pin_memory=False, memory_format=None):$/;" f +rand_like adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^rand_like = torch.rand_like$/;" v +rand_nonzero adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_nonzero(rng):$/;" f +rand_not_small adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_not_small(rng, offset=10.):$/;" f +rand_positive adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_positive(rng):$/;" f +rand_roi adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def rand_roi():$/;" f member:TorchIntegration._test_roi_align file: +rand_rotated_roi adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def rand_rotated_roi():$/;" f member:TorchIntegration._test_roi_align_rotated file: +RAND_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^RAND_SEED = 42$/;" v +RAND_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^RAND_SEED = 42$/;" v +rand_small adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_small(rng):$/;" f +rand_small_positive adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_small_positive(rng):$/;" f +rand_some_equal adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_some_equal(rng):$/;" f +rand_some_inf adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_some_inf(rng):$/;" f +rand_some_inf_and_nan adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_some_inf_and_nan(rng):$/;" f +rand_some_nan adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_some_nan(rng):$/;" f +rand_some_zero adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_some_zero(rng):$/;" f +rand_uniform adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_uniform(rng, low=0.0, high=1.0):$/;" f +rand_unique_int adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def rand_unique_int(rng, high=None):$/;" f +range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def range(self, first, second=None, third=None):$/;" m class:Scope +range adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def range(start, stop, step):$/;" m class:Epoch +range adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def range(start, stop, step=None):$/;" m class:UnitDbl +range adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ range = xrange$/;" v +range adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ range = xrange$/;" v +range adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ range = xrange$/;" v +range adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ range = xrange$/;" v +RANGE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RANGE = 96$/;" v class:BuiltinOperator +range adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def range(*args, **kwargs):$/;" m class:DatasetV1 +range adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def range(*args, **kwargs):$/;" m class:DatasetV2 +range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def range(self, name="range"):$/;" m class:Uniform +Range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Range = tf_export("raw_ops.Range")(_ops.to_raw_op(_range))$/;" v +range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def range(start, limit=None, delta=1, dtype=None, name="range"): # pylint: disable=redefined-bu/;" f +range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def range(starts,$/;" f +Range adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class Range(object):$/;" c +range adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def range(self):$/;" m class:ETagRequestMixin +RangeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class RangeDataset(DatasetSource):$/;" c +RangeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^RangeDataset = tf_export("raw_ops.RangeDataset")(_ops.to_raw_op(range_dataset))$/;" v +RangeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RangeOptions = 73$/;" v class:BuiltinOptions +RangeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RangeOptions(object):$/;" c +RangeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def RangeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:RangeOptions +RangeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RangeOptionsEnd(builder): return builder.EndObject()$/;" f +RangeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RangeOptionsStart(builder): builder.StartObject(0)$/;" f +RangeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RangeOptionsT(object):$/;" c +rangePop adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ rangePop = _fail$/;" v class:_NVTXStub +rangePushA adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ rangePushA = _fail$/;" v class:_NVTXStub +ranges0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def ranges0(*args):$/;" f function:tplquad file: +ranges1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def ranges1(*args):$/;" f function:tplquad file: +ranges_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^ def ranges_filter(x):$/;" f function:parse_ranges_highlight file: +ranges_like adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def ranges_like(*xs):$/;" f +range_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def range_(start_or_stop, stop=UNSPECIFIED, step=UNSPECIFIED):$/;" f +range_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def range_(start, length):$/;" f function:_slice_helper file: +range_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def range_dataset(start, stop, step, output_types, output_shapes, name=None):$/;" f +range_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def range_dataset_eager_fallback(start, stop, step, output_types, output_shapes, name, ctx):$/;" f +range_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def range_dimension(self):$/;" m class:LinearOperator +range_dimension_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def range_dimension_tensor(self, name="range_dimension_tensor"):$/;" m class:LinearOperator +range_for_length adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def range_for_length(self, length):$/;" m class:Range +range_func adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ range_func = range$/;" v +range_func adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ range_func = xrange$/;" v +range_input_producer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def range_input_producer(limit, num_epochs=None, shuffle=True, seed=None,$/;" f +range_less_than adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def range_less_than(old_input, current_input):$/;" f function:ctc_label_dense_to_sparse file: +range_pop adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^def range_pop():$/;" f +range_push adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^def range_push(msg):$/;" f +range_type adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ range_type = range$/;" v +range_type adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ range_type = xrange$/;" v +RANK adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^RANK = 0$/;" v +Rank adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Rank(self):$/;" m class:SVDFOptions +RANK adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RANK = 110$/;" v class:BuiltinOperator +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def rank(self):$/;" m class:TensorShape +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def rank(input, name=None):$/;" f +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def rank(input, name=None):$/;" f +Rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Rank = tf_export("raw_ops.Rank")(_ops.to_raw_op(rank))$/;" v +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def rank(input, name=None): # pylint: disable=redefined-builtin$/;" f +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def rank(self):$/;" m class:RaggedTensorDynamicShape +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def rank(self):$/;" m class:StructuredTensor +rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def rank(self):$/;" m class:_SparseMetaData +rankdata adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def rankdata(data, axis=None, use_missing=False):$/;" f +rankdata adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def rankdata(a, method='average', *, axis=None):$/;" f +RankFilter adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class RankFilter(Filter):$/;" c +RankOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RankOptions = 86$/;" v class:BuiltinOptions +RankOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RankOptions(object):$/;" c +RankOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def RankOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:RankOptions +RankOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RankOptionsEnd(builder): return builder.EndObject()$/;" f +RankOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RankOptionsStart(builder): builder.StartObject(0)$/;" f +RankOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RankOptionsT(object):$/;" c +ranksums adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ranksums(x, y):$/;" f +RanksumsResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^RanksumsResult = namedtuple('RanksumsResult', ('statistic', 'pvalue'))$/;" v +RankWarning adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^class RankWarning(UserWarning):$/;" c +RankWarning adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^class RankWarning(UserWarning):$/;" c +rank_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def rank_eager_fallback(input, name, ctx):$/;" f +rank_equal_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def rank_equal_case():$/;" f function:average file: +rank_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def rank_filter(input, rank, size=None, footprint=None, output=None,$/;" f +rank_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def rank_internal(input, name=None, optimize=True):$/;" f +rank_not_equal_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def rank_not_equal_case():$/;" f function:average file: +ranlib adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ ranlib = _command_property('ranlib')$/;" v class:FCompiler +RArrow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class RArrow(LArrow):$/;" c class:BoxStyle +RASTERIZE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^RASTERIZE = 2 # Not yet implemented$/;" v +rate adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def rate(nper, pmt, pv, fv, when='end', guess=None, tol=None, maxiter=100):$/;" f +rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def rate(self):$/;" m class:Exponential +rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def rate(self):$/;" m class:Gamma +RateLimiter adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^class RateLimiter(object):$/;" c +RateLimiter adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^class RateLimiter(object):$/;" c +RATIONAL adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^RATIONAL = 5$/;" v +ravel adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ravel(a, order="C"):$/;" f +ravel adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def ravel(a, order='C'):$/;" f +ravel adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def ravel(self, order='C'):$/;" m class:MaskedArray +ravel adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ravel = _frommethod('ravel')$/;" v +ravel adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def ravel(self, order='C'):$/;" m class:matrix +ravel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def ravel(a): # pylint: disable=missing-docstring$/;" f +ravel_first_arg adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def ravel_first_arg(f, unravel):$/;" f +ravel_first_arg_ adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def ravel_first_arg_(unravel, y_flat, *args):$/;" f +ravel_multi_index adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def ravel_multi_index(multi_index, dims, mode='raise', order='C'):$/;" f +ravel_multi_index adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def ravel_multi_index(multi_index, dims, mode=None, order=None):$/;" f +ravel_pytree adpepsenv/lib/python3.8/site-packages/jax/flatten_util.py /^def ravel_pytree(pytree):$/;" f +raw adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def raw(rawmode, data):$/;" f +RawConfigParser adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ RawConfigParser = configparser.RawConfigParser # Shorthand$/;" v +RawEventFileLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^class RawEventFileLoader(object):$/;" c +RawHtmlPostprocessor adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^class RawHtmlPostprocessor(Postprocessor):$/;" c +RAWMODE adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^RAWMODE = {"1": "L", "L": "L", "P": "P"}$/;" v +rawmode adpepsenv/lib/python3.8/site-packages/PIL/GimpPaletteFile.py /^ rawmode = "RGB"$/;" v class:GimpPaletteFile +RAWMODE adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^RAWMODE = {$/;" v +rawmode adpepsenv/lib/python3.8/site-packages/PIL/PaletteFile.py /^ rawmode = "RGB"$/;" v class:PaletteFile +rawtextEndTagNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rawtextEndTagNameState(self):$/;" m class:HTMLTokenizer +rawtextEndTagNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rawtextEndTagNameState(self):$/;" m class:HTMLTokenizer +rawtextEndTagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rawtextEndTagOpenState(self):$/;" m class:HTMLTokenizer +rawtextEndTagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rawtextEndTagOpenState(self):$/;" m class:HTMLTokenizer +rawtextLessThanSignState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rawtextLessThanSignState(self):$/;" m class:HTMLTokenizer +rawtextLessThanSignState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rawtextLessThanSignState(self):$/;" m class:HTMLTokenizer +rawtextState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rawtextState(self):$/;" m class:HTMLTokenizer +rawtextState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rawtextState(self):$/;" m class:HTMLTokenizer +RawTuple adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def RawTuple(num_fields, name_prefix='field'):$/;" f +RAW_AAC1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RAW_AAC1 = 0x00FF$/;" v class:WAVE_FORMAT +raw_content adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ async def raw_content(self):$/;" m class:_CombinedResponse +raw_data_op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def raw_data_op($/;" f +raw_data_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def raw_data_pb($/;" f +raw_format_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ raw_format_data = [$/;" v class:TestEngFormatter +raw_input adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ raw_input = input$/;" v +raw_input adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ raw_input = raw_input$/;" v +raw_password adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def raw_password(self):$/;" m class:BaseURL +raw_req adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def raw_req(req):$/;" f member:Wheel._convert_metadata file: +raw_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def raw_rnn(cell,$/;" f +raw_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def raw_session(self):$/;" m class:SingularMonitoredSession +RAW_SPORT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RAW_SPORT = 0x0240$/;" v class:WAVE_FORMAT +raw_username adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def raw_username(self):$/;" m class:BaseURL +rayleigh adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^rayleigh = rayleigh_gen(a=0.0, name="rayleigh")$/;" v +rayleigh_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class rayleigh_gen(rv_continuous):$/;" c +Rbf adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^class Rbf(object):$/;" c +rbits adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ rbits = lambda key: _random_bits(key, nbits, shape)$/;" f function:_randint file: +RBRACKET adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^RBRACKET = L("]").suppress()$/;" v +RBRACKET adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^RBRACKET = L("]").suppress()$/;" v +RBRACKET adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^RBRACKET = L("]").suppress()$/;" v +rc adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def rc(group, **kwargs):$/;" f +rc adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc(group, **kwargs):$/;" f +rc adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^def rc(**kargs): # pylint: disable=invalid-name$/;" f +rc2CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^rc2CBC = rfc8018.rc2CBC$/;" v +rc2CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^rc2CBC = _OID(encryptionAlgorithm, 2)$/;" v +RC2_CBC_Parameter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class RC2_CBC_Parameter(univ.Sequence):$/;" c +rc5_CBC_PAD adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^rc5_CBC_PAD = _OID(encryptionAlgorithm, 9)$/;" v +RC5_CBC_Parameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^class RC5_CBC_Parameters(univ.Sequence):$/;" c +rcdataElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^rcdataElements = frozenset([$/;" v +rcdataElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^rcdataElements = frozenset([$/;" v +rcdataEndTagNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rcdataEndTagNameState(self):$/;" m class:HTMLTokenizer +rcdataEndTagNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rcdataEndTagNameState(self):$/;" m class:HTMLTokenizer +rcdataEndTagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rcdataEndTagOpenState(self):$/;" m class:HTMLTokenizer +rcdataEndTagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rcdataEndTagOpenState(self):$/;" m class:HTMLTokenizer +rcdataLessThanSignState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rcdataLessThanSignState(self):$/;" m class:HTMLTokenizer +rcdataLessThanSignState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rcdataLessThanSignState(self):$/;" m class:HTMLTokenizer +rcdataState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def rcdataState(self):$/;" m class:HTMLTokenizer +rcdataState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def rcdataState(self):$/;" m class:HTMLTokenizer +rcdefaults adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def rcdefaults():$/;" f +rcdefaults adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rcdefaults():$/;" f +RClass adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^class RClass(AxisConcatenator):$/;" c +RcParams adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^class RcParams(MutableMapping, dict):$/;" c +rcParams adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^rcParams = RcParams() # The global instance.$/;" v +rcParamsDefault adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^rcParamsDefault = _rc_params_in_file($/;" v +rcParamsOrig adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ rcParamsOrig = RcParams(rcParams.copy())$/;" v +RCSTRINGS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^RCSTRINGS = {$/;" v +rc_args adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ rc_args = {}$/;" v class:main.parse_command_line.Options +rc_context adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def rc_context(rc=None, fname=None):$/;" f +rc_context adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc_context(rc=None, fname=None):$/;" f +rc_file adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc_file(fname, *, use_default_template=True):$/;" f +rc_file_defaults adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc_file_defaults():$/;" f +rc_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def rc_name(config):$/;" f +rc_params adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc_params(fail_on_error=False):$/;" f +rc_params_from_file adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def rc_params_from_file(fname, fail_on_error=False, use_default_template=True):$/;" f +rdate adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def rdate(self, rdate):$/;" m class:rruleset +rdist adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^rdist = rdist_gen(a=-1.0, b=1.0, name="rdist")$/;" v +rdist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class rdist_gen(rv_continuous):$/;" c +RDNSequence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class RDNSequence(univ.SequenceOf):$/;" c +RDNSequence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^RDNSequence = rfc5280.RDNSequence$/;" v +RDNSequence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class RDNSequence(univ.SequenceOf):$/;" c +RDNSequence adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class RDNSequence(univ.SequenceOf):$/;" c +RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ RE = r'^[ ]{0,3}(?=(?P(-+[ ]{0,2}){3,}|(_+[ ]{0,2}){3,}|(\\*+[ ]{0,2}){3,}))(?P/;" v class:HRProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ RE = re.compile($/;" v class:ReferenceProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ RE = re.compile(r'(?:^|\\n)(?P#{1,6})(?P
(?:\\\\.|[^\\\\])*?)#*(?:\\n|$)')$/;" v class:HashHeaderProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ RE = re.compile(r'(^|\\n)[ ]{0,3}>[ ]?(.*)')$/;" v class:BlockQuoteProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ RE = re.compile(r'^.*?\\n[=-]+[ ]*(\\n|$)', re.MULTILINE)$/;" v class:SetextHeaderProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ RE = re.compile(r'^[*]\\[(?P[^\\]]*)\\][ ]?:[ ]*\\n?[ ]*(?P.*)$', re.MULTILINE)$/;" v class:AbbrPreprocessor +RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ RE = re.compile(r'(?:^|\\n)!!! ?([\\w\\-]+(?: +[\\w\\-]+)*)(?: +"(.*?)")? *(?:\\n|$)')$/;" v class:AdmonitionProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ RE = re.compile(r'(^|\\n)[ ]{0,3}:[ ]{1,3}(.*?)(\\n|$)')$/;" v class:DefListProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ RE = re.compile(r'^[ ]{0,3}\\[\\^([^\\]]*)\\]:[ ]*(.*)$', re.MULTILINE)$/;" v class:FootnoteBlockProcessor +RE adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ RE = re.compile(r'{}(\\d+){}'.format(util.STX, util.ETX))$/;" v class:UnescapePostprocessor +read adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def read(filename):$/;" f +read adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read(self, read_net):$/;" m class:Reader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def read(self, read_net):$/;" m class:_DatasetRandomReader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def read(self, read_net):$/;" m class:_DatasetReader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def read(self, read_net):$/;" m class:DBFileReader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def read(self, net):$/;" m class:_QueueReader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def read(self, read_net):$/;" m class:_QueueReader +read adpepsenv/lib/python3.8/site-packages/caffe2/python/text_file_reader.py /^ def read(self, net):$/;" m class:TextFileReader +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def read(self) -> Union[EOFType, ResponseType]:$/;" m class:StreamStreamCall +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def read(self) -> Union[EOFType, ResponseType]:$/;" m class:UnaryStreamCall +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def read(self) -> RequestType:$/;" m class:ServicerContext +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def read(self) -> ResponseType:$/;" m class:_StreamResponseMixin +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def read(self) -> ResponseType:$/;" m class:StreamStreamCallResponseIterator +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def read(self) -> ResponseType:$/;" m class:UnaryStreamCallResponseIterator +read adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def read(self) -> ResponseType:$/;" m class:_InterceptedStreamResponseMixin +read adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def read(self, name):$/;" m class:Config +read adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def read(v):$/;" f function:eval_jaxpr file: +read adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def read(v: Atom) -> AbstractValue:$/;" f function:_check_jaxpr file: +read adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def read(atom: Union[Var, Literal]) -> Tuple[Any, bool]:$/;" f function:_soft_pmap_interp file: +read adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def read(v):$/;" f function:jaxpr_subcomp file: +read adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def read(a: core.Atom, eqn: MaybeEqn):$/;" f function:var_defs_and_refs file: +read adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^ def read(self, size=None):$/;" m class:BytesIOSRandomSize +read adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def read(self, n):$/;" m class:JustReader +read adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def read(self, n=0):$/;" m class:ContainerIO +read adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def read(self, bits):$/;" m class:BitStream +read adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def read(self):$/;" m class:ChunkStream +read adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def read(self, size=-1):$/;" m class:LazyZipOverHTTP +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def read(self, amt=None):$/;" m class:CallbackFileWrapper +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def read(self, filepath):$/;" m class:LegacyMetadata +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size):$/;" m class:_BZ2Proxy +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size):$/;" m class:_LowLevelFile +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size):$/;" m class:_StreamProxy +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size=None):$/;" m class:ExFileObject +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size=None):$/;" m class:_FileInFile +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def read(self, size=None):$/;" m class:_Stream +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def read(self, bytes):$/;" m class:BufferedStream +read adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def read(self, amt=None, decode_content=None, cache_content=False):$/;" m class:HTTPResponse +read adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def read(self, source):$/;" m class:MMFile +read adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def read(filename, mmap=False):$/;" f +read adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def read(self, filename, binary_mode=False, size=None, continue_from=None):$/;" m class:LocalFileSystem +read adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def read(self, filename, binary_mode=False, size=None, continue_from=None):$/;" m class:S3FileSystem +read adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def read(self, n=None):$/;" m class:GFile +read adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def read(self, bytes):$/;" m class:BufferedStream +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ def read(self):$/;" m class:Undefined +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def read(self, n=-1):$/;" m class:FileIO +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def read(self, queue, name=None):$/;" m class:ReaderBase +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def read(self, index, name=None):$/;" m class:TensorArray +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def read(self, index, name=None):$/;" m class:_EagerTensorArray +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def read(self, index, name=None):$/;" m class:_GraphTensorArray +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def read(self, index, name=None):$/;" m class:_GraphTensorArrayV2 +read adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def read(self, save_path, options=None):$/;" m class:Checkpoint +read adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def read(self, amt=None, decode_content=None, cache_content=False):$/;" m class:HTTPResponse +read adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def read(self, len=1024, buffer=None):$/;" m class:SSLTransport +read adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def read():$/;" f function:ProxyMiddleware.proxy_to.application file: +read adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def read(self, *args):$/;" m class:InputStream +read adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def read(self, size=None):$/;" m class:LimitedStream +read1 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ read1 = read$/;" v class:ExFileObject +readable adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def readable(self):$/;" m class:LazyZipOverHTTP +readable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def readable(self):$/;" m class:ExFileObject +readable adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def readable(self):$/;" m class:HTTPResponse +readable adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def readable(self):$/;" m class:HTTPResponse +readable adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def readable(self):$/;" m class:DechunkedInput +readable adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def readable(self):$/;" m class:LimitedStream +ReadableFormatter adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^class ReadableFormatter(BaseExperimentFormatter):$/;" c +ReadableSize adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^def ReadableSize(num):$/;" f +readable_bytes_string adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^def readable_bytes_string(bytes):$/;" f +readable_form adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def readable_form(cand):$/;" f member:Factory.get_installation_error file: +readable_time_string adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^def readable_time_string():$/;" f +readahead_file_path adpepsenv/lib/python3.8/site-packages/tensorboard/util/platform_util.py /^def readahead_file_path(path, unused_readahead=None):$/;" f +readahead_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^def readahead_file_path(path, readahead='128M'): # pylint: disable=unused-argument$/;" f +readBase64FromFile adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^def readBase64FromFile(fileObj):$/;" f +readBase64fromText adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^def readBase64fromText(text):$/;" f +readChunk adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def readChunk(self, chunkSize=None):$/;" m class:HTMLUnicodeInputStream +readChunk adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def readChunk(self, chunkSize=None):$/;" m class:HTMLUnicodeInputStream +reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def reader(self):$/;" m class:Pipe +Reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class Reader(object):$/;" c +reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def reader(self, init_net=None, cursor_name=None, batch_size=1,$/;" m class:Dataset +reader adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def reader(self):$/;" m class:QueueWrapper +reader adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def reader(self, stream, context):$/;" m class:SubprocessMixin +reader adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def reader():$/;" m class:MMFile +Reader adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^class Reader(object):$/;" c +ReaderBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class ReaderBase(object):$/;" c +ReaderBaseState adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^ReaderBaseState = _reflection.GeneratedProtocolMessageType('ReaderBaseState', (_message.Message,/;" v +ReaderBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class ReaderBuilder(object):$/;" c +ReaderError adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^class ReaderError(YAMLError):$/;" c +ReaderNumRecordsProduced adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderNumRecordsProduced = tf_export("raw_ops.ReaderNumRecordsProduced")(_ops.to_raw_op(reader_n/;" v +ReaderNumRecordsProducedV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderNumRecordsProducedV2 = tf_export("raw_ops.ReaderNumRecordsProducedV2")(_ops.to_raw_op(read/;" v +ReaderNumWorkUnitsCompleted adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderNumWorkUnitsCompleted = tf_export("raw_ops.ReaderNumWorkUnitsCompleted")(_ops.to_raw_op(re/;" v +ReaderNumWorkUnitsCompletedV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderNumWorkUnitsCompletedV2 = tf_export("raw_ops.ReaderNumWorkUnitsCompletedV2")(_ops.to_raw_o/;" v +ReaderRead adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderRead = tf_export("raw_ops.ReaderRead")(_ops.to_raw_op(reader_read))$/;" v +ReaderReadUpTo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderReadUpTo = tf_export("raw_ops.ReaderReadUpTo")(_ops.to_raw_op(reader_read_up_to))$/;" v +ReaderReadUpToV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderReadUpToV2 = tf_export("raw_ops.ReaderReadUpToV2")(_ops.to_raw_op(reader_read_up_to_v2))$/;" v +ReaderReadV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderReadV2 = tf_export("raw_ops.ReaderReadV2")(_ops.to_raw_op(reader_read_v2))$/;" v +ReaderReset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderReset = tf_export("raw_ops.ReaderReset")(_ops.to_raw_op(reader_reset))$/;" v +ReaderResetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderResetV2 = tf_export("raw_ops.ReaderResetV2")(_ops.to_raw_op(reader_reset_v2))$/;" v +ReaderRestoreState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderRestoreState = tf_export("raw_ops.ReaderRestoreState")(_ops.to_raw_op(reader_restore_state/;" v +ReaderRestoreStateV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderRestoreStateV2 = tf_export("raw_ops.ReaderRestoreStateV2")(_ops.to_raw_op(reader_restore_s/;" v +ReadError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^class ReadError(EnvironmentError):$/;" c +ReadError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class ReadError(TarError):$/;" c +ReaderSerializeState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderSerializeState = tf_export("raw_ops.ReaderSerializeState")(_ops.to_raw_op(reader_serialize/;" v +ReaderSerializeStateV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReaderSerializeStateV2 = tf_export("raw_ops.ReaderSerializeStateV2")(_ops.to_raw_op(reader_seria/;" v +ReaderWithDelay adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class ReaderWithDelay(Reader):$/;" c +ReaderWithLimit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class ReaderWithLimit(ReaderWithLimitBase):$/;" c +ReaderWithLimitBase adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class ReaderWithLimitBase(Reader):$/;" c +ReaderWithTimeLimit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class ReaderWithTimeLimit(ReaderWithLimitBase):$/;" c +reader_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^ reader_func = lambda datasets: datasets.interleave( # pylint:disable=g-long-lambda$/;" f member:_LoadDataset.__init__ file: +reader_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ reader_func = lambda datasets: datasets.interleave( # pylint:disable=g-long-lambda$/;" f member:_SnapshotDataset.__init__ file: +reader_num_records_produced adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_records_produced(reader_handle, name=None):$/;" f +reader_num_records_produced_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_records_produced_eager_fallback(reader_handle, name, ctx):$/;" f +reader_num_records_produced_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_records_produced_v2(reader_handle, name=None):$/;" f +reader_num_records_produced_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_records_produced_v2_eager_fallback(reader_handle, name, ctx):$/;" f +reader_num_work_units_completed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_work_units_completed(reader_handle, name=None):$/;" f +reader_num_work_units_completed_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_work_units_completed_eager_fallback(reader_handle, name, ctx):$/;" f +reader_num_work_units_completed_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_work_units_completed_v2(reader_handle, name=None):$/;" f +reader_num_work_units_completed_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_num_work_units_completed_v2_eager_fallback(reader_handle, name, ctx):$/;" f +reader_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read(reader_handle, queue_handle, name=None):$/;" f +reader_read_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_eager_fallback(reader_handle, queue_handle, name, ctx):$/;" f +reader_read_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_up_to(reader_handle, queue_handle, num_records, name=None):$/;" f +reader_read_up_to_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_up_to_eager_fallback(reader_handle, queue_handle, num_records, name, ctx):$/;" f +reader_read_up_to_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_up_to_v2(reader_handle, queue_handle, num_records, name=None):$/;" f +reader_read_up_to_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_up_to_v2_eager_fallback(reader_handle, queue_handle, num_records, name, ctx):$/;" f +reader_read_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_v2(reader_handle, queue_handle, name=None):$/;" f +reader_read_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_read_v2_eager_fallback(reader_handle, queue_handle, name, ctx):$/;" f +reader_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def reader_ref(self):$/;" m class:ReaderBase +reader_reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_reset(reader_handle, name=None):$/;" f +reader_reset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_reset_eager_fallback(reader_handle, name, ctx):$/;" f +reader_reset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_reset_v2(reader_handle, name=None):$/;" f +reader_reset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_reset_v2_eager_fallback(reader_handle, name, ctx):$/;" f +reader_restore_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_restore_state(reader_handle, state, name=None):$/;" f +reader_restore_state_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_restore_state_eager_fallback(reader_handle, state, name, ctx):$/;" f +reader_restore_state_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_restore_state_v2(reader_handle, state, name=None):$/;" f +reader_restore_state_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_restore_state_v2_eager_fallback(reader_handle, state, name, ctx):$/;" f +reader_serialize_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_serialize_state(reader_handle, name=None):$/;" f +reader_serialize_state_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_serialize_state_eager_fallback(reader_handle, name, ctx):$/;" f +reader_serialize_state_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_serialize_state_v2(reader_handle, name=None):$/;" f +reader_serialize_state_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def reader_serialize_state_v2_eager_fallback(reader_handle, name, ctx):$/;" f +READER_WRITER adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ READER_WRITER = 2$/;" v class:_APIStyle +ReadFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ReadFile = tf_export("raw_ops.ReadFile")(_ops.to_raw_op(read_file))$/;" v +readfortrancode adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def readfortrancode(ffile, dowithline=show, istop=1):$/;" f +readinto adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def readinto(self, b):$/;" m class:TestFileObj.test_exception_read.BrokenBytesIO +readinto adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def readinto(self, b):$/;" m class:HTTPResponse +readinto adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def readinto(self, b):$/;" m class:HTTPResponse +readinto adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def readinto(self, buf):$/;" m class:DechunkedInput +readline adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def readline(self):$/;" m class:ContainerIO +readline adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def readline(self):$/;" m class:PSFile +readline adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def readline(self):$/;" m class:FakeFile +readline adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def readline(self, size=-1):$/;" m class:ExFileObject +readline adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def readline(self):$/;" m class:TextFile +readline adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def readline(self):$/;" m class:FileIO +readline adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def readline(self):$/;" m class:HTMLStringO +readline adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def readline(self, *args):$/;" m class:InputStream +readline adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def readline(self, size=None):$/;" m class:LimitedStream +readlines adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def readlines(self):$/;" m class:ContainerIO +readlines adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def readlines(self):$/;" m class:ExFileObject +readlines adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def readlines(self):$/;" m class:TextFile +readlines adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def readlines(self):$/;" m class:FileIO +readlines adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def readlines(self, size=None):$/;" m class:LimitedStream +ReadlineUI adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^class ReadlineUI(base_ui.BaseUI):$/;" c +readLong adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def readLong(self):$/;" m class:AppendingTiffWriter +readme setup.py /^ readme = f.read()$/;" v +READMES adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ READMES = tuple('README{0}'.format(ext) for ext in README_EXTENSIONS)$/;" v class:sdist +READMES adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ READMES = ('README', 'README.txt', 'README.rst')$/;" v class:sdist +README_EXTENSIONS adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ README_EXTENSIONS = ['', '.rst', '.txt', '.md']$/;" v class:sdist +readonly adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def readonly(self):$/;" m class:Path +readOnly adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def readOnly(self):$/;" m class:Asn1Type +ReadOnlyScoped adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class ReadOnlyScoped(object):$/;" c +ReadOnlyScoped adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class ReadOnlyScoped(credentials.ReadOnlyScoped):$/;" c +readPemBlocksFromFile adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^def readPemBlocksFromFile(fileObj, *markers):$/;" f +readPemFromFile adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^def readPemFromFile(fileObj,$/;" f +readsav adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def readsav(file_name, idict=None, python_dict=False,$/;" f +readShort adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def readShort(self):$/;" m class:AppendingTiffWriter +ReadTag adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def ReadTag(buffer, pos):$/;" f +ReadTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class ReadTimeout(Timeout):$/;" c +ReadTimeout adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class ReadTimeout(Timeout):$/;" c +ReadTimeoutError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ReadTimeoutError(TimeoutError, RequestError):$/;" c +ReadTimeoutError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ReadTimeoutError(TimeoutError, RequestError):$/;" c +readtime_dtype adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^def readtime_dtype(basetype, names):$/;" f +ReadValuesNested adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class ReadValuesNested:$/;" c +ReadValuesPlain adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class ReadValuesPlain:$/;" c +ReadVariableOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ReadVariableOp = tf_export("raw_ops.ReadVariableOp")(_ops.to_raw_op(read_variable_op))$/;" v +READY adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ READY = (_cygrpc.ConnectivityState.ready, 'ready')$/;" v class:ChannelConnectivity +ready adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def ready(self):$/;" m class:RateLimiter +READY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ READY = "READY"$/;" v class:_RemoteValueStatus +READY adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ READY = 0$/;" v class:OptState +READY_FOR_LOCAL_INIT_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ READY_FOR_LOCAL_INIT_OP = "ready_for_local_init_op"$/;" v class:GraphKeys +ready_for_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def ready_for_local_init_op(self):$/;" m class:Scaffold +ready_for_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def ready_for_local_init_op(self):$/;" m class:Supervisor +READY_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ READY_OP = "ready_op"$/;" v class:GraphKeys +ready_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def ready_op(self):$/;" m class:Scaffold +ready_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def ready_op(self):$/;" m class:Supervisor +ready_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def ready_size(self, name=None):$/;" m class:Barrier +read_32 adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def read_32(fobj, start_length, size):$/;" f +read_32t adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def read_32t(fobj, start_length, size):$/;" f +read_and_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def read_and_process():$/;" f function:check_disabled file: +read_and_set_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def read_and_set_handle():$/;" f member:BaseResourceVariable._read_variable_op file: +read_array adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def read_array(fp, allow_pickle=False, pickle_kwargs=None):$/;" f +read_array_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def read_array_header(self):$/;" m class:Unpacker +read_array_header_1_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def read_array_header_1_0(fp):$/;" f +read_array_header_2_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def read_array_header_2_0(fp):$/;" f +read_blob adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def read_blob(self, ctx=None, *, blob_key):$/;" m class:MultiplexerDataProvider +read_blob adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def read_blob(self, ctx=None, *, blob_key):$/;" m class:DataProvider +read_blob adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def read_blob(self, ctx=None, *, blob_key):$/;" m class:LocalDebuggerV2DataProvider +read_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def read_blob_sequences($/;" m class:MultiplexerDataProvider +read_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def read_blob_sequences($/;" m class:DataProvider +read_blob_sequences adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def read_blob_sequences($/;" m class:LocalDebuggerV2DataProvider +read_bytes adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def read_bytes(self):$/;" m class:_TempFile +read_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def read_bytes(self, n):$/;" m class:Unpacker +read_char_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_char_array(self, hdr):$/;" m class:VarReader4 +read_chunked adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def read_chunked(self, amt=None, decode_content=None):$/;" m class:HTTPResponse +read_chunked adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def read_chunked(self, amt=None, decode_content=None):$/;" m class:HTTPResponse +read_chunks adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def read_chunks(file, size=io.DEFAULT_BUFFER_SIZE):$/;" f +read_chunk_len adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def read_chunk_len(self):$/;" m class:DechunkedInput +read_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def read_config(pkgname, dirs=None):$/;" f +read_configuration adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def read_configuration(self):$/;" m class:PackageIndex +read_configuration adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^def read_configuration($/;" f +read_cotangent adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def read_cotangent(v):$/;" f function:backward_pass file: +read_cotangent adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def read_cotangent(v):$/;" f function:inv_backward_pass file: +read_credentials adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def read_credentials(self):$/;" m class:CredentialsStore +read_data adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def read_data(chunk_size):$/;" f function:loadtxt file: +read_data adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def read_data(struct_class, lib_file):$/;" f +READ_DATA_CHUNK adpepsenv/lib/python3.8/site-packages/torch/hub.py /^READ_DATA_CHUNK = 8192$/;" v +read_dateheader_unsupported adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def read_dateheader_unsupported():$/;" f member:TestHeader.test_dateheader_unsupported file: +read_db_with_caffe2 adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/lmdb_create_example.py /^def read_db_with_caffe2(db_file, expected_checksum):$/;" f +read_direct adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def read_direct(self, dest, source_sel=None, dest_sel=None):$/;" m class:Dataset +read_dtype adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^def read_dtype(mat_stream, a_dtype):$/;" f +read_dtypes adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^def read_dtypes(dataset_dtype, names):$/;" f +read_eval_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def read_eval_metrics(eval_dir):$/;" f +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:CompositeReader +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:CounterReader +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:Reader +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:ReaderWithDelay +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:ReaderWithLimitBase +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def read_ex(self, init_net, exit_net):$/;" m class:ProcessingReader +read_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def read_ex(self, local_init_net, local_finish_net):$/;" m class:_QueueReader +read_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_execution(self, execution_digest):$/;" m class:DebugDataReader +read_execution_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_execution_event(self, offset):$/;" m class:DebugEventsReader +read_execution_stack_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_execution_stack_trace(self, execution):$/;" m class:DebugDataReader +read_exports adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def read_exports(self):$/;" m class:InstalledDistribution +read_exports adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def read_exports(stream):$/;" f +read_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def read_file(self, fileob):$/;" m class:LegacyMetadata +read_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def read_file(filename, name=None):$/;" f +read_file_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def read_file_eager_fallback(filename, name, ctx):$/;" f +read_file_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def read_file_header(self):$/;" m class:MatFile5Reader +read_file_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def read_file_to_string(filename, binary_mode=False):$/;" f +read_flags_from_files adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def read_flags_from_files(self, argv, force_gnu=True):$/;" m class:FlagValues +read_full_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_full_array(self, hdr):$/;" m class:VarReader4 +read_graphs_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_graphs_event(self, offset):$/;" m class:DebugEventsReader +read_graph_execution_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_graph_execution_trace(self, graph_execution_trace_digest):$/;" m class:DebugDataReader +read_graph_execution_traces_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_graph_execution_traces_event(self, locator):$/;" m class:DebugEventsReader +read_graph_op_creation_stack_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_graph_op_creation_stack_trace(self, graph_op_creation_digest):$/;" m class:DebugDataReader +read_header adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def read_header(ofile):$/;" f +read_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_header(self):$/;" m class:VarReader4 +read_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def read_header(self):$/;" m class:MatVarReader +read_indirect adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def read_indirect(self, ref, max_nesting=-1):$/;" m class:PdfParser +read_infile adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def read_infile(self, inname: str) -> bytes:$/;" m class:CryptoOperation +read_ints adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def read_ints(self, dtype='i4'):$/;" m class:FortranFile +read_iteration_counts adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def read_iteration_counts(self):$/;" m class:_OpQueueContext +read_json adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/compat.py /^ def read_json(path):$/;" f +read_json adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^ def read_json(path):$/;" f +read_key adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def read_key(self, filename: str, keyform: str) -> rsa.key.AbstractKey:$/;" m class:CryptoOperation +read_keys adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def read_keys(cls, base, key):$/;" m class:Reg +read_keys adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ read_keys = classmethod(read_keys)$/;" v class:Reg +read_keys adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^def read_keys(base, key):$/;" f +read_last_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def read_last_scalars(self, ctx, experiment_id, run_tag_filter):$/;" m class:Context +READ_LIBTIFF adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^READ_LIBTIFF = False$/;" v +read_mach_header adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def read_mach_header(lib_file, seek=None):$/;" f +read_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def read_magic(fp):$/;" f +read_manifest adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def read_manifest(self):$/;" m class:sdist +read_manifest adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def read_manifest(self):$/;" m class:sdist +read_map_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def read_map_header(self):$/;" m class:Unpacker +read_matrix adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def read_matrix(self):$/;" m class:HBFile +read_meta_graph_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def read_meta_graph_file(filename):$/;" f +read_minimat_vars adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_funcs.py /^def read_minimat_vars(rdr):$/;" f +read_mk adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def read_mk(fobj, start_length, size):$/;" f +read_one_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def read_one_file(filename):$/;" f function:_create_dataset_reader file: +READ_ONLY adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ READ_ONLY = "read-only"$/;" v class:ResourceType +read_only adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ read_only = True$/;" v class:environ_property +read_only adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ read_only = False$/;" v class:_DictAccessorProperty +READ_ONLY_RESOURCE_INPUTS_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^READ_ONLY_RESOURCE_INPUTS_ATTR = "_read_only_resource_inputs"$/;" v +read_or_stop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def read_or_stop():$/;" f function:detect_encoding file: +read_pdf_info adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def read_pdf_info(self):$/;" m class:PdfParser +read_pkg_file adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def read_pkg_file(self, file):$/;" f +read_pkg_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def read_pkg_file(self, file):$/;" m class:DistributionMetadata +read_pkg_info adpepsenv/lib/python3.8/site-packages/wheel/pkginfo.py /^ def read_pkg_info(path):$/;" f +read_pkg_info_bytes adpepsenv/lib/python3.8/site-packages/wheel/pkginfo.py /^ def read_pkg_info_bytes(bytestr):$/;" f +read_png_or_jpeg2000 adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def read_png_or_jpeg2000(fobj, start_length, size):$/;" f +read_prev_trailer adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def read_prev_trailer(self, xref_section_offset):$/;" m class:PdfParser +read_primal adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def read_primal(v):$/;" f function:backward_pass file: +read_primal adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def read_primal(v):$/;" f function:inv_backward_pass file: +read_random_bits adpepsenv/lib/python3.8/site-packages/rsa/randnum.py /^def read_random_bits(nbits: int) -> bytes:$/;" f +read_random_int adpepsenv/lib/python3.8/site-packages/rsa/randnum.py /^def read_random_int(nbits: int) -> int:$/;" f +read_random_odd_int adpepsenv/lib/python3.8/site-packages/rsa/randnum.py /^def read_random_odd_int(nbits: int) -> int:$/;" f +read_reals adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def read_reals(self, dtype='f8'):$/;" m class:FortranFile +read_record adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_record(self, read_net):$/;" m class:Reader +read_record adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def read_record(self, *dtypes, **kwargs):$/;" m class:FortranFile +read_record_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def read_record_ex(self, local_init_net, local_finish_net):$/;" m class:Reader +read_relational_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def read_relational_attribute(ofile, relational_attribute, i):$/;" f +read_results adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def read_results(result_file: str):$/;" f +read_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_utils.py /^def read_saved_model(saved_model_dir):$/;" f +read_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def read_scalars($/;" m class:MultiplexerDataProvider +read_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def read_scalars($/;" m class:DataProvider +read_scalars adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def read_scalars($/;" m class:LocalDebuggerV2DataProvider +read_selections_scalar adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^def read_selections_scalar(dsid, args):$/;" f +read_setup_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/extension.py /^def read_setup_file(filename):$/;" f +read_source_files_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def read_source_files_event(self, offset):$/;" m class:DebugEventsReader +read_sparse_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_sparse_array(self, hdr):$/;" m class:VarReader4 +read_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def read_stream(cp, stream):$/;" f function:read_exports file: +read_style_directory adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def read_style_directory(style_dir):$/;" f +read_sub_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_sub_array(self, hdr, copy=True):$/;" m class:VarReader4 +read_template adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ read_template = __read_template_hack$/;" v class:sdist +read_template adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def read_template(self):$/;" m class:sdist +read_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def read_tensors($/;" m class:MultiplexerDataProvider +read_tensors adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def read_tensors($/;" m class:DataProvider +read_tensor_tracer_event_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^def read_tensor_tracer_event_file(event_file):$/;" f +read_text adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def read_text(self, encoding='utf8', errors='strict'):$/;" m class:_TempFile +read_text adpepsenv/lib/python3.8/site-packages/certifi/core.py /^ def read_text(_module, _path, encoding="ascii"):$/;" f +read_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^ def read_text(_module, _path, encoding="ascii"):$/;" f +read_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def read_timeout(self):$/;" m class:Timeout +read_timeout adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def read_timeout(self):$/;" m class:Timeout +read_trailer adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def read_trailer(self):$/;" m class:PdfParser +read_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def read_up_to(self, queue, num_records, # pylint: disable=invalid-name$/;" m class:ReaderBase +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def read_value(self):$/;" m class:PackedVarAndDevice +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def read_value(self):$/;" m class:AggregatingVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def read_value(self):$/;" m class:TPUVariableMixin +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def read_value(self):$/;" m class:DistributedVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def read_value(self):$/;" m class:AutoCastVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def read_value(self):$/;" m class:BaseResourceVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def read_value(self):$/;" m class:_UnreadVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def read_value(self):$/;" m class:RefVariable +read_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def read_value(self):$/;" m class:Variable +read_values adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def read_values(cls, base, key):$/;" m class:Reg +read_values adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ read_values = classmethod(read_values)$/;" v class:Reg +read_values adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^def read_values(base, key):$/;" f +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def read_var(self, replica_local_var):$/;" m class:_DefaultDistributionExtended +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def read_var(self, v):$/;" m class:StrategyExtendedV1 +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def read_var(self, replica_local_var):$/;" m class:MirroredExtended +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def read_var(self, replica_local_var):$/;" m class:OneDeviceExtended +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def read_var(self, var):$/;" m class:ParameterServerStrategyExtended +read_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def read_var(self, var):$/;" m class:TPUExtended +read_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def read_variable_op(resource, dtype, name=None):$/;" f +read_variable_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def read_variable_op_eager_fallback(resource, dtype, name, ctx):$/;" f +read_var_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_var_array(self, header, process=True):$/;" m class:MatFile4Reader +read_var_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def read_var_array(self, header, process=True):$/;" m class:MatFile5Reader +read_var_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def read_var_header(self):$/;" m class:MatFile4Reader +read_var_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def read_var_header(self):$/;" m class:MatFile5Reader +read_wheel_metadata_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def read_wheel_metadata_file(source, path):$/;" f +read_workspace_vars adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_funcs.py /^def read_workspace_vars(fname):$/;" f +READ_WRITE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ READ_WRITE = "read-write"$/;" v class:ResourceType +read_xref_table adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def read_xref_table(self, xref_section_offset):$/;" m class:PdfParser +real adpeps/utils/empty_tensor.py /^ def real(self):$/;" m class:EmptyT +real adpeps/utils/nested.py /^ def real(self):$/;" m class:Nested +real adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^real = np.real$/;" v +real adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def real(x: Array) -> Array:$/;" f +real adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def real(val):$/;" f +real adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def real(val):$/;" f +real adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def real(self):$/;" m class:MaskedArray +real adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ real = Regex(r'[+-]?(?:\\d+\\.\\d*|\\.\\d+)').setName("real number").setParseAction(convertT/;" v class:pyparsing_common +real adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ real = Regex(r'[+-]?\\d+\\.\\d*').setName("real number").setParseAction(convertToFloat)$/;" v class:pyparsing_common +Real adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Real(base.SimpleAsn1Type):$/;" c +real adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ real = Regex(r'[+-]?(?:\\d+\\.\\d*|\\.\\d+)').setName("real number").setParseAction(convertT/;" v class:pyparsing_common +real adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ real = Regex(r'[+-]?\\d+\\.\\d*').setName("real number").setParseAction(convertToFloat)$/;" v class:pyparsing_common +real adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^real = _unary_op(math_ops.real)$/;" v +real adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def real(input, Tout=_dtypes.float32, name=None):$/;" f +Real adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Real = tf_export("raw_ops.Real")(_ops.to_raw_op(real))$/;" v +real adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def real(input, name=None):$/;" f +real adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def real(val):$/;" f +real adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^real = _Real()$/;" v +real16pattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^real16pattern = re.compile($/;" v +real8pattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^real8pattern = re.compile($/;" v +RealData adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^class RealData(Data):$/;" c +RealDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class RealDecoder(AbstractSimpleDecoder):$/;" c +RealDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^RealDecoder = decoder.RealDecoder$/;" v +RealDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^RealDecoder = decoder.RealDecoder$/;" v +RealDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RealDiv = tf_export("raw_ops.RealDiv")(_ops.to_raw_op(real_div))$/;" v +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^realdiv = gen_math_ops.real_div$/;" v +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +realdiv adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_math_ops import real_div as realdiv$/;" x +RealEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class RealEncoder(AbstractItemEncoder):$/;" c +RealEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class RealEncoder(encoder.RealEncoder):$/;" c +RealEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class RealEncoder(AbstractItemEncoder):$/;" c +RealInterval adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api.py /^RealInterval = summary_v2.RealInterval$/;" v +RealInterval adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^class RealInterval(Domain):$/;" c +ReallyGetBlobNode adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^ def ReallyGetBlobNode(node_name, label):$/;" f function:GetBlobNodeProducer file: +ReallyGetOpNode adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^ def ReallyGetOpNode(op, op_id):$/;" f function:GetOpNodeProducer file: +realm adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ realm = None$/;" v class:PyPIRCCommand +realm adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def realm(self):$/;" m class:Authorization +realm adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ realm = auth_property($/;" v class:WWWAuthenticate +realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def realms(self):$/;" m class:RequestValidator +real_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def real_div(x, y, name=None):$/;" f +real_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def real_div_eager_fallback(x, y, name, ctx):$/;" f +real_dtype adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def real_dtype(self):$/;" m class:DType +real_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def real_dtype(self):$/;" m class:DType +real_dtypes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ real_dtypes = [np.float32, np.float64, np.longfloat]$/;" v class:TestOverwrite +real_dtypes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ real_dtypes = (np.float32, np.float64)$/;" v class:TestOverwrite +real_dtypes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ real_dtypes = (np.float32, np.float64)$/;" v class:TestOverwrite +real_dtypes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ real_dtypes = [np.float32, np.float64]$/;" v class:TestOverwrite +REAL_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^REAL_DTYPES = [np.float32, np.float64, np.longdouble]$/;" v +REAL_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^REAL_DTYPES = [float32, float64]$/;" v +REAL_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^REAL_DTYPES = [np.float32, np.float64]$/;" v +REAL_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^REAL_DTYPES = (np.float32, np.float64)$/;" v +REAL_DTYPES adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^REAL_DTYPES = [np.float32, np.float64]$/;" v +real_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def real_eager_fallback(input, Tout, name, ctx):$/;" f +real_if_close adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def real_if_close(a, tol=100):$/;" f +real_inputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ real_inputs = 0$/;" v +real_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^real_p = unop(_complex_basetype, _complex, 'real')$/;" v +real_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^real_points = [-10.0, -1.0, 1.0, 10.0]$/;" v +real_skip_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def real_skip_if(fn):$/;" f function:skip_if file: +real_types adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^real_types = (_numbers.Real, _np.integer, _np.floating)$/;" v +real_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^real_types = (_numbers.Real, _np.integer, _np.floating)$/;" v +real_valued adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def real_valued(x):$/;" f function:cg file: +real_vector adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^real_vector = _RealVector()$/;" v +rearrange_binders adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def rearrange_binders(jaxpr: core.ClosedJaxpr, primals_in, tangents_in, primals_out, tangents_ou/;" f +reason adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def reason(op_idx, details):$/;" m class:TensorTracer +ReasonFlags adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ReasonFlags(univ.BitString):$/;" c +ReasonFlags adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class ReasonFlags(univ.BitString):$/;" c +ReasonFlags adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class ReasonFlags(univ.BitString):$/;" c +rebase_donate_argnums adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def rebase_donate_argnums(donate_argnums, static_argnums) -> Tuple[int, ...]:$/;" f +rebatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def rebatch(type_spec):$/;" f member:_LegacyRebatchDataset.__init__ file: +RebatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^RebatchDataset = tf_export("raw_ops.RebatchDataset")(_ops.to_raw_op(rebatch_dataset))$/;" v +RebatchDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^RebatchDatasetV2 = tf_export("raw_ops.RebatchDatasetV2")(_ops.to_raw_op(rebatch_dataset_v2))$/;" v +rebatch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def rebatch_dataset(input_dataset, num_replicas, output_types, output_shapes, use_fallback=True,/;" f +rebatch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def rebatch_dataset_eager_fallback(input_dataset, num_replicas, output_types, output_shapes, use/;" f +rebatch_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def rebatch_dataset_v2(input_dataset, batch_sizes, drop_remainder, output_types, output_shapes, /;" f +rebatch_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def rebatch_dataset_v2_eager_fallback(input_dataset, batch_sizes, drop_remainder, output_types, /;" f +rebatch_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def rebatch_fn(dataset, worker_index):$/;" f member:DistributedDataset._make_rebatch_fn file: +rebuild adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def rebuild(self, year, month):$/;" m class:_iterinfo +rebuild adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/rebuild.py /^def rebuild(filename, tag=None, format="gz", zonegroups=[], metadata=None):$/;" f +rebuild_auth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def rebuild_auth(self, prepared_request, response):$/;" m class:SessionRedirectMixin +rebuild_auth adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def rebuild_auth(self, prepared_request, response):$/;" m class:SessionRedirectMixin +rebuild_auth adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def rebuild_auth(self, prepared_request, response):$/;" m class:OAuth1Session +rebuild_cuda_tensor adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_cuda_tensor(tensor_cls, tensor_size, tensor_stride, tensor_offset,$/;" f +rebuild_event adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_event(device, handle):$/;" f +rebuild_method adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def rebuild_method(self, prepared_request, response):$/;" m class:SessionRedirectMixin +rebuild_method adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def rebuild_method(self, prepared_request, response):$/;" m class:SessionRedirectMixin +rebuild_proxies adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def rebuild_proxies(self, prepared_request, proxies):$/;" m class:SessionRedirectMixin +rebuild_proxies adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def rebuild_proxies(self, prepared_request, proxies):$/;" m class:SessionRedirectMixin +rebuild_storage_empty adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_storage_empty(cls):$/;" f +rebuild_storage_fd adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_storage_fd(cls, df, size):$/;" f +rebuild_storage_filename adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_storage_filename(cls, manager, handle, size):$/;" f +rebuild_tensor adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def rebuild_tensor(cls, storage, metadata):$/;" f +rec adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from . import records as rec$/;" x +recache adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def recache(self, always=False):$/;" m class:Line2D +recache adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def recache(self):$/;" m class:BezierPath +recache_always adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def recache_always(self):$/;" m class:Line2D +recalculate_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def recalculate_batch_size(type_spec):$/;" f member:_LegacyRebatchDataset.__init__ file: +Recall adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Recall(Metric):$/;" c +recall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def recall(labels,$/;" f +RECALL adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ RECALL = 'recall'$/;" v class:MetricKeys +RecallAtPrecision adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class RecallAtPrecision(SensitivitySpecificityBase):$/;" c +recall_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def recall_across_replicas(_, values):$/;" f function:recall_at_thresholds file: +recall_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def recall_at_k(labels,$/;" f +RECALL_AT_PRECISION adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ RECALL_AT_PRECISION = 'recall_at_precision_%g'$/;" v class:MetricKeys +RECALL_AT_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ RECALL_AT_THRESHOLD = 'recall\/positive_threshold_%g'$/;" v class:MetricKeys +recall_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def recall_at_thresholds(labels,$/;" f +recall_at_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def recall_at_top_k(labels,$/;" f +RECALL_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^RECALL_INDEX = 5$/;" v +recarray adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^class recarray(ndarray):$/;" c +recast_to_float0 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def recast_to_float0(primal, tangent):$/;" f +Receipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class Receipt(univ.Sequence):$/;" c +Receipt adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^Receipt = rfc2634.Receipt$/;" v +ReceiptRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ReceiptRequest(univ.Sequence):$/;" c +ReceiptRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ReceiptRequest = rfc2634.ReceiptRequest$/;" v +ReceiptsFrom adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class ReceiptsFrom(univ.Choice):$/;" c +ReceiptsFrom adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ReceiptsFrom = rfc2634.ReceiptsFrom$/;" v +RECEIVED_SHUTDOWN_SIGNAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^RECEIVED_SHUTDOWN_SIGNAL = 1$/;" v +RECEIVED_SHUTDOWN_SIGNAL adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^RECEIVED_SHUTDOWN_SIGNAL = 1$/;" v +receive_close_on_server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def receive_close_on_server(receive_close_on_server_event):$/;" f function:_receive_close_on_server file: +receive_message adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def receive_message(receive_message_event):$/;" f function:_receive_message file: +RecentlyUsedContainer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^class RecentlyUsedContainer(MutableMapping):$/;" c +RecentlyUsedContainer adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^class RecentlyUsedContainer(MutableMapping):$/;" c +RECENT_DATE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^RECENT_DATE = datetime.date(2019, 1, 1)$/;" v +RECENT_DATE adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^RECENT_DATE = datetime.date(2020, 7, 1)$/;" v +RECEPTION_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ RECEPTION_FAILURE = 'reception failure'$/;" v class:Outcome.Kind +recfromarrays adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ fromarrays as recfromarrays, fromrecords as recfromrecords$/;" x +recfromarrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ fromrecords as recfromrecords, fromarrays as recfromarrays$/;" x +recfromcsv adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def recfromcsv(fname, **kwargs):$/;" f +recfromrecords adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ fromarrays as recfromarrays, fromrecords as recfromrecords$/;" x +recfromrecords adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ fromrecords as recfromrecords, fromarrays as recfromarrays$/;" x +recfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def recfromtxt(fname, **kwargs):$/;" f +reChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^reChar = re.compile(r"#x([\\d|A-F]{4,4})")$/;" v +reChar adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^reChar = re.compile(r"#x([\\d|A-F]{4,4})")$/;" v +reCharRange adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^reCharRange = re.compile(r"\\[#x([\\d|A-F]{4,4})-#x([\\d|A-F]{4,4})\\]")$/;" v +reCharRange adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^reCharRange = re.compile(r"\\[#x([\\d|A-F]{4,4})-#x([\\d|A-F]{4,4})\\]")$/;" v +recipe_to_eqn adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def recipe_to_eqn(getvar: Callable[[JaxprTracer], core.Atom],$/;" f +RecipientEncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientEncryptedKey(univ.Sequence):$/;" c +RecipientEncryptedKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientEncryptedKey(univ.Sequence):$/;" c +RecipientEncryptedKeys adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientEncryptedKeys(univ.SequenceOf):$/;" c +RecipientEncryptedKeys adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientEncryptedKeys(univ.SequenceOf):$/;" c +RecipientIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientIdentifier(univ.Choice):$/;" c +RecipientIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientIdentifier(univ.Choice):$/;" c +RecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class RecipientInfo(univ.Sequence):$/;" c +RecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientInfo(univ.Choice):$/;" c +RecipientInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientInfo(univ.Choice):$/;" c +RecipientInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class RecipientInfos(univ.SetOf):$/;" c +RecipientInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientInfos(univ.SetOf):$/;" c +RecipientInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientInfos(univ.SetOf):$/;" c +RecipientKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RecipientKeyIdentifier(univ.Sequence):$/;" c +RecipientKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RecipientKeyIdentifier(univ.Sequence):$/;" c +RecipientKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^RecipientKeyIdentifier = rfc5652.RecipientKeyIdentifier$/;" v +recipinvgauss adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^recipinvgauss = recipinvgauss_gen(a=0.0, name='recipinvgauss')$/;" v +recipinvgauss_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class recipinvgauss_gen(rv_continuous):$/;" c +reciprocal adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^reciprocal = np.reciprocal$/;" v +reciprocal adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def reciprocal(x: Array) -> Array:$/;" f +reciprocal adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def reciprocal(x):$/;" f +reciprocal adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^reciprocal = reciprocal_gen(name="reciprocal")$/;" v +reciprocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def reciprocal(x, name=None):$/;" f +Reciprocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Reciprocal = tf_export("raw_ops.Reciprocal")(_ops.to_raw_op(reciprocal))$/;" v +reciprocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def reciprocal(x):$/;" f +reciprocal adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def reciprocal(g, self):$/;" f +ReciprocalGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^ReciprocalGrad = tf_export("raw_ops.ReciprocalGrad")(_ops.to_raw_op(reciprocal_grad))$/;" v +reciprocal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def reciprocal_eager_fallback(x, name, ctx):$/;" f +reciprocal_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class reciprocal_gen(rv_continuous):$/;" c +reciprocal_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def reciprocal_grad(y, dy, name=None):$/;" f +reciprocal_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def reciprocal_grad_eager_fallback(y, dy, name, ctx):$/;" f +reciprocal_no_nan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reciprocal_no_nan(x, name=None):$/;" f +reciprocal_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def reciprocal_op(X):$/;" f member:TestElementwiseOps.test_reciprocal file: +recompute_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def recompute_grad(f):$/;" f +reconcile_num_partitions adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def reconcile_num_partitions(jaxpr, outer_num_parts: Optional[int]):$/;" f +reconstructActiveFormattingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def reconstructActiveFormattingElements(self):$/;" m class:TreeBuilder +reconstructActiveFormattingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def reconstructActiveFormattingElements(self):$/;" m class:TreeBuilder +reconstructed_non_debug_partition_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def reconstructed_non_debug_partition_graphs(self):$/;" m class:DebugDumpDir +reconstruct_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def reconstruct_from_config(config, custom_objects=None, created_layers=None):$/;" f +reconstruct_interp_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def reconstruct_interp_matrix(idx, proj):$/;" f +reconstruct_matrix_from_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def reconstruct_matrix_from_id(B, idx, proj):$/;" f +reconstruct_non_debug_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def reconstruct_non_debug_graph_def(debug_graph_def):$/;" f +reconstruct_skel_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def reconstruct_skel_matrix(A, k, idx):$/;" f +record adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^class record(nt.void):$/;" c +record adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def record(self, category=Warning, message="", module=None):$/;" m class:suppress_warnings +record adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^ def record(self):$/;" m class:PyRecordReader_New +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def record(self, flat_outputs):$/;" m class:_ForwardBackwardCall +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def record(self, flat_outputs, inference_args, input_tangents):$/;" m class:_DelayedRewriteGradientFunctions +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def record(self, flat_outputs, inference_args, input_tangents):$/;" m class:_TapeGradientFunctions +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def record():$/;" f function:write file: +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def record():$/;" f function:write_raw_pb file: +record adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def record():$/;" f function:summary_writer_function file: +record adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def record(self, host_calls):$/;" m class:_OutfeedHostCall +record adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def record(self, stream=None):$/;" m class:Event +RecordingObserver adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class RecordingObserver(_ObserverBase):$/;" c +RecordInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class RecordInput(object):$/;" c +RecordInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^RecordInput = tf_export("raw_ops.RecordInput")(_ops.to_raw_op(record_input))$/;" v +recordmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def recordmask(self):$/;" m class:MaskedArray +recordmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def recordmask(self, mask):$/;" m class:MaskedArray +RecordPath adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ RecordPath = NewType('RecordPath', text_type)$/;" v +RecordQueue adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^class RecordQueue(object):$/;" c +RecordRef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^RecordRef = _reflection.GeneratedProtocolMessageType('RecordRef', (_message.Message,), {$/;" v +RECORDSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^RECORDSIZE = BLOCKSIZE * 20 # length of records$/;" v +RecordWriter adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^class RecordWriter(object):$/;" c +recordXref adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def recordXref(self, id):$/;" m class:PdfFile +record_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^record_arrays = [$/;" v +record_as_written adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def record_as_written(self, path):$/;" m class:FileOperator +record_done adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def record_done(self, source):$/;" m class:ErrorRendezvous +record_edit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def record_edit(self, fn, lineno, delta):$/;" m class:EditHistory +record_error adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def record_error(self, source, exc_info, session=None):$/;" m class:ErrorRendezvous +record_event adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def record_event(self, event=None):$/;" m class:Stream +record_function adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class record_function(ContextDecorator):$/;" c +record_function_on_caller_rpc_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def record_function_on_caller_rpc_async(dst_worker_name: str, block: str) -> Tensor:$/;" f +record_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/execute.py /^def record_gradient(unused_op_name, unused_inputs, unused_attrs,$/;" f +record_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def record_if(condition):$/;" f +record_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def record_input(file_pattern, file_random_seed=301, file_shuffle_shift_ratio=0, file_buffer_siz/;" f +record_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def record_input_eager_fallback(file_pattern, file_random_seed, file_shuffle_shift_ratio, file_b/;" f +record_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def record_installed(srcfile, destfile, modified=False):$/;" f function:_install_wheel file: +record_match adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def record_match(pattern, node, matched):$/;" f member:Quantizer._find_matches file: +record_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def record_operation(op_type, output_tensors, input_tensors, backward_function,$/;" f +record_operation_backprop_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def record_operation_backprop_only(op_type, output_tensors, input_tensors,$/;" f +record_operation_forwardprop_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def record_operation_forwardprop_only(op_type, output_tensors, input_tensors,$/;" f +record_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def record_snapshot(self):$/;" m class:MemoryChecker +record_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def record_snapshot(self):$/;" m class:_PythonMemoryChecker +record_summaries_every_n_global_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def record_summaries_every_n_global_steps(n, global_step=None):$/;" f +record_thread_local_eager_context_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def record_thread_local_eager_context_state(self):$/;" m class:_MirroredReplicaThread +record_thread_local_summary_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def record_thread_local_summary_state(self):$/;" m class:_MirroredReplicaThread +recoverable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def recoverable(self):$/;" m class:Client +recover_input_record_by_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def recover_input_record_by_prefix(self, prefix):$/;" m class:Net +recover_last_checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def recover_last_checkpoints(self, checkpoint_paths):$/;" m class:Saver +recover_output_record_by_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def recover_output_record_by_prefix(self, prefix):$/;" m class:Net +recover_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def recover_session(self,$/;" m class:SessionManager +recreate_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def recreate_function(saved_function, concrete_functions):$/;" f +Rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Rectangle(Patch):$/;" c +rectangle adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def rectangle(self, xy, fill=None, outline=None, width=1):$/;" m class:ImageDraw +rectangle adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def rectangle(self, xy, *options):$/;" m class:Draw +rectangle adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def rectangle(self, box):$/;" m class:PSDraw +Rectangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^class Rectangle(object):$/;" c +RectangleSelector adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class RectangleSelector(_SelectorWidget):$/;" c +RectBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class RectBivariateSpline(BivariateSpline):$/;" c +RectSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class RectSphereBivariateSpline(SphereBivariateSpline):$/;" c +RECTYPE_DICT adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^RECTYPE_DICT = {0: "START_MARKER",$/;" v +Recurrent adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^ Regular, Recurrent, Dot, SoftCoverage = tuple(range(4))$/;" v class:AttentionType +recurrent adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^recurrent = LazyLoader($/;" v +recurrent adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^recurrent = LazyLoader($/;" v +RecurrentNetworkParallelTest adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class RecurrentNetworkParallelTest(TestCase):$/;" c +RecurrentNetworkTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^class RecurrentNetworkTest(serial.SerializedTestCase):$/;" c +recurrent_activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_activation(self):$/;" m class:ConvLSTM2D +recurrent_activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_activation(self):$/;" m class:GRU +recurrent_activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_activation(self):$/;" m class:LSTM +recurrent_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_constraint(self):$/;" m class:ConvLSTM2D +recurrent_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_constraint(self):$/;" m class:GRU +recurrent_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_constraint(self):$/;" m class:LSTM +recurrent_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_constraint(self):$/;" m class:SimpleRNN +recurrent_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_conv(self, x, w):$/;" m class:ConvLSTM2DCell +recurrent_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_dropout(self):$/;" m class:ConvLSTM2D +recurrent_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_dropout(self):$/;" m class:GRU +recurrent_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_dropout(self):$/;" m class:LSTM +recurrent_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_dropout(self):$/;" m class:SimpleRNN +RECURRENT_DROPOUT_WARNING_MSG adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^RECURRENT_DROPOUT_WARNING_MSG = ($/;" v +recurrent_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_initializer(self):$/;" m class:ConvLSTM2D +recurrent_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_initializer(self):$/;" m class:GRU +recurrent_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_initializer(self):$/;" m class:LSTM +recurrent_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_initializer(self):$/;" m class:SimpleRNN +recurrent_net adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^def recurrent_net($/;" f +recurrent_network_op_remap adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def recurrent_network_op_remap(op, prefix, blob_remap):$/;" f +recurrent_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def recurrent_regularizer(self):$/;" m class:ConvLSTM2D +recurrent_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_regularizer(self):$/;" m class:GRU +recurrent_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_regularizer(self):$/;" m class:LSTM +recurrent_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def recurrent_regularizer(self):$/;" m class:SimpleRNN +recurse adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def recurse(obj, start, all, current_path):$/;" f function:print_cycles file: +recurse adpepsenv/lib/python3.8/site-packages/matplotlib/_internal_utils.py /^ def recurse(root, buf):$/;" f function:graphviz_dump_transform file: +recurse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_functional_ops.py /^ def recurse(v):$/;" f function:_replace_ragged_with_flat_values file: +recurser adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def recurser(index, hanging_indent, curr_width):$/;" f function:_formatArray file: +recurse_tree adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def recurse_tree(n):$/;" f function:test_ckdtree_view file: +RecursionError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ RecursionError = RuntimeError # python < 3.5$/;" v +RecursionError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ RecursionError = RuntimeError # python < 3.5$/;" v +RecursionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ RecursionError = RuntimeError$/;" v +recursionlimit adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^class recursionlimit:$/;" c +recursive adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^class recursive:$/;" c +RecursiveGrammarException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class RecursiveGrammarException(Exception):$/;" c +RecursiveGrammarException adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class RecursiveGrammarException(Exception):$/;" c +RecursiveGrammarException adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class RecursiveGrammarException(Exception):$/;" c +RecursiveGrammarException adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class RecursiveGrammarException(Exception):$/;" c +recursively_deserialize_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def recursively_deserialize_keras_object(config, module_objects=None):$/;" f +RecursiveScriptModule adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ class RecursiveScriptModule(ScriptModule): # type: ignore$/;" c +RecursiveScriptModule adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ class RecursiveScriptModule(ScriptModule):$/;" c +recursive_create_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def recursive_create_dir(dirname):$/;" f +recursive_create_dir_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def recursive_create_dir_v2(path):$/;" f +recursive_exclude adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def recursive_exclude(self, dir, pattern):$/;" m class:FileList +recursive_fill_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def recursive_fill_fields(input, output):$/;" f +recursive_include adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def recursive_include(self, dir, pattern):$/;" m class:FileList +recursive_path_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/hsm_util.py /^ def recursive_path_builder(node_proto, path, hierarchy_proto, max_index):$/;" f function:create_hierarchy file: +recv adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def recv():$/;" f function:client file: +recv adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def recv():$/;" f function:server file: +recv adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def recv(self, *args, **kwargs):$/;" m class:WrappedSocket +recv adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def recv(self, bufsiz):$/;" m class:WrappedSocket +recv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^recv = gen_xla_ops.xla_recv$/;" v +recv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^def recv(tensor_type, tensor_name, send_device, send_device_incarnation, recv_device, client_ter/;" f +Recv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^Recv = tf_export("raw_ops.Recv")(_ops.to_raw_op(recv))$/;" v +recv adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def recv(tensor,$/;" f +recv adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def recv(self):$/;" m class:ConnectionWrapper +recv adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def recv(self, *args, **kwargs):$/;" m class:WrappedSocket +recv adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def recv(self, bufsiz):$/;" m class:WrappedSocket +recv adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def recv(self, len=1024, flags=0):$/;" m class:SSLTransport +RecvBufRespExtra adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^RecvBufRespExtra = _reflection.GeneratedProtocolMessageType('RecvBufRespExtra', (_message.Messag/;" v +RecvTPUEmbeddingActivations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RecvTPUEmbeddingActivations = tf_export("raw_ops.RecvTPUEmbeddingActivations")(_ops.to_raw_op(re/;" v +recv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^def recv_eager_fallback(tensor_type, tensor_name, send_device, send_device_incarnation, recv_dev/;" f +recv_into adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def recv_into(self, *args, **kwargs):$/;" m class:WrappedSocket +recv_into adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def recv_into(self, buffer, nbytes=None):$/;" m class:WrappedSocket +recv_into adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def recv_into(self, *args, **kwargs):$/;" m class:WrappedSocket +recv_into adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def recv_into(self, buffer, nbytes=None):$/;" m class:WrappedSocket +recv_into adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def recv_into(self, buffer, nbytes=None, flags=0):$/;" m class:SSLTransport +recv_tpu_embedding_activations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def recv_tpu_embedding_activations(num_outputs, config, name=None):$/;" f +recv_tpu_embedding_activations_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def recv_tpu_embedding_activations_eager_fallback(num_outputs, config, name, ctx):$/;" f +rec_append_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def rec_append_fields(base, names, data, dtypes=None):$/;" f +rec_drop_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def rec_drop_fields(base, drop_names):$/;" f +rec_join adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def rec_join(key, r1, r2, jointype='inner', r1postfix='1', r2postfix='2',$/;" f +RED adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ RED = 31$/;" v class:AnsiFore +RED adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ RED = 41$/;" v class:AnsiBack +RED adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ RED = 4$/;" v class:WinColor +redacted_url adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def redacted_url(self):$/;" m class:DirectUrl +redact_auth_from_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def redact_auth_from_url(url):$/;" f +redact_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def redact_netloc(netloc):$/;" f +redirect adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def redirect(location, code=302, Response=None):$/;" f +RedirectHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class RedirectHandler(BaseRedirectHandler):$/;" c +redirect_argv adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def redirect_argv(new_argv):$/;" f +REDIRECT_STATI adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^REDIRECT_STATI = ($/;" v +REDIRECT_STATI adpepsenv/lib/python3.8/site-packages/requests/models.py /^REDIRECT_STATI = ($/;" v +REDIRECT_STATUSES adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ REDIRECT_STATUSES = [301, 302, 303, 307, 308]$/;" v class:HTTPResponse +REDIRECT_STATUSES adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ REDIRECT_STATUSES = [301, 302, 303, 307, 308]$/;" v class:HTTPResponse +redirect_stderr adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^class redirect_stderr:$/;" c +redirect_stderr adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class redirect_stderr(_RedirectStream):$/;" c +redirect_stdout adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^class redirect_stdout:$/;" c +redirect_stdout adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class redirect_stdout(_RedirectStream):$/;" c +redirect_uri adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def redirect_uri(self):$/;" m class:Flow +redirect_uri adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def redirect_uri(self, value):$/;" m class:Flow +RedisCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^class RedisCache(BaseCache):$/;" c +redraw_in_frame adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def redraw_in_frame(self):$/;" m class:_AxesBase +reduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def reduce(*args):$/;" f member:NCCLOpsTest.test_nccl_reduce file: +REDUCE adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^REDUCE = ($/;" v +reduce adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def reduce(operand, init_value, computation, dimensions): # pylint: disable=redefined-builtin$/;" f +reduce adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def reduce(operands: Array, init_values: Array, computation: Callable,$/;" f +reduce adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def reduce(self, target, axis=0, dtype=None):$/;" m class:_MaskedBinaryOperation +reduce adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def reduce(self, target, axis=np._NoValue):$/;" m class:_extrema_operation +reduce adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def reduce(self, factor, box=None):$/;" m class:Image +reduce adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ def reduce(self):$/;" m class:Jpeg2KImageFile +reduce adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ def reduce(self, value):$/;" m class:Jpeg2KImageFile +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^reduce = gen_xla_ops.xla_reduce$/;" v +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def reduce(self, initial_state, reduce_func):$/;" m class:DatasetV2 +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def reduce(self, reduce_op, value, axis): # pylint: disable=useless-super-delegation$/;" m class:CentralStorageStrategy +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def reduce(self, reduce_op, per_replica_value, destinations, options=None):$/;" m class:CrossDeviceOps +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def reduce(self, reduce_op, value, axis):$/;" m class:StrategyBase +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def reduce(self, reduce_op, value, axis=None):$/;" m class:StrategyV1 +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def reduce(self, initial_state, reduce_fn):$/;" m class:_IterableInput +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def reduce(self, initial_state, reduce_func):$/;" m class:DistributedDatasetInterface +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def reduce(self, reduce_op, value, axis): # pylint: disable=useless-super-delegation$/;" m class:OneDeviceStrategy +Reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Reduce(Metric):$/;" c +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def reduce(self, fn, *args):$/;" m class:PForConfig +reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^ def reduce(self, initial_state, reduce_func):$/;" m class:Iterable +reduce adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def reduce(inputs: Sequence[torch.Tensor],$/;" f +reduce adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def reduce(tensor,$/;" f +reduce adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def reduce(g, *args, **kwargs):$/;" f function:_reduce_with_dtype file: +ReduceAddCoalesced adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^class ReduceAddCoalesced(Function):$/;" c +ReduceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ReduceDataset = tf_export("raw_ops.ReduceDataset")(_ops.to_raw_op(reduce_dataset))$/;" v +reduced_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduced_shape(input_shape, axes):$/;" f +ReduceJoin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^ReduceJoin = tf_export("raw_ops.ReduceJoin")(_ops.to_raw_op(reduce_join))$/;" v +ReduceLROnPlateau adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class ReduceLROnPlateau(Callback):$/;" c +ReduceLROnPlateau adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class ReduceLROnPlateau(object):$/;" c +ReduceOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/reduce_util.py /^class ReduceOp(enum.Enum):$/;" c +reduceops_common_args adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^reduceops_common_args = merge_dicts(common_args, parse_kwargs("""$/;" v +reducer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def reducer(arg1: TfVal, arg2: TfVal) -> TfVal:$/;" f function:_reduce_window file: +reducer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def reducer(x, y):$/;" f function:_select_and_gather_add file: +reducer adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^ def reducer(operand, axis=0):$/;" f function:_reducer_from_pyfunc file: +reducer adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def reducer():$/;" f function:_select_and_gather_add_translation file: +Reducer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^class Reducer(object):$/;" c +reducer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def reducer(x):$/;" f function:_ssim_per_channel file: +ReducerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ReducerOptions = 27$/;" v class:BuiltinOptions +ReducerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReducerOptions(object):$/;" c +ReducerOptionsAddKeepDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReducerOptionsAddKeepDims(builder, keepDims): builder.PrependBoolSlot(0, keepDims, 0)$/;" f +ReducerOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ReducerOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ReducerOptions +ReducerOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReducerOptionsEnd(builder): return builder.EndObject()$/;" f +ReducerOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReducerOptionsStart(builder): builder.StartObject(1)$/;" f +ReducerOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReducerOptionsT(object):$/;" c +reducer_batcher adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def reducer_batcher(prim, batched_args, batch_dims, axes, **params):$/;" f +ReduceSumRegularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^class ReduceSumRegularizer(regularizers.Regularizer):$/;" c +reduce_add adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def reduce_add(inputs, destination=None):$/;" f +reduce_add_coalesced adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def reduce_add_coalesced(inputs, destination=None, buffer_size=10485760):$/;" f +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_all(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def reduce_all(input_tensor, axis=None, keepdims=False):$/;" f +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_all(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_all_v1 as reduce_all$/;" x +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_all_v1 as reduce_all$/;" x +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_all_v1 as reduce_all$/;" x +reduce_all adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_all_v1 as reduce_all$/;" x +reduce_all_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_all_v1(input_tensor,$/;" f +reduce_and_jsonify adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^def reduce_and_jsonify(text_ndarr):$/;" f +reduce_and_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_and_p = standard_primitive(_reduce_logical_shape_rule, _fixed_dtype(np.bool_),$/;" v +REDUCE_ANY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REDUCE_ANY = 91$/;" v class:BuiltinOperator +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_any(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def reduce_any(input_tensor, axis=None, keepdims=False):$/;" f +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_any(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_any_v1 as reduce_any$/;" x +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_any_v1 as reduce_any$/;" x +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_any_v1 as reduce_any$/;" x +reduce_any adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_any_v1 as reduce_any$/;" x +reduce_any_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_any_v1(input_tensor,$/;" f +reduce_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def reduce_body(loop_vars, iterate):$/;" f function:_tf_distributed_iterable_for_stmt file: +reduce_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def reduce_body(unused_reduce_state, scan_outputs):$/;" f function:_tf_dataset_for_stmt file: +reduce_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def reduce_body(state, iterate):$/;" f function:_tf_distributed_dataset_for_stmt file: +reduce_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def reduce_body(unused_aug_vars, scan_outputs):$/;" f function:_dataset_for_stmt_no_extra_test file: +reduce_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def reduce_body(unused_aug_vars, scan_outputs):$/;" f function:_dataset_for_stmt_with_extra_test file: +reduce_body_with_dummy_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def reduce_body_with_dummy_state(state, iterate):$/;" f function:_tf_distributed_dataset_for_stmt file: +reduce_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def reduce_concat(self, x):$/;" m class:PForConfig +reduce_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def reduce_dataset(input_dataset, initial_state, other_arguments, f, output_types, output_shapes/;" f +reduce_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def reduce_dataset_eager_fallback(input_dataset, initial_state, other_arguments, f, output_types/;" f +reduce_dim adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def reduce_dim(g, self, dim, keepdim, dtype):$/;" f function:_reduce_with_dtype.reduce file: +reduce_euclidean_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_euclidean_norm(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_event adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def reduce_event(event):$/;" f +reduce_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def reduce_fn(state, value):$/;" f function:_to_tensor_list_helper file: +reduce_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def reduce_fn(input_tensor, axis, keepdims):$/;" f function:var file: +reduce_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def reduce_func(self):$/;" m class:Reducer +reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def reduce_implementation(self, reduce_op, per_replica_value, destinations,$/;" m class:AllReduceCrossDeviceOps +reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def reduce_implementation(self, reduce_op, per_replica_value, destinations,$/;" m class:CollectiveAllReduce +reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def reduce_implementation(self, reduce_op, per_replica_value, destinations,$/;" m class:CrossDeviceOps +reduce_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def reduce_implementation(self, reduce_op, per_replica_value, destinations,$/;" m class:ReductionToOneDevice +REDUCE_INF_NAN_THREE_SLOTS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^REDUCE_INF_NAN_THREE_SLOTS = 8$/;" v +reduce_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def reduce_join(inputs, reduction_indices, keep_dims=False, separator="", name=None):$/;" f +reduce_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def reduce_join(inputs, axis=None, keepdims=None, separator="", name=None):$/;" f +reduce_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def reduce_join(inputs, axis=None, # pylint: disable=missing-docstring$/;" f +reduce_join adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import reduce_join_v2 as reduce_join$/;" x +reduce_join adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import reduce_join_v2 as reduce_join$/;" x +reduce_join_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def reduce_join_eager_fallback(inputs, reduction_indices, keep_dims, separator, name, ctx):$/;" f +reduce_join_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def reduce_join_v2( # pylint: disable=missing-docstring$/;" f +reduce_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_logsumexp(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_logsumexp_v1 as reduce_logsumexp$/;" x +reduce_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_logsumexp_v1 as reduce_logsumexp$/;" x +reduce_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_logsumexp_v1 as reduce_logsumexp$/;" x +reduce_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_logsumexp_v1 as reduce_logsumexp$/;" x +reduce_logsumexp_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_logsumexp_v1(input_tensor,$/;" f +reduce_max adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_max(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +REDUCE_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REDUCE_MAX = 82$/;" v class:BuiltinOperator +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_max(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_max(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_max_v1 as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_max_v1 as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_max as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_max_v1 as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_max_v1 as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_max_v2 as reduce_max$/;" x +reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_max_v2 as reduce_max$/;" x +reduce_max_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_max_p = standard_primitive(_reduce_op_shape_rule, _input_dtype,$/;" v +reduce_max_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_max_sparse as reduce_max_sparse$/;" x +reduce_max_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_max_v1(input_tensor,$/;" f +reduce_max_with_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_max_with_dims(input_tensor,$/;" f +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_mean(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def reduce_mean(self, x):$/;" m class:PForConfig +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_mean(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_mean_v1 as reduce_mean$/;" x +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_mean_v1 as reduce_mean$/;" x +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_mean_v1 as reduce_mean$/;" x +reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_mean_v1 as reduce_mean$/;" x +reduce_mean_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_mean_v1(input_tensor,$/;" f +reduce_min adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_min(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +REDUCE_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REDUCE_MIN = 89$/;" v class:BuiltinOperator +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_min(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_min(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_min_v1 as reduce_min$/;" x +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_min_v1 as reduce_min$/;" x +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_min_v1 as reduce_min$/;" x +reduce_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_min_v1 as reduce_min$/;" x +reduce_min_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_min_p = standard_primitive(_reduce_op_shape_rule, _input_dtype,$/;" v +reduce_min_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_min_v1(input_tensor,$/;" f +reduce_multigpu adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def reduce_multigpu(tensor_list,$/;" f +reduce_nodim adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def reduce_nodim(g, self, dtype):$/;" f function:_reduce_with_dtype.reduce file: +reduce_non_distributed_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def reduce_non_distributed_value($/;" f +reduce_op adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^class reduce_op(object):$/;" c +reduce_op adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^reduce_op = reduce_op()$/;" v +reduce_op_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def reduce_op_test(self, op_name, op_ref, in_data, in_names,$/;" m class:TestReduceFrontReductions +reduce_or_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_or_p = standard_primitive(_reduce_logical_shape_rule, _fixed_dtype(np.bool_),$/;" v +reduce_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_p = standard_primitive(_reduce_shape_rule, _reduce_dtype_rule,$/;" v +reduce_per_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def reduce_per_replica(values, strategy, reduction='first'):$/;" f +REDUCE_PROD adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REDUCE_PROD = 81$/;" v class:BuiltinOperator +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_prod(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_prod(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_prod_v1 as reduce_prod$/;" x +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_prod_v1 as reduce_prod$/;" x +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_prod_v1 as reduce_prod$/;" x +reduce_prod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_prod_v1 as reduce_prod$/;" x +reduce_prod_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_prod_p = standard_primitive($/;" v +reduce_prod_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_prod_v1(input_tensor,$/;" f +reduce_scatter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def reduce_scatter(*args):$/;" f member:NCCLOpsTest.test_nccl_reduce_scatter file: +reduce_scatter adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def reduce_scatter(inputs: Sequence[torch.Tensor],$/;" f +reduce_scatter adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def reduce_scatter(output,$/;" f +reduce_scatter_multigpu adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def reduce_scatter_multigpu(output_tensor_list,$/;" f +reduce_std adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_std(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_storage adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def reduce_storage(storage):$/;" f +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def reduce_sum(v):$/;" f member:StrategyBase.reduce file: +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_sum(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def reduce_sum(tensors):$/;" f +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def reduce_sum(self, x):$/;" m class:PForConfig +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def reduce_sum(input_tensor, axis=None, keepdims=None, name=None):$/;" f +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_sum_v1 as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from tensorflow.python.ops.math_ops import reduce_sum_v1 as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_sum as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_sum_v1 as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.math_ops import reduce_sum_v1 as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_sum_v2 as reduce_sum$/;" x +reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_sum_v2 as reduce_sum$/;" x +reduce_sum_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def reduce_sum_fn(v):$/;" f member:StrategyBase.reduce file: +reduce_sum_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_sum_p = standard_primitive($/;" v +reduce_sum_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reduce_sum_sparse as reduce_sum_sparse$/;" x +reduce_sum_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_sum_v1(input_tensor,$/;" f +reduce_sum_with_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_sum_with_dims(input_tensor,$/;" f +reduce_tensor adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def reduce_tensor(tensor):$/;" f +reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def reduce_to(self, reduce_op, value, destinations, options=None):$/;" m class:StrategyExtendedV2 +reduce_to_2d adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^def reduce_to_2d(arr):$/;" f +reduce_to_2d adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^def reduce_to_2d(arr):$/;" f +reduce_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def reduce_variance(input_tensor, axis=None, keepdims=False, name=None):$/;" f +reduce_weighted_logsumexp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def reduce_weighted_logsumexp(logx,$/;" f +reduce_weighted_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def reduce_weighted_loss(weighted_losses,$/;" f +reduce_window adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def reduce_window(operand, init_value, computation, window_dimensions,$/;" f +reduce_window adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def reduce_window(x, window_dimensions, window_strides, padding, base_dilation,$/;" f function:_generic_reduce_window_batch_rule file: +reduce_window adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def reduce_window(operand: Array, init_value: Array, computation: Callable,$/;" f +reduce_window adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def reduce_window(operand,$/;" f +reduce_window_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_window_add(cls, harness):$/;" m class:Jax2TfLimitation +reduce_window_max adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_window_max(cls, harness):$/;" m class:Jax2TfLimitation +reduce_window_max_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_window_max_p = standard_primitive($/;" v +reduce_window_min adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_window_min(cls, harness):$/;" m class:Jax2TfLimitation +reduce_window_min_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_window_min_p = standard_primitive($/;" v +reduce_window_mul adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def reduce_window_mul(cls, harness):$/;" m class:Jax2TfLimitation +reduce_window_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_window_p = standard_primitive($/;" v +reduce_window_shape_tuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def reduce_window_shape_tuple(operand_shape, window_dimensions, window_strides,$/;" f +reduce_window_sum_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reduce_window_sum_p = standard_primitive($/;" v +Reduction adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from tensorflow.python.ops.losses.loss_reduction import ReductionV2 as Reduction$/;" x +Reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from tensorflow.python.ops.losses.loss_reduction import ReductionV2 as Reduction$/;" x +Reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/reduction.py /^class Reduction(Layer):$/;" c +Reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^class Reduction(Enum):$/;" c +Reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^class Reduction(object):$/;" c +ReductionToOneDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class ReductionToOneDevice(CrossDeviceOps):$/;" c +ReductionV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ReductionV2 = loss_reduction.ReductionV2$/;" v +ReductionV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^class ReductionV2(object):$/;" c +redundancy_removed adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^def redundancy_removed(A, B):$/;" f +red_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def red_text(s):$/;" f +ReentrancyError adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^class ReentrancyError(RuntimeError):$/;" c +ReentrancyLock adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^class ReentrancyLock(object):$/;" c +reexport_tf_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/_tf/summary/__init__.py /^def reexport_tf_summary():$/;" f +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(self):$/;" f member:TestATen.test_unique file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(self, indices, values):$/;" f member:TestATen.test_index_put file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(self, mask):$/;" f member:TestATen.test_index_uint8 file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(X):$/;" f member:TestATen.test_pow file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(X):$/;" f member:TestATen.test_sort file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(X):$/;" f member:TestATen.test_sum file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(X, Y):$/;" f member:TestATen.test_add file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def ref(X, Y):$/;" f member:TestATen.test_add_half file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(data):$/;" f member:TestOperators.test_cast file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(data):$/;" f member:TestOperators.test_logit file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(data):$/;" f member:TestOperators.test_replace_nan file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(inputs=None):$/;" f member:TestOperators.test_constant_fill file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, v):$/;" f member:TestOperators.test_constant_fill_from_tensor file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, y):$/;" f member:TestOperators.test_add file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, y):$/;" f member:TestOperators.test_div file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, y):$/;" f member:TestOperators.test_mul file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, y):$/;" f member:TestOperators.test_row_mul file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref(x, y):$/;" f member:TestOperators.test_sub file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def ref(data, lambda1, lambda2):$/;" f member:TestBatchBoxCox.batch_box_cox file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^ def ref(float_feature, indices, boundaries, lengths):$/;" f member:TestBatchBucketize.test_batch_bucketize_example file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^ def ref(x, indices, boundaries, lens):$/;" f member:TestBatchBucketize.test_batch_bucketize file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def ref(X):$/;" f member:TestBatchMomentsOp.test_batch_moments_2d file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def ref(X):$/;" f member:TestBatchMomentsOp.test_batch_moments_3d file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x):$/;" f member:TestBooleanMaskOp.test_sequence_mask_triangle file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x, centers):$/;" f member:TestBooleanMaskOp.test_sequence_mask_with_window file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x, lengths):$/;" f member:TestBooleanMaskOp.test_sequence_mask_repeated file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x, lengths):$/;" f member:TestBooleanMaskOp.test_sequence_mask_with_lengths file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x, mask):$/;" f member:TestBooleanMaskOp.test_boolean_mask file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(x, mask):$/;" f member:TestBooleanMaskOp.test_boolean_mask_indices file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(z):$/;" f member:TestBooleanMaskOp.test_sequence_mask_batching_triangle file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(z, c):$/;" f member:TestBooleanMaskOp.test_sequence_mask_batching_window file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def ref(z, l):$/;" f member:TestBooleanMaskOp.test_sequence_mask_batching_lengths file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^ def ref(*args, **kwargs):$/;" f member:TestUnmaskOp.test file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def ref(*args, **kwargs):$/;" f member:TestBoxWithNMSLimitOp.test_detections_per_im file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def ref(*args, **kwargs):$/;" f member:TestBoxWithNMSLimitOp.test_multiclass file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def ref(*args, **kwargs):$/;" f member:TestBoxWithNMSLimitOp.test_score_thresh file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def ref(*args, **kwargs):$/;" f member:TestBoxWithNMSLimitOp.test_simple file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bucketize_op_test.py /^ def ref(x, boundaries):$/;" f member:TestBucketizeOp.test_bucketize_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^ def ref(data):$/;" f member:TestCastOp.test_cast_int_to_string file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^ def ref(condition, data_t, data_f):$/;" f member:TestConditionalOp.test_conditional file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^ def ref(input_tensor, indices, row):$/;" f member:TestCopyRowsToTensor.test_copy_rows_to_tensor file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def ref(A, B):$/;" f member:TestElementwiseOps._test_binary_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def ref(A, B):$/;" f member:TestElementwiseOps._test_binary_op_in_place file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def ref(A, B):$/;" f member:TestElementwiseOps._test_bitwise_binary_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_clipped_test.py /^ def ref():$/;" f member:TestEnsureClipped.test_ensure_clipped file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def ref(X, shape):$/;" f member:TestExpandOp._run_expand_op_test file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^ def ref(X):$/;" f member:TestHyperbolicOps._test_hyperbolic_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def ref(input_blob, scale_blob, bias_blob):$/;" f member:TestInstanceNorm.test_instance_norm_reference_check file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def ref(X, gamma=None, beta=None):$/;" f member:TestLayerNormOp.test_layer_norm_with_empty_batch file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def ref(input_blob):$/;" f member:TestLeakyRelu.test_leaky_relu_reference_check file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^ def ref(lr, grad, effgrad):$/;" f member:TestLearningRateAdaption.test_learning_rate_adaption_op_normalization file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^ def ref(lr, grad, effgrad):$/;" f member:TestLearningRateAdaption.test_learning_rate_adaption_op_without_normalization file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def ref(iter):$/;" f member:TestLearningRate.test_alter_learning_rate_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def ref(iter):$/;" f member:TestLearningRate.test_gate_learningrate file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def ref(iter):$/;" f member:TestLearningRate.test_hill_learning_rate_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def ref(iter):$/;" f member:TestLearningRate.test_slope_learning_rate_op file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^ def ref(X, W, b):$/;" f member:PackedFCTest.test_packed_fc file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^ def ref(X, W, b):$/;" f member:PackedFCTest.test_packed_fc_axis file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^ def ref(data):$/;" f member:TestMod.test_mod file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^ def ref(X):$/;" f member:TestMomentsOp.run_moments_test file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^ def ref(X, axis):$/;" f member:TestNormalizeOp.test_normalize_L1 file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def ref(x, lens, boundaries):$/;" f member:TestOneHotOps.test_batch_bucketized_one_hot file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def ref(x, lens, vals):$/;" f member:TestOneHotOps.test_batch_one_hot file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^ def ref(max_trip_count, condition, first_init, second_init):$/;" f member:TestONNXWhile.test_onnx_while_fibb file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^ def ref(X):$/;" f member:TestPad.test_crop file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref(X):$/;" f member:TestReduceOps.run_reduce_op_test_impl file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def ref(dY, X):$/;" f member:TestResize.test_nearest_grad file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def ref(dY, X, scales):$/;" f member:TestResize.test_nearest_onnx_grad file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def ref(X):$/;" f member:TestResize.test_nearest file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def ref(X, scales):$/;" f member:TestResize.test_nearest_onnx file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def ref(D, I, L):$/;" f member:TestSegmentOps.test_sparse_lengths_mean file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def ref(D, I, L):$/;" f member:TestSegmentOps.test_sparse_lengths_sum file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def ref(D, L):$/;" f member:TestSegmentOps.test_lengths_mean file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def ref(D, L):$/;" f member:TestSegmentOps.test_lengths_sum file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^ def ref(d, ind, x):$/;" f member:TestScatterOps.testScatterAssign file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^ def ref(d, w0, ind, *args):$/;" f member:TestScatterOps.testScatterWeightedSum file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^ def ref(X):$/;" f member:TestThresholdedRelu.test_thresholded_relu_2 file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def ref(X, eps):$/;" f member:TorchIntegration.test_logit file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^ def ref(dY, X, scales=None):$/;" f member:TestUpSample.test_upsample_grad file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^ def ref(X, scales=None):$/;" f member:TestUpSample.test_upsample file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def ref(X):$/;" f member:TestRegularizer.test_bounded_grad_proj file: +ref adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def ref(X):$/;" f member:TestRegularizer.test_log_barrier file: +ref adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def ref(self):$/;" m class:HLObject +ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def ref(self):$/;" m class:Tensor +ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def ref(self):$/;" m class:KerasTensor +ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def ref(self):$/;" m class:Variable +RefEnter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefEnter = tf_export("raw_ops.RefEnter")(_ops.to_raw_op(ref_enter))$/;" v +reference adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def reference(input, seq_lengths, gates_w, gates_b, hidden_input):$/;" f member:TestOperators.test_elman_recurrent_network file: +reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def reference(input, initial_input):$/;" f member:RecurrentNetworkTest.simple_rnn file: +Reference adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class Reference:$/;" c +reference adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ reference = [$/;" v class:TestAutoMinorLocator +Reference adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class Reference(_ObjectIdentityWrapper):$/;" c +REFERENCEBLACKWHITE adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^REFERENCEBLACKWHITE = 532$/;" v +referenceChannelBackpropStatsTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^ def referenceChannelBackpropStatsTest(X, mean, invStdDev, outputGrad):$/;" f member:TestChannelBackpropStats.testChannelBackpropStats file: +ReferenceCycleInDel adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ class ReferenceCycleInDel:$/;" c member:TestAssertNoGcCycles.test_fails file: +referenced adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def referenced(self):$/;" m class:Scope +ReferenceError adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^class ReferenceError(AssertionError):$/;" c +ReferenceInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class ReferenceInlineProcessor(LinkInlineProcessor):$/;" c +ReferenceProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class ReferenceProcessor(BlockProcessor):$/;" c +REFERENCES_ALL adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^REFERENCES_ALL = [$/;" v +REFERENCES_LENGTHS_ONLY adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^REFERENCES_LENGTHS_ONLY = [$/;" v +REFERENCES_SORTED adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^REFERENCES_SORTED = [$/;" v +ReferenceVariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^class ReferenceVariableSaveable(saveable_object.SaveableObject):$/;" c +reference_conv_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def reference_conv_op(*args):$/;" f member:TestConvolution.test_flat_input_convolution file: +reference_conv_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def reference_conv_op(*args):$/;" f member:TestConvolution.test_null_offset_convolution file: +reference_conv_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def reference_conv_op(*args):$/;" f member:TestConvolution.test_shuffle_input_convolution file: +reference_dropout_ratio0 adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^ def reference_dropout_ratio0(x):$/;" f member:DropoutTest.test_dropout_ratio0 file: +reference_dropout_ratio0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^ def reference_dropout_ratio0(x):$/;" f member:TestDropout.test_dropout_ratio0 file: +reference_dropout_test adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^ def reference_dropout_test(x):$/;" f member:DropoutTest.test_dropout_is_test file: +reference_dropout_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^ def reference_dropout_test(x):$/;" f member:TestDropout.test_dropout_is_test file: +reference_func adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/alias_with_name_test.py /^ def reference_func(x):$/;" f member:TestAliasWithNameOp.test_alias_with_name_op file: +REFERENCE_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^REFERENCE_RE = LINK_RE$/;" v +reference_spatialbn_test adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^ def reference_spatialbn_test(X, scale, bias, mean, var):$/;" f member:TestSpatialBN.test_spatialbn_test_mode file: +reference_spatialbn_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def reference_spatialbn_test(X, scale, bias, mean, var):$/;" f member:TestSpatialBN.test_spatialbn_test_mode file: +reference_spatialbn_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def reference_spatialbn_test(X, scale, bias, mean, var):$/;" f member:TestSpatialBN.test_spatialbn_test_mode_1d file: +reference_spatialbn_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def reference_spatialbn_test(X, scale, bias, mean, var):$/;" f member:TestSpatialBN.test_spatialbn_test_mode_3d file: +Referral adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class Referral(univ.SequenceOf):$/;" c +referrer adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ referrer = environ_property($/;" v class:CommonRequestDescriptorsMixin +RefExit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefExit = tf_export("raw_ops.RefExit")(_ops.to_raw_op(ref_exit))$/;" v +RefIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^RefIdentity = tf_export("raw_ops.RefIdentity")(_ops.to_raw_op(ref_identity))$/;" v +RefIn adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefIn = tf_export("raw_ops.RefIn")(_ops.to_raw_op(ref_in))$/;" v +refine_field adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^ def refine_field(self, z, triinterpolator=None, subdiv=3):$/;" m class:UniformTriRefiner +refine_names adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def refine_names(self, *names):$/;" m class:Tensor +refine_triangulation adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^ def refine_triangulation(self, return_tri_index=False, subdiv=3):$/;" m class:UniformTriRefiner +RefInputFloatInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefInputFloatInput = tf_export("raw_ops.RefInputFloatInput")(_ops.to_raw_op(ref_input_float_inpu/;" v +RefInputFloatInputIntOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefInputFloatInputIntOutput = tf_export("raw_ops.RefInputFloatInputIntOutput")(_ops.to_raw_op(re/;" v +RefInputIntInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefInputIntInput = tf_export("raw_ops.RefInputIntInput")(_ops.to_raw_op(ref_input_int_input))$/;" v +REFLECT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REFLECT = 0$/;" v class:MirrorPadMode +reflectable_magic_methods adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^reflectable_magic_methods = {$/;" v +ReflectionPad1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ReflectionPad1d(_ReflectionPadNd):$/;" c +ReflectionPad2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ReflectionPad2d(_ReflectionPadNd):$/;" c +reflection_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def reflection_axis(self):$/;" m class:LinearOperatorHouseholder +reflection_pad adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def reflection_pad(g, input, padding):$/;" f +reflection_pad adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def reflection_pad(g, input, padding):$/;" f +reflection_pad1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^reflection_pad1d = reflection_pad$/;" v +reflection_pad1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^reflection_pad1d = reflection_pad$/;" v +reflection_pad2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^reflection_pad2d = reflection_pad$/;" v +reflection_pad2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^reflection_pad2d = reflection_pad$/;" v +reflection_pad3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^reflection_pad3d = reflection_pad$/;" v +reflection_pad3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^reflection_pad3d = reflection_pad$/;" v +reflective_transformation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def reflective_transformation(y, lb, ub):$/;" f +RefMerge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefMerge = tf_export("raw_ops.RefMerge")(_ops.to_raw_op(ref_merge))$/;" v +RefNextIteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefNextIteration = tf_export("raw_ops.RefNextIteration")(_ops.to_raw_op(ref_next_iteration))$/;" v +reform adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def reform(*args):$/;" f member:Caffe2Backend._create_rnn_variant file: +reform adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def reform(Bi, Br, W_, R_, name, hidden_size, init_net):$/;" f member:Caffe2Backend._create_rnn_variant file: +reform_weights adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def reform_weights(g, w, n, intervals):$/;" f function:_generic_rnn file: +RefOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefOut = tf_export("raw_ops.RefOut")(_ops.to_raw_op(ref_out))$/;" v +RefOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefOutput = tf_export("raw_ops.RefOutput")(_ops.to_raw_op(ref_output))$/;" v +RefOutputFloatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RefOutputFloatOutput = tf_export("raw_ops.RefOutputFloatOutput")(_ops.to_raw_op(ref_output_float/;" v +refresh adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def refresh(self, request):$/;" m class:IDTokenCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def refresh(self, request):$/;" m class:AnonymousCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def refresh(self, request):$/;" m class:IDTokenCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def refresh(self, request):$/;" m class:OnDemandCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def refresh(self, request):$/;" m class:UserAccessTokenCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def refresh(self, request):$/;" m class:IDTokenCredentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^ async def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/_service_account_async.py /^ async def refresh(self, request):$/;" m class:Credentials +refresh adpepsenv/lib/python3.8/site-packages/google/oauth2/_service_account_async.py /^ async def refresh(self, request):$/;" m class:IDTokenCredentials +refresh adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def refresh(self):$/;" m class:Dataset +refresh adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def refresh(self):$/;" m class:RadialLocator +refresh adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def refresh(self):$/;" m class:ThetaLocator +refresh adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def refresh(self):$/;" m class:Locator +refresh adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def refresh(self):$/;" m class:Rule +RefreshError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class RefreshError(GoogleAuthError):$/;" c +RefreshRegisteredOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def RefreshRegisteredOperators(trigger_lazy=True):$/;" f +RefreshTokenGrant adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/refresh_token.py /^class RefreshTokenGrant(GrantTypeBase):$/;" c +refresh_all adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def refresh_all(self):$/;" m class:FigureManagerWebAgg +refresh_cm adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def refresh_cm(self):$/;" m class:ContextDecorator +refresh_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def refresh_grant($/;" f +refresh_grant adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^async def refresh_grant($/;" f +refresh_locators adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def refresh_locators(self):$/;" m class:ToolViewsPositions +refresh_token adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def refresh_token(self):$/;" m class:Credentials +refresh_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ refresh_token = True$/;" v class:GrantTypeBase +refresh_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def refresh_token($/;" m class:OAuth2Session +refresh_token_key adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ refresh_token_key = 'refresh_token'$/;" v class:Client +RefSelect adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefSelect = tf_export("raw_ops.RefSelect")(_ops.to_raw_op(ref_select))$/;" v +RefSwitch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^RefSwitch = tf_export("raw_ops.RefSwitch")(_ops.to_raw_op(ref_switch))$/;" v +RefVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class RefVariable(VariableV1, core.Tensor):$/;" c +ref_2d adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def ref_2d(func, x, **kwargs):$/;" f +ref_adadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def ref_adadelta(param_in,$/;" m class:TestAdadelta +ref_adagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test_helper.py /^def ref_adagrad($/;" f +ref_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_adam(param, mom1, mom2, grad, LR, ITER,$/;" m class:TestAdam +ref_backmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_backmax(X):$/;" f member:TestReduceFrontReductions.test_reduce_back_max file: +ref_basic_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ ref_basic_limits = [$/;" v class:TestLogitLocator +ref_basic_major_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ ref_basic_major_ticks = [$/;" v class:TestLogitLocator +ref_cec adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^ def ref_cec(S, Y):$/;" f member:TestCosineEmbeddingCriterion.test_cosine_embedding_criterion file: +ref_cec adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^ def ref_cec(X1, X2, Y):$/;" f member:TestMarginRankingCriterion.test_margin_ranking_criterion file: +ref_compute_equalization_scale adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^ def ref_compute_equalization_scale(X, W):$/;" f member:TestComputeEqualizationScaleOp.test_compute_equalization_scale file: +ref_ctc_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^ def ref_ctc_decoder(inputs, seq_len):$/;" f member:TestCTCBeamSearchDecoderOp.test_ctc_beam_search_decoder file: +ref_ctc_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def ref_ctc_decoder(inputs, seq_len):$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder file: +ref_ctc_decoder_max_time adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^ def ref_ctc_decoder_max_time(inputs):$/;" f member:TestCTCBeamSearchDecoderOp.test_ctc_beam_search_decoder file: +ref_ctc_decoder_max_time adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def ref_ctc_decoder_max_time(inputs):$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder file: +ref_ctc_decoder_max_time adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def ref_ctc_decoder_max_time(inputs):$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder_no_merge_arg file: +ref_ctc_decoder_no_merge_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def ref_ctc_decoder_no_merge_arg(inputs, seq_len):$/;" f member:TestCTCGreedyDecoderOp.test_ctc_greedy_decoder_no_merge_arg file: +ref_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def ref_dense(param, moment, grad_sq_sum, grad, lr, momentum, beta):$/;" f member:TestStorm.test_storm_dense file: +ref_dir adpepsenv/lib/python3.8/site-packages/numpy/doc/__init__.py /^ref_dir = os.path.join(os.path.dirname(__file__))$/;" v +ref_enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_enter(data, frame_name, is_constant=False, parallel_iterations=10, name=None):$/;" f +ref_enter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_enter_eager_fallback(data, frame_name, is_constant, parallel_iterations, name, ctx):$/;" f +ref_exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_exit(data, name=None):$/;" f +ref_exit_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_exit_eager_fallback(data, name, ctx):$/;" f +ref_frontmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_frontmax(X):$/;" f member:TestReduceFrontReductions.test_reduce_front_max file: +ref_gather adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^def ref_gather(axis):$/;" f +ref_gather_axis0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^def ref_gather_axis0():$/;" f +ref_gather_match_outer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^def ref_gather_match_outer(axis=1):$/;" f +ref_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ref_identity(input, name=None):$/;" f +ref_identity_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def ref_identity_eager_fallback(input, name, ctx):$/;" f +ref_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_in(a, name=None):$/;" f +ref_input_float_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_float_input(a, b, name=None):$/;" f +ref_input_float_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_float_input_eager_fallback(a, b, name, ctx):$/;" f +ref_input_float_input_int_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_float_input_int_output(a, b, name=None):$/;" f +ref_input_float_input_int_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_float_input_int_output_eager_fallback(a, b, name, ctx):$/;" f +ref_input_int_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_int_input(a, b, name=None):$/;" f +ref_input_int_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_input_int_input_eager_fallback(a, b, name, ctx):$/;" f +ref_in_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_in_eager_fallback(a, name, ctx):$/;" f +ref_lambda_rank_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^ def ref_lambda_rank_loss($/;" m class:TestListwiseL2rOps +ref_lars adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lars_test.py /^ def ref_lars(X, dX, wd, trust, lr_max):$/;" f member:TestLars.test_lars file: +ref_lpnorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^ def ref_lpnorm(param_in, p, reg_lambda):$/;" m class:TestSparseLpNorm +ref_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_max(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_back_max_with_length file: +ref_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_max(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_front_max_with_length file: +ref_maxn_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ ref_maxn_limits = [(0.4, 0.6), (5e-2, 2e-1), (1 - 2e-1, 1 - 5e-2)]$/;" v class:TestLogitLocator +ref_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_mean(X):$/;" f member:TestReduceFrontReductions.test_reduce_back_mean file: +ref_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_mean(X):$/;" f member:TestReduceFrontReductions.test_reduce_front_mean file: +ref_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_mean(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_back_mean_with_length file: +ref_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_mean(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_front_mean_with_length file: +ref_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_merge(inputs, name=None):$/;" f +ref_merge_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_merge_eager_fallback(inputs, name, ctx):$/;" f +ref_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref_nchw(x, scale, bias):$/;" f member:TestOperators.test_instance_norm file: +ref_next_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_next_iteration(data, name=None):$/;" f +ref_next_iteration_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_next_iteration_eager_fallback(data, name, ctx):$/;" f +ref_nhwc adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def ref_nhwc(x, scale, bias):$/;" f member:TestOperators.test_instance_norm file: +ref_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^ def ref_normalize(X, axis):$/;" f member:TestNormalizeOp.test_normalize file: +ref_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^ def ref_normalize(param_in, use_max_norm, norm):$/;" m class:TestSparseNormalize +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def ref_op(X, scale, bias):$/;" f member:TestAffineChannelOp.test_affine_channel_2d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def ref_op(X, scale, bias):$/;" f member:TestAffineChannelOp.test_affine_channel_3d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def ref_op(X):$/;" f member:TestChannelStatsOp.test_channel_stats_2d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def ref_op(X):$/;" f member:TestChannelStatsOp.test_channel_stats_3d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^ def ref_op(X, a, b):$/;" f member:TestElementwiseLinearOp.test file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def ref_op(X, Y):$/;" f member:TestElementwiseBroadcast.test_sum_reduce_fp16 file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def ref_op(X, gamma, beta):$/;" f member:TestGroupNormOp.test_group_norm_2d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def ref_op(X, gamma, beta):$/;" f member:TestGroupNormOp.test_group_norm_3d file: +ref_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rmac_regions_op_test.py /^ def ref_op(X):$/;" f member:RMACRegionsOpTest.test file: +ref_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_out(T, name=None):$/;" f +ref_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_output(name=None):$/;" f +ref_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_output_eager_fallback(name, ctx):$/;" f +ref_output_float_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_output_float_output(name=None):$/;" f +ref_output_float_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_output_float_output_eager_fallback(name, ctx):$/;" f +ref_out_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def ref_out_eager_fallback(T, name, ctx):$/;" f +ref_row_wise_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_row_wise_adam(param, mom1, mom2, grad, LR, ITER,$/;" m class:TestAdam +ref_row_wise_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_row_wise_sparse(param, mom1, mom2, indices, grad, LR, ITER):$/;" f member:TestAdam.test_row_wise_sparse_adam file: +ref_row_wise_sparse_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_row_wise_sparse_output_grad(param, mom1, mom2, indices, grad, LR, ITER,$/;" f member:TestAdam.test_row_wise_sparse_adam_output_grad file: +ref_select adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_select(index, inputs, name=None):$/;" f +ref_select_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_select_eager_fallback(index, inputs, name, ctx):$/;" f +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def ref_sparse(param, moment, moment_delta, indices, grad, lr, decay,$/;" f member:TestAdadelta.test_sparse_adadelta file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test_helper.py /^ def ref_sparse(param, momentum, indices, grad, lr, ref_using_fp16=False):$/;" f function:adagrad_sparse_test_helper file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_sparse(param, mom1, mom2, indices, grad, LR, ITER):$/;" f member:TestAdam.test_sparse_adam file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def ref_sparse(D, W, indices, L):$/;" f member:TestSegmentOps.test_sparse_lengths_positional_weighted_sum file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def ref_sparse(param, moment, grad_sq_sum, grad, indices,$/;" f member:TestStorm.test_storm_sparse file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def ref_sparse(param, seq_b, indices, grad, lr):$/;" f member:TestWngrad.test_sparse_wngrad_empty file: +ref_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def ref_sparse(param, seq_b, indices, grad, lr):$/;" f function:wngrad_sparse_test_helper file: +ref_sparse_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def ref_sparse_empty(param, moment, moment_delta, indices, grad, lr, decay):$/;" f member:TestAdadelta.test_sparse_adadelta_empty file: +ref_sparse_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def ref_sparse_empty(param, moment, grad_sq_sum, grad, indices,$/;" f member:TestStorm.test_storm_sparse_empty file: +ref_sparse_lp_regularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^ def ref_sparse_lp_regularizer(param, indices, grad=None):$/;" f member:TestSparseLpNorm.test_sparse_lpnorm file: +ref_sparse_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^ def ref_sparse_normalize(param, indices, grad=None):$/;" f member:TestSparseNormalize.test_sparse_normalize file: +ref_sparse_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def ref_sparse_output_grad(param, mom1, mom2, indices, grad, LR, ITER,$/;" f member:TestAdam.test_sparse_adam_output_grad file: +ref_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_sum(X):$/;" f member:TestReduceFrontReductions.test_reduce_back_sum file: +ref_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_sum(X):$/;" f member:TestReduceFrontReductions.test_reduce_front_sum file: +ref_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_sum(X):$/;" f member:TestReduceFrontReductions.test_reduce_front_sum_empty_batch file: +ref_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_sum(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_back_sum_with_length file: +ref_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def ref_sum(X, lengths):$/;" f member:TestReduceFrontReductions.test_reduce_front_sum_with_length file: +ref_switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_switch(data, pred, name=None):$/;" f +ref_switch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def ref_switch_eager_fallback(data, pred, name, ctx):$/;" f +ref_weight_scale adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weight_scale_test.py /^ def ref_weight_scale(w, iter, stepsize, upper_bound_iter, scale):$/;" f member:TestWeightScale.test_weight_scale file: +ref_wngrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^def ref_wngrad(param_in, seq_b_in, grad, lr, epsilon,$/;" f +Reg adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^class Reg:$/;" c +RegEnumKey adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^RegEnumKey = winreg.EnumKey$/;" v +RegEnumKey adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegEnumKey = win32api.RegEnumKey$/;" v +RegEnumKey adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegEnumKey = winreg.EnumKey$/;" v +RegEnumValue adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^RegEnumValue = winreg.EnumValue$/;" v +RegEnumValue adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegEnumValue = win32api.RegEnumValue$/;" v +RegEnumValue adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegEnumValue = winreg.EnumValue$/;" v +RegError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^RegError = winreg.error$/;" v +RegError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegError = win32api.error$/;" v +RegError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegError = winreg.error$/;" v +Regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Regex(Token):$/;" c +Regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Regex(Token):$/;" c +Regex adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Regex(Token):$/;" c +Regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Regex(Token):$/;" c +regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ regex = "[^\/]+"$/;" v class:BaseConverter +regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ regex = "[^\/].*?"$/;" v class:PathConverter +regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ regex = ($/;" v class:UUIDConverter +regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ regex = r"\\d+"$/;" v class:IntegerConverter +regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ regex = r"\\d+\\.\\d+"$/;" v class:FloatConverter +regexes adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/parser.py /^ regexes = [$/;" v class:Parser +regexForNodeName adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def regexForNodeName(self, op_name, stats_type=""):$/;" m class:StatsDatasetTestBase +RegexFullMatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^RegexFullMatch = tf_export("raw_ops.RegexFullMatch")(_ops.to_raw_op(regex_full_match))$/;" v +RegexReplace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^RegexReplace = tf_export("raw_ops.RegexReplace")(_ops.to_raw_op(regex_replace))$/;" v +RegexType adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^RegexType = type(_paragraph_re)$/;" v +regex_compile adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^from re import compile as regex_compile$/;" x +regex_find adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^def regex_find(orig_screen_output, regex, font_attr):$/;" f +regex_full_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def regex_full_match(input, pattern, name=None):$/;" f +regex_full_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def regex_full_match(input, pattern, name=None):$/;" f +regex_full_match_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def regex_full_match_eager_fallback(input, pattern, name, ctx):$/;" f +REGEX_MATCH_LINES_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^REGEX_MATCH_LINES_KEY = "regex_match_lines"$/;" v +regex_replace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def regex_replace(input, pattern, rewrite, replace_global=True, name=None):$/;" f +regex_replace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def regex_replace(input, pattern, rewrite, replace_global=True, name=None):$/;" f +regex_replace_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def regex_replace_eager_fallback(input, pattern, rewrite, replace_global, name, ctx):$/;" f +regex_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def regex_repr(self, obj):$/;" m class:DebugReprGenerator +REGEX_SEARCH_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ REGEX_SEARCH_PREFIX = "\/"$/;" v class:CursesUI +regionref adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def regionref(self):$/;" m class:HLObject +Register adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ def Register(self, helper):$/;" m class:HelperWrapper +Register adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ def Register(cls, op_name):$/;" m class:TranslatorRegistry +register adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def register(self, ctx_info):$/;" m class:_ContextRegistry +register adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def register(cls, Type):$/;" m class:Visitor +register adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def register(self, item, name, priority):$/;" m class:Registry +register adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def register(self, name):$/;" m class:MovieWriterRegistry +register adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def register(cls, name, style):$/;" m class:_Style +register adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^ def register(self, *projections):$/;" m class:ProjectionRegistry +register adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^def register():$/;" f +register adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^def register(viewer, order=1):$/;" f +register adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def register(self, cls):$/;" m class:VcsSupport +register adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def register(self, callback, *args, **kwds):$/;" m class:ContextStack +register adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def register(self, metadata):$/;" m class:PackageIndex +Register adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class Register(univ.Integer):$/;" c +register adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def register(*types):$/;" f function:dispatch_on.gen_func_dec file: +register adpepsenv/lib/python3.8/site-packages/setuptools/command/register.py /^class register(orig.register):$/;" c +register adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^class register(PyPIRCCommand):$/;" c +register adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def register(func, *args, **kwargs):$/;" f +register adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^ def register(self, candidate, name=None):$/;" m class:Registry +register adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def register(self):$/;" m class:GlobalOpDispatcher +register adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def register(self, op):$/;" m class:OpDispatcher +register adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^ def register(self, constraint, factory=None):$/;" m class:ConstraintRegistry +register adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def register(self, code, source):$/;" m class:_ConsoleLoader +register adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def register(hook):$/;" f function:when_imported file: +RegisterAdjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^class RegisterAdjoint(object):$/;" c +RegisterCholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^class RegisterCholesky(object):$/;" c +RegisterDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^RegisterDataset = tf_export("raw_ops.RegisterDataset")(_ops.to_raw_op(register_dataset))$/;" v +RegisterDeviceAndGetId adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def RegisterDeviceAndGetId(self, device_name):$/;" m class:DebugEventsWriter +RegisteredNullMovieWriter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^class RegisteredNullMovieWriter(NullMovieWriter):$/;" c +RegisteredOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^RegisteredOperators = C.registered_operators$/;" v +registered_drivers adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def registered_drivers():$/;" f +registered_extensions adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def registered_extensions():$/;" f +REGISTERED_FLOP_STATS adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^REGISTERED_FLOP_STATS = 'flops'$/;" v +registered_identifiers adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^def registered_identifiers():$/;" f +RegisterEnumDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def RegisterEnumDescriptor(self, enum_descriptor):$/;" m class:SymbolDatabase +RegisterExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def RegisterExtension(extension_handle):$/;" f function:_AddStaticMethods file: +registerExtension adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def registerExtension(self, extension):$/;" m class:Markdown +registerExtensions adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def registerExtensions(self, extensions, configs):$/;" m class:Markdown +RegisterFileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def RegisterFileDescriptor(self, file_descriptor):$/;" m class:SymbolDatabase +RegisterGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RegisterGradient(cls, op_type):$/;" m class:GradientRegistry +RegisterGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class RegisterGradient(object):$/;" c +RegisterID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class RegisterID(univ.Choice):$/;" c +RegisterInverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^class RegisterInverse(object):$/;" c +RegisterKL adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^class RegisterKL(object):$/;" c +RegisterLazyImport adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy.py /^def RegisterLazyImport(lazy):$/;" f +registerLoggee adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^def registerLoggee(module, name='LOG', flags=DEBUG_NONE):$/;" f +RegisterMatmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^class RegisterMatmul(object):$/;" c +RegisterMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def RegisterMessage(self, message):$/;" m class:SymbolDatabase +RegisterMessageDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def RegisterMessageDescriptor(self, message_descriptor):$/;" m class:SymbolDatabase +registerModuleMap adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^def registerModuleMap(module_map):$/;" f +registerModuleMap adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/ModuleRegister.py /^def registerModuleMap(module_map):$/;" f +RegisterOpsLibrary adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^def RegisterOpsLibrary(name):$/;" f +RegisterPFor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class RegisterPFor(object):$/;" c +RegisterPForWithArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class RegisterPForWithArgs(RegisterPFor):$/;" c +RegisterRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class RegisterRange(univ.Sequence):$/;" c +RegisterServiceDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def RegisterServiceDescriptor(self, service_descriptor):$/;" m class:SymbolDatabase +RegisterSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^class RegisterSolve(object):$/;" c +RegisterStatistics adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class RegisterStatistics(object):$/;" c +register_acd_resource_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^def register_acd_resource_resolver(f):$/;" f +register_activation_post_process_hook adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def register_activation_post_process_hook(module):$/;" f +register_after_fork adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/_atfork.py /^def register_after_fork(func):$/;" f +register_all adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^ def register_all(mod):$/;" f function:_get_builtin_table file: +register_archive_format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def register_archive_format(name, function, extra_args=None, description=''):$/;" f +register_axis adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def register_axis(self, axis):$/;" m class:Spine +register_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def register_backend(name, factory):$/;" f +register_backend adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def register_backend(format, backend, description=None):$/;" f +register_backend adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^def register_backend(backend):$/;" f +register_backend adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def register_backend(backend):$/;" f +register_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ def register_backend(cls, name, func):$/;" m class:Backend +register_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def register_backend($/;" f +register_backward_hook adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def register_backward_hook($/;" m class:_RemoteModule +register_backward_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def register_backward_hook($/;" m class:Module +register_buffer adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def register_buffer($/;" m class:_RemoteModule +register_buffer adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def register_buffer(self, name: str, tensor: Optional[Tensor], persistent: bool = True) -> N/;" m class:Module +register_call_context_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/keras_deps.py /^def register_call_context_function(func):$/;" f +register_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^def register_cmap(name=None, cmap=None, data=None, lut=None):$/;" f +register_codec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def register_codec(cls, x):$/;" m class:StructureCoder +register_code_modifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def register_code_modifier(self, modifier):$/;" m class:GrantTypeBase +register_columns adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def register_columns(self, columns: Tuple[_Column, ...]):$/;" m class:_Row +register_command_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def register_command_handler(self,$/;" m class:BaseUI +register_command_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def register_command_handler(self,$/;" m class:CommandHandlerRegistry +register_compliance_hook adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def register_compliance_hook(self, hook_type, hook):$/;" m class:OAuth2Session +register_constant_handler adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def register_constant_handler(type_, handler_fun):$/;" f +register_cpu_custom_call_target adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^register_cpu_custom_call_target = register_custom_call_target$/;" v +register_custom_call_target adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def register_custom_call_target(name, fn, platform='cpu'):$/;" f +register_custom_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def register_custom_device(self, device_capsule, device_name,$/;" m class:Context +register_custom_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def register_custom_device(device_capsule, device_name, device_info_capsule):$/;" f +register_custom_opdefs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def register_custom_opdefs(custom_opdefs_list):$/;" f +register_custom_op_symbolic adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def register_custom_op_symbolic(symbolic_name, symbolic_fn, opset_version):$/;" f +register_custom_op_symbolic adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def register_custom_op_symbolic(symbolic_name, symbolic_fn, opset_version):$/;" f +register_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def register_dataset(service, dataset):$/;" f +register_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def register_dataset(dataset, address, protocol, external_state_policy, name=None):$/;" f +register_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def register_dataset_eager_fallback(dataset, address, protocol, external_state_policy, name, ctx/;" f +register_decoder adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_decoder(name, decoder):$/;" f +register_dispatchers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def register_dispatchers():$/;" f +register_driver adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def register_driver(name, set_fapl):$/;" f +register_dynamic_quant_module_class adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def register_dynamic_quant_module_class(float_source_module_class, dynamic_quant_target_module_c/;" f +register_dynamic_quant_pattern adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def register_dynamic_quant_pattern(pattern):$/;" f +register_encoder adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_encoder(name, encoder):$/;" f +register_exclusion adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def register_exclusion(path):$/;" f +register_exclusion adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^def register_exclusion(path):$/;" f +register_exit adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def register_exit(self, callback):$/;" m class:ContextStack +register_extension adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_extension(id, extension):$/;" f +register_extensions adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_extensions(id, extensions):$/;" f +register_filesystem adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def register_filesystem(prefix, filesystem):$/;" f +register_filesystem_plugin adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^def register_filesystem_plugin(plugin_location):$/;" f +register_finder adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^def register_finder(loader, finder_maker):$/;" f +register_finder adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def register_finder(importer_type, distribution_finder):$/;" f +register_finder adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def register_finder(importer_type, distribution_finder):$/;" f +register_flag_by_module adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def register_flag_by_module(self, module_name, flag):$/;" m class:FlagValues +register_flag_by_module_id adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def register_flag_by_module_id(self, module_id, flag):$/;" m class:FlagValues +register_float_field adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def register_float_field(self, field):$/;" m class:FormDialog +register_forward_hook adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def register_forward_hook(self, hook: Callable[..., None]) -> RemovableHandle:$/;" m class:_RemoteModule +register_forward_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def register_forward_hook(self, hook: Callable[..., None]) -> RemovableHandle:$/;" m class:Module +register_forward_pre_hook adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def register_forward_pre_hook(self, hook: Callable[..., None]) -> RemovableHandle:$/;" m class:_RemoteModule +register_forward_pre_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def register_forward_pre_hook(self, hook: Callable[..., None]) -> RemovableHandle:$/;" m class:Module +register_frame_to_skip adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def register_frame_to_skip(cls, file_name, function_name, line_number=None):$/;" m class:ABSLLogger +register_func adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^def register_func(name, func):$/;" f +register_fuser_method adpepsenv/lib/python3.8/site-packages/torch/quantization/fuser_method_mappings.py /^def register_fuser_method(op_list, fuser_method):$/;" f +register_fusion_pattern adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def register_fusion_pattern(pattern):$/;" f +register_gradient_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def register_gradient_tensor(self,$/;" m class:GradientsDebugger +register_handler adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^def register_handler(handler):$/;" f +register_handler adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^def register_handler(handler):$/;" f +register_handler adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^def register_handler(handler):$/;" f +register_handler adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^def register_handler(handler):$/;" f +register_handler adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^def register_handler(handler):$/;" f +register_hook adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def register_hook(self, event, hook):$/;" m class:RequestHooksMixin +register_hook adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def register_hook(self, event, hook):$/;" m class:RequestHooksMixin +register_hook adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def register_hook(self, hook):$/;" m class:Tensor +register_keras_serializable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def register_keras_serializable(package='Custom', name=None):$/;" f +register_keras_tensor_specialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^def register_keras_tensor_specialization(cls, keras_tensor_subclass):$/;" f +register_key_flag_for_module adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def register_key_flag_for_module(self, module_name, flag):$/;" m class:FlagValues +register_kl adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def register_kl(type_p, type_q):$/;" f +register_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def register_layer(name, layer):$/;" f +register_loader_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def register_loader_type(loader_type, provider_factory):$/;" f +register_loader_type adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def register_loader_type(loader_type, provider_factory):$/;" f +register_local_backend_factory adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def register_local_backend_factory(name, factory):$/;" f +register_mime adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_mime(id, mimetype):$/;" f +register_module_backward_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^def register_module_backward_hook($/;" f +register_module_forward_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^def register_module_forward_hook(hook: Callable[..., None]) -> RemovableHandle:$/;" f +register_module_forward_pre_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^def register_module_forward_pre_hook(hook: Callable[..., None]) -> RemovableHandle:$/;" f +register_multi_flags_validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def register_multi_flags_validator(flag_names,$/;" f +register_multi_flags_validator adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^register_multi_flags_validator = _validators.register_multi_flags_validator$/;" v +register_namespace_handler adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def register_namespace_handler(importer_type, namespace_handler):$/;" f +register_namespace_handler adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def register_namespace_handler(importer_type, namespace_handler):$/;" f +register_observed_custom_module_mapping adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def register_observed_custom_module_mapping(float_custom_module_class, observed_custom_module_cl/;" f +register_omnistaging_disabler adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def register_omnistaging_disabler(self, disabler):$/;" m class:Config +register_op adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def register_op(opname, op, domain, version):$/;" f +register_open adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_open(id, factory, accept=None):$/;" f +register_ops_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def register_ops_helper(domain, version, iter_version):$/;" f +register_ops_in_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def register_ops_in_version(domain, version):$/;" f +register_package adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def register_package(priority, tagger, deserializer):$/;" f +register_parameter adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def register_parameter(self, name: str, param: Optional[Parameter]) -> None:$/;" m class:_RemoteModule +register_parameter adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def register_parameter(self, name: str, param: Optional[Parameter]) -> None:$/;" m class:Module +register_path_fn adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def register_path_fn(name, fn):$/;" f +register_post_import_hook adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^def register_post_import_hook(hook, name):$/;" f +register_projection adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^def register_projection(cls):$/;" f +register_proto_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def register_proto_function(collection_name,$/;" f +register_pytree_node adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def register_pytree_node(nodetype: Type[T],$/;" f +register_pytree_node_class adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def register_pytree_node_class(cls):$/;" f +register_qat_module_mapping adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def register_qat_module_mapping(float_source_module_class, qat_target_module_class):$/;" f +register_quantized_custom_module_mapping adpepsenv/lib/python3.8/site-packages/torch/quantization/custom_module_class_mappings.py /^def register_quantized_custom_module_mapping(float_custom_module_class, quantized_custom_module_/;" f +register_quantized_operator_mapping adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def register_quantized_operator_mapping(float_op, quantized_op):$/;" f +register_quantized_ops adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def register_quantized_ops(domain, version):$/;" f +register_quant_pattern adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/pattern_utils.py /^def register_quant_pattern(pattern):$/;" f +register_read_only_resource_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def register_read_only_resource_op(op_type):$/;" f +register_rendezvous_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def register_rendezvous_handler(scheme, handler):$/;" f +register_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^def register_resource(handle, create_op, is_initialized_op, is_shared=True):$/;" f +register_response_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def register_response_type(self, response_type):$/;" m class:GrantTypeBase +register_revived_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^def register_revived_type(identifier, predicate, versions):$/;" f +register_save adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_save(id, driver):$/;" f +register_save_all adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def register_save_all(id, driver):$/;" f +register_scale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^def register_scale(scale_class):$/;" f +register_session_provider adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def register_session_provider(session_provider):$/;" f +register_session_run_conversion_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def register_session_run_conversion_functions($/;" f +register_shutdown_handler adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def register_shutdown_handler(self):$/;" m class:GlobalWorkerCoordinator +register_signal_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^def register_signal_handler():$/;" f +register_signature_method adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def register_signature_method(cls, method_name, method_callback):$/;" m class:Client +register_static_quant_module_mapping adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^def register_static_quant_module_mapping($/;" f +register_submods adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def register_submods(mod, prefix):$/;" f function:trace_module file: +register_symbolic_tensor_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def register_symbolic_tensor_type(cls):$/;" f +register_tab_comp_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def register_tab_comp_context(self, *args, **kwargs):$/;" m class:BaseUI +register_tab_comp_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def register_tab_comp_context(self, context_words, comp_items):$/;" m class:TabCompletionRegistry +register_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^register_tensor_conversion_function = \\$/;" v +register_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^def register_tensor_conversion_function(base_type,$/;" f +register_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def register_thread(self, thread):$/;" m class:Coordinator +register_token_modifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def register_token_modifier(self, modifier):$/;" m class:GrantTypeBase +register_type_spec_from_value_converter adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^def register_type_spec_from_value_converter(type_object, converter_fn,$/;" f +register_unpack_format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def register_unpack_format(name, extensions, function, extra_args=None,$/;" f +register_validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def register_validator(flag_name,$/;" f +register_validator adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^register_validator = _validators.register_validator$/;" v +register_vcs_handler adpeps/_version.py /^def register_vcs_handler(vcs, method): # decorator$/;" f +register_vcs_handler versioneer.py /^def register_vcs_handler(vcs, method): # decorator$/;" f +register_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^def register_version(domain, version):$/;" f +RegistrationNotSupported adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class RegistrationNotSupported(OpenIDClientError):$/;" c +Registry adpepsenv/lib/python3.8/site-packages/markdown/util.py /^class Registry:$/;" c +Registry adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^class Registry(dict):$/;" c +registry adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^registry = Registry()$/;" v +Registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^class Registry(object):$/;" c +RegistryError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^class RegistryError(Exception):$/;" c +RegistryInfo adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^class RegistryInfo:$/;" c +registry_ adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ registry_ = {}$/;" v class:TranslatorRegistry +RegOpenKeyEx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^RegOpenKeyEx = winreg.OpenKeyEx$/;" v +RegOpenKeyEx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegOpenKeyEx = win32api.RegOpenKeyEx$/;" v +RegOpenKeyEx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ RegOpenKeyEx = winreg.OpenKeyEx$/;" v +Regress adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def Regress(self, request, context):$/;" m class:PredictionServiceServicer +Regression adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^Regression = _reflection.GeneratedProtocolMessageType('Regression', (_message.Message,), dict($/;" v +RegressionHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^class RegressionHead(base_head.Head):$/;" c +RegressionOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class RegressionOutput(ExportOutput):$/;" c +RegressionRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^RegressionRequest = _reflection.GeneratedProtocolMessageType('RegressionRequest', (_message.Mess/;" v +RegressionResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^RegressionResponse = _reflection.GeneratedProtocolMessageType('RegressionResponse', (_message.Me/;" v +RegressionResult adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^RegressionResult = _reflection.GeneratedProtocolMessageType('RegressionResult', (_message.Messag/;" v +regression_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def regression_signature_def(examples, predictions):$/;" f +regression_test_9033 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def regression_test_9033(self):$/;" m class:TestNormalitytests +RegressLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^RegressLog = _reflection.GeneratedProtocolMessageType('RegressLog', (_message.Message,), dict($/;" v +regressor_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.parsing_utils import regressor_parse_example_s/;" x +regressor_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^def regressor_parse_example_spec($/;" f +regressor_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from tensorflow_estimator.python.estimator.canned.parsing_utils import regressor_parse_example_s/;" x +regressor_parse_example_spec_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^def regressor_parse_example_spec_v2(feature_columns,$/;" f +REGRESS_INPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^REGRESS_INPUTS = "inputs"$/;" v +REGRESS_METHOD_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^REGRESS_METHOD_NAME = "tensorflow\/serving\/regress"$/;" v +REGRESS_OUTPUTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^REGRESS_OUTPUTS = "outputs"$/;" v +REGRESS_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^REGRESS_SERVING_KEY = 'regression'$/;" v +regroup adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def regroup(values, wrap_class=values_lib.PerReplica, always_wrap=False):$/;" f +RegToken adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class RegToken(char.UTF8String):$/;" c +RegToken adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class RegToken(char.UTF8String):$/;" c +REGTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^REGTYPE = b"0" # regular file$/;" v +Regular adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^ Regular, Recurrent, Dot, SoftCoverage = tuple(range(4))$/;" v class:AttentionType +RegularGridInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class RegularGridInterpolator(object):$/;" c +RegularizationBy adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class RegularizationBy(object):$/;" c +REGULARIZATION_LOSSES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ REGULARIZATION_LOSSES = "regularization_losses"$/;" v class:GraphKeys +regularized_incomplete_beta adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def regularized_incomplete_beta(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +regularized_incomplete_beta_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^regularized_incomplete_beta_p = standard_naryop($/;" v +regularized_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def regularized_loss(self, examples):$/;" m class:_SDCAModel +regularized_lsq_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def regularized_lsq_operator(J, diag):$/;" f +regularized_lsq_with_qr adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf_linear.py /^def regularized_lsq_with_qr(m, n, R, QTb, perm, diag, copy_R=True):$/;" f +Regularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class Regularizer(object):$/;" c +Regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^class Regularizer(object):$/;" c +regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def regularizer(self):$/;" m class:VariableScope +RegularizerContext adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_context.py /^class RegularizerContext(ModifierContext):$/;" c +RegularPolyCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class RegularPolyCollection(_CollectionWithSizes):$/;" c +RegularPolygon adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class RegularPolygon(Patch):$/;" c +regular_polygon adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def regular_polygon($/;" m class:ImageDraw +REGULAR_TYPES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^REGULAR_TYPES = (REGTYPE, AREGTYPE,$/;" v +reg_name adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^reg_name = r"(?: %(unreserved)s | %(pct_encoded)s | %(sub_delims)s )*" % locals()$/;" v +REG_NAME_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^REG_NAME_PAT = r"(?:[^\\[\\]%:\/?#]|%[a-fA-F0-9]{2})*"$/;" v +REG_NAME_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^REG_NAME_PAT = r"(?:[^\\[\\]%:\/?#]|%[a-fA-F0-9]{2})*"$/;" v +rehash adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def rehash(path, blocksize=1 << 20):$/;" f +reinforce adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def reinforce(self, reward):$/;" m class:Tensor +reinforce_box_boundaries adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def reinforce_box_boundaries(x, lb, ub):$/;" f +reinit adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def reinit():$/;" f +reinitialize_command adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_wininst.py /^ def reinitialize_command(self, command, reinit_subcommands=0):$/;" m class:bdist_wininst +reinitialize_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def reinitialize_command(self, command, reinit_subcommands=0):$/;" m class:Command +reinitialize_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def reinitialize_command(self, command, reinit_subcommands=0):$/;" m class:Distribution +reinitialize_command adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def reinitialize_command(self, command, reinit_subcommands=0, **kw):$/;" m class:Command +reinit_env adpeps/ipeps/ipeps.py /^ reinit_env = False$/;" v class:iPEPS +reinit_env adpeps/ipeps/ipeps.py /^ reinit_env = False$/;" v class:iPEPS_exci +reject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def reject(self):$/;" m class:FormDialog +rejection_rate adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def rejection_rate(self):$/;" m class:Fuzzer +rejection_resample adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def rejection_resample(class_func, target_dist, initial_dist=None, seed=None):$/;" f +reject_location_related_install_options adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^def reject_location_related_install_options(requirements, options):$/;" f +REL adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^REL = re.compile(r"""<([^>]*\\srel\\s*=\\s*['"]?([^'">]+)[^>]*)>""", re.I)$/;" v +RelationalAttribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class RelationalAttribute(Attribute):$/;" c +relativedelta adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^class relativedelta(object):$/;" c +RelativeDistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class RelativeDistinguishedName(univ.SetOf):$/;" c +RelativeDistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^RelativeDistinguishedName = rfc5280.RelativeDistinguishedName$/;" v +RelativeDistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class RelativeDistinguishedName(univ.SetOf):$/;" c +RelativeDistinguishedName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class RelativeDistinguishedName(univ.SetOf):$/;" c +RelativeLDAPDN adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class RelativeLDAPDN(LDAPString):$/;" c +relative_part adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^relative_part = r"""(?: (?: \/\/ %(authority)s %(path_abempty)s ) |$/;" v +relative_ref adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^relative_ref = r"%(relative_part)s (?: \\? %(query)s)? (?: \\# %(fragment)s)?" % locals($/;" v +relax adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def relax(value):$/;" f member:TypeSpec._with_tensor_ranks_only file: +relax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^ def relax(k):$/;" f function:_is_compatible_param_kind file: +RelaxedBernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^class RelaxedBernoulli(TransformedDistribution):$/;" c +RelaxedOneHotCategorical adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^class RelaxedOneHotCategorical(TransformedDistribution):$/;" c +relax_fp16_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def relax_fp16_check(check_func, *args, **kwargs):$/;" f member:TestBatchMatMul.test_batch_matmul file: +relax_input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^def relax_input_shape(shape_1, shape_2):$/;" f +release adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def release(self, event):$/;" m class:NavigationToolbar2 +release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def release(self, event):$/;" m class:_SelectorWidget +release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def release(self, o):$/;" m class:LockDraw +release adpepsenv/lib/python3.8/site-packages/numpy/version.py /^release = True$/;" v +release adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def release(self):$/;" m class:LegacyVersion +release adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def release(self):$/;" m class:Version +release adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def release(self):$/;" m class:LegacyVersion +release adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def release(self):$/;" m class:Version +release adpepsenv/lib/python3.8/site-packages/scipy/version.py /^release = True$/;" v +release adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def release(self):$/;" m class:LegacyVersion +release adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def release(self):$/;" m class:Version +release adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def release(self):$/;" m class:ConcreteFunctionGarbageCollector +release adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def release(self, group_id):$/;" m class:GroupLock +release adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^ def release(self):$/;" m class:FileBaton +release_blobs_when_used adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def release_blobs_when_used(netproto, dont_free_blobs, selector_fun=None):$/;" f +release_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def release_conn(self):$/;" m class:HTTPResponse +release_conn adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def release_conn(self):$/;" m class:HTTPResponse +release_local adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^def release_local(local):$/;" f +release_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ release_macro_name = 'WX_RELEASE'$/;" v class:wx_info +release_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ release_macro_name = None$/;" v class:_pkg_config_info +release_mouse adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def release_mouse(self, ax):$/;" m class:FigureCanvasBase +release_pan adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def release_pan(self, event):$/;" m class:NavigationToolbar2 +release_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def release_zoom(self, event):$/;" m class:NavigationToolbar2Mac +release_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def release_zoom(self, event):$/;" m class:NavigationToolbar2WebAgg +release_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def release_zoom(self, event):$/;" m class:NavigationToolbar2Wx +release_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def release_zoom(self, event):$/;" m class:NavigationToolbar2Tk +release_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def release_zoom(self, event):$/;" m class:NavigationToolbar2 +relevance adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ def relevance(name, docstr, kind, index):$/;" f function:lookfor file: +relevance_value adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ def relevance_value(a):$/;" f function:lookfor file: +relfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def relfreq(a, numbins=10, defaultreallimits=None, weights=None):$/;" f +RelfreqResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^RelfreqResult = namedtuple('RelfreqResult',$/;" v +relim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def relim(self, visible_only=False):$/;" m class:_AxesBase +Reload adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Reload(self):$/;" m class:EventAccumulator +Reload adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Reload(self):$/;" m class:EventMultiplexer +Reload adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def Reload(self):$/;" m class:EventAccumulator +Reload adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def Reload(self):$/;" m class:EventMultiplexer +ReloadConfigRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^ReloadConfigRequest = _reflection.GeneratedProtocolMessageType('ReloadConfigRequest', (_message./;" v +ReloadConfigResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^ReloadConfigResponse = _reflection.GeneratedProtocolMessageType('ReloadConfigResponse', (_messag/;" v +ReloaderLoop adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^class ReloaderLoop(object):$/;" c +reloader_loops adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^reloader_loops = {"stat": StatReloaderLoop, "watchdog": WatchdogReloaderLoop}$/;" v +reload_library adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def reload_library():$/;" f +Relu adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Relu(self, *args, **kwargs):$/;" m class:CNNModelHelper +relu adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/nonlinearity.py /^def relu(model, blob_in, blob_out, use_cudnn=False, order="NCHW", **kwargs):$/;" f +Relu adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Relu = elementwise(relu)$/;" v +relu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def relu(x: Array) -> Array:$/;" f +RELU adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU = 1$/;" v class:ActivationFunctionType +RELU adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU = 19$/;" v class:BuiltinOperator +relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def relu(x, alpha=0., max_value=None, threshold=0):$/;" f +relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def relu(x):$/;" f +relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def relu(x, alpha=0., max_value=None, threshold=0):$/;" f +ReLU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class ReLU(Layer):$/;" c +relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu(features, name=None):$/;" f +Relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Relu = tf_export("raw_ops.Relu")(_ops.to_raw_op(relu))$/;" v +relu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def relu(input: Tensor, inplace: bool = False) -> Tensor:$/;" f +ReLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class ReLU(Module):$/;" c +relu adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def relu(input: Tensor, inplace: bool = False) -> Tensor:$/;" f +ReLU adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^class ReLU(torch.nn.ReLU):$/;" c +relu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def relu(g, input):$/;" f +relu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def relu(g, input):$/;" f +relu6 adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def relu6(x: Array) -> Array:$/;" f +RELU6 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU6 = 21$/;" v class:BuiltinOperator +RELU6 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU6 = 3$/;" v class:ActivationFunctionType +relu6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu6(features, name=None):$/;" f +Relu6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Relu6 = tf_export("raw_ops.Relu6")(_ops.to_raw_op(relu6))$/;" v +relu6 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def relu6(features, name=None):$/;" f +relu6 adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def relu6(input, inplace=False):$/;" f +ReLU6 adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class ReLU6(Hardtanh):$/;" c +ReLU6 adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^class ReLU6(torch.nn.ReLU):$/;" c +Relu6Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Relu6Grad = tf_export("raw_ops.Relu6Grad")(_ops.to_raw_op(relu6_grad))$/;" v +relu6_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu6_eager_fallback(features, name, ctx):$/;" f +relu6_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu6_grad(gradients, features, name=None):$/;" f +relu6_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu6_grad_eager_fallback(gradients, features, name, ctx):$/;" f +ReluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^ReluGrad = tf_export("raw_ops.ReluGrad")(_ops.to_raw_op(relu_grad))$/;" v +ReluTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^class ReluTest(hu.HypothesisTestCase):$/;" c +relu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^relu_ = _add_docstr(torch.relu_, r"""$/;" v +relu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu_eager_fallback(features, name, ctx):$/;" f +relu_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu_grad(gradients, features, name=None):$/;" f +relu_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def relu_grad_eager_fallback(gradients, features, name, ctx):$/;" f +relu_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def relu_grad_ref(g_out, outputs, fwd_inputs):$/;" f member:TestActivations.test_relu_fp16 file: +relu_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def relu_layer(x, weights, biases, name=None):$/;" f +RELU_N1_TO_1 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU_N1_TO_1 = 2$/;" v class:ActivationFunctionType +RELU_N1_TO_1 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RELU_N1_TO_1 = 20$/;" v class:BuiltinOperator +relu_n_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def relu_n_ref(X):$/;" f member:TestActivations.test_relu_n file: +relu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def relu_ref(X):$/;" f member:TestActivations.test_relu file: +relu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def relu_ref(X):$/;" f member:TestActivations.test_relu_empty_input file: +relu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def relu_ref(X):$/;" f member:TestActivations.test_relu_fp16 file: +rel_entr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double rel_entr(double x0, double x1) nogil$/;" f +REL_MAP adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^REL_MAP = {$/;" v +rel_path adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def rel_path(path, parent_path):$/;" f +rem adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def rem(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +rem adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def rem(lhs, rhs):$/;" f +rem adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def rem(x: Array, y: Array) -> Array:$/;" f +rem adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^rem = _broadcasting_binary_op(gen_math_ops.mod)$/;" v +remainder adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def remainder(x1, x2):$/;" f +remainder adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^remainder = _DomainedBinaryOperation(umath.remainder,$/;" v +remainder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def remainder(x1, x2): # pylint: disable=missing-function-docstring$/;" f +remainder adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def remainder(g, input, other):$/;" f +remaining adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def remaining(original, *removed_lists):$/;" f +remaining adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def remaining(self):$/;" m class:Progress +remaining adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^args, remaining = parser.parse_known_args()$/;" v +remainingDoubleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^remainingDoubleQuotesRegex = r'"'$/;" v +remainingSingleQuotesRegex adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^remainingSingleQuotesRegex = r"'"$/;" v +remaining_tmpdirs adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ remaining_tmpdirs = set()$/;" v class:TmpDirCleaner +remap adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def remap(blob_name):$/;" f member:Net.ClonePartial file: +remap adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def remap(x):$/;" f member:TestVoronoi.test_incremental file: +RemapEntry adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^class RemapEntry:$/;" c +remap_ids adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def remap_ids(names, shape_spec):$/;" f +remap_input adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def remap_input(op, blob_name_remapping):$/;" f +remap_list adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def remap_list(proto_list):$/;" f member:Net.Clone file: +remap_op adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def remap_op(op):$/;" f member:Net.Clone file: +remap_palette adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def remap_palette(self, dest_map, source_palette=None):$/;" m class:Image +remap_proto adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def remap_proto(argument, blob_remap):$/;" f +remat adpepsenv/lib/python3.8/site-packages/jax/api.py /^remat = checkpoint$/;" v +remat_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^remat_call = remat_call_p.bind$/;" v +remat_call_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^remat_call_p = core.CallPrimitive('remat_call')$/;" v +remat_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def remat_transpose(params, call_jaxpr, primals_in, cotangents_in, cotangent_in_avals):$/;" f +remez adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def remez(numtaps, bands, desired, weight=None, Hz=None, type='bandpass',$/;" f +remote adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def remote(to, func, args=None, kwargs=None, timeout=UNSET_RPC_TIMEOUT):$/;" f +REMOTE adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ REMOTE = "remote"$/;" v class:RPCExecMode +REMOTE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ REMOTE = 3 # Run the operation using remote.$/;" v class:ExecMode +RemoteCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^RemoteCall = tf_export("raw_ops.RemoteCall")(_ops.to_raw_op(remote_call))$/;" v +RemoteEM adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class RemoteEM(nn.Module):$/;" c +RemoteError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class RemoteError(AbortionError):$/;" c +RemoteException adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^RemoteException = collections.namedtuple("RemoteException", ["msg", "exception_type"])$/;" v +RemoteFusedGraphExecuteInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^RemoteFusedGraphExecuteInfo = _reflection.GeneratedProtocolMessageType('RemoteFusedGraphExecuteI/;" v +RemoteModule adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^class RemoteModule(_RemoteModule):$/;" c +RemoteModuleTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class RemoteModuleTest(RpcAgentTestFixture):$/;" c +RemoteMonitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class RemoteMonitor(Callback):$/;" c +RemoteMyModuleInterface adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^class RemoteMyModuleInterface:$/;" c +RemoteNet adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class RemoteNet(nn.Module):$/;" c +RemoteNotFoundError adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^class RemoteNotFoundError(Exception):$/;" c +RemoteProfilerSessionManagerOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^RemoteProfilerSessionManagerOptions = _reflection.GeneratedProtocolMessageType('RemoteProfilerSe/;" v +RemoteShutdownError adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class RemoteShutdownError(AbortionError):$/;" c +RemoteSingleWorkerMirroredStrategyBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^class RemoteSingleWorkerMirroredStrategyBase(DistributionTestBase):$/;" c +RemoteTensorHandle adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^RemoteTensorHandle = _reflection.GeneratedProtocolMessageType('RemoteTensorHandle', (_message.Me/;" v +RemoteValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class RemoteValue(object):$/;" c +RemoteValueImpl adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class RemoteValueImpl(RemoteValue):$/;" c +remote_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^def remote_add(t1, t2, dst: str): # noqa: E999$/;" f +remote_addr adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def remote_addr(self):$/;" m class:BaseRequest +remote_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def remote_call(target, args, Tout, f, name=None):$/;" f +remote_call_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def remote_call_eager_fallback(target, args, Tout, f, name, ctx):$/;" f +remote_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^def remote_device(module_rref):$/;" f +REMOTE_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ REMOTE_FAILURE = 'remote failure'$/;" v class:Outcome.Kind +REMOTE_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ REMOTE_FAILURE = 'remote failure'$/;" v class:Abortion.Kind +REMOTE_INSTR_LOOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ REMOTE_INSTR_LOOP = "remote_instr_loop"$/;" v class:OnSessionInitAction +remote_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^def remote_method(method, obj_rref, *args, **kwargs):$/;" f +REMOTE_MODULE_TEMPLATE adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/templates/remote_module_template.py /^REMOTE_MODULE_TEMPLATE = """from typing import *$/;" v +remote_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def remote_nets(self):$/;" m class:TaskGroup +REMOTE_OP_STR adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^REMOTE_OP_STR = "#remote_op: "$/;" v +remote_parameters adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def remote_parameters(self, recurse: bool = True) -> List[rpc.RRef[Parameter]]:$/;" m class:_RemoteModule +REMOTE_SHUTDOWN adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ REMOTE_SHUTDOWN = 'remote shutdown'$/;" v class:Outcome.Kind +REMOTE_SHUTDOWN adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ REMOTE_SHUTDOWN = 'remote shutdown'$/;" v class:Abortion.Kind +remote_user adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ remote_user = environ_property($/;" v class:BaseRequest +remote_worker_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def remote_worker_name(self) -> str:$/;" m class:DdpUnderDistAutogradTest +REMOTE_WORKER_RANK adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^REMOTE_WORKER_RANK = TRAINER_RANKS[-1] + 1$/;" v +RemovableHandle adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^class RemovableHandle(object):$/;" c +remove adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def remove(self, elem):$/;" m class:RepeatedCompositeFieldContainer +remove adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def remove(self, elem):$/;" m class:RepeatedScalarFieldContainer +remove adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def remove(self):$/;" m class:Artist +remove adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def remove(self, a):$/;" m class:Grouper +remove adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def remove(self, o):$/;" m class:Stack +remove adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def remove(self):$/;" m class:Colorbar +remove adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def remove(self):$/;" m class:ColorbarBase +remove adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def remove(self):$/;" m class:Container +remove adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def remove(self, a):$/;" m class:_AxesStack +remove adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def remove(self):$/;" m class:Quiver +remove adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def remove(self):$/;" m class:QuiverKey +remove adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def remove(self, req):$/;" m class:RequirementTracker +remove adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def remove(self):$/;" m class:UninstallPthEntries +remove adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def remove(self, auto_confirm=False, verbose=False):$/;" m class:UninstallPathSet +remove adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def remove(self, event, subscriber):$/;" m class:EventMixin +remove adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def remove(self, pred, succ):$/;" m class:Sequencer +remove adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def remove(self, pathname):$/;" m class:Mounter +remove adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def remove(self, dist):$/;" m class:Environment +remove adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def remove(self, key):$/;" m class:DirectedGraph +remove adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def remove(self, dist):$/;" m class:Environment +remove adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def remove(self, dist):$/;" m class:PthDistributions +remove adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def remove(self, keys, name=None):$/;" m class:DenseHashTable +remove adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def remove(self, keys, name=None):$/;" m class:MutableHashTable +remove adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def remove(self, token):$/;" m class:FuncRegistry +Remove adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import delete_file as Remove$/;" x +Remove adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_file as Remove$/;" x +remove adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_file_v2 as remove$/;" x +remove adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_file_v2 as remove$/;" x +remove adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_file_v2 as remove$/;" x +remove adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def remove(self, module):$/;" m class:BasePruningMethod +remove adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def remove(module, name):$/;" f +remove adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def remove(self, module: Module) -> None:$/;" m class:SpectralNorm +remove adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^ def remove(self, module: Module) -> None:$/;" m class:WeightNorm +remove adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def remove(self) -> None:$/;" m class:RemovableHandle +remove adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def remove(self, header):$/;" m class:HeaderSet +remove adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def remove(self, item):$/;" m class:ImmutableHeadersMixin +remove adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def remove(self, key):$/;" m class:Headers +remove adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ remove = append$/;" v class:ImmutableListMixin +removeChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def removeChild(self, node):$/;" m class:Node +removeChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def removeChild(self, node):$/;" m class:getDomBuilder.NodeBuilder +removeChild adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def removeChild(self, node):$/;" m class:getETreeBuilder.Element +removeChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def removeChild(self, node):$/;" m class:Node +removeChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def removeChild(self, node):$/;" m class:getDomBuilder.NodeBuilder +removeChild adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def removeChild(self, node):$/;" m class:getETreeBuilder.Element +RemovedCommandError adpepsenv/lib/python3.8/site-packages/setuptools/errors.py /^class RemovedCommandError(DistutilsError, RuntimeError):$/;" c +removeDuplicates adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^def removeDuplicates(variable):$/;" f +RemoveDuplicatesTest adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^class RemoveDuplicatesTest(test_utils.TestCase):$/;" c +RemoveImportTest adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^class RemoveImportTest(test_utils.TestCase):$/;" c +RemoveObserver adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RemoveObserver(self, observer):$/;" m class:Net +removeQuotes adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def removeQuotes(s, l, t):$/;" f +removeQuotes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def removeQuotes(s,l,t):$/;" f +removeQuotes adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def removeQuotes(s, l, t):$/;" f +removeQuotes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def removeQuotes(s,l,t):$/;" f +RemoveSizePrefix adpepsenv/lib/python3.8/site-packages/flatbuffers/util.py /^def RemoveSizePrefix(buf, offset):$/;" f +removespaces adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def removespaces(expr):$/;" f +remove_auth_from_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def remove_auth_from_url(url):$/;" f +remove_cache_items adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def remove_cache_items(self, options, args):$/;" m class:CacheCommand +remove_callback adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def remove_callback(self, oid):$/;" m class:Artist +remove_callback adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def remove_callback(self, func, *args, **kwargs):$/;" m class:TimerBase +remove_callback adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ remove_callback = Artist.remove_callback$/;" v class:Container +remove_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def remove_checkpoint(checkpoint_prefix,$/;" f +remove_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^remove_checkpoint = checkpoint_management.remove_checkpoint$/;" v +remove_child adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def remove_child(self, child):$/;" m class:LayoutBox +remove_child adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def remove_child(parent, child):$/;" f +remove_classes adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def remove_classes(labels, classes):$/;" f member:DataFrameIterator._filter_classes file: +remove_comm adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def remove_comm(self, comm_id):$/;" m class:FigureManagerNbAgg +remove_comp_items adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def remove_comp_items(self, context_word, comp_items):$/;" m class:TabCompletionRegistry +remove_cookie_by_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def remove_cookie_by_name(cookiejar, name, domain=None, path=None):$/;" f +remove_cookie_by_name adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def remove_cookie_by_name(cookiejar, name, domain=None, path=None):$/;" f +remove_distribution adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def remove_distribution(self, dist):$/;" m class:DependencyFinder +remove_dom adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def remove_dom(self):$/;" m class:FrontendMetadata +remove_duplicates adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^def remove_duplicates(tree, sc=None):$/;" f +remove_duplicates adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def remove_duplicates(self):$/;" m class:FileList +remove_entity_headers adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def remove_entity_headers(headers, allowed=("expires", "content-location")):$/;" f +remove_flag_values adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def remove_flag_values(self, flag_values):$/;" m class:FlagValues +remove_from adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def remove_from(*dicts_or_sets):$/;" f member:Module.__setattr__ file: +remove_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def remove_function(self, name):$/;" m class:Context +remove_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def remove_function(name):$/;" f +remove_function_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def remove_function_callback(function_callback):$/;" f +remove_hop_by_hop_headers adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def remove_hop_by_hop_headers(headers):$/;" f +remove_import_alias_node adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^def remove_import_alias_node(sc, node):$/;" f +remove_info_file adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def remove_info_file():$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def remove_move(name):$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def remove_move(name):$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def remove_move(name):$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def remove_move(name):$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/six.py /^def remove_move(name):$/;" f +remove_move adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def remove_move(name):$/;" f +remove_newline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def remove_newline(msg):$/;" m class:LoggerWrapper +remove_node adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def remove_node(self, node, edges=False):$/;" m class:Sequencer +remove_op_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def remove_op_callback(self, callback):$/;" m class:Context +remove_op_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_callbacks.py /^def remove_op_callback(op_callback):$/;" f +remove_overlapping_locs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ remove_overlapping_locs = property($/;" v class:Axis +remove_possible_simple_key adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def remove_possible_simple_key(self):$/;" m class:Scanner +remove_prefix adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def remove_prefix(text, prefix):$/;" f member:TestCase.assertExpected file: +remove_readonly adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def remove_readonly(func, path, excinfo):$/;" f +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def remove_rubberband(self):$/;" m class:NavigationToolbar2GTK3 +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def remove_rubberband(self):$/;" m class:RubberbandGTK3 +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def remove_rubberband(self):$/;" m class:NavigationToolbar2QT +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def remove_rubberband(self):$/;" m class:RubberbandQt +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def remove_rubberband(self):$/;" m class:RubberbandWx +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def remove_rubberband(self, dc=None):$/;" m class:RubberbandWx +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def remove_rubberband(self):$/;" m class:RubberbandTk +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def remove_rubberband(self):$/;" m class:NavigationToolbar2 +remove_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def remove_rubberband(self):$/;" m class:RubberbandBase +remove_spectral_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^def remove_spectral_norm(module: T_module, name: str = 'weight') -> T_module:$/;" f +remove_squeezable_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def remove_squeezable_dimensions($/;" f +remove_squeezable_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/confusion_matrix.py /^def remove_squeezable_dimensions($/;" f +remove_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def remove_suffix(s, suffix):$/;" f member:IR._GetSumOpOutputName file: +remove_tags adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def remove_tags(self, tags):$/;" m class:TagContext +remove_temp_dirpath adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def remove_temp_dirpath(dirpath, strategy):$/;" f +remove_temp_dir_with_filepath adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def remove_temp_dir_with_filepath(filepath, strategy):$/;" f +remove_ticks_and_titles adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def remove_ticks_and_titles(figure):$/;" f +remove_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def remove_tool(self, name):$/;" m class:ToolManager +remove_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def remove_toolitem(self, name):$/;" m class:ToolbarGTK3 +remove_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def remove_toolitem(self, name):$/;" m class:ToolbarQt +remove_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def remove_toolitem(self, name):$/;" m class:ToolbarWx +remove_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def remove_toolitem(self, name):$/;" m class:ToolbarTk +remove_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def remove_toolitem(self, name):$/;" m class:ToolContainerBase +remove_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def remove_training_arg(index, args, kwargs):$/;" f +remove_training_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def remove_training_nodes(input_graph, protected_nodes=None):$/;" f +remove_tree adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def remove_tree(directory, verbose=1, dry_run=0):$/;" f +remove_undocumented adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^def remove_undocumented(module_name, allowed_exception_list=None,$/;" f +remove_variants adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^def remove_variants(get_next_op):$/;" f +remove_web_socket adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def remove_web_socket(self, web_socket):$/;" m class:FigureManagerWebAgg +remove_weight_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^def remove_weight_norm(module: T_module, name: str = 'weight') -> T_module:$/;" f +rem_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^rem_p = standard_naryop([_num, _num], 'rem')$/;" v +rename adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def rename(oldname, newname, overwrite=False):$/;" f +Rename adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import rename as Rename$/;" x +Rename adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import rename as Rename$/;" x +rename adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import rename_v2 as rename$/;" x +rename adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import rename_v2 as rename$/;" x +rename adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import rename_v2 as rename$/;" x +rename adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def rename(self, *names, **rename_map):$/;" m class:Tensor +rename adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ def rename(src, dst):$/;" f +renames adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def renames(old, new):$/;" f +renames adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/renames_v2.py /^renames = {$/;" v +RenameTest adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^class RenameTest(test_utils.TestCase):$/;" c +rename_ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def rename_(self, *names, **rename_map):$/;" m class:Tensor +rename_external adpepsenv/lib/python3.8/site-packages/pasta/augment/rename.py /^def rename_external(t, old_name, new_name):$/;" f +rename_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def rename_fields(base, namemapper):$/;" f +rename_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def rename_function(self, old_name, new_name):$/;" m class:_GraphDef +rename_list adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def rename_list(proto_list):$/;" f function:ExtractPredictorNet file: +rename_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^def rename_symbols(node, name_map):$/;" f +rename_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def rename_v2(src, dst, overwrite=False):$/;" f +render adpeps/_version.py /^def render(pieces, style):$/;" f +render adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^def render(s):$/;" f +render adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render(self, x, y):$/;" m class:Accent +render adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render(self, x, y):$/;" m class:Char +render adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render(self, x, y):$/;" m class:Node +render adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render(self, x, y, w, h):$/;" m class:Rule +render adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render(self, x1, y1, x2, y2):$/;" m class:Box +render adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def render(self, op, xy, pen, brush=None):$/;" m class:Draw +render adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def render(self, treewalker, encoding=None):$/;" m class:HTMLSerializer +render adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def render(self, treewalker, encoding=None):$/;" m class:HTMLSerializer +render adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def render(self,$/;" m class:CursesNavigationHistory +render adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def render(self) -> str:$/;" m class:Table +render adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render(self):$/;" m class:Line +render adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render(self, mark_lib=True):$/;" m class:Frame +render adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render(self, mark_lib=True):$/;" m class:Group +render versioneer.py /^def render(pieces, style):$/;" f +RendererAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^class RendererAgg(RendererBase):$/;" c +RendererBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class RendererBase:$/;" c +RendererCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^class RendererCairo(RendererBase):$/;" c +RendererGTK3Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^class RendererGTK3Cairo(backend_cairo.RendererCairo):$/;" c +RendererPdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class RendererPdf(_backend_pdf_ps.RendererPDFPSBase):$/;" c +RendererPDFPSBase adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^class RendererPDFPSBase(RendererBase):$/;" c +RendererPgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class RendererPgf(RendererBase):$/;" c +RendererPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class RendererPS(_backend_pdf_ps.RendererPDFPSBase):$/;" c +RendererSVG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^class RendererSVG(RendererBase):$/;" c +RendererTemplate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^class RendererTemplate(RendererBase):$/;" c +RendererWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class RendererWx(RendererBase):$/;" c +render_console_html adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^def render_console_html(secret, evalex_trusted=True):$/;" f +render_figures adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def render_figures(code, code_path, output_dir, output_base, context,$/;" f +render_full adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_full(self, evalex=False, secret=None, evalex_trusted=True):$/;" m class:Traceback +render_git_describe adpeps/_version.py /^def render_git_describe(pieces):$/;" f +render_git_describe versioneer.py /^def render_git_describe(pieces):$/;" f +render_git_describe_long adpeps/_version.py /^def render_git_describe_long(pieces):$/;" f +render_git_describe_long versioneer.py /^def render_git_describe_long(pieces):$/;" f +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, facename, font_class, sym, fontsize, dpi):$/;" m class:Fonts +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackend +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendAgg +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendCairo +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendPath +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendPdf +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendPs +render_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_glyph(self, ox, oy, info):$/;" m class:MathtextBackendSvg +render_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def render_headers(self):$/;" m class:RequestField +render_headers adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def render_headers(self):$/;" m class:RequestField +render_line adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_line(line, cls):$/;" f member:Frame.render_line_context file: +render_line_context adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_line_context(self):$/;" m class:Frame +render_object_dump adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def render_object_dump(self, items, title, repr=None):$/;" m class:DebugReprGenerator +render_pep440 adpeps/_version.py /^def render_pep440(pieces):$/;" f +render_pep440 versioneer.py /^def render_pep440(pieces):$/;" f +render_pep440_old adpeps/_version.py /^def render_pep440_old(pieces):$/;" f +render_pep440_old versioneer.py /^def render_pep440_old(pieces):$/;" f +render_pep440_post adpeps/_version.py /^def render_pep440_post(pieces):$/;" f +render_pep440_post versioneer.py /^def render_pep440_post(pieces):$/;" f +render_pep440_pre adpeps/_version.py /^def render_pep440_pre(pieces):$/;" f +render_pep440_pre versioneer.py /^def render_pep440_pre(pieces):$/;" f +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:Fonts +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackend +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendAgg +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendCairo +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendPath +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendPdf +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendPs +render_rect_filled adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def render_rect_filled(self, x1, y1, x2, y2):$/;" m class:MathtextBackendSvg +render_summary adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_summary(self, include_title=True):$/;" m class:Traceback +render_testapp adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^def render_testapp(req):$/;" f +render_text adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_text(self):$/;" m class:Frame +render_text adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def render_text(self):$/;" m class:Group +render_to_rgb adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^ def render_to_rgb(figure):$/;" f function:figure_to_image file: +rendezvous adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def rendezvous(url, rank=-1, world_size=-1, **kwargs):$/;" f +rendezvous_filestore adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/module_map.py /^ rendezvous_filestore as rendezvous_filestore # noqa$/;" I +renew_order adpeps/tensor/ncon.py /^def renew_order(order, icon):$/;" f +renormalize adpeps/ipeps/ctm.py /^def renormalize(tensors: CTMTensors, chi: int) -> Tuple[CTMTensors, np.ndarray]:$/;" f +renorm_bottom adpeps/ipeps/ctm.py /^def renorm_bottom(ts, Pb, Pbb):$/;" f +renorm_left adpeps/ipeps/ctm.py /^def renorm_left(ts: CTMTensors, Pl: np.ndarray, Plb: np.ndarray$/;" f +renorm_right adpeps/ipeps/ctm.py /^def renorm_right(ts, Pr, Prb):$/;" f +renorm_top adpeps/ipeps/ctm.py /^def renorm_top(ts, Pt, Ptb):$/;" f +reopen adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def reopen(self):$/;" m class:EventFileWriter +reopen adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def reopen(self):$/;" m class:EventFileWriterV2 +reopen adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def reopen(self):$/;" m class:FileWriter +reopen adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def reopen(self):$/;" m class:FakeSummaryWriter +reopen adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def reopen(self):$/;" m class:FileWriter +reorder adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def reorder(args):$/;" f function:test_svd_linop file: +reorder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reorder as reorder$/;" x +reorder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reorder as reorder$/;" x +reorder adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reorder as reorder$/;" x +reorders adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/reorders_v2.py /^reorders = {$/;" v +reorder_data_discarding_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ reorder_data_discarding_ops = options.create_option($/;" v class:OptimizationOptions +repack_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def repack_fields(a, align=False, recurse=False):$/;" f +ReparameterizationType adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^class ReparameterizationType(object):$/;" c +reparameterization_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def reparameterization_type(self):$/;" m class:Distribution +reparentChildren adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def reparentChildren(self, newParent):$/;" m class:Node +reparentChildren adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def reparentChildren(self, newParent):$/;" m class:getDomBuilder.NodeBuilder +reparentChildren adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def reparentChildren(self, newParent):$/;" m class:getETreeBuilder.Element +reparentChildren adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def reparentChildren(self, newParent):$/;" m class:Node +reparentChildren adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def reparentChildren(self, newParent):$/;" m class:getDomBuilder.NodeBuilder +reparentChildren adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def reparentChildren(self, newParent):$/;" m class:getETreeBuilder.Element +reparseTokenNormal adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def reparseTokenNormal(self, token):$/;" m class:HTMLParser +reparseTokenNormal adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def reparseTokenNormal(self, token):$/;" m class:HTMLParser +repeat adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def repeat(a, repeats, axis: Optional[int] = None, *, total_repeat_length=None):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def repeat(a, repeats, axis=None):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ repeat = _arraymethod('repeat')$/;" v class:MaskedArray +repeat adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^repeat = _frommethod('repeat')$/;" v +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def repeat(self, count=None):$/;" m class:DatasetV1 +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def repeat(self, count=None):$/;" m class:DatasetV2 +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def repeat(x, n):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def repeat(input, repeats, axis=None, name=None): # pylint: disable=redefined-builtin$/;" f +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def repeat(a, repeats, axis=None): # pylint: disable=missing-docstring$/;" f +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^repeat = array_ops.repeat_with_axis$/;" v +repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^def repeat(n, body, inputs=None, infeed_queue=None, name=None):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def repeat(g, self, repeats):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def repeat(g, self, repeats):$/;" f +repeat adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def repeat(self, repeat=-1, number=-1):$/;" m class:Timer +RepeatDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class RepeatDataset(UnaryUnchangedStructureDataset):$/;" c +RepeatDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^RepeatDataset = tf_export("raw_ops.RepeatDataset")(_ops.to_raw_op(repeat_dataset))$/;" v +RepeatedCompositeFieldContainer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class RepeatedCompositeFieldContainer(BaseContainer):$/;" c +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:_FixedSizer.SpecificSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:_ModifiedSizer.SpecificSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:_SimpleSizer.SpecificSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:BytesSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:GroupSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:MessageSizer file: +RepeatedFieldSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def RepeatedFieldSize(value):$/;" f function:StringSizer file: +RepeatedResults adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^RepeatedResults = namedtuple('RepeatedResults', ('values', 'counts'))$/;" v +RepeatedScalarFieldContainer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class RepeatedScalarFieldContainer(BaseContainer):$/;" c +RepeatVector adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class RepeatVector(Layer):$/;" c +REPEAT_COUNT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^REPEAT_COUNT = args.repeat$/;" v +repeat_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def repeat_dataset(input_dataset, count, output_types, output_shapes, name=None):$/;" f +repeat_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def repeat_dataset_eager_fallback(input_dataset, count, output_types, output_shapes, name, ctx):$/;" f +repeat_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def repeat_elements(x, rep, axis):$/;" f +repeat_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def repeat_helper(f):$/;" f function:repeat_test_for_types file: +repeat_ranges adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_util.py /^def repeat_ranges(params, splits, repeats):$/;" f +repeat_test_for_types adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def repeat_test_for_types(dtypes):$/;" f +repeat_with_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def repeat_with_axis(data, repeats, axis, name=None):$/;" f +repl adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def repl(m):$/;" f function:preprocessor.mk_repl file: +replace adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def replace(self, **kwargs):$/;" m class:rrule +replace adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def replace(self, *args, **kwargs):$/;" m class:_DatetimeWithFold +replace adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def replace(tup, val):$/;" f function:_take_along_axis file: +replace adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def replace(fun):$/;" f member:Type1Font._transformer file: +replace adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def replace(self, old, new, count=None):$/;" m class:chararray +replace adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def replace(a, old, new, count=None):$/;" f +replace adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^ def replace(match):$/;" f function:parse_string file: +replace adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def replace(str, d, defaultsep=''):$/;" f +replace adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^ def replace(src, dest):$/;" f +replace adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^ def replace(self, **kwargs):$/;" m class:RequestContext +REPLACE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^REPLACE = lambda _1, _2, _3: True$/;" f +replace adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^def replace(template, **replacements):$/;" f +replace adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def replace(self, **kwargs):$/;" m class:DeviceSpecV2 +replace adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def replace(self, **kwargs):$/;" m class:RunConfig +replace adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def replace(self, **kwargs):$/;" m class:RunConfig +replace adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def replace(m):$/;" f function:replace_string_literal file: +replace adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def replace(self, **kwargs):$/;" m class:BaseURL +replaceAllUsesWith adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def replaceAllUsesWith(self, old_tensor, new_tensor):$/;" m class:NNModule +replaceAsConsumer adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def replaceAsConsumer(self, old_consumer, new_consumer):$/;" m class:NNModule +ReplaceBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def ReplaceBlobs(meta_net_def, blob_name, blob_def):$/;" f +ReplaceHex adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^ def ReplaceHex(m):$/;" f function:CUnescape file: +replaceHTMLEntity adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def replaceHTMLEntity(t):$/;" f +replaceHTMLEntity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def replaceHTMLEntity(t):$/;" f +replaceHTMLEntity adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def replaceHTMLEntity(t):$/;" f +replaceHTMLEntity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def replaceHTMLEntity(t):$/;" f +replacementCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^replacementCharacters = {$/;" v +replacementCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^replacementCharacters = {$/;" v +replacementRegexp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ replacementRegexp = re.compile(r"U[\\dA-F]{5,5}")$/;" v class:InfosetFilter +replacementRegexp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ replacementRegexp = re.compile(r"U[\\dA-F]{5,5}")$/;" v class:InfosetFilter +replaceNode adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def replaceNode(self, old_node, new_node):$/;" m class:NNModule +replaceProducer adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def replaceProducer(self, tensor, new_producer):$/;" m class:NNModule +replacer adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def replacer(tokens):$/;" f function:Type1Font._transformer.replace file: +replacer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def replacer(match):$/;" f function:_replace_multiple file: +replacer adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def replacer(match):$/;" f function:_replace_multiple file: +replaceSubgraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def replaceSubgraph(self, subgraph, new_node, inputs, outputs):$/;" m class:NNModule +ReplaceTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^class ReplaceTransformer(gast.NodeTransformer):$/;" c +replaceWith adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def replaceWith(replStr):$/;" f +replaceWith adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def replaceWith(replStr):$/;" f +replaceWith adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def replaceWith(replStr):$/;" f +replaceWith adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def replaceWith(replStr):$/;" f +replace_as_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^def replace_as_expression(template, **replacements):$/;" f +replace_bools adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def replace_bools(dct, true='Yes', false='No'):$/;" f function:pretty_str file: +replace_cached_zip_archive_directory_data adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def replace_cached_zip_archive_directory_data(path, old_entry):$/;" f function:_replace_zip_directory_cache_data file: +replace_capture adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def replace_capture(self, tensor, placeholder):$/;" m class:FuncGraph +replace_child adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def replace_child(parent, node, replace_with):$/;" f +replace_composites_with_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor.py /^def replace_composites_with_components(structure):$/;" f +replace_ellipsis_by_position adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def replace_ellipsis_by_position(ellipsis_idx, names, tensor_names):$/;" f +replace_extern_shared adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def replace_extern_shared(input_string):$/;" f +replace_float0s adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def replace_float0s(primal, tangent):$/;" f +replace_if_empty adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def replace_if_empty(text, replacement='No relevant packages'):$/;" f function:pretty_str file: +replace_in_base_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^def replace_in_base_docstring(replacements):$/;" f +replace_layer_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def replace_layer_functions(child_layer, serialized_fns):$/;" f function:_replace_child_layer_functions file: +replace_marker adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def replace_marker(self, root, elem):$/;" m class:TocTreeprocessor +replace_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def replace_masked(s):$/;" f function:_median file: +replace_math_functions adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def replace_math_functions(input_string):$/;" f +replace_method adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def replace_method(klass, method_name, func):$/;" f +replace_method_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/method_name_updater.py /^ def replace_method_name(self, signature_key, method_name, tags=None):$/;" m class:MethodNameUpdater +replace_metric_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def replace_metric_functions(child_layer, serialized_fns):$/;" f function:_replace_child_layer_functions file: +replace_nones adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def replace_nones(dct, replacement='Could not collect'):$/;" f function:pretty_str file: +replace_notes_in_docstring adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def replace_notes_in_docstring(cls, notes):$/;" f +replace_notes_in_docstring adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def replace_notes_in_docstring(cls, notes):$/;" f +replace_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^replace_re = re.compile(r"@([\\w]+)@")$/;" v +replace_string_literal adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^def replace_string_literal(src, lineno, new_string):$/;" f +replace_target_nodes_with adpepsenv/lib/python3.8/site-packages/torch/_fx/experimental/GraphManipulation.py /^def replace_target_nodes_with($/;" f +replace_training_and_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^ def replace_training_and_call(training):$/;" f function:maybe_add_training_arg.wrap_with_training_arg file: +replace_with_unreplicated_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def replace_with_unreplicated_resources(resource_inputs):$/;" f function:tpu_replicated_input_resolver file: +replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def replica(self):$/;" m class:DeviceSpecV2 +replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def replica(self, replica):$/;" m class:DeviceSpecV1 +ReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class ReplicaContext(ReplicaContextBase):$/;" c +ReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from tensorflow.python.distribute.distribute_lib import ReplicaContextV1 as ReplicaContext$/;" x +ReplicaContextBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class ReplicaContextBase(object):$/;" c +ReplicaContextV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class ReplicaContextV1(ReplicaContextBase):$/;" c +ReplicaGroup adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class ReplicaGroup(object):$/;" c +ReplicaGroup adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ReplicaGroup = _reflection.GeneratedProtocolMessageType('ReplicaGroup', (_message.Message,), {$/;" v +replicate adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def replicate(val, axis_size, nrep, devices=None, backend=None, in_axis=0):$/;" f +replicate adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def replicate(cls):$/;" m class:Sharding +replicate adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def replicate(tensor, assign_tuple_sharding=False, use_sharding_op=False):$/;" f +replicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^def replicate(dataset, devices):$/;" f +replicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def replicate(computation,$/;" f +replicate adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def replicate(self, module, device_ids):$/;" m class:DataParallel +replicate adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def replicate(network, devices, detach=False):$/;" f +Replicated adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ class Replicated(NamedTuple):$/;" c +Replicated adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ Replicated = taggedtuple('Replicated', ('replicas',))$/;" v +replicated_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def replicated_fn(replica_id, replica_args, replica_kwargs):$/;" f function:TPUExtended._tpu_function_creator.tpu_function file: +replicate_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^def replicate_state(start_state, batch_size):$/;" f +ReplicationPad1d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ReplicationPad1d(_ReplicationPadNd):$/;" c +ReplicationPad2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ReplicationPad2d(_ReplicationPadNd):$/;" c +ReplicationPad3d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ReplicationPad3d(_ReplicationPadNd):$/;" c +replication_pad adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def replication_pad(g, input, padding):$/;" f +replication_pad adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def replication_pad(g, input, padding):$/;" f +replication_pad1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^replication_pad1d = replication_pad$/;" v +replication_pad1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^replication_pad1d = replication_pad$/;" v +replication_pad2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^replication_pad2d = replication_pad$/;" v +replication_pad2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^replication_pad2d = replication_pad$/;" v +replication_pad3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^replication_pad3d = replication_pad$/;" v +replication_pad3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^replication_pad3d = replication_pad$/;" v +replica_device_setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^def replica_device_setter(ps_tasks=0,$/;" f +replica_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def replica_fn(gradient_tape, target, flattened_sources, output_gradients,$/;" f function:_compute_gradients_until_finite.body file: +replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^replica_id = gen_xla_ops.xla_replica_id$/;" v +replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def replica_id_in_sync_group(self):$/;" m class:ReplicaContextBase +replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def replica_id_in_sync_group(self):$/;" m class:ValueContext +replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def replica_id_in_sync_group(self):$/;" m class:_DefaultReplicaContext +replica_id_is_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def replica_id_is_zero():$/;" f member:ReplicaContextBase.__enter__ file: +replica_id_is_zero adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def replica_id_is_zero():$/;" f member:_TPUEstimatorReplicaContext.__enter__ file: +replica_local_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def replica_local_fn(*args, **kwargs):$/;" f member:Metric.__call__ file: +repl_escapetext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def repl_escapetext(m):$/;" f +repl_mathdefault adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def repl_mathdefault(m):$/;" f +repmat adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def repmat(a, m, n):$/;" f +report adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def report(self):$/;" m class:DistributionNotFound +report adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def report(self):$/;" m class:VersionConflict +report adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def report(self):$/;" m class:DistributionNotFound +report adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def report(self):$/;" m class:VersionConflict +report adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def report(self, accept, **kwargs):$/;" m class:AdaptiveStepsize +report adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def report(self, reporter, template):$/;" m class:ContentChecker +report adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def report(self, reporter, template):$/;" m class:HashChecker +report adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def report(self, x):$/;" m class:Delegate.__init__.ErrorMessageCapture +report adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def report(self):$/;" m class:MemoryChecker +report adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def report(self):$/;" m class:_PythonMemoryChecker +ReportBase adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^class ReportBase(object):$/;" c +reporthook adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def reporthook(self, url, filename, blocknum, blksize, size):$/;" m class:PackageIndex +ReportPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class ReportPDU(PDU):$/;" c +report_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def report_benchmark($/;" m class:Benchmark +report_checkpoint_stats adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def report_checkpoint_stats(self, action_name):$/;" m class:CheckpointManager +report_checkpoint_stats adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def report_checkpoint_stats(self, action_name):$/;" m class:MultiNodeCheckpointManager +report_editable adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def report_editable(self, spec, setup_script):$/;" m class:easy_install +report_memory adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def report_memory(i=0): # argument may go away$/;" f +report_net adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def report_net(self, net=None, node=None, report_interval=5):$/;" m class:TaskGroup +report_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def report_proto(self):$/;" m class:TensorTracer +report_proto_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def report_proto_path(self):$/;" m class:TensorTracer +report_proto_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^def report_proto_path(trace_dir):$/;" f +report_step adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def report_step(self, step=None, node=None, interval_ms=1000):$/;" m class:TaskGroup +REPORT_STEP adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ REPORT_STEP = 'report_step'$/;" v class:Task +report_to adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ report_to = csp_property("report-to")$/;" v class:ContentSecurityPolicy +report_uninitialized_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^def report_uninitialized_resources(resource_list=None,$/;" f +report_uninitialized_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def report_uninitialized_variables(var_list=None,$/;" f +report_unsupported_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def report_unsupported_operations(self):$/;" m class:XLACompileContext +report_unsupported_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def report_unsupported_operations(self):$/;" m class:TPUReplicateContext +report_uri adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ report_uri = csp_property("report-uri")$/;" v class:ContentSecurityPolicy +Repository adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^class Repository (DataSource):$/;" c +repository adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ repository = None$/;" v class:PyPIRCCommand +repo_name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ repo_name = 'branch'$/;" v class:Bazaar +repo_name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ repo_name = 'clone'$/;" v class:Git +repo_name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ repo_name = 'clone'$/;" v class:Mercurial +repo_name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ repo_name = 'checkout'$/;" v class:Subversion +repo_name adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ repo_name = ''$/;" v class:VersionControl +Repr adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def Repr(dikt):$/;" f member:TestCase.assertDictEqual file: +repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def repr(self, obj):$/;" m class:DebugReprGenerator +represent adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent(self, data):$/;" m class:BaseRepresenter +RepresentativeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class RepresentativeDataset(object):$/;" c +representative_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def representative_batch_size(self):$/;" m class:DataAdapter +representative_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def representative_batch_size(self):$/;" m class:GeneratorDataAdapter +Representer adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^class Representer(SafeRepresenter):$/;" c +RepresenterError adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^class RepresenterError(YAMLError):$/;" c +represent_binary adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_binary(self, data):$/;" m class:SafeRepresenter +represent_bool adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_bool(self, data):$/;" m class:SafeRepresenter +represent_complex adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_complex(self, data):$/;" m class:Representer +represent_data adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_data(self, data):$/;" m class:BaseRepresenter +represent_date adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_date(self, data):$/;" m class:SafeRepresenter +represent_datetime adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_datetime(self, data):$/;" m class:SafeRepresenter +represent_dict adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_dict(self, data):$/;" m class:SafeRepresenter +represent_float adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_float(self, data):$/;" m class:SafeRepresenter +represent_int adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_int(self, data):$/;" m class:SafeRepresenter +represent_list adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_list(self, data):$/;" m class:SafeRepresenter +represent_mapping adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_mapping(self, tag, mapping, flow_style=None):$/;" m class:BaseRepresenter +represent_module adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_module(self, data):$/;" m class:Representer +represent_name adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_name(self, data):$/;" m class:Representer +represent_none adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_none(self, data):$/;" m class:SafeRepresenter +represent_object adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_object(self, data):$/;" m class:Representer +represent_ordered_dict adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_ordered_dict(self, data):$/;" m class:Representer +represent_scalar adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_scalar(self, tag, value, style=None):$/;" m class:BaseRepresenter +represent_sequence adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_sequence(self, tag, sequence, flow_style=None):$/;" m class:BaseRepresenter +represent_set adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_set(self, data):$/;" m class:SafeRepresenter +represent_str adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_str(self, data):$/;" m class:SafeRepresenter +represent_tuple adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_tuple(self, data):$/;" m class:Representer +represent_undefined adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_undefined(self, data):$/;" m class:SafeRepresenter +represent_yaml_object adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def represent_yaml_object(self, tag, data, cls, flow_style=None):$/;" m class:SafeRepresenter +reproString adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def reproString(current_seed, args):$/;" f +repr_format adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def repr_format(x):$/;" f +repr_node adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def repr_node(self, dist, level=1):$/;" m class:DependencyGraph +repr_precision adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^repr_precision = len(repr(np.longdouble(0.1)))$/;" v +req adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ req = None # type: Optional[InstallRequirement]$/;" v class:HashError +req adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def req(self):$/;" m class:DistributionNotFound +req adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def req(self):$/;" m class:VersionConflict +req adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def req(self):$/;" m class:DistributionNotFound +req adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def req(self):$/;" m class:VersionConflict +ReqFileLines adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ ReqFileLines = Iterator[Tuple[int, Text]]$/;" v +reqs_for_extra adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def reqs_for_extra(extra):$/;" f member:DistInfoDistribution._compute_dependencies file: +reqs_for_extra adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def reqs_for_extra(extra):$/;" f member:DistInfoDistribution._compute_dependencies file: +RequantizationRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RequantizationRange = tf_export("raw_ops.RequantizationRange")(_ops.to_raw_op(requantization_ran/;" v +RequantizationRangePerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RequantizationRangePerChannel = tf_export("raw_ops.RequantizationRangePerChannel")(_ops.to_raw_o/;" v +requantization_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantization_range(input, input_min, input_max, name=None):$/;" f +requantization_range_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantization_range_eager_fallback(input, input_min, input_max, name, ctx):$/;" f +requantization_range_per_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantization_range_per_channel(input, input_min, input_max, clip_value_max, name=None):$/;" f +requantization_range_per_channel_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantization_range_per_channel_eager_fallback(input, input_min, input_max, clip_value_max,/;" f +requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantize(input, input_min, input_max, requested_output_min, requested_output_max, out_type/;" f +Requantize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Requantize = tf_export("raw_ops.Requantize")(_ops.to_raw_op(requantize))$/;" v +RequantizePerChannel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RequantizePerChannel = tf_export("raw_ops.RequantizePerChannel")(_ops.to_raw_op(requantize_per_c/;" v +requantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantize_eager_fallback(input, input_min, input_max, requested_output_min, requested_outpu/;" f +requantize_per_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantize_per_channel(input, input_min, input_max, requested_output_min, requested_output_m/;" f +requantize_per_channel_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def requantize_per_channel_eager_fallback(input, input_min, input_max, requested_output_min, req/;" f +request adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def request($/;" m class:AuthorizedSession +Request adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^class Request(transport.Request):$/;" c +Request adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^class Request(transport.Request):$/;" c +request adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ async def request($/;" m class:AuthorizedSession +Request adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^class Request(transport.Request):$/;" c +Request adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^class Request(transport.Request):$/;" c +Request adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^class Request(object):$/;" c +Request adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Request [$/;" c +Request adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^class Request(object):$/;" c +request adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def request(self, method, url, *args, **kwargs):$/;" m class:PipSession +request adpepsenv/lib/python3.8/site-packages/pip/_internal/network/xmlrpc.py /^ def request(self, host, handler, request_body, verbose=False):$/;" m class:PipXmlrpcTransport +request adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/api.py /^def request(method, url, **kwargs):$/;" f +Request adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^class Request(RequestHooksMixin):$/;" c +request adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def request(self, method, url,$/;" m class:Session +request adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +request adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +request adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ def request(self, method, url, fields=None, headers=None, **urlopen_kw):$/;" m class:RequestMethods +request adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +Request adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class Request(univ.Sequence):$/;" c +Request adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class Request(univ.Sequence):$/;" c +request adpepsenv/lib/python3.8/site-packages/requests/api.py /^def request(method, url, **kwargs):$/;" f +Request adpepsenv/lib/python3.8/site-packages/requests/models.py /^class Request(RequestHooksMixin):$/;" c +request adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def request(self, method, url,$/;" m class:Session +request adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def request($/;" m class:OAuth2Session +request adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +request adpepsenv/lib/python3.8/site-packages/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +request adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def request(self, method, url, body=None, headers=None):$/;" m class:HTTPConnection +request adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ request = _importer._get_module("moves.urllib_request")$/;" v class:Module_six_moves_urllib +request adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ def request(self, method, url, fields=None, headers=None, **urlopen_kw):$/;" m class:RequestMethods +Request adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^from ..wrappers import BaseRequest as Request$/;" x +Request adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^from .wrappers import BaseRequest as Request$/;" x +Request adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/request.py /^class Request($/;" c +RequestAliasRedirect adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RequestAliasRedirect(RoutingException): # noqa: B903$/;" c +RequestCacheControl adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class RequestCacheControl(ImmutableDictMixin, _CacheControl):$/;" c +RequestContext adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^class RequestContext(object):$/;" c +requested adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ requested = False$/;" v class:Distribution +requested adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ requested = True # as we have no way of knowing, assume it was$/;" v class:EggInfoDistribution +RequestedExitCode adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^RequestedExitCode = _reflection.GeneratedProtocolMessageType('RequestedExitCode', (_message.Mess/;" v +RequestedExitCode adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^RequestedExitCode = _reflection.GeneratedProtocolMessageType('RequestedExitCode', (_message.Mess/;" v +RequestedRangeNotSatisfiable adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class RequestedRangeNotSatisfiable(HTTPException):$/;" c +RequestEncodingMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^class RequestEncodingMixin(object):$/;" c +RequestEncodingMixin adpepsenv/lib/python3.8/site-packages/requests/models.py /^class RequestEncodingMixin(object):$/;" c +RequestEntityTooLarge adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class RequestEntityTooLarge(HTTPException):$/;" c +RequestError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class RequestError(PoolError):$/;" c +RequestError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class RequestError(PoolError):$/;" c +RequestException adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class RequestException(IOError):$/;" c +RequestException adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class RequestException(IOError):$/;" c +RequestField adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^class RequestField(object):$/;" c +RequestField adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^class RequestField(object):$/;" c +RequestHeaderFieldsTooLarge adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class RequestHeaderFieldsTooLarge(HTTPException):$/;" c +RequestHistory adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^RequestHistory = namedtuple($/;" v +RequestHistory adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^RequestHistory = namedtuple($/;" v +RequestHooksMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^class RequestHooksMixin(object):$/;" c +RequestHooksMixin adpepsenv/lib/python3.8/site-packages/requests/models.py /^class RequestHooksMixin(object):$/;" c +RequestID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class RequestID(univ.Integer):$/;" c +RequestIterableType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^RequestIterableType = Union[Iterable[Any], AsyncIterable[Any]]$/;" v +RequestMethods adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^class RequestMethods(object):$/;" c +RequestMethods adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^class RequestMethods(object):$/;" c +RequestNotSupported adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class RequestNotSupported(OpenIDClientError):$/;" c +RequestPath adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RequestPath(RoutingException):$/;" c +RequestRedirect adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RequestRedirect(HTTPException, RoutingException):$/;" c +requests adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ requests = None$/;" v +RequestsCookieJar adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^class RequestsCookieJar(cookielib.CookieJar, MutableMapping):$/;" c +RequestsCookieJar adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^class RequestsCookieJar(cookielib.CookieJar, MutableMapping):$/;" c +RequestsDependencyWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class RequestsDependencyWarning(RequestsWarning):$/;" c +RequestsDependencyWarning adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class RequestsDependencyWarning(RequestsWarning):$/;" c +RequestSigner adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^class RequestSigner(object):$/;" c +RequestsWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class RequestsWarning(Warning):$/;" c +RequestsWarning adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class RequestsWarning(Warning):$/;" c +requests_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^from . import __version__ as requests_version$/;" x +requests_version adpepsenv/lib/python3.8/site-packages/requests/help.py /^from . import __version__ as requests_version$/;" x +RequestTimeout adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class RequestTimeout(HTTPException):$/;" c +RequestTokenEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/request_token.py /^class RequestTokenEndpoint(BaseEndpoint):$/;" c +RequestType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^RequestType = TypeVar('RequestType')$/;" v +RequestType adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^RequestType = TypeVar('RequestType')$/;" v +RequestURINotSupported adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^class RequestURINotSupported(OpenIDClientError):$/;" c +RequestURITooLarge adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class RequestURITooLarge(HTTPException):$/;" c +RequestValidator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^class RequestValidator(object):$/;" c +RequestValidator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^class RequestValidator(object):$/;" c +RequestValidator adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^class RequestValidator(OAuth2RequestValidator):$/;" c +request_body adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ request_body = 2$/;" v class:ClientAuthType +request_chunked adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def request_chunked(self, method, url, body=None, headers=None):$/;" m class:HTTPConnection +request_chunked adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def request_chunked(self, method, url, body=None, headers=None):$/;" m class:HTTPConnection +request_class adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ request_class = BaseRequest$/;" v class:EnvironBuilder +request_encode_body adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ def request_encode_body($/;" m class:RequestMethods +request_encode_body adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ def request_encode_body($/;" m class:RequestMethods +request_encode_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ def request_encode_url(self, method, url, fields=None, headers=None, **urlopen_kw):$/;" m class:RequestMethods +request_encode_url adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ def request_encode_url(self, method, url, fields=None, headers=None, **urlopen_kw):$/;" m class:RequestMethods +request_queue_size adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ request_queue_size = LISTEN_QUEUE$/;" v class:BaseWSGIServer +request_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def request_stop(self, ex=None):$/;" m class:Coordinator +request_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def request_stop(self):$/;" m class:_MonitoredSession.StepContext +request_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def request_stop(self):$/;" m class:SessionRunContext +request_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def request_stop(self, ex=None):$/;" m class:Supervisor +request_token_length adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def request_token_length(self):$/;" m class:RequestValidator +request_unwatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def request_unwatch(self, node_name, output_slot, debug_op):$/;" m class:EventListenerBaseServicer +request_uri adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def request_uri(self):$/;" m class:Url +request_uri adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def request_uri(self):$/;" m class:Url +request_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def request_url(self, request, proxies):$/;" m class:HTTPAdapter +request_url adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def request_url(self, request, proxies):$/;" m class:HTTPAdapter +request_validator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ request_validator = None$/;" v class:GrantTypeBase +request_watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def request_watch(self, node_name, output_slot, debug_op, breakpoint=False):$/;" m class:EventListenerBaseServicer +require adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^def require(a, dtype=None, requirements=None):$/;" f +require adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def require(self, *requirements):$/;" m class:WorkingSet +require adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def require(self, env=None, installer=None):$/;" m class:EntryPoint +require adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^require = None$/;" v +require adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def require(self, *requirements):$/;" m class:WorkingSet +require adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def require(self, env=None, installer=None):$/;" m class:EntryPoint +require adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^require = None$/;" v +Require adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^class Require:$/;" c +required adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^required = _RequiredParameter()$/;" v +requiredComponents adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def requiredComponents(self):$/;" m class:NamedTypes +requiredpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^requiredpattern = re.compile($/;" v +required_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ required_arguments = 0$/;" v class:MathDirective +required_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ required_arguments = 0$/;" v class:PlotDirective +required_attribute_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def required_attribute_names(o):$/;" f +required_by adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def required_by(self):$/;" m class:ContextualVersionConflict +required_by adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def required_by(self):$/;" m class:ContextualVersionConflict +required_group adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ required_group = simple_group = group$/;" v class:Parser +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ required_interactive_framework = "gtk3"$/;" v class:FigureCanvasGTK3 +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ required_interactive_framework = "macosx"$/;" v class:FigureCanvasMac +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4.py /^ required_interactive_framework = "qt4"$/;" v class:_BackendQT4.FigureCanvas +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4agg.py /^ required_interactive_framework = "qt4"$/;" v class:_BackendQT4Agg.FigureCanvas +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4cairo.py /^ required_interactive_framework = "qt4"$/;" v class:_BackendQT4Cairo.FigureCanvas +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ required_interactive_framework = "qt5"$/;" v class:FigureCanvasQT +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ required_interactive_framework = "wx"$/;" v class:_FigureCanvasWxBase +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ required_interactive_framework = "tk"$/;" v class:FigureCanvasTk +required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ required_interactive_framework = None$/;" v class:FigureCanvasBase +REQUIRED_PACKAGES adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^REQUIRED_PACKAGES = [$/;" v +required_space_to_batch_paddings adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def required_space_to_batch_paddings(input_shape,$/;" f +required_version adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^ required_version = LooseVersion('0.29.21')$/;" v +Requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^class Requirement(object):$/;" c +Requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^class Requirement(object):$/;" c +REQUIREMENT adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^REQUIREMENT = stringStart + NAMED_REQUIREMENT + stringEnd$/;" v +Requirement adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class Requirement(packaging.requirements.Requirement):$/;" c +Requirement adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^class Requirement(object):$/;" c +REQUIREMENT adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^REQUIREMENT = stringStart + NAMED_REQUIREMENT + stringEnd$/;" v +Requirement adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class Requirement(packaging.requirements.Requirement):$/;" c +Requirement adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^class Requirement(object):$/;" c +REQUIREMENT adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^REQUIREMENT = stringStart + NAMED_REQUIREMENT + stringEnd$/;" v +RequirementCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^class RequirementCommand(IndexGroupCommand):$/;" c +RequirementInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^ RequirementInfo = Tuple[Optional[Union[str, Requirement]], bool, List[str]]$/;" v +RequirementInformation adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^RequirementInformation = collections.namedtuple($/;" v +RequirementParseError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class RequirementParseError(ValueError):$/;" c +RequirementParseError adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class RequirementParseError(packaging.requirements.InvalidRequirement):$/;" c +RequirementParts adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^class RequirementParts(object):$/;" c +RequirementPreparer adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^class RequirementPreparer(object):$/;" c +requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def requirements():$/;" f +RequirementsConflicted adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class RequirementsConflicted(ResolverException):$/;" c +RequirementSet adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^class RequirementSet(object):$/;" c +RequirementsFileParseError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class RequirementsFileParseError(InstallationError):$/;" c +RequirementsFileParser adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^class RequirementsFileParser(object):$/;" c +RequirementTracker adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^class RequirementTracker(object):$/;" c +requirers adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def requirers(self):$/;" m class:DistributionNotFound +requirers adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def requirers(self):$/;" m class:DistributionNotFound +requirers_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def requirers_str(self):$/;" m class:DistributionNotFound +requirers_str adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def requirers_str(self):$/;" m class:DistributionNotFound +requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def requires(self, extras=()):$/;" m class:Distribution +requires adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def requires(self, extras=()):$/;" m class:Distribution +RequiresOlderGraphVersion adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^RequiresOlderGraphVersion = tf_export("raw_ops.RequiresOlderGraphVersion")(_ops.to_raw_op(requir/;" v +RequiresPythonCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class RequiresPythonCandidate(Candidate):$/;" c +RequiresPythonRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^class RequiresPythonRequirement(Requirement):$/;" c +RequiresUniqueFunctionRetracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def RequiresUniqueFunctionRetracing(self):$/;" m class:XLAControlFlowContext +RequiresUniqueFunctionRetracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def RequiresUniqueFunctionRetracing(self):$/;" m class:TPUReplicateContext +requires_array_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^requires_array_function = pytest.mark.skipif($/;" v +requires_features adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils.py /^def requires_features(*features):$/;" f +requires_gloo adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def requires_gloo():$/;" f +REQUIRES_GRAD adpepsenv/lib/python3.8/site-packages/torch/utils/mobile_optimizer.py /^ REQUIRES_GRAD = 2$/;" v class:LintCode +requires_grad_ adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def requires_grad_(self: T, requires_grad: bool = True) -> T:$/;" m class:_RemoteModule +requires_grad_ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def requires_grad_(self: T, requires_grad: bool = True) -> T:$/;" m class:Module +requires_grad_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^requires_grad_tensor = torch.ones(3, 3, requires_grad=True)$/;" v +requires_memory adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def requires_memory(free_bytes):$/;" f +requires_mpi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def requires_mpi():$/;" f +requires_nccl adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def requires_nccl():$/;" f +requires_nccl_version adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def requires_nccl_version(version, msg):$/;" f +requires_older_graph_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def requires_older_graph_version(name=None):$/;" f +requires_older_graph_version_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def requires_older_graph_version_eager_fallback(name, ctx):$/;" f +requires_scopes adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def requires_scopes(self):$/;" m class:Credentials +requires_scopes adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def requires_scopes(self):$/;" m class:Credentials +requires_scopes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def requires_scopes(self):$/;" m class:ReadOnlyScoped +requires_scopes adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def requires_scopes(self):$/;" m class:Credentials +requires_scopes adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def requires_scopes(self):$/;" m class:Credentials +requires_scopes adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def requires_scopes(self):$/;" m class:Credentials +requires_to_requires_dist adpepsenv/lib/python3.8/site-packages/wheel/metadata.py /^def requires_to_requires_dist(requirement):$/;" f +require_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def require_backend(backends):$/;" f +require_backends_available adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def require_backends_available(backends):$/;" f +require_dataset adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def require_dataset(self, name, shape, dtype, exact=False, **kwds):$/;" m class:Group +require_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def require_fields(array, required_dtype):$/;" f +require_group adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def require_group(self, name):$/;" m class:Group +require_hashes adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^require_hashes = partial($/;" v +require_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def require_module(self, module_name: str, dependencies=True):$/;" m class:PackageExporter +require_module_if_not_provided adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def require_module_if_not_provided(self, module_name: str, dependencies=True):$/;" m class:PackageExporter +require_n_gpus_for_nccl_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def require_n_gpus_for_nccl_backend(n, backend):$/;" f +require_pkgresources adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def require_pkgresources(name):$/;" f +require_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def require_replica_context(replica_ctx):$/;" f +require_virtualenv adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^require_virtualenv = partial($/;" v +require_world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def require_world_size(world_size):$/;" f +requote_uri adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def requote_uri(uri):$/;" f +requote_uri adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def requote_uri(uri):$/;" f +req_error_context adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def req_error_context(req_description):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^ def reraise(self):$/;" m class:ExceptionWrapper +reraise adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def reraise(tp, value, tb=None):$/;" f +reraise adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def reraise(tp, value, tb=None):$/;" f +reraise_with_filtered_traceback adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^ def reraise_with_filtered_traceback(*args, **kwargs):$/;" f function:api_boundary file: +reroute_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def reroute_tensor(self, tensor, new_producer, can_modify=None):$/;" m class:Net +res adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ res = kernel32.AddDllDirectory(dll_path)$/;" v +res adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ res = kernel32.LoadLibraryExW(dll, None, 0x00001100)$/;" v +res adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ res = kernel32.LoadLibraryW(dll)$/;" v +res adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ res = _minimize_slsqp(fun, array([-1, 1]), bounds=bnds,$/;" v +res adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ res = _minimize_slsqp(fun, array([-1, 1]), constraints=cons,$/;" v +res adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ res = kernel32.AddDllDirectory(dll_path)$/;" v +res adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ res = kernel32.LoadLibraryExW(dll, None, 0x00001100)$/;" v +res adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ res = kernel32.LoadLibraryW(dll)$/;" v +res1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ res1 = np.array($/;" v class:TestCorrCoef +res1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ res1 = np.array([[1., -1.], [-1., 1.]])$/;" v class:TestCov +res2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ res2 = np.array($/;" v class:TestCorrCoef +res2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ res2 = np.array([[0.4, -0.4], [-0.4, 0.4]])$/;" v class:TestCov +res3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ res3 = np.array([[2. \/ 3., -2. \/ 3.], [-2. \/ 3., 2. \/ 3.]])$/;" v class:TestCov +resample adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def resample(x, num, t=None, axis=0, window=None, domain='time'):$/;" f +resample adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def resample(self, size=None, seed=None):$/;" m class:gaussian_kde +resample_column adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def resample_column(i, X):$/;" f +resample_poly adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def resample_poly(x, up, down, axis=0, window=('kaiser', 5.0),$/;" f +rescale adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ def rescale(outputs, inputs, spec):$/;" f function:_normalize_by_window_size file: +Rescaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class Rescaling(PreprocessingLayer):$/;" c +reserved adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^reserved = r"(?: %(gen_delims)s | %(sub_delims)s )" % locals()$/;" v +ReservedAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ReservedAttr = tf_export("raw_ops.ReservedAttr")(_ops.to_raw_op(reserved_attr))$/;" v +ReservedInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ReservedInput = tf_export("raw_ops.ReservedInput")(_ops.to_raw_op(reserved_input))$/;" v +reserved_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def reserved_attr(range, name=None):$/;" f +reserved_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def reserved_attr_eager_fallback(range, name, ctx):$/;" f +reserved_fields adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^reserved_fields = ['_data', '_mask', '_fieldmask', 'dtype']$/;" v +reserved_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def reserved_input(input, name=None):$/;" f +reserved_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def reserved_input_eager_fallback(input, name, ctx):$/;" f +reserveObject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def reserveObject(self, name=''):$/;" m class:PdfFile +Reservoir adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^class Reservoir(object):$/;" c +ReservoirSampling adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/reservoir_sampling.py /^class ReservoirSampling(ModelLayer):$/;" c +Reset adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^ def Reset(self):$/;" m class:ComputeLoss +Reset adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^ def Reset(self):$/;" m class:ComputeTopKAccuracy +Reset adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/meter.py /^ def Reset(self):$/;" m class:Meter +reset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def reset(self, net):$/;" m class:CompositeReader +reset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def reset(self, net):$/;" m class:Reader +reset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def reset(self, net):$/;" m class:_DatasetRandomReader +reset adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def reset(self, net):$/;" m class:_DatasetReader +reset adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def reset(self):$/;" m class:CharDistributionAnalysis +reset adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def reset(self):$/;" m class:CharSetGroupProber +reset adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def reset(self):$/;" m class:CharSetProber +reset adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def reset(self):$/;" m class:CodingStateMachine +reset adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def reset(self):$/;" m class:EscCharSetProber +reset adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def reset(self):$/;" m class:EUCJPProber +reset adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def reset(self):$/;" m class:HebrewProber +reset adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def reset(self):$/;" m class:JapaneseContextAnalysis +reset adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def reset(self):$/;" m class:Latin1Prober +reset adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def reset(self):$/;" m class:MultiByteCharSetProber +reset adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def reset(self):$/;" m class:SingleByteCharSetProber +reset adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def reset(self):$/;" m class:SJISProber +reset adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ def reset(self):$/;" m class:UniversalDetector +reset adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def reset(self):$/;" m class:UTF8Prober +Reset adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def Reset(self):$/;" m class:RpcController +reset adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def reset(self):$/;" m class:Store +reset adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def reset(self):$/;" m class:Iterator +reset adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def reset(self):$/;" m class:State +reset adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def reset(self):$/;" m class:Markdown +reset adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def reset(self):$/;" m class:FootnoteExtension +reset adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def reset(self):$/;" m class:HTMLExtractorExtra +reset adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^ def reset(self):$/;" m class:MetaExtension +reset adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def reset(self):$/;" m class:TocExtension +reset adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def reset(self):$/;" m class:HTMLExtractor +reset adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def reset(self):$/;" m class:HtmlStash +reset adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def reset(self):$/;" m class:Slider +reset adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def reset(self):$/;" m class:Backoff +reset adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def reset(self):$/;" m class:Parser +reset adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def reset(self):$/;" m class:ImageFileDirectory_v2 +reset adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def reset(self):$/;" m class:RateLimiter +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def reset(self):$/;" m class:CharDistributionAnalysis +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def reset(self):$/;" m class:CharSetGroupProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def reset(self):$/;" m class:CharSetProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def reset(self):$/;" m class:CodingStateMachine +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def reset(self):$/;" m class:EscCharSetProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def reset(self):$/;" m class:EUCJPProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def reset(self):$/;" m class:HebrewProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def reset(self):$/;" m class:JapaneseContextAnalysis +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def reset(self):$/;" m class:Latin1Prober +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def reset(self):$/;" m class:MultiByteCharSetProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def reset(self):$/;" m class:SingleByteCharSetProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def reset(self):$/;" m class:SJISProber +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ def reset(self):$/;" m class:UniversalDetector +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def reset(self):$/;" m class:UTF8Prober +RESET adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ RESET = 39$/;" v class:AnsiFore +RESET adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ RESET = 49$/;" v class:AnsiBack +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def reset(self):$/;" m class:HTMLParser +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def reset(self):$/;" m class:TreeBuilder +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def reset(self):$/;" m class:TreeBuilder +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def reset(self):$/;" m class:HTMLBinaryInputStream +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def reset(self):$/;" m class:HTMLUnicodeInputStream +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def reset(self):$/;" m class:Packer +reset adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def reset(self):$/;" m class:OnlyOnce +reset adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def reset(self):$/;" m class:OnlyOnce +reset adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def reset(self):$/;" m class:SequenceAndSetBase +reset adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def reset(self):$/;" m class:SequenceOfAndSetOfBase +reset adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def reset(self):$/;" m class:OnlyOnce +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:dop853 +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:dopri5 +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:IntegratorBase +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:lsoda +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:vode +reset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def reset(self, n, has_jac):$/;" m class:zvode +reset adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def reset(self, func_wrapper, rand_gen, x0=None):$/;" m class:EnergyState +reset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def reset(self):$/;" m class:OnlyOnce +reset adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def reset(self, base_request):$/;" m class:_ByteBudgetManager +reset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def reset(self):$/;" m class:HTMLParser +reset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def reset(self):$/;" m class:TreeBuilder +reset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def reset(self):$/;" m class:TreeBuilder +reset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def reset(self):$/;" m class:HTMLBinaryInputStream +reset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def reset(self):$/;" m class:HTMLUnicodeInputStream +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def reset(self):$/;" m class:GraphBuilder +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def reset(self):$/;" m class:GraphVisitor +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def reset(target, containers=None, config=None):$/;" m class:Session +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def reset(self, *args, **kwargs):$/;" m class:BaseDebugWrapperSession +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def reset(self):$/;" m class:GradientTape +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def reset(self):$/;" m class:_DefaultGraphStack +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def reset(self):$/;" m class:_DefaultStack +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def reset(self, name=None):$/;" m class:ReaderBase +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def reset(self, state):$/;" m class:Generator +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def reset(self):$/;" m class:SecondOrStepTimer +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def reset(self):$/;" m class:_HookTimer +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def reset(self):$/;" m class:StackTraceFilter +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def reset(self):$/;" m class:StackTraceMapper +reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def reset(self):$/;" m class:StackTraceTransform +RESET adpepsenv/lib/python3.8/site-packages/termcolor.py /^RESET = '\\033[0m'$/;" v +reset adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ def reset(self):$/;" m class:SobolEngine +reset adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def reset(self):$/;" m class:AverageMeter +reset adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def reset(self):$/;" m class:HTMLStringO +ResetBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ResetBlob = C.reset_blob$/;" v +ResetBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def ResetBlobs(blobs):$/;" f +resetCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def resetCache():$/;" m class:ParserElement +resetCache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def resetCache():$/;" m class:ParserElement +resetCache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def resetCache():$/;" m class:ParserElement +resetCache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def resetCache():$/;" m class:ParserElement +ResetComputation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class ResetComputation(object):$/;" c +resetInsertionMode adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def resetInsertionMode(self):$/;" m class:HTMLParser +resetInsertionMode adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def resetInsertionMode(self):$/;" m class:HTMLParser +ResetWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def ResetWorkspace(root_folder=None):$/;" f +reset_accumulated_memory_stats adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def reset_accumulated_memory_stats(device: Union[Device, int] = None) -> None:$/;" f +reset_after adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def reset_after(self):$/;" m class:GRU +RESET_ALL adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ RESET_ALL = 0$/;" v class:AnsiStyle +reset_all adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def reset_all(self):$/;" m class:AnsiToWin32 +reset_all adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def reset_all():$/;" f +reset_all adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def reset_all(self, on_stderr=None):$/;" m class:WinTerm +reset_all_variables adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def reset_all_variables(self):$/;" m class:Interpreter +reset_available_writers adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def reset_available_writers(self):$/;" m class:MovieWriterRegistry +reset_bn_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def reset_bn_parameters(self):$/;" m class:_ConvBnNd +reset_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def reset_captures(self, capture_list):$/;" m class:FuncGraph +reset_changed adpeps/utils/tlist.py /^ def reset_changed(self):$/;" m class:TList +reset_data_input adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def reset_data_input(self, namescope, name, net, batch_size):$/;" m class:GlobalCoordinator +reset_default_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def reset_default_graph():$/;" f +reset_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def reset_dropout_mask(self):$/;" m class:DropoutRNNCellMixin +reset_from_key_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def reset_from_key_counter(self, key, counter):$/;" m class:Generator +reset_from_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def reset_from_seed(self, seed):$/;" m class:Generator +reset_global_f2py_vars adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def reset_global_f2py_vars():$/;" f +reset_max_memory_allocated adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def reset_max_memory_allocated(device: Union[Device, int] = None) -> None:$/;" f +reset_max_memory_cached adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def reset_max_memory_cached(device: Union[Device, int] = None) -> None:$/;" f +reset_metrics adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def reset_metrics(self):$/;" m class:Metrics +reset_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def reset_metrics(self):$/;" m class:Model +reset_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def reset_metrics(self):$/;" m class:Model +reset_model adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def reset_model(self, input_feature_schema=None, trainer_extra_schema=None):$/;" m class:LayersTestCase +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def reset_parameters(self):$/;" m class:_ConvBnNd +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def reset_parameters(self) -> None:$/;" m class:AdaptiveLogSoftmaxWithLoss +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def reset_parameters(self) -> None:$/;" m class:_NormBase +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def reset_parameters(self) -> None:$/;" m class:_ConvNd +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def reset_parameters(self) -> None:$/;" m class:Bilinear +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def reset_parameters(self) -> None:$/;" m class:Linear +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def reset_parameters(self) -> None:$/;" m class:GroupNorm +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def reset_parameters(self) -> None:$/;" m class:LayerNorm +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def reset_parameters(self) -> None:$/;" m class:RNNBase +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def reset_parameters(self) -> None:$/;" m class:RNNCellBase +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def reset_parameters(self) -> None:$/;" m class:Embedding +reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def reset_parameters(self) -> None:$/;" m class:EmbeddingBag +reset_peak_memory_stats adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def reset_peak_memory_stats(device: Union[Device, int] = None) -> None:$/;" f +reset_position adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def reset_position(self):$/;" m class:_AxesBase +reset_postfork_child adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def reset_postfork_child(self):$/;" m class:_ChannelCallState +reset_postfork_child adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def reset_postfork_child(self):$/;" m class:_ChannelConnectivityState +reset_postfork_child adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def reset_postfork_child(self):$/;" m class:_RPCState +reset_pyparsing_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class reset_pyparsing_context:$/;" c class:pyparsing_test +reset_pyparsing_context adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class reset_pyparsing_context:$/;" c class:pyparsing_test +reset_recurrent_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def reset_recurrent_dropout_mask(self):$/;" m class:DropoutRNNCellMixin +reset_running_stats adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def reset_running_stats(self):$/;" m class:_ConvBnNd +reset_running_stats adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def reset_running_stats(self) -> None:$/;" m class:_NormBase +reset_shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reset_shape as reset_shape$/;" x +reset_shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reset_shape as reset_shape$/;" x +reset_shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reset_shape as reset_shape$/;" x +reset_stack adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def reset_stack():$/;" f function:indentedBlock file: +reset_stack adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def reset_stack():$/;" f function:indentedBlock file: +reset_start_point adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def reset_start_point(self, xg, yg):$/;" m class:DomainMap +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def reset_states(self):$/;" m class:Model +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def reset_states(self, states=None):$/;" m class:ConvRNN2D +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def reset_states(self, states=None):$/;" m class:RNN +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def reset_states(self):$/;" m class:Bidirectional +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:AUC +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:MeanIoU +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:MeanTensor +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:Metric +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:Precision +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:Recall +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:SensitivitySpecificityBase +reset_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def reset_states(self):$/;" m class:_ConfusionMatrixConditionCount +reset_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def reset_ticks(self):$/;" m class:Axis +reset_trace_state adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def reset_trace_state() -> bool:$/;" f function:omnistaging_disabler file: +reset_trace_state adpepsenv/lib/python3.8/site-packages/jax/core.py /^def reset_trace_state() -> bool:$/;" f +reset_uids adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def reset_uids():$/;" f +reshape adpeps/tensor/ops.py /^def reshape(m, left_ixs, right_ixs):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def reshape(X, axis):$/;" f member:TestMatMul.test_matmul_axis file: +reshape adpepsenv/lib/python3.8/site-packages/jax/core.py /^ reshape: ClassVar[Optional[aval_method]] = None$/;" v class:ShapedArray +reshape adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def reshape(operand, new_sizes, dimensions=None):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def reshape(operand: Array, new_sizes: Shape,$/;" f +reshape adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def reshape(a, newshape, order="C"):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def reshape(a, newshape, order='C'):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def reshape(self, *s, **kwargs):$/;" m class:MaskedArray +reshape adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def reshape(a, new_shape, order='C'):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def reshape(self, *args, **kwargs):$/;" m class:spmatrix +reshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def reshape(self, *args, **kwargs):$/;" m class:coo_matrix +reshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def reshape(self, *args, **kwargs):$/;" m class:lil_matrix +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def reshape(x, new_sizes, dimensions=None, name=None):$/;" f +RESHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RESHAPE = 22$/;" v class:BuiltinOperator +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def reshape(x, shape):$/;" f +Reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class Reshape(Layer):$/;" c +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def reshape(tensor, shape, name=None): # pylint: disable=redefined-outer-name$/;" f +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reshape(tensor, shape, name=None):$/;" f +Reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Reshape = tf_export("raw_ops.Reshape")(_ops.to_raw_op(reshape))$/;" v +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def reshape(a, newshape, order='C'):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reshape as reshape$/;" x +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reshape as reshape$/;" x +reshape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_reshape as reshape$/;" x +reshape adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def reshape(g, input, shape):$/;" f +reshape adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def reshape(g, self, shape):$/;" f +ReshapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ReshapeOptions = 17$/;" v class:BuiltinOptions +ReshapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReshapeOptions(object):$/;" c +ReshapeOptionsAddNewShape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReshapeOptionsAddNewShape(builder, newShape): builder.PrependUOffsetTRelativeSlot(0, flatbuf/;" f +ReshapeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ReshapeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ReshapeOptions +ReshapeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReshapeOptionsEnd(builder): return builder.EndObject()$/;" f +ReshapeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReshapeOptionsStart(builder): builder.StartObject(1)$/;" f +ReshapeOptionsStartNewShapeVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReshapeOptionsStartNewShapeVector(builder, numElems): return builder.StartVector(4, numElems/;" f +ReshapeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReshapeOptionsT(object):$/;" c +reshape_as adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def reshape_as(g, self, other):$/;" f +reshape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reshape_eager_fallback(tensor, shape, name, ctx):$/;" f +reshape_from_tensor_shape adpepsenv/lib/python3.8/site-packages/torch/onnx/operators.py /^def reshape_from_tensor_shape(x, shape):$/;" f +reshape_inv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^ def reshape_inv(y):$/;" f function:_reshape_for_efficiency file: +reshape_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^reshape_p = standard_primitive(_reshape_shape_rule, _reshape_dtype_rule,$/;" v +reshape_uniq adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^ def reshape_uniq(uniq):$/;" f function:unique file: +reshape_weight_to_matrix adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def reshape_weight_to_matrix(self, weight: torch.Tensor) -> torch.Tensor:$/;" m class:SpectralNorm +reshow adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def reshow(self):$/;" m class:FigureManagerNbAgg +Residuals adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^class Residuals:$/;" c +residuals adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def residuals(self, p, y, x):$/;" m class:TestLeastSq +residuals adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ residuals = []$/;" v +residuals_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def residuals_jacobian(self, _p, _y, x):$/;" m class:TestLeastSq +ResidualWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class ResidualWrapper(rnn_cell_wrapper_impl.ResidualWrapperBase,$/;" c +ResidualWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^class ResidualWrapper(rnn_cell_wrapper_impl.ResidualWrapperBase,$/;" c +ResidualWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^ResidualWrapper = rnn_cell_impl.ResidualWrapper$/;" v +ResidualWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^class ResidualWrapperBase(object):$/;" c +ResidualWrapperBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_wrapper_impl.py /^ResidualWrapperBase = rnn_cell_wrapper_impl.ResidualWrapperBase$/;" v +residual_layer adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def residual_layer($/;" m class:ResNetModelHelper +residue adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def residue(b, a, tol=1e-3, rtype='avg'):$/;" f +residuez adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def residuez(b, a, tol=1e-3, rtype='avg'):$/;" f +resize adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def resize(self, size, axis=None):$/;" m class:Dataset +resize adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def resize(image, shape: Sequence[int], method: Union[str, ResizeMethod],$/;" f +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def resize(self, width, height):$/;" m class:FigureManagerGTK3 +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def resize(self, width, height):$/;" m class:FigureCanvasMac +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def resize(self, width, height):$/;" m class:FigureManagerQT +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def resize(self, w, h, forward=True):$/;" m class:FigureManagerWebAgg +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def resize(self, width, height):$/;" m class:FigureManagerWx +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def resize(self, event):$/;" m class:FigureCanvasTk +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def resize(self, width, height):$/;" m class:FigureManagerTk +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def resize(self, w, h):$/;" m class:FigureCanvasBase +resize adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def resize(self, w, h):$/;" m class:FigureManagerBase +resize adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def resize(a, new_shape):$/;" f +resize adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def resize(self, newshape, refcheck=True, order=False):$/;" m class:MaskedArray +resize adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def resize(x, new_shape):$/;" f +resize adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def resize(self, size, resample=BICUBIC, box=None, reducing_gap=None):$/;" m class:Image +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def resize(self, shape):$/;" m class:spmatrix +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def resize(self, *shape):$/;" m class:_cs_matrix +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def resize(self, *shape):$/;" m class:coo_matrix +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def resize(self, *shape):$/;" m class:dia_matrix +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def resize(self, *shape):$/;" m class:dok_matrix +resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def resize(self, *shape):$/;" m class:lil_matrix +resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_images as resize$/;" x +resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_images_v2 as resize$/;" x +resize adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_images_v2 as resize$/;" x +Resize adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^class Resize(Function):$/;" c +resize adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def resize(self, *sizes):$/;" m class:Tensor +ResizeArea adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeArea = tf_export("raw_ops.ResizeArea")(_ops.to_raw_op(resize_area))$/;" v +ResizeBicubic adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeBicubic = tf_export("raw_ops.ResizeBicubic")(_ops.to_raw_op(resize_bicubic))$/;" v +ResizeBicubicGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeBicubicGrad = tf_export("raw_ops.ResizeBicubicGrad")(_ops.to_raw_op(resize_bicubic_grad))$/;" v +ResizeBicubicOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class ResizeBicubicOpTestBase(test.TestCase):$/;" c +ResizeBilinear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeBilinear = tf_export("raw_ops.ResizeBilinear")(_ops.to_raw_op(resize_bilinear))$/;" v +ResizeBilinearGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeBilinearGrad = tf_export("raw_ops.ResizeBilinearGrad")(_ops.to_raw_op(resize_bilinear_grad/;" v +ResizeBilinearOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class ResizeBilinearOpTestBase(test.TestCase, parameterized.TestCase):$/;" c +ResizeBilinearOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ResizeBilinearOptions = 15$/;" v class:BuiltinOptions +ResizeBilinearOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ResizeBilinearOptions(object):$/;" c +ResizeBilinearOptionsAddAlignCorners adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeBilinearOptionsAddAlignCorners(builder, alignCorners): builder.PrependBoolSlot(2, alig/;" f +ResizeBilinearOptionsAddHalfPixelCenters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeBilinearOptionsAddHalfPixelCenters(builder, halfPixelCenters): builder.PrependBoolSlot/;" f +ResizeBilinearOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ResizeBilinearOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ResizeBilinearOptions +ResizeBilinearOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeBilinearOptionsEnd(builder): return builder.EndObject()$/;" f +ResizeBilinearOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeBilinearOptionsStart(builder): builder.StartObject(4)$/;" f +ResizeBilinearOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ResizeBilinearOptionsT(object):$/;" c +resizeEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def resizeEvent(self, event):$/;" m class:FigureCanvasQT +ResizeEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class ResizeEvent(Event):$/;" c +ResizeMethod adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^class ResizeMethod(enum.Enum):$/;" c +ResizeMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ResizeMethod = image_ops.ResizeMethod$/;" v +ResizeMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^class ResizeMethod(object):$/;" c +ResizeMethod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import ResizeMethodV1 as ResizeMethod$/;" x +ResizeMethodV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^class ResizeMethodV1(object):$/;" c +ResizeNearestNeighbor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeNearestNeighbor = tf_export("raw_ops.ResizeNearestNeighbor")(_ops.to_raw_op(resize_nearest/;" v +ResizeNearestNeighborGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ResizeNearestNeighborGrad = tf_export("raw_ops.ResizeNearestNeighborGrad")(_ops.to_raw_op(resize/;" v +ResizeNearestNeighborOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class ResizeNearestNeighborOpTestBase(test.TestCase):$/;" c +ResizeNearestNeighborOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ResizeNearestNeighborOptions = 74$/;" v class:BuiltinOptions +ResizeNearestNeighborOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ResizeNearestNeighborOptions(object):$/;" c +ResizeNearestNeighborOptionsAddAlignCorners adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeNearestNeighborOptionsAddAlignCorners(builder, alignCorners): builder.PrependBoolSlot(/;" f +ResizeNearestNeighborOptionsAddHalfPixelCenters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeNearestNeighborOptionsAddHalfPixelCenters(builder, halfPixelCenters): builder.PrependB/;" f +ResizeNearestNeighborOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ResizeNearestNeighborOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ResizeNearestNeighborOptions +ResizeNearestNeighborOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeNearestNeighborOptionsEnd(builder): return builder.EndObject()$/;" f +ResizeNearestNeighborOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ResizeNearestNeighborOptionsStart(builder): builder.StartObject(2)$/;" f +ResizeNearestNeighborOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ResizeNearestNeighborOptionsT(object):$/;" c +resize_and_center_cropped_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def resize_and_center_cropped_inputs():$/;" f member:RandomCrop.call file: +resize_area adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_area(images, size, align_corners=False, name=None):$/;" f +resize_area_deprecation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^resize_area_deprecation = deprecation.deprecated($/;" v +resize_area_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_area_eager_fallback(images, size, align_corners, name, ctx):$/;" f +resize_as adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def resize_as(self, tensor):$/;" m class:Tensor +resize_bicubic adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bicubic(images, size, align_corners=False, half_pixel_centers=False, name=None):$/;" f +resize_bicubic adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_bicubic(images,$/;" f +resize_bicubic_deprecation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^resize_bicubic_deprecation = deprecation.deprecated($/;" v +resize_bicubic_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bicubic_eager_fallback(images, size, align_corners, half_pixel_centers, name, ctx):$/;" f +resize_bicubic_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bicubic_grad(grads, original_image, align_corners=False, half_pixel_centers=False, na/;" f +resize_bicubic_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bicubic_grad_eager_fallback(grads, original_image, align_corners, half_pixel_centers,/;" f +RESIZE_BILINEAR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RESIZE_BILINEAR = 23$/;" v class:BuiltinOperator +resize_bilinear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bilinear(images, size, align_corners=False, half_pixel_centers=False, name=None):$/;" f +resize_bilinear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_bilinear(images,$/;" f +resize_bilinear_deprecation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^resize_bilinear_deprecation = deprecation.deprecated($/;" v +resize_bilinear_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bilinear_eager_fallback(images, size, align_corners, half_pixel_centers, name, ctx):$/;" f +resize_bilinear_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bilinear_grad(grads, original_image, align_corners=False, half_pixel_centers=False, n/;" f +resize_bilinear_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_bilinear_grad_eager_fallback(grads, original_image, align_corners, half_pixel_centers/;" f +resize_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def resize_event(self):$/;" m class:FigureCanvasBase +resize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def resize_fn(images_t, new_size):$/;" f function:resize_images file: +resize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def resize_fn(images_t, new_size):$/;" f function:resize_images_v2 file: +resize_images adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def resize_images(x, height_factor, width_factor, data_format,$/;" f +resize_images adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_images(images,$/;" f +resize_images_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_images_v2(images,$/;" f +resize_image_with_crop_or_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_image_with_crop_or_pad(image, target_height, target_width):$/;" f +resize_image_with_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_pad_v1 as resize_image_with_p/;" x +resize_image_with_pad_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_image_with_pad_v1(image,$/;" f +resize_image_with_pad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_image_with_pad_v2(image,$/;" f +resize_like adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def resize_like(X, Y):$/;" f member:TestUtilityOps.test_resize_like file: +RESIZE_NEAREST_NEIGHBOR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RESIZE_NEAREST_NEIGHBOR = 97$/;" v class:BuiltinOperator +resize_nearest_neighbor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_nearest_neighbor(images, size, align_corners=False, half_pixel_centers=False, name=No/;" f +resize_nearest_neighbor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def resize_nearest_neighbor(images,$/;" f +resize_nearest_neighbor_deprecation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^resize_nearest_neighbor_deprecation = deprecation.deprecated($/;" v +resize_nearest_neighbor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_nearest_neighbor_eager_fallback(images, size, align_corners, half_pixel_centers, name/;" f +resize_nearest_neighbor_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_nearest_neighbor_grad(grads, size, align_corners=False, half_pixel_centers=False, nam/;" f +resize_nearest_neighbor_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def resize_nearest_neighbor_grad_eager_fallback(grads, size, align_corners, half_pixel_centers, /;" f +resize_nearest_ref adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_3d_dnnlowp_op_test.py /^ def resize_nearest_ref(X):$/;" f member:DNNLowPResizeNearest3DOpTest.test_resize_nearest file: +resize_nearest_ref adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_dnnlowp_op_test.py /^ def resize_nearest_ref(X):$/;" f member:DNNLowPResizeNearestOpTest.test_resize_nearest file: +resize_nn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def resize_nn(t, shape=out_shape, align_corners=align_corners):$/;" f member:ResizeNearestNeighborOpTestBase.testCompareGpuVsCpu file: +resize_nn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def resize_nn(t, shape=out_shape):$/;" f member:ResizeNearestNeighborOpTestBase.testGradFromResizeToLargerInBothDims file: +resize_nn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def resize_nn(t, shape=out_shape):$/;" f member:ResizeNearestNeighborOpTestBase.testGradFromResizeToSmallerInBothDims file: +resize_tensor_input adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def resize_tensor_input(self, input_index, tensor_size, strict=False):$/;" m class:Interpreter +resize_volumes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def resize_volumes(x, depth_factor, height_factor, width_factor, data_format):$/;" f +resize_with_crop_or_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_crop_or_pad as resize_with_cr/;" x +resize_with_crop_or_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_crop_or_pad as resize_with_cr/;" x +resize_with_crop_or_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_crop_or_pad as resize_with_cr/;" x +resize_with_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_pad_v2 as resize_with_pad$/;" x +resize_with_pad adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import resize_image_with_pad_v2 as resize_with_pad$/;" x +resize_with_scale_and_translate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def resize_with_scale_and_translate(method):$/;" f function:resize_images_v2.resize_fn file: +Resizing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class Resizing(PreprocessingLayer):$/;" c +resnet adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/caffe2_resnet50_default_forward.py /^import caffe2.python.models.resnet as resnet$/;" I +resnet adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/net_construct_bench.py /^import caffe2.python.models.resnet as resnet$/;" I +resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^import caffe2.python.models.resnet as resnet$/;" I +resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^import caffe2.python.models.resnet as resnet$/;" I +resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^import caffe2.python.models.resnet as resnet$/;" I +resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^import caffe2.python.models.resnet as resnet$/;" I +ResNet adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def ResNet(stack_fn,$/;" f +ResNet101 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def ResNet101(include_top=True,$/;" f +ResNet101V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^def ResNet101V2($/;" f +ResNet152 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def ResNet152(include_top=True,$/;" f +ResNet152V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^def ResNet152V2($/;" f +resnet50 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/stax_test.py /^resnet50 = from_examples_import_resnet50()$/;" v +ResNet50 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def ResNet50(include_top=True,$/;" f +ResNet50V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^def ResNet50V2($/;" f +ResNetBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class ResNetBase(torch.nn.Module):$/;" c +ResNetBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^class ResNetBuilder():$/;" c +ResnetMemongerTest adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^class ResnetMemongerTest(hu.HypothesisTestCase):$/;" c +ResNetModelHelper adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^class ResNetModelHelper():$/;" c +resnet_imagenet_create_model adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^def resnet_imagenet_create_model(model, data, labels, split, opts, dataset):$/;" f +RESNEXT_BLOCK_CONFIG adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^RESNEXT_BLOCK_CONFIG = {$/;" v +RESNEXT_STRIDES adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^RESNEXT_STRIDES = [1, 2, 2, 2]$/;" v +RESOLUTION adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ RESOLUTION = 75$/;" v class:GeoAxes +Resolution adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class Resolution(object):$/;" c +ResolutionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ResolutionError(Exception):$/;" c +ResolutionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class ResolutionError(ResolverException):$/;" c +ResolutionError adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ResolutionError(Exception):$/;" c +ResolutionImpossible adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class ResolutionImpossible(ResolutionError):$/;" c +ResolutionTooDeep adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class ResolutionTooDeep(ResolutionError):$/;" c +RESOLUTION_UNIT adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^RESOLUTION_UNIT = 296$/;" v +resolve adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/base.py /^ def resolve(self, root_reqs, check_supported_wheels):$/;" m class:BaseResolver +resolve adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def resolve(self, root_reqs, check_supported_wheels):$/;" m class:Resolver +resolve adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^ def resolve(self, root_reqs, check_supported_wheels):$/;" m class:Resolver +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def resolve(self, s):$/;" m class:BaseConfigurator +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def resolve(module_name, dotted_path):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resolve(self):$/;" m class:EntryPoint +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resolve(self, requirements, env=None, installer=None,$/;" m class:WorkingSet +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def resolve(self, requirements, **kwargs):$/;" m class:AbstractResolver +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def resolve(self, requirements, max_rounds):$/;" m class:Resolution +resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def resolve(self, requirements, max_rounds=100):$/;" m class:Resolver +resolve adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resolve(self):$/;" m class:EntryPoint +resolve adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resolve(self, requirements, env=None, installer=None,$/;" m class:WorkingSet +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^def resolve(node, source, context_filepath, context_lineno, context_col_offset):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^def resolve(node):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^def resolve(node, context, parent_scope=None):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^def resolve(node, source_info, graphs, include_annotations=True):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^def resolve(node, source_info, graphs, definition_factory=Definition):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^def resolve(node, source_info, graphs):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^def resolve(node, source_info, graphs, resolver):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^def resolve(d):$/;" f +resolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ resolve = lambda x: x() if callable(x) else x$/;" f function:_should_record_summaries_internal file: +resolve adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def resolve(values, dim):$/;" f member:FuzzedTensor._get_size_and_steps file: +resolve adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def resolve(self, kind, value, implicit):$/;" m class:BaseResolver +Resolver adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^class Resolver(BaseResolver):$/;" c +Resolver adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^class Resolver(BaseResolver):$/;" c +Resolver adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class Resolver(AbstractResolver):$/;" c +Resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^class Resolver(object):$/;" c +Resolver adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^class Resolver(BaseResolver):$/;" c +ResolverError adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^class ResolverError(YAMLError):$/;" c +ResolverException adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^class ResolverException(Exception):$/;" c +resolve_cert_reqs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def resolve_cert_reqs(candidate):$/;" f +resolve_cert_reqs adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def resolve_cert_reqs(candidate):$/;" f +resolve_egg_link adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def resolve_egg_link(path):$/;" f +resolve_egg_link adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def resolve_egg_link(path):$/;" f +resolve_ellipsis adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def resolve_ellipsis(names, tensor_names, fn_name):$/;" f +resolve_entities adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ resolve_entities = True$/;" v class:HTMLSerializer +resolve_entities adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ resolve_entities = True$/;" v class:HTMLSerializer +resolve_entity adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^def resolve_entity(node, source, entity):$/;" f +resolve_functional_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def resolve_functional_layer(layer):$/;" f member:LayerModelHelper.__getattr__ file: +resolve_imaginary adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^def resolve_imaginary(dt):$/;" f +resolve_includes adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def resolve_includes(source):$/;" f +resolve_includes adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def resolve_includes(source):$/;" f +resolve_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def resolve_input(self, input_name):$/;" m class:_Node +resolve_library_path adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^def resolve_library_path(path):$/;" f +resolve_path adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def resolve_path(module, name):$/;" f +resolve_redirect adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def resolve_redirect(self, response, new_location, environ, buffered=False):$/;" m class:Client +resolve_redirects adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def resolve_redirects(self, resp, req, stream=False, timeout=None,$/;" m class:SessionRedirectMixin +resolve_redirects adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def resolve_redirects(self, resp, req, stream=False, timeout=None,$/;" m class:SessionRedirectMixin +resolve_revision adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def resolve_revision(cls, dest, url, rev_options):$/;" m class:Git +resolve_ssl_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def resolve_ssl_version(candidate):$/;" f +resolve_ssl_version adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def resolve_ssl_version(candidate):$/;" f +resolve_ymd adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def resolve_ymd(self, yearfirst, dayfirst):$/;" m class:_ymd +Resource adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class Resource(ResourceBase):$/;" c +resource adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^resource = DType(types_pb2.DT_RESOURCE)$/;" v +Resource adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^Resource = _reflection.GeneratedProtocolMessageType('Resource', (_message.Message,), {$/;" v +Resource adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^Resource = _reflection.GeneratedProtocolMessageType('Resource', (_message.Message,), {$/;" v +resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^resource = DType(types_pb2.DT_RESOURCE)$/;" v +resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^ def resource(self):$/;" m class:TreeVariableSaveable +ResourceAccumulatorApplyGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ResourceAccumulatorApplyGradient = tf_export("raw_ops.ResourceAccumulatorApplyGradient")(_ops.to/;" v +ResourceAccumulatorNumAccumulated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ResourceAccumulatorNumAccumulated = tf_export("raw_ops.ResourceAccumulatorNumAccumulated")(_ops./;" v +ResourceAccumulatorSetGlobalStep adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ResourceAccumulatorSetGlobalStep = tf_export("raw_ops.ResourceAccumulatorSetGlobalStep")(_ops.to/;" v +ResourceAccumulatorTakeGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ResourceAccumulatorTakeGradient = tf_export("raw_ops.ResourceAccumulatorTakeGradient")(_ops.to_r/;" v +ResourceApplyAdadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdadelta = tf_export("raw_ops.ResourceApplyAdadelta")(_ops.to_raw_op(resource_apply/;" v +ResourceApplyAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdagrad = tf_export("raw_ops.ResourceApplyAdagrad")(_ops.to_raw_op(resource_apply_a/;" v +ResourceApplyAdagradDA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdagradDA = tf_export("raw_ops.ResourceApplyAdagradDA")(_ops.to_raw_op(resource_app/;" v +ResourceApplyAdagradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdagradV2 = tf_export("raw_ops.ResourceApplyAdagradV2")(_ops.to_raw_op(resource_app/;" v +ResourceApplyAdam adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdam = tf_export("raw_ops.ResourceApplyAdam")(_ops.to_raw_op(resource_apply_adam))$/;" v +ResourceApplyAdaMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdaMax = tf_export("raw_ops.ResourceApplyAdaMax")(_ops.to_raw_op(resource_apply_ada/;" v +ResourceApplyAdamWithAmsgrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAdamWithAmsgrad = tf_export("raw_ops.ResourceApplyAdamWithAmsgrad")(_ops.to_raw_op(/;" v +ResourceApplyAddSign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyAddSign = tf_export("raw_ops.ResourceApplyAddSign")(_ops.to_raw_op(resource_apply_a/;" v +ResourceApplyCenteredRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyCenteredRMSProp = tf_export("raw_ops.ResourceApplyCenteredRMSProp")(_ops.to_raw_op(/;" v +ResourceApplyFtrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyFtrl = tf_export("raw_ops.ResourceApplyFtrl")(_ops.to_raw_op(resource_apply_ftrl))$/;" v +ResourceApplyFtrlV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyFtrlV2 = tf_export("raw_ops.ResourceApplyFtrlV2")(_ops.to_raw_op(resource_apply_ftr/;" v +ResourceApplyGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyGradientDescent = tf_export("raw_ops.ResourceApplyGradientDescent")(_ops.to_raw_op(/;" v +ResourceApplyKerasMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyKerasMomentum = tf_export("raw_ops.ResourceApplyKerasMomentum")(_ops.to_raw_op(reso/;" v +ResourceApplyMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyMomentum = tf_export("raw_ops.ResourceApplyMomentum")(_ops.to_raw_op(resource_apply/;" v +ResourceApplyPowerSign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyPowerSign = tf_export("raw_ops.ResourceApplyPowerSign")(_ops.to_raw_op(resource_app/;" v +ResourceApplyProximalAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyProximalAdagrad = tf_export("raw_ops.ResourceApplyProximalAdagrad")(_ops.to_raw_op(/;" v +ResourceApplyProximalGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyProximalGradientDescent = tf_export("raw_ops.ResourceApplyProximalGradientDescent")/;" v +ResourceApplyRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceApplyRMSProp = tf_export("raw_ops.ResourceApplyRMSProp")(_ops.to_raw_op(resource_apply_r/;" v +ResourceAxisName adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ResourceAxisName = AxisName # Different name just for documentation purposes$/;" v +ResourceBase adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class ResourceBase(object):$/;" c +ResourceCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class ResourceCache(Cache):$/;" c +ResourceConditionalAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^ResourceConditionalAccumulator = tf_export("raw_ops.ResourceConditionalAccumulator")(_ops.to_raw/;" v +ResourceContainer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class ResourceContainer(ResourceBase):$/;" c +ResourceCountUpTo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceCountUpTo = tf_export("raw_ops.ResourceCountUpTo")(_ops.to_raw_op(resource_count_up_to))$/;" v +ResourceCreateOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ResourceCreateOp = tf_export("raw_ops.ResourceCreateOp")(_ops.to_raw_op(resource_create_op))$/;" v +ResourceDtypeAndShape adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^ResourceDtypeAndShape = _reflection.GeneratedProtocolMessageType('ResourceDtypeAndShape', (_mess/;" v +ResourceEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/resource.py /^class ResourceEndpoint(BaseEndpoint):$/;" c +ResourceEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^class ResourceEndpoint(BaseEndpoint):$/;" c +ResourceEnv adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class ResourceEnv:$/;" c +ResourceExhaustedError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class ResourceExhaustedError(OpError):$/;" c +ResourceExhaustedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class ResourceExhaustedError(OpError):$/;" c +ResourceFinder adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class ResourceFinder(object):$/;" c +ResourceGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceGather = tf_export("raw_ops.ResourceGather")(_ops.to_raw_op(resource_gather))$/;" v +ResourceGatherNd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceGatherNd = tf_export("raw_ops.ResourceGatherNd")(_ops.to_raw_op(resource_gather_nd))$/;" v +ResourceHandleProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^ResourceHandleProto = _reflection.GeneratedProtocolMessageType('ResourceHandleProto', (_message./;" v +ResourceHandleProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^ResourceHandleProto = _reflection.GeneratedProtocolMessageType('ResourceHandleProto', (_message./;" v +ResourceInitializedOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ResourceInitializedOp = tf_export("raw_ops.ResourceInitializedOp")(_ops.to_raw_op(resource_initi/;" v +ResourceManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ResourceManager:$/;" c +ResourceManager adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ResourceManager:$/;" c +ResourceOwnerPasswordCredentialsGrant adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/resource_owner_password_credentials.py /^class ResourceOwnerPasswordCredentialsGrant(GrantTypeBase):$/;" c +resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def resources(self):$/;" m class:ResourceContainer +resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ import importlib.resources as resources$/;" I +Resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^Resources = collections.namedtuple('Resources', [$/;" v +RESOURCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ RESOURCES = "resources"$/;" v class:GraphKeys +resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def resources(self):$/;" m class:ResourceTracker +ResourceScatterAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterAdd = tf_export("raw_ops.ResourceScatterAdd")(_ops.to_raw_op(resource_scatter_add/;" v +ResourceScatterDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterDiv = tf_export("raw_ops.ResourceScatterDiv")(_ops.to_raw_op(resource_scatter_div/;" v +ResourceScatterMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterMax = tf_export("raw_ops.ResourceScatterMax")(_ops.to_raw_op(resource_scatter_max/;" v +ResourceScatterMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterMin = tf_export("raw_ops.ResourceScatterMin")(_ops.to_raw_op(resource_scatter_min/;" v +ResourceScatterMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterMul = tf_export("raw_ops.ResourceScatterMul")(_ops.to_raw_op(resource_scatter_mul/;" v +ResourceScatterNdAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceScatterNdAdd = tf_export("raw_ops.ResourceScatterNdAdd")(_ops.to_raw_op(resource_scatter/;" v +ResourceScatterNdMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceScatterNdMax = tf_export("raw_ops.ResourceScatterNdMax")(_ops.to_raw_op(resource_scatter/;" v +ResourceScatterNdMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceScatterNdMin = tf_export("raw_ops.ResourceScatterNdMin")(_ops.to_raw_op(resource_scatter/;" v +ResourceScatterNdSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceScatterNdSub = tf_export("raw_ops.ResourceScatterNdSub")(_ops.to_raw_op(resource_scatter/;" v +ResourceScatterNdUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ResourceScatterNdUpdate = tf_export("raw_ops.ResourceScatterNdUpdate")(_ops.to_raw_op(resource_s/;" v +ResourceScatterSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterSub = tf_export("raw_ops.ResourceScatterSub")(_ops.to_raw_op(resource_scatter_sub/;" v +ResourceScatterUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^ResourceScatterUpdate = tf_export("raw_ops.ResourceScatterUpdate")(_ops.to_raw_op(resource_scatt/;" v +ResourceSparseApplyAdadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyAdadelta = tf_export("raw_ops.ResourceSparseApplyAdadelta")(_ops.to_raw_op(re/;" v +ResourceSparseApplyAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyAdagrad = tf_export("raw_ops.ResourceSparseApplyAdagrad")(_ops.to_raw_op(reso/;" v +ResourceSparseApplyAdagradDA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyAdagradDA = tf_export("raw_ops.ResourceSparseApplyAdagradDA")(_ops.to_raw_op(/;" v +ResourceSparseApplyAdagradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyAdagradV2 = tf_export("raw_ops.ResourceSparseApplyAdagradV2")(_ops.to_raw_op(/;" v +ResourceSparseApplyCenteredRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyCenteredRMSProp = tf_export("raw_ops.ResourceSparseApplyCenteredRMSProp")(_op/;" v +ResourceSparseApplyFtrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyFtrl = tf_export("raw_ops.ResourceSparseApplyFtrl")(_ops.to_raw_op(resource_s/;" v +ResourceSparseApplyFtrlV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyFtrlV2 = tf_export("raw_ops.ResourceSparseApplyFtrlV2")(_ops.to_raw_op(resour/;" v +ResourceSparseApplyKerasMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyKerasMomentum = tf_export("raw_ops.ResourceSparseApplyKerasMomentum")(_ops.to/;" v +ResourceSparseApplyMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyMomentum = tf_export("raw_ops.ResourceSparseApplyMomentum")(_ops.to_raw_op(re/;" v +ResourceSparseApplyProximalAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyProximalAdagrad = tf_export("raw_ops.ResourceSparseApplyProximalAdagrad")(_op/;" v +ResourceSparseApplyProximalGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyProximalGradientDescent = tf_export("raw_ops.ResourceSparseApplyProximalGradi/;" v +ResourceSparseApplyRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^ResourceSparseApplyRMSProp = tf_export("raw_ops.ResourceSparseApplyRMSProp")(_ops.to_raw_op(reso/;" v +ResourceStridedSliceAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ResourceStridedSliceAssign = tf_export("raw_ops.ResourceStridedSliceAssign")(_ops.to_raw_op(reso/;" v +ResourceSummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^class ResourceSummaryWriter(SummaryWriter):$/;" c +resources_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resources_stream = None$/;" v +resources_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resources_stream = None$/;" v +ResourceTracker adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class ResourceTracker(object):$/;" c +ResourceType adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^class ResourceType(enum.Enum):$/;" c +ResourceUsingOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^ResourceUsingOp = tf_export("raw_ops.ResourceUsingOp")(_ops.to_raw_op(resource_using_op))$/;" v +ResourceVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class ResourceVariable(BaseResourceVariable):$/;" c +ResourceVariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ ResourceVariableSaveable = saveable_object_util.ResourceVariableSaveable$/;" v class:BaseSaverBuilder +ResourceVariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^class ResourceVariableSaveable(saveable_object.SaveableObject):$/;" c +resource_accumulator_apply_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_apply_gradient(handle, local_step, gradient, name=None):$/;" f +resource_accumulator_apply_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_apply_gradient_eager_fallback(handle, local_step, gradient, name, ctx):$/;" f +resource_accumulator_num_accumulated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_num_accumulated(handle, name=None):$/;" f +resource_accumulator_num_accumulated_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_num_accumulated_eager_fallback(handle, name, ctx):$/;" f +resource_accumulator_set_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_set_global_step(handle, new_global_step, name=None):$/;" f +resource_accumulator_set_global_step_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_set_global_step_eager_fallback(handle, new_global_step, name, ctx):$/;" f +resource_accumulator_take_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_take_gradient(handle, num_required, dtype, name=None):$/;" f +resource_accumulator_take_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_accumulator_take_gradient_eager_fallback(handle, num_required, dtype, name, ctx):$/;" f +resource_apply_adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adadelta(var, accum, accum_update, lr, rho, epsilon, grad, use_locking=False,/;" f +resource_apply_adadelta_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adadelta_eager_fallback(var, accum, accum_update, lr, rho, epsilon, grad, use/;" f +resource_apply_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad(var, accum, lr, grad, use_locking=False, update_slots=True, name=None/;" f +resource_apply_adagrad_da adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad_da(var, gradient_accumulator, gradient_squared_accumulator, grad, lr,/;" f +resource_apply_adagrad_da_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad_da_eager_fallback(var, gradient_accumulator, gradient_squared_accumul/;" f +resource_apply_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad_eager_fallback(var, accum, lr, grad, use_locking, update_slots, name,/;" f +resource_apply_adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad_v2(var, accum, lr, epsilon, grad, use_locking=False, update_slots=Tru/;" f +resource_apply_adagrad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adagrad_v2_eager_fallback(var, accum, lr, epsilon, grad, use_locking, update_/;" f +resource_apply_adam adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adam(var, m, v, beta1_power, beta2_power, lr, beta1, beta2, epsilon, grad, us/;" f +resource_apply_adam_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adam_eager_fallback(var, m, v, beta1_power, beta2_power, lr, beta1, beta2, ep/;" f +resource_apply_adam_with_amsgrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adam_with_amsgrad(var, m, v, vhat, beta1_power, beta2_power, lr, beta1, beta2/;" f +resource_apply_adam_with_amsgrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_adam_with_amsgrad_eager_fallback(var, m, v, vhat, beta1_power, beta2_power, l/;" f +resource_apply_ada_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ada_max(var, m, v, beta1_power, lr, beta1, beta2, epsilon, grad, use_locking=/;" f +resource_apply_ada_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ada_max_eager_fallback(var, m, v, beta1_power, lr, beta1, beta2, epsilon, gra/;" f +resource_apply_add_sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_add_sign(var, m, lr, alpha, sign_decay, beta, grad, use_locking=False, name=N/;" f +resource_apply_add_sign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_add_sign_eager_fallback(var, m, lr, alpha, sign_decay, beta, grad, use_lockin/;" f +resource_apply_centered_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_centered_rms_prop(var, mg, ms, mom, lr, rho, momentum, epsilon, grad, use_loc/;" f +resource_apply_centered_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_centered_rms_prop_eager_fallback(var, mg, ms, mom, lr, rho, momentum, epsilon/;" f +resource_apply_ftrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ftrl(var, accum, linear, grad, lr, l1, l2, lr_power, use_locking=False, multi/;" f +resource_apply_ftrl_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ftrl_eager_fallback(var, accum, linear, grad, lr, l1, l2, lr_power, use_locki/;" f +resource_apply_ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ftrl_v2(var, accum, linear, grad, lr, l1, l2, l2_shrinkage, lr_power, use_loc/;" f +resource_apply_ftrl_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_ftrl_v2_eager_fallback(var, accum, linear, grad, lr, l1, l2, l2_shrinkage, lr/;" f +resource_apply_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_gradient_descent(var, alpha, delta, use_locking=False, name=None):$/;" f +resource_apply_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_gradient_descent_eager_fallback(var, alpha, delta, use_locking, name, ctx):$/;" f +resource_apply_keras_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_keras_momentum(var, accum, lr, grad, momentum, use_locking=False, use_nestero/;" f +resource_apply_keras_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_keras_momentum_eager_fallback(var, accum, lr, grad, momentum, use_locking, us/;" f +resource_apply_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_momentum(var, accum, lr, grad, momentum, use_locking=False, use_nesterov=Fals/;" f +resource_apply_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_momentum_eager_fallback(var, accum, lr, grad, momentum, use_locking, use_nest/;" f +resource_apply_power_sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_power_sign(var, m, lr, logbase, sign_decay, beta, grad, use_locking=False, na/;" f +resource_apply_power_sign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_power_sign_eager_fallback(var, m, lr, logbase, sign_decay, beta, grad, use_lo/;" f +resource_apply_proximal_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_proximal_adagrad(var, accum, lr, l1, l2, grad, use_locking=False, name=None):$/;" f +resource_apply_proximal_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_proximal_adagrad_eager_fallback(var, accum, lr, l1, l2, grad, use_locking, na/;" f +resource_apply_proximal_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_proximal_gradient_descent(var, alpha, l1, l2, delta, use_locking=False, name=/;" f +resource_apply_proximal_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_proximal_gradient_descent_eager_fallback(var, alpha, l1, l2, delta, use_locki/;" f +resource_apply_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_rms_prop(var, ms, mom, lr, rho, momentum, epsilon, grad, use_locking=False, n/;" f +resource_apply_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_apply_rms_prop_eager_fallback(var, ms, mom, lr, rho, momentum, epsilon, grad, use_l/;" f +resource_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def resource_axes(self) -> Set[ResourceAxisName]:$/;" m class:ResourceEnv +resource_conditional_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_conditional_accumulator(dtype, shape, container="", shared_name="", reduction_type=/;" f +resource_conditional_accumulator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def resource_conditional_accumulator_eager_fallback(dtype, shape, container, shared_name, reduct/;" f +resource_count_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_count_up_to(resource, limit, T, name=None):$/;" f +resource_count_up_to_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_count_up_to_eager_fallback(resource, limit, T, name, ctx):$/;" f +resource_create_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_create_op(resource, name=None):$/;" f +resource_create_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_create_op_eager_fallback(resource, name, ctx):$/;" f +resource_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_dir = None$/;" v +resource_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_dir = None$/;" v +RESOURCE_EXHAUSTED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ RESOURCE_EXHAUSTED = (_cygrpc.StatusCode.resource_exhausted,$/;" v class:StatusCode +RESOURCE_EXHAUSTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^RESOURCE_EXHAUSTED = error_codes.RESOURCE_EXHAUSTED$/;" v +RESOURCE_EXHAUSTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^RESOURCE_EXHAUSTED = 8$/;" v +RESOURCE_EXHAUSTED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^RESOURCE_EXHAUSTED = 8$/;" v +RESOURCE_EXHAUSTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^RESOURCE_EXHAUSTED = error_codes_pb2.RESOURCE_EXHAUSTED$/;" v +resource_exists adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_exists(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_exists adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_exists = None$/;" v +resource_exists adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_exists(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_exists adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_exists = None$/;" v +resource_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_filename(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_filename = None$/;" v +resource_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_filename(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_filename = None$/;" v +resource_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_gather(resource, indices, dtype, batch_dims=0, validate_indices=True, name=None):$/;" f +resource_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_gather_eager_fallback(resource, indices, dtype, batch_dims, validate_indices, name,/;" f +resource_gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_gather_nd(resource, indices, dtype, name=None):$/;" f +resource_gather_nd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_gather_nd_eager_fallback(resource, indices, dtype, name, ctx):$/;" f +resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def resource_handle(self):$/;" m class:IdTableWithHashBuckets +resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def resource_handle(self):$/;" m class:StaticVocabularyTable +resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def resource_handle(self):$/;" m class:CapturableResource +resource_initialized_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_initialized_op(resource, name=None):$/;" f +resource_initialized_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_initialized_op_eager_fallback(resource, name, ctx):$/;" f +resource_input_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def resource_input_index(tensor_name, input_names, node_defs, functions):$/;" f +resource_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_isdir(resource_name):$/;" m class:IResourceProvider +resource_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_isdir(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_isdir(self, resource_name):$/;" m class:NullProvider +resource_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_isdir = None$/;" v +resource_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_isdir(resource_name):$/;" m class:IResourceProvider +resource_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_isdir(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_isdir(self, resource_name):$/;" m class:NullProvider +resource_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_isdir = None$/;" v +resource_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_listdir(resource_name):$/;" m class:IResourceProvider +resource_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_listdir(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_listdir(self, resource_name):$/;" m class:NullProvider +resource_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_listdir = None$/;" v +resource_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_listdir(resource_name):$/;" m class:IResourceProvider +resource_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_listdir(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_listdir(self, resource_name):$/;" m class:NullProvider +resource_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_listdir = None$/;" v +RESOURCE_READ_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^RESOURCE_READ_OPS = set()$/;" v +resource_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^resource_ref = DType(types_pb2.DT_RESOURCE_REF)$/;" v +resource_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^resource_ref = DType(types_pb2.DT_RESOURCE_REF)$/;" v +resource_scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_add(resource, indices, updates, name=None):$/;" f +resource_scatter_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_add_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_div(resource, indices, updates, name=None):$/;" f +resource_scatter_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_div_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_max(resource, indices, updates, name=None):$/;" f +resource_scatter_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_max_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_min(resource, indices, updates, name=None):$/;" f +resource_scatter_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_min_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_mul(resource, indices, updates, name=None):$/;" f +resource_scatter_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_mul_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_add(ref, indices, updates, use_locking=True, name=None):$/;" f +resource_scatter_nd_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_add_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +resource_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_max(ref, indices, updates, use_locking=True, name=None):$/;" f +resource_scatter_nd_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_max_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +resource_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_min(ref, indices, updates, use_locking=True, name=None):$/;" f +resource_scatter_nd_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_min_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +resource_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_sub(ref, indices, updates, use_locking=True, name=None):$/;" f +resource_scatter_nd_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_sub_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +resource_scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_update(ref, indices, updates, use_locking=True, name=None):$/;" f +resource_scatter_nd_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def resource_scatter_nd_update_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +resource_scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_sub(resource, indices, updates, name=None):$/;" f +resource_scatter_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_sub_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_update(resource, indices, updates, name=None):$/;" f +resource_scatter_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def resource_scatter_update_eager_fallback(resource, indices, updates, name, ctx):$/;" f +resource_sparse_apply_adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adadelta(var, accum, accum_update, lr, rho, epsilon, grad, indices, us/;" f +resource_sparse_apply_adadelta_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adadelta_eager_fallback(var, accum, accum_update, lr, rho, epsilon, gr/;" f +resource_sparse_apply_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad(var, accum, lr, grad, indices, use_locking=False, update_slots/;" f +resource_sparse_apply_adagrad_da adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad_da(var, gradient_accumulator, gradient_squared_accumulator, gr/;" f +resource_sparse_apply_adagrad_da_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad_da_eager_fallback(var, gradient_accumulator, gradient_squared_/;" f +resource_sparse_apply_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad_eager_fallback(var, accum, lr, grad, indices, use_locking, upd/;" f +resource_sparse_apply_adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad_v2(var, accum, lr, epsilon, grad, indices, use_locking=False, /;" f +resource_sparse_apply_adagrad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_adagrad_v2_eager_fallback(var, accum, lr, epsilon, grad, indices, use_/;" f +resource_sparse_apply_centered_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_centered_rms_prop(var, mg, ms, mom, lr, rho, momentum, epsilon, grad, /;" f +resource_sparse_apply_centered_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_centered_rms_prop_eager_fallback(var, mg, ms, mom, lr, rho, momentum, /;" f +resource_sparse_apply_ftrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_ftrl(var, accum, linear, grad, indices, lr, l1, l2, lr_power, use_lock/;" f +resource_sparse_apply_ftrl_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_ftrl_eager_fallback(var, accum, linear, grad, indices, lr, l1, l2, lr_/;" f +resource_sparse_apply_ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_ftrl_v2(var, accum, linear, grad, indices, lr, l1, l2, l2_shrinkage, l/;" f +resource_sparse_apply_ftrl_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_ftrl_v2_eager_fallback(var, accum, linear, grad, indices, lr, l1, l2, /;" f +resource_sparse_apply_keras_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_keras_momentum(var, accum, lr, grad, indices, momentum, use_locking=Fa/;" f +resource_sparse_apply_keras_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_keras_momentum_eager_fallback(var, accum, lr, grad, indices, momentum,/;" f +resource_sparse_apply_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_momentum(var, accum, lr, grad, indices, momentum, use_locking=False, u/;" f +resource_sparse_apply_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_momentum_eager_fallback(var, accum, lr, grad, indices, momentum, use_l/;" f +resource_sparse_apply_proximal_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_proximal_adagrad(var, accum, lr, l1, l2, grad, indices, use_locking=Fa/;" f +resource_sparse_apply_proximal_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_proximal_adagrad_eager_fallback(var, accum, lr, l1, l2, grad, indices,/;" f +resource_sparse_apply_proximal_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_proximal_gradient_descent(var, alpha, l1, l2, grad, indices, use_locki/;" f +resource_sparse_apply_proximal_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_proximal_gradient_descent_eager_fallback(var, alpha, l1, l2, grad, ind/;" f +resource_sparse_apply_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_rms_prop(var, ms, mom, lr, rho, momentum, epsilon, grad, indices, use_/;" f +resource_sparse_apply_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def resource_sparse_apply_rms_prop_eager_fallback(var, ms, mom, lr, rho, momentum, epsilon, grad/;" f +resource_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_stream(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_stream = None$/;" v +resource_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_stream(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_stream adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_stream = None$/;" v +resource_strided_slice_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def resource_strided_slice_assign(ref, begin, end, strides, value, begin_mask=0, end_mask=0, ell/;" f +resource_strided_slice_assign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def resource_strided_slice_assign_eager_fallback(ref, begin, end, strides, value, begin_mask, en/;" f +resource_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def resource_string(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^resource_string = None$/;" v +resource_string adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def resource_string(self, package_or_requirement, resource_name):$/;" m class:ResourceManager +resource_string adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^resource_string = None$/;" v +resource_tracker_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^def resource_tracker_scope(resource_tracker):$/;" f +resource_using_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_using_op(resource, name=None):$/;" f +resource_using_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def resource_using_op_eager_fallback(resource, name, ctx):$/;" f +resource_variables_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def resource_variables_enabled():$/;" f +RespectCompiledTrainableState adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^class RespectCompiledTrainableState(object):$/;" c +Respond adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^def Respond($/;" f +respond adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def respond(body, content_type, code=200, content_encoding=None):$/;" f +responder adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def responder(f):$/;" f +ResponderID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class ResponderID(univ.Choice):$/;" c +ResponderID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class ResponderID(univ.Choice):$/;" c +Response adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^class Response(transport.Response):$/;" c +Response adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^class Response(object):$/;" c +response adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def response(self, response):$/;" m class:ResponseReceiver +Response adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^class Response(object):$/;" c +response adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +response adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +response adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +Response adpepsenv/lib/python3.8/site-packages/requests/models.py /^class Response(object):$/;" c +response adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +response adpepsenv/lib/python3.8/site-packages/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +response adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ response = _importer._get_module("moves.urllib_response")$/;" v class:Module_six_moves_urllib +response adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def response(self):$/;" m class:Authorization +Response adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^from ..wrappers import BaseResponse as Response$/;" x +Response adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^from .wrappers import BaseResponse as Response$/;" x +Response adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^class Response($/;" c +ResponseBody adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class ResponseBody(PKIResponse):$/;" c +ResponseBody adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class ResponseBody(PKIResponse):$/;" c +ResponseBytes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class ResponseBytes(univ.Sequence):$/;" c +ResponseBytes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^ResponseBytes = rfc2560.ResponseBytes$/;" v +ResponseCacheControl adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ResponseCacheControl(_CacheControl):$/;" c +ResponseCls adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ ResponseCls = HTTPResponse$/;" v class:HTTPConnectionPool +ResponseCls adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ ResponseCls = HTTPResponse$/;" v class:HTTPConnectionPool +ResponseData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class ResponseData(univ.Sequence):$/;" c +ResponseData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class ResponseData(univ.Sequence):$/;" c +ResponseError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ResponseError(HTTPError):$/;" c +ResponseError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ResponseError(HTTPError):$/;" c +ResponseFormat adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class ResponseFormat(univ.Sequence):$/;" c +ResponseHeaders adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ ResponseHeaders = MutableMapping[str, str]$/;" v +ResponseIterableType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^ResponseIterableType = AsyncIterable[Any]$/;" v +ResponseNotChunked adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class ResponseNotChunked(ProtocolError, ValueError):$/;" c +ResponseNotChunked adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class ResponseNotChunked(ProtocolError, ValueError):$/;" c +ResponsePDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class ResponsePDU(PDU):$/;" c +ResponseReceiver adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class ResponseReceiver(six.with_metaclass(abc.ABCMeta)):$/;" c +ResponseStream adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^class ResponseStream(object):$/;" c +ResponseStreamMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^class ResponseStreamMixin(object):$/;" c +ResponseType adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^ResponseType = TypeVar('ResponseType')$/;" v +ResponseType adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ResponseType = TypeVar('ResponseType')$/;" v +response_chunks adpepsenv/lib/python3.8/site-packages/pip/_internal/network/utils.py /^def response_chunks(response, chunk_size=CONTENT_CHUNK_SIZE):$/;" f +response_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/mobile_application.py /^ response_type = 'token'$/;" v class:MobileApplicationClient +response_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def response_types(self):$/;" m class:AuthorizationEndpoint +response_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ response_types = ['code']$/;" v class:AuthorizationCodeGrant +response_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ response_types = ['code']$/;" v class:GrantTypeBase +response_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ response_types = ['token']$/;" v class:ImplicitGrant +rest adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ major, minor, rest = msvcrt.CRT_ASSEMBLY_VERSION.split(".", 2)$/;" v +restart adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def restart(self, iter=None):$/;" m class:ODR +restart_dispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def restart_dispatcher(self):$/;" m class:TestCluster +restart_reduce adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def restart_reduce(self, rank):$/;" m class:LowRankMatrix +restart_with_reloader adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def restart_with_reloader(self):$/;" m class:ReloaderLoop +restart_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def restart_worker(self, worker_index=0, use_same_port=True):$/;" m class:TestCluster +restOfLine adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^restOfLine = Regex(r".*").leaveWhitespace().setName("rest of line")$/;" v +restOfLine adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^restOfLine = Regex(r".*").leaveWhitespace().setName("rest of line")$/;" v +restOfLine adpepsenv/lib/python3.8/site-packages/pyparsing.py /^restOfLine = Regex(r".*").leaveWhitespace().setName("rest of line")$/;" v +restOfLine adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^restOfLine = Regex(r".*").leaveWhitespace().setName("rest of line")$/;" v +restore adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def restore(self):$/;" m class:GraphicsContextCairo +restore adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def restore(self):$/;" m class:GraphicsContextBase +restore adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def restore(self):$/;" m class:GrabStdout +restore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def restore(self):$/;" m class:pyparsing_test.reset_pyparsing_context +restore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def restore(self):$/;" m class:pyparsing_test.reset_pyparsing_context +restore adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def restore(args, theta):$/;" f member:rv_continuous._reduce_func file: +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:_IteratorSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ def restore(self, tensors, restored_shapes=None):$/;" m class:_ParallelComponentSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:_DistributedVariableSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:_MirroredSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:_SyncOnReadSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^ def restore(self):$/;" m class:WorkerTrainingState +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def restore(self, output):$/;" m class:Combiner +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def restore(self, output):$/;" m class:_CategoryEncodingCombiner +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def restore(self, output):$/;" m class:_IndexLookupCombiner +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def restore(self, output):$/;" m class:_NormalizingCombiner +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def restore(self, restored_tensors, unused_restored_shapes):$/;" m class:_TreeEnsembleSavable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def restore(self, restored_tensors, unused_tensor_shapes):$/;" m class:QuantileAccumulatorSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore(file_pattern, tensor_name, dt, preferred_shard=-1, name=None):$/;" f +Restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^Restore = tf_export("raw_ops.Restore")(_ops.to_raw_op(restore))$/;" v +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:DenseHashTable._Saveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:MutableHashTable._Saveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^ def restore(self, restored_tensors, unused_restored_shapes):$/;" m class:TreeVariableSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def restore(self, sess, save_path):$/;" m class:Saver +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def restore(self, restore_tensors, shapes):$/;" m class:CheckpointedOp.CustomSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def restore(self, file_prefix, options=None):$/;" m class:MultiDeviceSaver +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def restore(self, file_prefix, options=None):$/;" m class:_SingleDeviceSaver +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:SaveableObject +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:ReferenceVariableSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:ResourceVariableSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:RestoredSaveableObject +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:NoRestoreSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:PythonStringStateSaveable +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore(self, trackable):$/;" m class:CheckpointPosition +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def restore(self, save_path):$/;" m class:CheckpointV1 +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def restore(self, save_path, options=None):$/;" m class:Checkpoint +restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def restore(self, save_path, options=None):$/;" m class:TrackableSaver +restore adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def restore(self, restored_tensors, restored_shapes):$/;" m class:_MutableDenseHashTable._Saveable +restore adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def restore():$/;" f function:wrapDeterministicFlagAPITest.wrapper file: +RestoredFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^class RestoredFunction(def_function.Function):$/;" c +RestoredOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^class RestoredOptimizer(OptimizerV2):$/;" c +RestoredSaveableObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^class RestoredSaveableObject(saveable_object.SaveableObject):$/;" c +restored_function_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^ def restored_function_body(*args, **kwargs):$/;" f function:recreate_function file: +restored_saved_object_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def restored_saved_object_factory(save_function, restore_function):$/;" f +RestoreSlice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^RestoreSlice = tf_export("raw_ops.RestoreSlice")(_ops.to_raw_op(restore_slice))$/;" v +RestoreV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^RestoreV2 = tf_export("raw_ops.RestoreV2")(_ops.to_raw_op(restore_v2))$/;" v +restore_all adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^def restore_all():$/;" f +restore_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tight_bbox.py /^ def restore_bbox():$/;" f function:adjust_bbox file: +restore_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore_eager_fallback(file_pattern, tensor_name, dt, preferred_shard, name, ctx):$/;" f +restore_flag adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def restore_flag(self):$/;" m class:_SavedFlag +restore_flag_values adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^def restore_flag_values(saved_flag_values, flag_values=FLAGS):$/;" f +restore_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def restore_fn():$/;" f member:MultiDeviceSaver.restore file: +restore_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def restore_fn(*restored_tensors):$/;" f function:create_saveable_object file: +restore_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def restore_fn(*restored_tensors):$/;" f function:_trace_save_and_restore_function file: +restore_func adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^def restore_func(name):$/;" f +restore_location adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def restore_location(storage, location):$/;" f function:_get_restore_location file: +restore_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def restore_op(self, filename_tensor, saveable, preferred_shard):$/;" m class:BaseSaverBuilder +restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore_ops(self):$/;" m class:CheckpointPosition +restore_or_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def restore_or_initialize(self):$/;" m class:CheckpointManager +restore_region adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def restore_region(self, region, bbox=None, xy=None):$/;" m class:FigureCanvasAgg +restore_region adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def restore_region(self, region, bbox=None, xy=None):$/;" m class:RendererAgg +restore_region adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def restore_region(self, region):$/;" m class:FigureCanvasCairo +restore_saveables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def restore_saveables(self, tensor_saveables, python_saveables):$/;" m class:_CheckpointRestoreCoordinator +restore_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore_slice(file_pattern, tensor_name, shape_and_slice, dt, preferred_shard=-1, name=None)/;" f +restore_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore_slice_eager_fallback(file_pattern, tensor_name, shape_and_slice, dt, preferred_shard/;" f +restore_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def restore_state(self, state, name=None):$/;" m class:ReaderBase +restore_state adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def restore_state(self, observed):$/;" m class:Quantizer +restore_thread_local_eager_context_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def restore_thread_local_eager_context_state(self):$/;" m class:_MirroredReplicaThread +restore_thread_local_summary_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def restore_thread_local_summary_state(self):$/;" m class:_MirroredReplicaThread +restore_type_tag adpepsenv/lib/python3.8/site-packages/torch/jit/_pickle.py /^def restore_type_tag(value, type_str):$/;" f +restore_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore_uid(self):$/;" m class:CheckpointInitialValueCallable +restore_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def restore_uid(self):$/;" m class:CheckpointPosition +restore_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore_v2(prefix, tensor_names, shape_and_slices, dtypes, name=None):$/;" f +restore_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def restore_v2_eager_fallback(prefix, tensor_names, shape_and_slices, dtypes, name, ctx):$/;" f +restore_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def restore_variables(self, sess, saver, import_scope=None):$/;" m class:SavedModelLoader +restore_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def restore_variables(self, wrapped, restore_from_saver):$/;" m class:_EagerSavedModelLoader +restrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def restrict(a, name=None):$/;" f +Restrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Restrict = tf_export("raw_ops.Restrict")(_ops.to_raw_op(restrict))$/;" v +restricted_func_and_grad adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^ def restricted_func_and_grad(t):$/;" f function:line_search file: +restrict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def restrict_eager_fallback(a, name, ctx):$/;" f +result adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def result(self, timeout=None):$/;" m class:_ChannelReadyFuture +result adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def result(self, timeout=None):$/;" m class:_Rendezvous +result adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def result(self, timeout=None):$/;" m class:Future +result adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def result(self, timeout=None): # pylint: disable=unused-argument$/;" m class:_InactiveRpcError +result adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def result(self, timeout=None):$/;" m class:_MultiThreadedRendezvous +result adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def result(self, ignored_timeout=None):$/;" m class:_FailureOutcome +result adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def result(self, ignored_timeout=None):$/;" m class:_UnaryOutcome +result adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def result(self, timeout=None):$/;" m class:_ChannelReadyFuture +result adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def result(self, timeout=None):$/;" m class:Future +result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def result(self, timeout=None):$/;" m class:Future +Result adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^Result = collections.namedtuple("Result", "mapping graph criteria")$/;" v +Result adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^Result = _make_tuple_bunch('Result', ['x', 'y', 'z'], ['w', 'beta'])$/;" v +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:AUC +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:MeanIoU +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:MeanTensor +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:Metric +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:Precision +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:PrecisionAtRecall +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:Recall +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:RecallAtPrecision +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:Reduce +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:RootMeanSquaredError +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:SensitivityAtSpecificity +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:SpecificityAtSensitivity +result adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result(self):$/;" m class:_ConfusionMatrixConditionCount +results adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def results(self):$/;" m class:PastaAnalyzeVisitor +ResultsHandler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class ResultsHandler:$/;" c +results_c adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def results_c(full_output, r):$/;" f +ResultToPopulate adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class ResultToPopulate: pass$/;" c +ResultToPopulate adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^class ResultToPopulate: pass$/;" c +result_dir adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^baseline_dir, result_dir = _image_directories(lambda: 'dummy func')$/;" v +result_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def result_fn(*args, **kwargs):$/;" f member:Metric.__new__ file: +result_iterator adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def result_iterator(): # pylint: disable=missing-docstring$/;" f function:_starmap_helper file: +result_iterator adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def result_iterator():$/;" f member:Executor.map file: +result_to_populate adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^result_to_populate = ResultToPopulate()$/;" v +result_to_populate adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^result_to_populate = ResultToPopulate()$/;" v +result_type adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def result_type(*args):$/;" f +result_type adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def result_type(*args):$/;" f +result_type adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def result_type(*arrays_and_dtypes):$/;" f +result_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def result_type(*arrays_and_dtypes): # pylint: disable=missing-function-docstring$/;" f +result_unflatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^ result_unflatten = lambda x: nest.pack_sequence_as(fn_output_signature, x)$/;" f function:map_fn file: +result_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def result_wrapper(result_fn):$/;" f +resume adpeps/ipeps/config.py /^resume: bool = False$/;" v +resume adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def resume(self):$/;" m class:ExceptionSaver +res_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_arg(self, ns, types_ns, f_name, name, type_anno, f_is_local):$/;" m class:Resolver +res_binop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_binop(self, ns, types_ns, node, left, right):$/;" m class:Resolver +res_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_call(self, ns, types_ns, node, f_type, args, keywords):$/;" m class:Resolver +res_compare adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_compare(self, ns, types_ns, node, left, right):$/;" m class:Resolver +res_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ res_extension = '.res'$/;" v class:MSVCCompiler +res_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ res_extension = '.res'$/;" v class:MSVCCompiler +res_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ res_extension = '.res'$/;" v class:MSVCCompiler +res_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_name(self, ns, types_ns, name):$/;" m class:Resolver +res_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_slice(self, ns, types_ns, node_or_slice, value, slice_):$/;" m class:Resolver +res_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def res_type(a, b):$/;" f member:TestTypes.test_coercion file: +res_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def res_value(self, ns, value):$/;" m class:Resolver +ret adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ ret = runShardedTrainLoop(opts, trainFun())$/;" v +ret adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ ret = basinhopping(_test_func2d, x0, minimizer_kwargs=kwargs, niter=200,$/;" v +ret adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ ret = basinhopping(_test_func2d_nograd, x0, minimizer_kwargs=kwargs,$/;" v +ret adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def ret(self, value, did_return):$/;" m class:FunctionScope +retain adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_retain as retain$/;" x +retain adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_retain as retain$/;" x +retain adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_retain as retain$/;" x +retain_grad adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def retain_grad(self):$/;" m class:Tensor +retain_grad_hook adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def retain_grad_hook(grad):$/;" f member:Tensor.retain_grad file: +retinaFix adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ retinaFix = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +retries adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^retries = partial($/;" v +RetrieveAsset adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^def RetrieveAsset(logdir, plugin_name, asset_name):$/;" f +RetrievePluginAsset adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def RetrievePluginAsset(self, plugin_name, asset_name):$/;" m class:EventAccumulator +RetrievePluginAsset adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def RetrievePluginAsset(self, run, plugin_name, asset_name):$/;" m class:EventMultiplexer +RetrievePluginAsset adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def RetrievePluginAsset(self, plugin_name, asset_name):$/;" m class:EventAccumulator +RetrievePluginAsset adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def RetrievePluginAsset(self, run, plugin_name, asset_name):$/;" m class:EventMultiplexer +RetrieveTPUEmbeddingAdadeltaParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingAdadeltaParameters = tf_export("raw_ops.RetrieveTPUEmbeddingAdadeltaParamete/;" v +RetrieveTPUEmbeddingAdadeltaParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingAdadeltaParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingAd/;" v +RetrieveTPUEmbeddingAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingAdagradParameters = tf_export("raw_ops.RetrieveTPUEmbeddingAdagradParameters/;" v +RetrieveTPUEmbeddingAdagradParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingAdagradParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingAda/;" v +RetrieveTPUEmbeddingADAMParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingADAMParameters = tf_export("raw_ops.RetrieveTPUEmbeddingADAMParameters")(_op/;" v +RetrieveTPUEmbeddingADAMParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingADAMParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingADAMPa/;" v +RetrieveTPUEmbeddingCenteredRMSPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingCenteredRMSPropParameters = tf_export("raw_ops.RetrieveTPUEmbeddingCenteredR/;" v +RetrieveTPUEmbeddingFTRLParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingFTRLParameters = tf_export("raw_ops.RetrieveTPUEmbeddingFTRLParameters")(_op/;" v +RetrieveTPUEmbeddingFTRLParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingFTRLParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingFTRLPa/;" v +RetrieveTPUEmbeddingMDLAdagradLightParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingMDLAdagradLightParameters = tf_export("raw_ops.RetrieveTPUEmbeddingMDLAdagra/;" v +RetrieveTPUEmbeddingMomentumParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingMomentumParameters = tf_export("raw_ops.RetrieveTPUEmbeddingMomentumParamete/;" v +RetrieveTPUEmbeddingMomentumParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingMomentumParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingMo/;" v +RetrieveTPUEmbeddingProximalAdagradParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingProximalAdagradParameters = tf_export("raw_ops.RetrieveTPUEmbeddingProximalA/;" v +RetrieveTPUEmbeddingProximalAdagradParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingProximalAdagradParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbe/;" v +RetrieveTPUEmbeddingProximalYogiParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingProximalYogiParameters = tf_export("raw_ops.RetrieveTPUEmbeddingProximalYogi/;" v +RetrieveTPUEmbeddingProximalYogiParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingProximalYogiParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddi/;" v +RetrieveTPUEmbeddingRMSPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingRMSPropParameters = tf_export("raw_ops.RetrieveTPUEmbeddingRMSPropParameters/;" v +RetrieveTPUEmbeddingRMSPropParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingRMSPropParametersGradAccumDebug = tf_export("raw_ops.RetrieveTPUEmbeddingRMS/;" v +RetrieveTPUEmbeddingStochasticGradientDescentParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingStochasticGradientDescentParameters = tf_export("raw_ops.RetrieveTPUEmbeddin/;" v +RetrieveTPUEmbeddingStochasticGradientDescentParametersGradAccumDebug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^RetrieveTPUEmbeddingStochasticGradientDescentParametersGradAccumDebug = tf_export("raw_ops.Retri/;" v +retrieve_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops():$/;" f member:TPUEmbedding.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_AdagradHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_AdamHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_FtrlHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_MomentumHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_ProximalAdagradHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_ProximalYogiHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_RMSPropHandler.create_variables_and_ops file: +retrieve_ops_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def retrieve_ops_fn():$/;" f member:_StochasticGradientDescentHandler.create_variables_and_ops file: +retrieve_state adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def retrieve_state(x, start, end):$/;" f function:_generic_rnn file: +retrieve_step_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^def retrieve_step_blobs(net, prefix='rnn'):$/;" f +retrieve_subject_token adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def retrieve_subject_token(self, request):$/;" m class:Credentials +retrieve_subject_token adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def retrieve_subject_token(self, request):$/;" m class:Credentials +retrieve_subject_token adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def retrieve_subject_token(self, request):$/;" m class:Credentials +retrieve_tpu_embedding_adadelta_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adadelta_parameters(num_shards, shard_id, table_id=-1, table_name="",/;" f +retrieve_tpu_embedding_adadelta_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adadelta_parameters_eager_fallback(num_shards, shard_id, table_id, ta/;" f +retrieve_tpu_embedding_adadelta_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adadelta_parameters_grad_accum_debug(num_shards, shard_id, table_id=-/;" f +retrieve_tpu_embedding_adadelta_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adadelta_parameters_grad_accum_debug_eager_fallback(num_shards, shard/;" f +retrieve_tpu_embedding_adagrad_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adagrad_parameters(num_shards, shard_id, table_id=-1, table_name="", /;" f +retrieve_tpu_embedding_adagrad_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adagrad_parameters_eager_fallback(num_shards, shard_id, table_id, tab/;" f +retrieve_tpu_embedding_adagrad_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adagrad_parameters_grad_accum_debug(num_shards, shard_id, table_id=-1/;" f +retrieve_tpu_embedding_adagrad_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adagrad_parameters_grad_accum_debug_eager_fallback(num_shards, shard_/;" f +retrieve_tpu_embedding_adam_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adam_parameters(num_shards, shard_id, table_id=-1, table_name="", con/;" f +retrieve_tpu_embedding_adam_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adam_parameters_eager_fallback(num_shards, shard_id, table_id, table_/;" f +retrieve_tpu_embedding_adam_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adam_parameters_grad_accum_debug(num_shards, shard_id, table_id=-1, t/;" f +retrieve_tpu_embedding_adam_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_adam_parameters_grad_accum_debug_eager_fallback(num_shards, shard_id,/;" f +retrieve_tpu_embedding_centered_rms_prop_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_centered_rms_prop_parameters(num_shards, shard_id, table_id=-1, table/;" f +retrieve_tpu_embedding_centered_rms_prop_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_centered_rms_prop_parameters_eager_fallback(num_shards, shard_id, tab/;" f +retrieve_tpu_embedding_ftrl_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_ftrl_parameters(num_shards, shard_id, table_id=-1, table_name="", con/;" f +retrieve_tpu_embedding_ftrl_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_ftrl_parameters_eager_fallback(num_shards, shard_id, table_id, table_/;" f +retrieve_tpu_embedding_ftrl_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_ftrl_parameters_grad_accum_debug(num_shards, shard_id, table_id=-1, t/;" f +retrieve_tpu_embedding_ftrl_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_ftrl_parameters_grad_accum_debug_eager_fallback(num_shards, shard_id,/;" f +retrieve_tpu_embedding_mdl_adagrad_light_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_mdl_adagrad_light_parameters(num_shards, shard_id, table_id=-1, table/;" f +retrieve_tpu_embedding_mdl_adagrad_light_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_mdl_adagrad_light_parameters_eager_fallback(num_shards, shard_id, tab/;" f +retrieve_tpu_embedding_momentum_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_momentum_parameters(num_shards, shard_id, table_id=-1, table_name="",/;" f +retrieve_tpu_embedding_momentum_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_momentum_parameters_eager_fallback(num_shards, shard_id, table_id, ta/;" f +retrieve_tpu_embedding_momentum_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_momentum_parameters_grad_accum_debug(num_shards, shard_id, table_id=-/;" f +retrieve_tpu_embedding_momentum_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_momentum_parameters_grad_accum_debug_eager_fallback(num_shards, shard/;" f +retrieve_tpu_embedding_proximal_adagrad_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_adagrad_parameters(num_shards, shard_id, table_id=-1, table_/;" f +retrieve_tpu_embedding_proximal_adagrad_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_adagrad_parameters_eager_fallback(num_shards, shard_id, tabl/;" f +retrieve_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug(num_shards, shard_id, ta/;" f +retrieve_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_adagrad_parameters_grad_accum_debug_eager_fallback(num_shard/;" f +retrieve_tpu_embedding_proximal_yogi_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_yogi_parameters(num_shards, shard_id, table_id=-1, table_nam/;" f +retrieve_tpu_embedding_proximal_yogi_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_yogi_parameters_eager_fallback(num_shards, shard_id, table_i/;" f +retrieve_tpu_embedding_proximal_yogi_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_yogi_parameters_grad_accum_debug(num_shards, shard_id, table/;" f +retrieve_tpu_embedding_proximal_yogi_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_proximal_yogi_parameters_grad_accum_debug_eager_fallback(num_shards, /;" f +retrieve_tpu_embedding_rms_prop_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_rms_prop_parameters(num_shards, shard_id, table_id=-1, table_name="",/;" f +retrieve_tpu_embedding_rms_prop_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_rms_prop_parameters_eager_fallback(num_shards, shard_id, table_id, ta/;" f +retrieve_tpu_embedding_rms_prop_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_rms_prop_parameters_grad_accum_debug(num_shards, shard_id, table_id=-/;" f +retrieve_tpu_embedding_rms_prop_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_rms_prop_parameters_grad_accum_debug_eager_fallback(num_shards, shard/;" f +retrieve_tpu_embedding_stochastic_gradient_descent_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_stochastic_gradient_descent_parameters(num_shards, shard_id, table_id/;" f +retrieve_tpu_embedding_stochastic_gradient_descent_parameters_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_stochastic_gradient_descent_parameters_eager_fallback(num_shards, sha/;" f +retrieve_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug(num_shards, s/;" f +retrieve_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def retrieve_tpu_embedding_stochastic_gradient_descent_parameters_grad_accum_debug_eager_fallbac/;" f +retrieve_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def retrieve_weight_bias(ihhh):$/;" f member:RNNBase.from_float file: +retry adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^def retry(*dargs, **dkw):$/;" f +Retry adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^class Retry(object):$/;" c +retry adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def retry(ExceptionToCheck, tries=3, delay=3, skip_after_retries=False):$/;" f +Retry adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^class Retry(object):$/;" c +retryable_message_types adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^retryable_message_types = ["RREF_FORK_REQUEST",$/;" v +RetryError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class RetryError(RequestException):$/;" c +RetryError adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^class RetryError(Exception):$/;" c +RetryError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class RetryError(RequestException):$/;" c +Retrying adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^class Retrying(object):$/;" c +retry_after adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def retry_after(self):$/;" m class:CommonResponseDescriptorsMixin +retry_after adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def retry_after(self, value):$/;" m class:CommonResponseDescriptorsMixin +RETRY_AFTER_STATUS_CODES adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ RETRY_AFTER_STATUS_CODES = frozenset([413, 429, 503])$/;" v class:Retry +RETRY_AFTER_STATUS_CODES adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ RETRY_AFTER_STATUS_CODES = frozenset([413, 429, 503])$/;" v class:Retry +retry_on_connect_failures adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def retry_on_connect_failures(func=None, connect_errors=(ADDRESS_IN_USE)):$/;" f +RETURNED adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^ RETURNED = object()$/;" v class:Outcome.Kind +ReturnShape adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class ReturnShape(object):$/;" c +ReturnStatementsTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^class ReturnStatementsTransformer(converter.Base):$/;" c +return_env adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def return_env(self, exists=True):$/;" m class:EnvironmentInfo +return_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def return_future():$/;" f +RETURN_MAP adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^RETURN_MAP = {$/;" v +return_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def return_none():$/;" f member:DistributedIteratorBase.get_next_as_optional file: +return_outputs_and_add_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^ def return_outputs_and_add_losses(*args, **kwargs):$/;" f function:use_wrapped_call file: +return_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def return_rref(rref_var: RRef[Tensor]) -> RRef[Tensor]:$/;" f +return_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def return_value(value: int) -> int:$/;" f +ret_requires_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def ret_requires_grad():$/;" f +ret_string adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ ret_string = " return " + fn_base + suffix + "<" + is_weight_positional + ">("$/;" v +reuse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def reuse(self):$/;" m class:VariableScope +reuse_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^ def reuse_variable(next_creator, **kwargs):$/;" f function:make_fn file: +reuse_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def reuse_variables(self):$/;" m class:VariableScope +rev adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def rev(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +rev adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def rev(operand, dimensions):$/;" f +rev adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def rev(operand: Array, dimensions: Sequence[int]) -> Array:$/;" f +rev adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^ def rev(x_mod):$/;" f function:_presolve file: +rev adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^rev = _binary_op(array_ops.reverse)$/;" v +RevAnnContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class RevAnnContent(univ.Sequence):$/;" c +revcmap adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^def revcmap(data):$/;" f +RevDetails adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class RevDetails(univ.Sequence):$/;" c +reveal_command_args adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def reveal_command_args(args):$/;" f +reveal_undocumented adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^def reveal_undocumented(symbol_name, target_module=None):$/;" f +reverse adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def reverse(self, i):$/;" m class:_PyAccessI32_Swap +reverse adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def reverse(self):$/;" m class:SequenceOfAndSetOfBase +REVERSE adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^REVERSE = {('b', 1): NC_BYTE,$/;" v +Reverse adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Reverse(self):$/;" m class:CumsumOptions +REVERSE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ REVERSE = 2$/;" v class:_WalkMode +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def reverse(x, axes):$/;" f +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse # pylint: disable=unused-/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse(tensor, dims, name=None):$/;" f +Reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Reverse = tf_export("raw_ops.Reverse")(_ops.to_raw_op(reverse))$/;" v +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def reverse(tensor, axis, name=None):$/;" f +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/manip/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_array_ops import reverse_v2 as reverse$/;" x +reverse adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def reverse(self):$/;" m class:ImmutableListMixin +reversed adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def reversed(self, name=None):$/;" m class:Colormap +reversed adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def reversed(self, name=None):$/;" m class:LinearSegmentedColormap +reversed adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def reversed(self, name=None):$/;" m class:ListedColormap +ReversePseudoFP16Initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^class ReversePseudoFP16Initializer(Initializer):$/;" c +ReverseSequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ReverseSequence = tf_export("raw_ops.ReverseSequence")(_ops.to_raw_op(reverse_sequence))$/;" v +ReverseSequenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ReverseSequenceOptions = 87$/;" v class:BuiltinOptions +ReverseSequenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReverseSequenceOptions(object):$/;" c +ReverseSequenceOptionsAddBatchDim adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseSequenceOptionsAddBatchDim(builder, batchDim): builder.PrependInt32Slot(1, batchDim, /;" f +ReverseSequenceOptionsAddSeqDim adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseSequenceOptionsAddSeqDim(builder, seqDim): builder.PrependInt32Slot(0, seqDim, 0)$/;" f +ReverseSequenceOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ReverseSequenceOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ReverseSequenceOptions +ReverseSequenceOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseSequenceOptionsEnd(builder): return builder.EndObject()$/;" f +ReverseSequenceOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseSequenceOptionsStart(builder): builder.StartObject(2)$/;" f +ReverseSequenceOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReverseSequenceOptionsT(object):$/;" c +ReverseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ReverseV2 = tf_export("raw_ops.ReverseV2")(_ops.to_raw_op(reverse_v2))$/;" v +ReverseV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ReverseV2Options = 81$/;" v class:BuiltinOptions +ReverseV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReverseV2Options(object):$/;" c +ReverseV2OptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ReverseV2OptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ReverseV2Options +ReverseV2OptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseV2OptionsEnd(builder): return builder.EndObject()$/;" f +ReverseV2OptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ReverseV2OptionsStart(builder): builder.StartObject(0)$/;" f +ReverseV2OptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ReverseV2OptionsT(object):$/;" c +reverse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse_eager_fallback(tensor, dims, name, ctx):$/;" f +reverse_pointer adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def reverse_pointer(self):$/;" m class:_IPAddressBase +REVERSE_SEQUENCE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REVERSE_SEQUENCE = 112$/;" v class:BuiltinOperator +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def reverse_sequence(input,$/;" f +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse_sequence(input, seq_lengths, seq_dim, batch_dim=0, name=None):$/;" f +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import reverse_sequence_v2 as reverse_sequence$/;" x +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import reverse_sequence_v2 as reverse_sequence$/;" x +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import reverse_sequence_v2 as reverse_sequence$/;" x +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import reverse_sequence_v2 as reverse_sequence$/;" x +reverse_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import reverse_sequence_v2 as reverse_sequence$/;" x +reverse_sequence_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse_sequence_eager_fallback(input, seq_lengths, seq_dim, batch_dim, name, ctx):$/;" f +reverse_sequence_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def reverse_sequence_v2(input,$/;" f +REVERSE_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ REVERSE_V2 = 105$/;" v class:BuiltinOperator +reverse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse_v2(tensor, axis, name=None):$/;" f +reverse_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def reverse_v2_eager_fallback(tensor, axis, name, ctx):$/;" f +RevivedInputLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^class RevivedInputLayer(object):$/;" c +RevivedLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^class RevivedLayer(object):$/;" c +RevivedNetwork adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^class RevivedNetwork(RevivedLayer):$/;" c +REVIVED_LOSS_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^REVIVED_LOSS_PLACEHOLDER = ($/;" v +revive_custom_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def revive_custom_object(identifier, metadata):$/;" f +revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^revocationChallenge = rfc5652.Attribute()$/;" v +revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^revocationChallenge = Attribute()$/;" v +RevocationEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^class RevocationEndpoint(BaseEndpoint):$/;" c +RevocationInfoChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RevocationInfoChoice(univ.Choice):$/;" c +RevocationInfoChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RevocationInfoChoice(univ.Choice):$/;" c +RevocationInfoChoices adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class RevocationInfoChoices(univ.SetOf):$/;" c +RevocationInfoChoices adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class RevocationInfoChoices(univ.SetOf):$/;" c +RevokedCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class RevokedCertificate(univ.Sequence):$/;" c +RevokedInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class RevokedInfo(univ.Sequence):$/;" c +RevokedInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^RevokedInfo = rfc2560.RevokedInfo$/;" v +RevokeRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class RevokeRequest(univ.Sequence):$/;" c +RevokeRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class RevokeRequest(univ.Sequence):$/;" c +revoke_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def revoke_token(self, token, token_type_hint, request, *args, **kwargs):$/;" m class:RequestValidator +RevOptions adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^class RevOptions(object):$/;" c +RevRepContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class RevRepContent(univ.Sequence):$/;" c +RevReqContent adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^class RevReqContent(univ.SequenceOf):$/;" c +rev_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^rev_p = standard_primitive(_rev_shape_rule, _input_dtype, 'rev')$/;" v +rewind adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def rewind(self, amount=1):$/;" m class:TokenGenerator +rewind adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def rewind(self):$/;" m class:PngStream +rewind_body adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def rewind_body(prepared_request):$/;" f +rewind_body adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^def rewind_body(body, body_pos):$/;" f +rewind_body adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def rewind_body(prepared_request):$/;" f +rewind_body adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^def rewind_body(body, body_pos):$/;" f +rewrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^def rewrap(decorator_func, previous_target, new_target):$/;" f +rewrite adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def rewrite(fun: Callable, rules) -> Callable:$/;" f +rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^ def rewrite(old_output, new_input):$/;" f function:_rewrite_grad_indexed_slices_output file: +rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def rewrite(computation,$/;" f +rewriteLastLong adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def rewriteLastLong(self, value):$/;" m class:AppendingTiffWriter +rewriteLastShort adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def rewriteLastShort(self, value):$/;" m class:AppendingTiffWriter +rewriteLastShortToLong adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def rewriteLastShortToLong(self, value):$/;" m class:AppendingTiffWriter +RewritePthDistributions adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class RewritePthDistributions(PthDistributions):$/;" c +RewriterConfig adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^RewriterConfig = _reflection.GeneratedProtocolMessageType('RewriterConfig', (_message.Message,),/;" v +RewriterConfig adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^RewriterConfig = _reflection.GeneratedProtocolMessageType('RewriterConfig', (_message.Message,),/;" v +rewriter_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def rewriter_bool(option):$/;" f member:Context.config file: +rewriter_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def rewriter_bool(option):$/;" f member:Context.get_optimizer_experimental_options file: +rewriter_toggle adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def rewriter_toggle(option):$/;" f member:Context.config file: +rewriter_toggle adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def rewriter_toggle(option):$/;" f member:Context.get_optimizer_experimental_options file: +rewrite_argument_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def rewrite_argument_docstring(old_doc, old_argument, new_argument):$/;" f +rewrite_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def rewrite_callback($/;" f function:rewrite file: +rewrite_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def rewrite_fn(*args):$/;" f member:TPUExtended._experimental_run_steps_on_iterator file: +rewrite_for_inference adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def rewrite_for_inference(computation,$/;" f +rewrite_grad_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def rewrite_grad_indexed_slices(grads, body_grad_graph, loop_vars,$/;" f +rewrite_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^import caffe2.python.mkl.rewrite_graph as rewrite_graph$/;" I +rewrite_init_net_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^def rewrite_init_net_simple(net):$/;" f +rewrite_model_helper_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^def rewrite_model_helper_simple(model):$/;" f +rewrite_pages adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def rewrite_pages(self):$/;" m class:PdfParser +rewrite_run_net_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^def rewrite_run_net_simple(net):$/;" f +rewrite_run_net_simple_xrayocr_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph.py /^def rewrite_run_net_simple_xrayocr_lstm(net):$/;" f +RE_AMP adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^RE_AMP = re.compile(r'&(?!(?:\\#[0-9]+|\\#x[0-9a-f]+|[0-9a-z]+);)', re.I)$/;" v +RE_ANGLE_HEADER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_ANGLE_HEADER = re.compile(r'#include <([^>]+)>')$/;" v +re_array_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_array_end = re.compile(whitespace_optional + br"]")$/;" v class:PdfParser +re_array_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_array_start = re.compile(whitespace_optional + br"\\[")$/;" v class:PdfParser +RE_ASSERT adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_ASSERT = re.compile(r"\\bassert[ ]*\\(")$/;" v +re_attr_match adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^re_attr_match = re.compile(r"(?:.*\\=)?(.+\\[.*\\].*)\\.(\\w*)$")$/;" v +RE_CAFFE2_PREPROCESSOR adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_CAFFE2_PREPROCESSOR = re.compile(CAFFE2_TRIE.pattern())$/;" v +RE_CODE_PIPES adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ RE_CODE_PIPES = re.compile(r'(?:(\\\\\\\\)|(\\\\`+)|(`+)|(\\\\\\|)|(\\|))')$/;" v class:TableProcessor +re_comment adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_comment = re.compile($/;" v class:PdfParser +RE_CU_SUFFIX adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_CU_SUFFIX = re.compile(r'\\.cu\\b') # be careful not to pick up .cuh$/;" v +re_dict_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_dict_end = re.compile(whitespace_optional + br"\\>\\>" + whitespace_optional)$/;" v class:PdfParser +re_dict_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_dict_start = re.compile(whitespace_optional + br"\\<\\<")$/;" v class:PdfParser +RE_END_BORDER adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ RE_END_BORDER = re.compile(r'(?<!\\\\)(?:\\\\\\\\)*\\|$')$/;" v class:TableProcessor +RE_EXPECT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^RE_EXPECT = re.compile(r"^(?P<suffix>[^\\n]*?)"$/;" v +RE_EXTERN_SHARED adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_EXTERN_SHARED = re.compile(r"extern\\s+([\\w\\(\\)]+)?\\s*__shared__\\s+([\\w:<>\\s]+)\\s+(\\/;" v +re_false adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_false = re.compile(whitespace_optional + br"false(?=" + delimiter_or_ws + br")")$/;" v class:PdfParser +re_hashes_in_name adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_hashes_in_name = re.compile(br"([^#]*)(#([0-9a-fA-F]{2}))?")$/;" v class:PdfParser +RE_INCLUDE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_INCLUDE = re.compile(r"#include .*\\n")$/;" v +re_indirect_def_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_indirect_def_end = re.compile($/;" v class:PdfParser +re_indirect_def_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_indirect_def_start = re.compile($/;" v class:PdfParser +re_indirect_reference adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_indirect_reference = re.compile($/;" v class:PdfParser +re_int adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_int = re.compile($/;" v class:PdfParser +re_item_match adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^re_item_match = re.compile(r"""(?:.*\\=)?(.*)\\[(?P<s>['|"])(?!.*(?P=s))(.*)$""")$/;" v +RE_KERNEL_LAUNCH adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_KERNEL_LAUNCH = re.compile(r'([ ]+)(detail?)::[ ]+\\\\\\n[ ]+')$/;" v +RE_LINK adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ RE_LINK = re.compile(r'''\\(\\s*(?:(<[^<>]*>)\\s*(?:('[^']*'|"[^"]*")\\s*)?\\))?''', re.DOTA/;" v class:LinkInlineProcessor +RE_LINK adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ RE_LINK = re.compile(r'\\s?\\[([^\\]]*)\\]', re.DOTALL | re.UNICODE)$/;" v class:ReferenceInlineProcessor +re_lit_str_token adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_lit_str_token = re.compile($/;" v class:PdfParser +re_mathsep adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^re_mathsep = re.compile(NO_ESCAPE + r"\\$")$/;" v +re_name adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_name = re.compile($/;" v class:PdfParser +re_null adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_null = re.compile(whitespace_optional + br"null(?=" + delimiter_or_ws + br")")$/;" v class:PdfParser +re_object_match adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^re_object_match = re.compile(r"(?:.*\\=)?(.+?)(?:\\[)")$/;" v +re_paren adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^re_paren = re.compile(r"^\\s*\\((.*)\\)\\s*$") # (list) inside of parentheses$/;" v +RE_PYTORCH_PREPROCESSOR adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_PYTORCH_PREPROCESSOR = re.compile(r'(?<=\\W)({0})(?=\\W)'.format(PYTORCH_TRIE.pattern()))$/;" v +RE_QUOTE_HEADER adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_QUOTE_HEADER = re.compile(r'#include "([^"]+)"')$/;" v +re_real adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_real = re.compile($/;" v class:PdfParser +RE_REF_ID adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^RE_REF_ID = re.compile(r'(fnref)(\\d+)')$/;" v +RE_SPACES adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ RE_SPACES = re.compile(' +')$/;" v class:AdmonitionProcessor +re_splitComparison adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^re_splitComparison = re.compile(r"^\\s*(<=|>=|<|>|!=|==)\\s*([^\\s,]+)\\s*$")$/;" v +re_stream_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_stream_end = re.compile($/;" v class:PdfParser +re_stream_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_stream_start = re.compile(whitespace_optional + br"stream\\r?\\n")$/;" v class:PdfParser +re_string_hex adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_string_hex = re.compile($/;" v class:PdfParser +re_string_lit adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_string_lit = re.compile(whitespace_optional + br"\\(")$/;" v class:PdfParser +RE_SYNCTHREADS adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_SYNCTHREADS = re.compile(r"[:]?[:]?\\b(__syncthreads)\\b(\\w*\\()")$/;" v +RE_THC_GENERIC_FILE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^RE_THC_GENERIC_FILE = re.compile(r'#define THC_GENERIC_FILE "([^"]+)"')$/;" v +RE_TITLE_CLEAN adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ RE_TITLE_CLEAN = re.compile(r'\\s')$/;" v class:LinkInlineProcessor +re_trailer_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_trailer_end = re.compile($/;" v class:PdfParser +re_trailer_prev adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_trailer_prev = re.compile($/;" v class:PdfParser +re_true adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_true = re.compile(whitespace_optional + br"true(?=" + delimiter_or_ws + br")")$/;" v class:PdfParser +re_validPackage adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^re_validPackage = re.compile(r"(?i)^\\s*([a-z_]\\w*(?:\\.[a-z_]\\w*)*)(.*)",$/;" v +RE_VER adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^RE_VER = re.compile($/;" v +RE_VERSION adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^RE_VERSION = re.compile(br'(\\d+\\.\\d+(\\.\\d+)*)')$/;" v +re_whitespace_optional adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_whitespace_optional = re.compile(whitespace_optional)$/;" v class:PdfParser +re_xref_entry adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_xref_entry = re.compile(br"([0-9]{10}) ([0-9]{5}) ([fn])( \\r| \\n|\\r\\n)")$/;" v class:PdfParser +re_xref_section_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_xref_section_start = re.compile(whitespace_optional + br"xref" + newline)$/;" v class:PdfParser +re_xref_subsection_start adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ re_xref_subsection_start = re.compile($/;" v class:PdfParser +rf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ rf = lambda a, b: lambda n, mu: sc.roots_jacobi(n, a, b, mu)$/;" f function:test_roots_jacobi file: +rf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ rf = lambda a, b: lambda n, mu: sc.roots_sh_jacobi(n, a, b, mu)$/;" f function:test_roots_sh_jacobi file: +rfc822_escape adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def rfc822_escape (header):$/;" f +rfft adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def rfft(a, n=None, axis=-1, norm=None):$/;" f +rfft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def rfft(a, n=None, axis=-1, norm=None):$/;" f +rfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^rfft = _MockFunction(np.random.random(10))$/;" v +rfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def rfft(x, n=None, axis=-1, norm=None, overwrite_x=False, workers=None, *,$/;" f +rfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^rfft = functools.partial(r2c, True)$/;" v +rfft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^def rfft(x, n=None, axis=-1, overwrite_x=False):$/;" f +RFFT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RFFT = 2$/;" v +rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft(input, fft_length, Tcomplex=_dtypes.complex64, name=None):$/;" f +RFFT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^RFFT = tf_export("raw_ops.RFFT")(_ops.to_raw_op(rfft))$/;" v +rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^rfft = _rfft_wrapper(gen_spectral_ops.rfft, 1, "rfft")$/;" v +rfft adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^rfft = _add_docstr(_fft.fft_rfft, r"""$/;" v +rfft2 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def rfft2(a, s=None, axes=(-2,-1), norm=None):$/;" f +rfft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def rfft2(a, s=None, axes=(-2, -1), norm=None):$/;" f +rfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^rfft2 = _MockFunction(np.random.random(10))$/;" v +rfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def rfft2(x, s=None, axes=(-2, -1), norm=None, overwrite_x=False, workers=None, *,$/;" f +rfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^def rfft2(x, s=None, axes=(-2,-1), norm=None, overwrite_x=False, workers=None,$/;" f +rfft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft2d(input, fft_length, Tcomplex=_dtypes.complex64, name=None):$/;" f +RFFT2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^RFFT2D = tf_export("raw_ops.RFFT2D")(_ops.to_raw_op(rfft2d))$/;" v +rfft2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^rfft2d = _rfft_wrapper(gen_spectral_ops.rfft2d, 2, "rfft2d")$/;" v +rfft2d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft2d_eager_fallback(input, fft_length, Tcomplex, name, ctx):$/;" f +rfft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft3d(input, fft_length, Tcomplex=_dtypes.complex64, name=None):$/;" f +RFFT3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^RFFT3D = tf_export("raw_ops.RFFT3D")(_ops.to_raw_op(rfft3d))$/;" v +rfft3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^rfft3d = _rfft_wrapper(gen_spectral_ops.rfft3d, 3, "rfft3d")$/;" v +rfft3d_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft3d_eager_fallback(input, fft_length, Tcomplex, name, ctx):$/;" f +rfftfreq adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def rfftfreq(n, d=1.0):$/;" f +rfftfreq adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^def rfftfreq(n, d=1.0):$/;" f +rfftfreq adpepsenv/lib/python3.8/site-packages/scipy/fftpack/helper.py /^def rfftfreq(n, d=1.0):$/;" f +rfftn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def rfftn(a, s=None, axes=None, norm=None):$/;" f +rfftn adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def rfftn(a, s=None, axes=None, norm=None):$/;" f +rfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^rfftn = _MockFunction(np.random.random(10))$/;" v +rfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def rfftn(x, s=None, axes=None, norm=None, overwrite_x=False, workers=None, *,$/;" f +rfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^rfftn = functools.partial(r2cn, True)$/;" v +rfftn adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^rfftn = _add_docstr(_fft.fft_rfftn, r"""$/;" v +rfft_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^def rfft_eager_fallback(input, fft_length, Tcomplex, name, ctx):$/;" f +rfft_fftpack adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/basic.py /^rfft_fftpack = functools.partial(r2r_fftpack, True)$/;" v +rfind adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rfind(self, sub, start=0, end=None):$/;" m class:chararray +rfind adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rfind(a, sub, start=0, end=None):$/;" f +rfunc adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ rfunc = random.integers$/;" v class:TestIntegers +rfunc adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ rfunc = np.random.randint$/;" v class:TestRandint +rfunc adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ rfunc = random.randint$/;" v class:TestRandint +rg adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^rg = np.random.Generator(PCG64())$/;" v +rgamma adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t rgamma(Dd_number_t x0) nogil$/;" f +rgb adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def rgb(r, g, b, a=255):$/;" f +rgb2hex adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^rgb2hex = to_hex$/;" v +RGBAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^class RGBAxes:$/;" c +RGBAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_rgb.py /^class RGBAxes(_RGBAxes):$/;" c +RGBAxesBase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^class RGBAxesBase(RGBAxes):$/;" c +rgba_arrayd adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def rgba_arrayd(self):$/;" m class:TexManager +RGBToHSV adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^RGBToHSV = tf_export("raw_ops.RGBToHSV")(_ops.to_raw_op(rgb_to_hsv))$/;" v +RGBToHSVOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class RGBToHSVOpTestBase(test.TestCase):$/;" c +rgb_cmd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def rgb_cmd(self, rgb):$/;" m class:GraphicsContextPdf +rgb_to_grayscale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def rgb_to_grayscale(images, name=None):$/;" f +rgb_to_hsv adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def rgb_to_hsv(arr):$/;" f +rgb_to_hsv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def rgb_to_hsv(images, name=None):$/;" f +rgb_to_hsv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def rgb_to_hsv_eager_fallback(images, name, ctx):$/;" f +rgb_to_yiq adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def rgb_to_yiq(images):$/;" f +rgb_to_yuv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def rgb_to_yuv(images):$/;" f +rgrids adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def rgrids(radii=None, labels=None, angle=None, fmt=None, **kwargs):$/;" f +RHETOREX_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RHETOREX_ADPCM = 0x0100$/;" v class:WAVE_FORMAT +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def rhs(t, y):$/;" f member:TestComplexSolout._run_solout_break_test file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def rhs(t, y):$/;" f member:TestComplexSolout._run_solout_test file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def rhs(t, y):$/;" f member:TestSolout._run_solout_after_initial_test file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def rhs(t, y):$/;" f member:TestSolout._run_solout_break_test file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def rhs(t, y):$/;" f member:TestSolout._run_solout_test file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def rhs(y, t):$/;" f +rhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def rhs(x, beta, m):$/;" f member:crystalball_gen._cdf file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def rhs(x, beta, m):$/;" f member:crystalball_gen._logpdf file: +rhs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def rhs(x, beta, m):$/;" f member:crystalball_gen._pdf file: +rhs_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ rhs_jvp = lambda g, x, y, **kwargs: prim.bind(x, bcast(g, x), **kwargs)$/;" f function:defbilinear_broadcasting file: +rhs_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ rhs_shape = (4, 5)$/;" v +riccati_jn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def riccati_jn(n, x):$/;" f +riccati_yn adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def riccati_yn(n, x):$/;" f +rice adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^rice = rice_gen(a=0.0, name="rice")$/;" v +rice_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class rice_gen(rv_continuous):$/;" c +RichLine adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class RichLine(object):$/;" c +RichTextLines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class RichTextLines(object):$/;" c +RICH_GLOB adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^RICH_GLOB = re.compile(r'\\{([^}]*)\\}')$/;" v +rich_text_lines_from_rich_line_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^def rich_text_lines_from_rich_line_list(rich_text_list, annotations=None):$/;" f +ricker adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^def ricker(points, a):$/;" f +ridder adpepsenv/lib/python3.8/site-packages/scipy/optimize/cython_optimize/_zeros.pxd /^cdef double ridder(callback_type f, double xa, double xb, void* args,$/;" f +ridder adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def ridder(f, a, b, args=(),$/;" f +RIGHT adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ RIGHT = 3$/;" v class:MouseButton +RIGHT adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^RIGHT = 0$/;" v +RightShift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^RightShift = tf_export("raw_ops.RightShift")(_ops.to_raw_op(right_shift))$/;" v +right_multiplied_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def right_multiplied_operator(J, d):$/;" f +right_multiply adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def right_multiply(J, d, copy=True):$/;" f +right_psolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def right_psolve(b):$/;" f function:qmr file: +right_rpsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^ def right_rpsolve(b):$/;" f function:qmr file: +right_shift adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def right_shift(x1, x2):$/;" f +right_shift adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def right_shift(a, n):$/;" f +right_shift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def right_shift(x, y, name=None):$/;" f +right_shift_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^def right_shift_eager_fallback(x, y, name, ctx):$/;" f +rindex adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rindex(self, sub, start=0, end=None):$/;" m class:chararray +rindex adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rindex(a, sub, start=0, end=None):$/;" f +ring adpepsenv/lib/python3.8/site-packages/mpi4py/bench.py /^ def ring(comm, n=1, loop=1, skip=0):$/;" f function:ringtest file: +RING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ RING = "RING"$/;" v class:CommunicationImplementation +RING adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ RING = 1$/;" v class:DeviceOrderMode +ringtest adpepsenv/lib/python3.8/site-packages/mpi4py/bench.py /^def ringtest(comm, args=None, verbose=True):$/;" f +rint adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def rint(x):$/;" f +rint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rint(x, name=None):$/;" f +Rint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Rint = tf_export("raw_ops.Rint")(_ops.to_raw_op(rint))$/;" v +rint_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rint_eager_fallback(x, name, ctx):$/;" f +rinverse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def rinverse(b,which=None):$/;" f function:check_precond_inverse file: +rjust adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rjust(self, width, fillchar=' '):$/;" m class:chararray +rjust adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rjust(a, width, fillchar=' '):$/;" f +RK23 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class RK23(RungeKutta):$/;" c +RK45 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class RK45(RungeKutta):$/;" c +RkDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class RkDenseOutput(DenseOutput):$/;" c +rk_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^def rk_step(fun, t, y, f, h, A, B, C, K):$/;" f +RL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^RL = debugger_cli_common.RichLine$/;" v +RL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^RL = debugger_cli_common.RichLine$/;" v +RL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^RL = debugger_cli_common.RichLine$/;" v +RL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^RL = debugger_cli_common.RichLine$/;" v +RL adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^RL = debugger_cli_common.RichLine$/;" v +RLE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ RLE = core.RLE$/;" v +RLock adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ class RLock:$/;" c +RLock adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ class RLock:$/;" c +RLResolver adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^from pip._vendor.resolvelib import Resolver as RLResolver$/;" x +RMACRegionsOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rmac_regions_op_test.py /^class RMACRegionsOpTest(hu.HypothesisTestCase):$/;" c +rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def rmatmat(self, X):$/;" m class:LinearOperator +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, v):$/;" m class:asjacobian.Jac +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, f):$/;" m class:BroydenFirst +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, f):$/;" m class:DiagBroyden +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, f):$/;" m class:ExcitingMixing +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, f):$/;" m class:LinearMixing +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rmatvec(self, v):$/;" m class:LowRankMatrix +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def rmatvec(x):$/;" f function:left_multiplied_operator file: +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def rmatvec(x):$/;" f function:regularized_lsq_operator file: +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^ def rmatvec(x):$/;" f function:right_multiplied_operator file: +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/dogbox.py /^ def rmatvec(x):$/;" f function:lsmr_operator file: +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def rmatvec(self, x):$/;" m class:LinearOperator +rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def rmatvec(b):$/;" f function:check_precond_inverse file: +rmbadname adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def rmbadname(names):$/;" f +rmbadname1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def rmbadname1(name):$/;" f +rmsprop adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def rmsprop(grad, ms, mom, lr):$/;" f member:TestOperators.test_rmsprop_sgd file: +rmsprop adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def rmsprop(step_size, gamma=0.9, eps=1e-8):$/;" f +RMSprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class RMSprop(Optimizer):$/;" c +rmsprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^rmsprop = RMSprop$/;" v +RMSprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^class RMSprop(optimizer_v2.OptimizerV2):$/;" c +RMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^RMSProp = RMSprop$/;" v +RMSprop adpepsenv/lib/python3.8/site-packages/torch/optim/rmsprop.py /^class RMSprop(Optimizer):$/;" c +RMSprop adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rmsprop.py /^class RMSprop(Optimizer):$/;" c +RmsPropOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class RmsPropOptimizer(Optimizer):$/;" c +RMSPropOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^class RMSPropOptimizer(optimizer.Optimizer):$/;" c +RmsPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^RmsPropParameters = _reflection.GeneratedProtocolMessageType('RmsPropParameters', (_message.Mess/;" v +RMSPropParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class RMSPropParameters(_OptimizationParameters):$/;" c +RMSPropSlotVariableNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^RMSPropSlotVariableNames = collections.namedtuple('RMSPropSlotVariableNames',$/;" v +RMSPropSlotVariables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^RMSPropSlotVariables = collections.namedtuple('RMSPropSlotVariables',$/;" v +rmsprop_keras_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.keras.optimizer_v2 import rmsprop as rmsprop_keras_v2$/;" x +rmsprop_momentum adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def rmsprop_momentum(step_size, gamma=0.9, eps=1e-8, momentum=0.9):$/;" f +rmsprop_optimizer_keras_v2_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^rmsprop_optimizer_keras_v2_fn = combinations.NamedObject($/;" v +rmsprop_optimizer_v1_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^rmsprop_optimizer_v1_fn = combinations.NamedObject($/;" v +rmsprop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import rmsprop as rmsprop_v2$/;" x +rmsprop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^from tensorflow.python.keras.optimizer_v2 import rmsprop as rmsprop_v2$/;" x +rmsprop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^from tensorflow.python.keras.optimizer_v2 import rmsprop as rmsprop_v2$/;" x +rms_norm_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rms_norm_op_test.py /^ def rms_norm_ref(X, gamma, beta):$/;" f member:TestRMSNormOp.test_rms_norm file: +rmtree adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def rmtree(dir, ignore_errors=False):$/;" f +rmtree adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def rmtree(path, ignore_errors=False, onerror=None):$/;" f +rmtree adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def rmtree(path, ignore_errors=False, onerror=auto_chmod):$/;" f +rmtree adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_recursively_v2 as rmtree$/;" x +rmtree adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_recursively_v2 as rmtree$/;" x +rmtree adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import delete_recursively_v2 as rmtree$/;" x +rmtree_errorhandler adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def rmtree_errorhandler(func, path, exc_info):$/;" f +rmtree_safe adpepsenv/lib/python3.8/site-packages/setuptools/py27compat.py /^rmtree_safe = str if linux_py2_ascii else lambda x: x$/;" v +rmul_count adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ rmul_count = 0$/;" v class:TestUfunc.test_custom_array_like.MyThing +rmv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def rmv(x, dtype):$/;" f function:TestAsLinearOperator.setup_method.make_cases file: +rm_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def rm_file(f=target,p=self.info):$/;" f function:Configuration.make_hg_version_py.generate_hg_version_py file: +rm_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def rm_file(f=target,p=self.info):$/;" f function:Configuration.make_svn_version_py.generate_svn_version_py file: +Rng adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^Rng = Any # A random number generator$/;" v +rng adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def rng(self):$/;" m class:JaxTestCase +RNG adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class RNG:$/;" c +rng adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^rng = np.random.Generator(bit_gen)$/;" v +rng adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ rng = np.random.RandomState(seed=1179103485)$/;" v class:TestClarksonWoodruffTransform +RngReadAndSkip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^RngReadAndSkip = tf_export("raw_ops.RngReadAndSkip")(_ops.to_raw_op(rng_read_and_skip))$/;" v +RngSkip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^RngSkip = tf_export("raw_ops.RngSkip")(_ops.to_raw_op(rng_skip))$/;" v +RNG_ALG_PHILOX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^RNG_ALG_PHILOX = Algorithm.PHILOX.value$/;" v +RNG_ALG_THREEFRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^RNG_ALG_THREEFRY = Algorithm.THREEFRY.value$/;" v +RNG_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_DEFAULT = 0$/;" v +rng_integers adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def rng_integers(gen, low, high=None, size=None, dtype='int64',$/;" f +RNG_INVALID adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_INVALID = 0$/;" v +RNG_NORMAL adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_NORMAL = 2$/;" v +RNG_PHILOX adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_PHILOX = 2$/;" v +rng_read_and_skip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def rng_read_and_skip(resource, alg, delta, name=None):$/;" f +rng_read_and_skip_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def rng_read_and_skip_eager_fallback(resource, alg, delta, name, ctx):$/;" f +rng_skip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def rng_skip(resource, algorithm, delta, name=None):$/;" f +rng_skip_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def rng_skip_eager_fallback(resource, algorithm, delta, name, ctx):$/;" f +RNG_THREE_FRY adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_THREE_FRY = 1$/;" v +rng_uniform adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def rng_uniform(a, b, shape):$/;" f +RNG_UNIFORM adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^RNG_UNIFORM = 1$/;" v +rng_uniform_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^rng_uniform_p = Primitive("rng_uniform")$/;" v +RNN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RNN = 24$/;" v class:BuiltinOperator +rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def rnn(step_function,$/;" f +RNN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class RNN(Layer):$/;" c +rnn adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ import torch.backends.cudnn.rnn as rnn$/;" I member:RNNBase.flatten_parameters file: +RNN adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class RNN(RNNBase):$/;" c +RNNAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class RNNAttributes(SerializedAttributes.with_attributes($/;" c +RNNBase adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class RNNBase(Module):$/;" c +RNNBase adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class RNNBase(torch.nn.Module):$/;" c +RNNCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class RNNCell(object):$/;" c +RNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class RNNCell(base_layer.Layer):$/;" c +RNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^RNNCell = rnn_cell_impl.RNNCell$/;" v +RNNCell adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class RNNCell(RNNCellBase):$/;" c +RNNCell adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class RNNCell(RNNCellBase):$/;" c +RNNCellBase adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^class RNNCellBase(Module):$/;" c +RNNCellBase adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^class RNNCellBase(torch.nn.Module):$/;" c +RNNCellDeviceWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import DeviceWrapper as RNNCellDeviceWra/;" x +RNNCellDeviceWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import DeviceWrapper as RNNCellDeviceWra/;" x +RNNCellDropoutWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import DropoutWrapper as RNNCellDropoutW/;" x +RNNCellDropoutWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import DropoutWrapper as RNNCellDropoutW/;" x +RNNCellDynamicModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class RNNCellDynamicModel(torch.nn.Module):$/;" c +RNNCellResidualWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import ResidualWrapper as RNNCellResidua/;" x +RNNCellResidualWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.keras.layers.rnn_cell_wrapper_v2 import ResidualWrapper as RNNCellResidua/;" x +RNNCellTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^class RNNCellTest(hu.HypothesisTestCase):$/;" c +RNNClassifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^class RNNClassifier(RNNEstimator):$/;" c +RNNDynamicModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class RNNDynamicModel(torch.nn.Module):$/;" c +RNNEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^class RNNEstimator(estimator.Estimator):$/;" c +RNNModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^class RNNModel(models.Model):$/;" c +RNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RNNOptions = 7$/;" v class:BuiltinOptions +RNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RNNOptions(object):$/;" c +RNNOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RNNOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs): builder.PrependBoo/;" f +RNNOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RNNOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8S/;" f +RNNOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def RNNOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:RNNOptions +RNNOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RNNOptionsEnd(builder): return builder.EndObject()$/;" f +RNNOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def RNNOptionsStart(builder): builder.StartObject(2)$/;" f +RNNOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class RNNOptionsT(object):$/;" c +RNNSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^class RNNSavedModelSaver(LayerSavedModelSaver):$/;" c +RnnState adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^RnnState = _reflection.GeneratedProtocolMessageType('RnnState', (_message.Message,), {$/;" v +rnn_bidirectional_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def rnn_bidirectional_layer($/;" f +rnn_cell_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def rnn_cell_fn():$/;" f function:_make_rnn_cell_fn file: +rnn_executor_opt adpepsenv/lib/python3.8/site-packages/caffe2/python/lstm_benchmark.py /^ rnn_executor_opt = 1 if args.rnn_executor else 0$/;" v +rnn_relu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^rnn_relu = _one_hidden_rnn('RNN_RELU')$/;" v +rnn_tanh adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^rnn_tanh = _one_hidden_rnn('RNN_TANH')$/;" v +rnn_unidirectional_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^def rnn_unidirectional_layer($/;" f +rnn_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^from tensorflow.python.keras.layers import recurrent as rnn_v1$/;" x +rnn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^from tensorflow.python.keras.layers import recurrent_v2 as rnn_v2$/;" x +ROAIPAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^class ROAIPAddress(univ.Sequence):$/;" c +ROAIPAddressFamily adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^class ROAIPAddressFamily(univ.Sequence):$/;" c +robotparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +robotparser adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +robotparser adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +robotparser adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +robotparser adpepsenv/lib/python3.8/site-packages/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +robotparser adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ robotparser = _importer._get_module("moves.urllib_robotparser")$/;" v class:Module_six_moves_urllib +ROC adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ ROC = 'ROC'$/;" v class:AUCCurve +ROCKWELL_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ROCKWELL_ADPCM = 0x003B$/;" v class:WAVE_FORMAT +ROCKWELL_DIGITALK adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ROCKWELL_DIGITALK = 0x003C$/;" v class:WAVE_FORMAT +ROCM_HOME adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ROCM_HOME = _find_rocm_home()$/;" v +ROCM_VERSION adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ ROCM_VERSION = tuple(int(v) for v in torch.version.hip.split('.')[:2])$/;" v +ROCM_VERSION adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ROCM_VERSION = None$/;" v +rocsolver adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^ rocsolver = None$/;" v +rogerstanimoto adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def rogerstanimoto(u, v, w=None):$/;" f +RoIAlignRotatedOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^class RoIAlignRotatedOp(hu.HypothesisTestCase):$/;" c +roialign_flip adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def roialign_flip(m, axis):$/;" f member:RoIAlignRotatedOp.test_simple_rotations file: +roialign_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def roialign_ref(X, R):$/;" f member:RoIAlignRotatedOp.test_horizontal_rois file: +roialign_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def roialign_ref(X, R):$/;" f member:RoIAlignRotatedOp.test_simple_rotations file: +roialign_rot90 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def roialign_rot90(m, k=1, axes=(0,1)):$/;" f member:RoIAlignRotatedOp.test_simple_rotations file: +roi_align_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def roi_align_ref(_feature, _rois):$/;" f member:TorchIntegration._test_roi_align file: +roi_align_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def roi_align_ref(_feature, _rois):$/;" f member:TorchIntegration._test_roi_align_rotated file: +RoleSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class RoleSyntax(univ.Sequence):$/;" c +RoleSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class RoleSyntax(univ.Sequence):$/;" c +roll adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def roll(a, shift, axis: Optional[Union[int, Sequence[int]]] = None):$/;" f +roll adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def roll(a, shift, axis=None):$/;" f +roll adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^def roll(input, shift, axis, name=None):$/;" f +Roll adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^Roll = tf_export("raw_ops.Roll")(_ops.to_raw_op(roll))$/;" v +roll adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/manip_ops.py /^def roll(input, shift, axis, name=None): # pylint: disable=redefined-builtin$/;" f +roll adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def roll(a, shift, axis=None): # pylint: disable=missing-docstring$/;" f +rollaxis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def rollaxis(a, axis: int, start=0):$/;" f +rollaxis adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def rollaxis(a, axis, start=0):$/;" f +rollback adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def rollback(self):$/;" m class:StashedUninstallPathSet +rollback adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def rollback(self):$/;" m class:UninstallPathSet +rollback adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def rollback(self):$/;" m class:UninstallPthEntries +rollback adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def rollback(self):$/;" m class:FileOperator +rolled_loop_step adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def rolled_loop_step(i, state):$/;" f +roll_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^def roll_eager_fallback(input, shift, axis, name, ctx):$/;" f +romb adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def romb(y, dx=1.0, axis=-1, show=False):$/;" f +romberg adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def romberg(function, a, b, args=(), tol=1.48e-8, rtol=1.48e-8, show=False,$/;" f +Root adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^class Root(object):$/;" c +root adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def root(fun, x0, args=(), method='hybr', jac=None, tol=None, callback=None,$/;" f +Root adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^class Root(object):$/;" c +root adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def root(self):$/;" m class:_SaveableView +root adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def root(self):$/;" m class:ObjectGraphView +rootf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ rootf = lambda a: lambda n, mu: sc.roots_gegenbauer(n, a, mu)$/;" f function:test_roots_gegenbauer file: +rootf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ rootf = lambda a: lambda n, mu: sc.roots_genlaguerre(n, a, mu)$/;" f function:test_roots_genlaguerre file: +RootFolder adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^RootFolder = C.root_folder$/;" v +rootfunc adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def rootfunc(ab, xj, N):$/;" f function:anderson file: +rootfunc adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def rootfunc(lmbda, data, target):$/;" f function:_boxcox_conf_interval file: +RootMeanSquaredError adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class RootMeanSquaredError(Mean):$/;" c +RootResults adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^class RootResults(object):$/;" c +roots adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^def roots(p, *, strip_zeros=True):$/;" f +roots adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def roots(self):$/;" m class:poly1d +roots adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def roots(p):$/;" f +roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def roots(self):$/;" m class:ABCPolyBase +roots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def roots(self):$/;" m class:UnivariateSpline +roots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def roots(self, discontinuity=True, extrapolate=None):$/;" m class:PPoly +RootScope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^class RootScope(Scope):$/;" c +roots_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_chebyc(n, mu=False):$/;" f +roots_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_chebys(n, mu=False):$/;" f +roots_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_chebyt(n, mu=False):$/;" f +roots_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_chebyu(n, mu=False):$/;" f +roots_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_gegenbauer(n, alpha, mu=False):$/;" f +roots_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_genlaguerre(n, alpha, mu=False):$/;" f +roots_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_hermite(n, mu=False):$/;" f +roots_hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_hermitenorm(n, mu=False):$/;" f +roots_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_jacobi(n, alpha, beta, mu=False):$/;" f +roots_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_laguerre(n, mu=False):$/;" f +roots_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_legendre(n, mu=False):$/;" f +roots_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_sh_chebyt(n, mu=False):$/;" f +roots_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_sh_chebyu(n, mu=False):$/;" f +roots_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_sh_jacobi(n, p1, q1, mu=False):$/;" f +roots_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def roots_sh_legendre(n, mu=False):$/;" f +ROOT_DIR adpeps/__init__.py /^ROOT_DIR = os.path.dirname(os.path.abspath(__file__))$/;" v +root_mean_squared_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def root_mean_squared_error(labels,$/;" f +ROOT_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^ROOT_METHODS = ['hybr', 'lm', 'broyden1', 'broyden2', 'anderson',$/;" v +root_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def root_scalar(f, args=(), method=None, bracket=None,$/;" f +ROOT_SCALAR_METHODS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ROOT_SCALAR_METHODS = ['bisect', 'brentq', 'brenth', 'ridder', 'toms748',$/;" v +root_scheme_file_maker adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def root_scheme_file_maker(zip_file, dest):$/;" f function:_install_wheel file: +rop_impl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def rop_impl(self, other):$/;" f member:TestBinop.test_ufunc_binop_interaction file: +rosen adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def rosen(x):$/;" f +Rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^class Rosenbrock:$/;" c +Rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class Rosenbrock:$/;" c +rosen_der adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def rosen_der(x):$/;" f +rosen_der_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def rosen_der_wrapper(self, x, args=()):$/;" m class:TestDualAnnealing +rosen_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def rosen_hess(x):$/;" f +rosen_hess_prod adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def rosen_hess_prod(x, p):$/;" f +rot90 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def rot90(m, k=1, axes=(0, 1)):$/;" f +rot90 adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def rot90(m, k=1, axes=(0, 1)):$/;" f +rot90 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def rot90(image, k=1, name=None):$/;" f +rot90 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def rot90(m, k=1, axes=(0, 1)): # pylint: disable=missing-docstring$/;" f +rotate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def rotate(self, theta):$/;" m class:Affine2D +rotate adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def rotate($/;" m class:Image +rotate adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def rotate(input, angle, axes=(1, 0), reshape=True, output=None, order=3,$/;" f +rotate adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^class rotate(Command):$/;" c +rotated adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def rotated(self, radians):$/;" m class:BboxBase +ROTATE_180 adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ROTATE_180 = 3$/;" v +ROTATE_270 adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ROTATE_270 = 4$/;" v +ROTATE_90 adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ROTATE_90 = 2$/;" v +rotate_around adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def rotate_around(self, x, y, theta):$/;" m class:Affine2D +rotate_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def rotate_axes(xs, ys, zs, zdir):$/;" f +rotate_d2V adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ rotate_d2V = np.array([[1., 0., 0.], [0., 1., 0.], [ 0., 0., 1.],$/;" v class:_ReducedHCT_Element +rotate_deg adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def rotate_deg(self, degrees):$/;" m class:Affine2D +rotate_deg_around adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def rotate_deg_around(self, x, y, degrees):$/;" m class:Affine2D +rotate_dV adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ rotate_dV = np.array([[ 1., 0.], [ 0., 1.],$/;" v class:_ReducedHCT_Element +rotate_left adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^rotate_left = _make_rotate_left(np.uint32)$/;" v +rotate_list adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def rotate_list(xs):$/;" f +rotate_refresh_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def rotate_refresh_token(self, request):$/;" m class:RequestValidator +rotate_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def rotate_transpose(x, shift, name="rotate_transpose"):$/;" f +RotationSpline adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^class RotationSpline(object):$/;" c +ROTATION_MATRIX adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ROTATION_MATRIX = [$/;" v +rot_x adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def rot_x(V, alpha):$/;" f +round adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def round(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +round adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def round(x):$/;" f +round adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def round(x: Array,$/;" f +round adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def round(a, decimals=0, out=None):$/;" f +Round adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Round(_Base):$/;" c class:BoxStyle +round adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from .fromnumeric import amax as max, amin as min, round_ as round$/;" x +round adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def round(self, decimals=0, out=None):$/;" m class:MaskedArray +round adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^round = round_$/;" v +round adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double round(double x0) nogil$/;" f +ROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ROUND = array([0.5,1.5,2.5,3.5,4.5,5.5,6.5,7.5,8.5], float)$/;" v +round adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^round = _unary_op(math_ops.round)$/;" v +ROUND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ROUND = 116$/;" v class:BuiltinOperator +round adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def round(x):$/;" f +round adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def round(x, name=None):$/;" f +Round adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Round = tf_export("raw_ops.Round")(_ops.to_raw_op(round))$/;" v +round adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def round(x, name=None): # pylint: disable=redefined-builtin$/;" f +round adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/__init__.py /^def round(a, decimals=0):$/;" f +round adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def round(g, self):$/;" f +Round4 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Round4(_Base):$/;" c class:BoxStyle +rounded_sign adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^ def rounded_sign(x):$/;" f function:signm file: +RoundingMethod adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^class RoundingMethod(IntEnum):$/;" c +Roundtooth adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Roundtooth(Sawtooth):$/;" c class:BoxStyle +roundtrip adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^def roundtrip(filename, output=sys.stdout):$/;" f +roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def roundtrip(arr):$/;" f +roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def roundtrip(self, *args, **kwargs):$/;" m class:TestSaveLoad +roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def roundtrip(self, *args, **kwargs):$/;" m class:TestSavezLoad +roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def roundtrip(self, save_func, *args, **kwargs):$/;" m class:RoundtripTest +RoundtripTest adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class RoundtripTest:$/;" c +roundtrip_randsize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def roundtrip_randsize(arr):$/;" f +roundtrip_recursive adpepsenv/lib/python3.8/site-packages/astunparse/__main__.py /^def roundtrip_recursive(target, dump_tree=False):$/;" f +roundtrip_truncated adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def roundtrip_truncated(arr):$/;" f +round_ adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def round_(a, decimals=0, out=None):$/;" f +round_ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def round_(a, decimals=0, out=None):$/;" f +round_aspect adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def round_aspect(number, key):$/;" f member:Image.thumbnail file: +round_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def round_eager_fallback(x, name, ctx):$/;" f +round_filters adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^ def round_filters(filters, divisor=depth_divisor):$/;" f function:EfficientNet file: +round_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^round_p = standard_unop(_float, 'round')$/;" v +round_repeats adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^ def round_repeats(repeats):$/;" f function:EfficientNet file: +round_to_nearest adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^round_to_nearest = np.vectorize(round)$/;" v +round_to_nearest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^round_to_nearest = np.vectorize(round)$/;" v +round_to_nearest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^round_to_nearest = np.vectorize(round)$/;" v +RouteOriginAttestation adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^class RouteOriginAttestation(univ.Sequence):$/;" c +routine adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def routine(*a, **kw):$/;" f member:TestOptimizeSimple.test_minimize_callback_copies_array file: +routine_end_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^routine_end_re = re.compile(r'\\n\\s*end\\s*(subroutine|function)\\b.*(\\n|\\Z)', re.I)$/;" v +routine_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^routine_rules = {$/;" v +routine_start_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^routine_start_re = re.compile(r'(\\n|\\A)(( (\\$|\\*))|)\\s*(subroutine|function)\\b', re.I)$/;" v +RoutingException adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RoutingException(Exception):$/;" c +routsign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def routsign2map(rout):$/;" f +rout_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^rout_rules = [$/;" v +row adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def row(self):$/;" m class:LinearOperatorToeplitz +RowLengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ RowLengths = collections.namedtuple("RowLengths", ["key"])$/;" v class:RaggedFeature +RowLimits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ RowLimits = collections.namedtuple("RowLimits", ["key"])$/;" v class:RaggedFeature +rowmux adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^def rowmux(select_vec, left, right):$/;" f +rowNum adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def rowNum(self):$/;" m class:SubplotBase +RowPartition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^class RowPartition(composite_tensor.CompositeTensor):$/;" c +RowPartitionSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^class RowPartitionSpec(type_spec.TypeSpec):$/;" c +rows adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def rows(self):$/;" m class:MMFile +rowspan adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def rowspan(self):$/;" m class:SubplotSpec +ROWSPERSTRIP adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ROWSPERSTRIP = 278$/;" v +RowSplits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ RowSplits = collections.namedtuple("RowSplits", ["key"])$/;" v class:RaggedFeature +RowStarts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ RowStarts = collections.namedtuple("RowStarts", ["key"])$/;" v class:RaggedFeature +RowWiseDNNLowPFullyConnectedOpTest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_rowwise_dnnlowp_op_test.py /^class RowWiseDNNLowPFullyConnectedOpTest(hu.HypothesisTestCase):$/;" c +rowwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def rowwise_max(X):$/;" f member:TestReductionOps.test_rowwise_max file: +row_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def row_count(self):$/;" m class:ProfileDataTableView +row_fn adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def row_fn(m: common.Measurement) -> Tuple[int, Optional[str], str]:$/;" m class:Table +row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def row_lengths(self, axis=1, name=None):$/;" m class:RaggedTensor +row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def row_lengths(self):$/;" m class:RowPartition +row_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def row_limits(self, name=None):$/;" m class:RaggedTensor +row_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def row_limits(self):$/;" m class:RowPartition +row_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def row_partitions(self):$/;" m class:StructuredTensor +row_separator adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def row_separator(self, overall_width):$/;" m class:_Row +row_space adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def row_space(x):$/;" f function:augmented_system_projections file: +row_space adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def row_space(x):$/;" f function:normal_equation_projections file: +row_space adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def row_space(x):$/;" f function:qr_factorization_projections file: +row_space adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ def row_space(x):$/;" f function:svd_factorization_projections file: +row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def row_splits(self):$/;" m class:RaggedTensor +row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ row_splits = property($/;" v class:RaggedTensorValue +row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def row_splits(self):$/;" m class:RowPartition +row_splits_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def row_splits_dtype(self):$/;" m class:RaggedTensorSpec +row_splits_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ row_splits_dtype = property(lambda self: self._row_splits_dtype)$/;" v class:RaggedTensorType +row_splits_to_segment_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/segment_id_ops.py /^def row_splits_to_segment_ids(splits, name=None, out_type=None):$/;" f +row_stack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^row_stack = vstack$/;" v +row_stack adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^row_stack = vstack$/;" v +row_starts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def row_starts(self, name=None):$/;" m class:RaggedTensor +row_starts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def row_starts(self):$/;" m class:RowPartition +row_str adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def row_str(rel_diff, diff_seconds, measurement):$/;" f +RPAREN adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^RPAREN = L(")").suppress()$/;" v +RPAREN adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^RPAREN = L(")").suppress()$/;" v +RPAREN adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^RPAREN = L(")").suppress()$/;" v +RPAREN adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^RPAREN = L(")").suppress()$/;" v +RPAREN adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^RPAREN = L(")").suppress()$/;" v +RPAREN adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^RPAREN = L(")").suppress()$/;" v +rpartition adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rpartition(self, sep):$/;" m class:chararray +rpartition adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rpartition(a, sep):$/;" f +rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^import torch.distributed.rpc as rpc$/;" I +rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^import torch.distributed.rpc as rpc$/;" I +RpcAgentTestFixture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^class RpcAgentTestFixture(ABC):$/;" c +RpcChannel adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^class RpcChannel(object):$/;" c +RpcContext adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class RpcContext(metaclass=ABCMeta):$/;" c +RpcContext adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class RpcContext(six.with_metaclass(abc.ABCMeta)):$/;" c +RpcContext adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class RpcContext(six.with_metaclass(abc.ABCMeta)):$/;" c +RpcController adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^class RpcController(object):$/;" c +RpcError adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class RpcError(Exception):$/;" c +RpcException adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^class RpcException(Exception):$/;" c +RPCExecMode adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^class RPCExecMode(Enum):$/;" c +RpcMethodHandler adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^class RpcMethodHandler($/;" c +RpcMethodHandler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class RpcMethodHandler(six.with_metaclass(abc.ABCMeta)):$/;" c +RPCOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^RPCOptions = _reflection.GeneratedProtocolMessageType('RPCOptions', (_message.Message,), {$/;" v +RPCOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^RPCOptions = _reflection.GeneratedProtocolMessageType('RPCOptions', (_message.Message,), {$/;" v +RpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class RpcTest(RpcAgentTestFixture):$/;" c +rpc_async adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def rpc_async(to, func, args=None, kwargs=None, timeout=UNSET_RPC_TIMEOUT):$/;" f +RPC_ASYNC adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ RPC_ASYNC = 4 # Run the operation using rpc_async$/;" v class:ExecMode +rpc_async_call_future_ret adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rpc_async_call_future_ret($/;" f +rpc_async_call_remote_nonexisting_torchscript_in_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def rpc_async_call_remote_nonexisting_torchscript_in_torchscript($/;" f member:JitRpcOpTest.test_call_script_function_that_not_exists_remotely_from_script file: +rpc_async_call_remote_py_function_in_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def rpc_async_call_remote_py_function_in_torchscript(dst_worker_name: str):$/;" f member:JitRpcOpTest.test_call_python_function_remotely_from_script_not_supported file: +rpc_async_call_remote_raising_torchscript_in_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def rpc_async_call_remote_raising_torchscript_in_torchscript($/;" f member:JitRpcOpTest.test_call_script_function_that_raises_remotely_from_script file: +rpc_async_call_with_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rpc_async_call_with_timeout($/;" f +rpc_async_call_with_timeout_future_ret adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rpc_async_call_with_timeout_future_ret($/;" f +rpc_async_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^def rpc_async_method(method, obj_rref, *args, **kwargs):$/;" f +rpc_async_with_rref_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rpc_async_with_rref_arg(dst_worker_name, args):$/;" f +rpc_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def rpc_backend(self):$/;" m class:FaultyRpcAgentTestFixture +rpc_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^ def rpc_backend(self):$/;" m class:ProcessGroupRpcAgentTestFixture +rpc_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def rpc_backend(self):$/;" m class:RpcAgentTestFixture +rpc_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^ def rpc_backend(self):$/;" m class:TensorPipeRpcAgentTestFixture +rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def rpc_backend_options(self):$/;" m class:FaultyRpcAgentTestFixture +rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^ def rpc_backend_options(self):$/;" m class:ProcessGroupRpcAgentTestFixture +rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/process_group_agent_test_fixture.py /^ def rpc_backend_options(self, new_rpc_backend_options):$/;" m class:ProcessGroupRpcAgentTestFixture +rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def rpc_backend_options(self):$/;" m class:RpcAgentTestFixture +rpc_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^ def rpc_backend_options(self):$/;" m class:TensorPipeRpcAgentTestFixture +rpc_constants adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^from . import constants as rpc_constants$/;" x +rpc_constants adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^from torch.distributed.rpc import constants as rpc_constants$/;" x +rpc_contants adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/options.py /^from . import constants as rpc_contants$/;" x +rpc_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^rpc_done = [False, False, False, False]$/;" v +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def rpc_layer(self):$/;" m class:SimpleClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def rpc_layer(self):$/;" m class:UnionClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def rpc_layer(self, rpc_layer):$/;" m class:SimpleClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def rpc_layer(self, rpc_layer):$/;" m class:UnionClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def rpc_layer(self):$/;" m class:GCEClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def rpc_layer(self, rpc_layer):$/;" m class:GCEClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def rpc_layer(self):$/;" m class:SageMakerClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def rpc_layer(self, rpc_layer):$/;" m class:SageMakerClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def rpc_layer(self):$/;" m class:TFConfigClusterResolver +rpc_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def rpc_layer(self, rpc_layer):$/;" m class:TFConfigClusterResolver +rpc_return_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rpc_return_rref(dst):$/;" f +rpc_return_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def rpc_return_rref(dst):$/;" f +rpc_sync adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def rpc_sync(to, func, args=None, kwargs=None, timeout=UNSET_RPC_TIMEOUT):$/;" f +RPC_SYNC adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ RPC_SYNC = 2 # Run the operation using rpc_sync$/;" v class:ExecMode +rpc_with_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def rpc_with_profiling(dst_worker):$/;" f member:RpcTest.test_profiler_rpc_key_names file: +Rprop adpepsenv/lib/python3.8/site-packages/torch/optim/rprop.py /^class Rprop(Optimizer):$/;" c +Rprop adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rprop.py /^class Rprop(Optimizer):$/;" c +rpsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/_gcrotmk.py /^ rpsolve = lambda x: x$/;" f function:_fgmres file: +rq adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_qr.py /^def rq(a, overwrite_a=False, lwork=None, mode='full', check_finite=True):$/;" f +rq_tuple adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^ def rq_tuple(tpl, name):$/;" f function:fill_dcpl file: +rr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def rr(self, A, b):$/;" m class:TestRRID +rr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def rr(self, A, b):$/;" m class:TestRRPivotDense +rr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def rr(self, A, b):$/;" m class:TestRRPivotSparse +rr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def rr(self, A, b):$/;" m class:TestRRSVD +RRCache adpepsenv/lib/python3.8/site-packages/cachetools/rr.py /^class RRCache(Cache):$/;" c +RRCommonTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^class RRCommonTests(object):$/;" c +RRef adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ class RRef(PyRRef, GenericWithOneTypeVar):$/;" c +RRef adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ class RRef(PyRRef, GenericWithOneTypeVar, metaclass=RRefMeta):$/;" c +RRefAPITest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class RRefAPITest:$/;" c +RRefMeta adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ class RRefMeta(PyRRef.__class__, GenericWithOneTypeVar.__class__):$/;" c +RRefProxy adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^class RRefProxy:$/;" c +RRefTypingTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^class RRefTypingTest:$/;" c +rref_forward_chain adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def rref_forward_chain(dst, world_size, rref, ttl):$/;" f +rref_list_mutate adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rref_list_mutate(rref: RRef[List[int]]) -> None:$/;" f +rref_local_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rref_local_value(rref: RRef[Tensor]) -> Tensor:$/;" f +rref_python_annotation adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rref_python_annotation(rref_var: RRef[Tensor]) -> RRef[Tensor]:$/;" f +rref_script_annotation adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rref_script_annotation(rref_var: RRef[Tensor]) -> Tensor:$/;" f +rref_tensor_is_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def rref_tensor_is_owner(rref_var: RRef[Tensor]) -> bool:$/;" f member:RRefAPITest.test_rref_is_owner file: +rref_to_here adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def rref_to_here(rref_var: RRef[Tensor]) -> Tensor:$/;" f +rref_to_here adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rref_to_here(rref_var):$/;" f +rref_to_here_with_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def rref_to_here_with_timeout(rref_var, timeout):$/;" f +rrelu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def rrelu(input, lower=1. \/ 8, upper=1. \/ 3, training=False, inplace=False):$/;" f +RReLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class RReLU(Module):$/;" c +rrelu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def rrelu(g, input, lower, upper, training, generator):$/;" f +rrelu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^rrelu_ = _add_docstr(torch.rrelu_, r"""$/;" v +RRTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class RRTests(object):$/;" c +rrule adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def rrule(self, rrule):$/;" m class:rruleset +rrule adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class rrule(rrulebase):$/;" c +rrulebase adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class rrulebase(object):$/;" c +RRuleLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class RRuleLocator(DateLocator):$/;" c +rruleset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class rruleset(rrulebase):$/;" c +rrulestr adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^rrulestr = _rrulestr()$/;" v +rrulewrapper adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class rrulewrapper:$/;" c +rr_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def rr_cache(maxsize=128, choice=random.choice, typed=False):$/;" f +rs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def rs():$/;" f member:TestRegression.test_noncontiguous_fill file: +rs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def rs():$/;" f member:TestRegression.test_object_casting file: +rsadsi adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^rsadsi = _OID(1, 2, 840, 113549)$/;" v +rsadsi adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^rsadsi = _OID(1, 2, 840, 113549)$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^rsaEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.1')$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^rsaEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.1')$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^rsaEncryption = _OID(pkcs_1, 1)$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rsaEncryption = rfc4055.rsaEncryption$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rsaEncryption = _OID(1, 2, 840, 113549, 1, 1, 1)$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^rsaEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.1')$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^rsaEncryption = rfc3279.rsaEncryption$/;" v +rsaEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^rsaEncryption = rfc2437.rsaEncryption$/;" v +rSAES_OAEP_Default_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_Default_Identifier = rfc4055.rSAES_OAEP_Default_Identifier$/;" v +rSAES_OAEP_Default_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_Default_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSAES_OAEP_Default_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^rSAES_OAEP_Default_Identifier = rfc4055.rSAES_OAEP_Default_Identifier$/;" v +rSAES_OAEP_Default_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_Default_Params = RSAES_OAEP_params()$/;" v +rSAES_OAEP_Default_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_Default_Params = RSAES_OAEP_params()$/;" v +RSAES_OAEP_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^class RSAES_OAEP_params(univ.Sequence):$/;" c +RSAES_OAEP_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^class RSAES_OAEP_params(rfc4055.RSAES_OAEP_params):$/;" c +RSAES_OAEP_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^class RSAES_OAEP_params(univ.Sequence):$/;" c +RSAES_OAEP_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^RSAES_OAEP_params = rfc4055.RSAES_OAEP_params$/;" v +rSAES_OAEP_SHA224_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA224_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSAES_OAEP_SHA224_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA224_Params = RSAES_OAEP_params()$/;" v +rSAES_OAEP_SHA256_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA256_Identifier = rfc4055.rSAES_OAEP_SHA256_Identifier$/;" v +rSAES_OAEP_SHA256_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA256_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSAES_OAEP_SHA256_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA256_Params = rfc4055.rSAES_OAEP_SHA256_Params$/;" v +rSAES_OAEP_SHA256_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA256_Params = RSAES_OAEP_params()$/;" v +rSAES_OAEP_SHA384_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA384_Identifier = rfc4055.rSAES_OAEP_SHA384_Identifier$/;" v +rSAES_OAEP_SHA384_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA384_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSAES_OAEP_SHA384_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA384_Params = rfc4055.rSAES_OAEP_SHA384_Params$/;" v +rSAES_OAEP_SHA384_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA384_Params = RSAES_OAEP_params()$/;" v +rSAES_OAEP_SHA512_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA512_Identifier = rfc4055.rSAES_OAEP_SHA512_Identifier$/;" v +rSAES_OAEP_SHA512_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA512_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSAES_OAEP_SHA512_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^rSAES_OAEP_SHA512_Params = rfc4055.rSAES_OAEP_SHA512_Params$/;" v +rSAES_OAEP_SHA512_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSAES_OAEP_SHA512_Params = RSAES_OAEP_params()$/;" v +RsaKemParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class RsaKemParameters(univ.Sequence):$/;" c +RSAKeyCapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class RSAKeyCapabilities(univ.Sequence):$/;" c +RSAKeySize adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class RSAKeySize(univ.Integer):$/;" c +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def rsample(self, sample_shape=()):$/;" m class:Beta +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Cauchy +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:ContinuousBernoulli +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def rsample(self, sample_shape=()):$/;" m class:Dirichlet +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Distribution +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Exponential +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def rsample(self, sample_shape=torch.Size(())):$/;" m class:FisherSnedecor +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Gamma +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Independent +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Laplace +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:LowRankMultivariateNormal +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:MultivariateNormal +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Normal +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:LogitRelaxedBernoulli +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:ExpRelaxedCategorical +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:StudentT +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:TransformedDistribution +rsample adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def rsample(self, sample_shape=torch.Size()):$/;" m class:Uniform +rsaOAEPEncryptionSET adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^rsaOAEPEncryptionSET = univ.ObjectIdentifier('1.2.840.113549.1.1.6')$/;" v +RSAPrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^class RSAPrivateKey(univ.Sequence):$/;" c +RSAPrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^class RSAPrivateKey(univ.Sequence):$/;" c +RSAPrivateKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^RSAPrivateKey = rfc3447.RSAPrivateKey$/;" v +RSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^class RSAPublicKey(univ.Sequence):$/;" c +RSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class RSAPublicKey(univ.Sequence):$/;" c +RSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^class RSAPublicKey(univ.Sequence):$/;" c +RSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^RSAPublicKey = rfc3279.RSAPublicKey$/;" v +RSAPublicKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^RSAPublicKey = rfc2437.RSAPublicKey$/;" v +RSASigner adpepsenv/lib/python3.8/site-packages/google/auth/crypt/rsa.py /^ RSASigner = _cryptography_rsa.RSASigner$/;" v +RSASigner adpepsenv/lib/python3.8/site-packages/google/auth/crypt/rsa.py /^ RSASigner = _python_rsa.RSASigner$/;" v +RSASigner adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^class RSASigner(base.Signer, base.FromServiceAccountMixin):$/;" c +RSASigner adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^class RSASigner(base.Signer, base.FromServiceAccountMixin):$/;" c +RSASigner adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^RSASigner = rsa.RSASigner$/;" v +rSASSA_PSS_Default_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_Default_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSASSA_PSS_Default_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^rSASSA_PSS_Default_Identifier = rfc4055.rSASSA_PSS_Default_Identifier$/;" v +rSASSA_PSS_Default_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_Default_Params = RSASSA_PSS_params()$/;" v +RSASSA_PSS_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^class RSASSA_PSS_params(univ.Sequence):$/;" c +RSASSA_PSS_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^RSASSA_PSS_params = rfc4055.RSASSA_PSS_params$/;" v +rSASSA_PSS_SHA224_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA224_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSASSA_PSS_SHA224_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA224_Params = RSASSA_PSS_params()$/;" v +rSASSA_PSS_SHA256_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA256_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSASSA_PSS_SHA256_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA256_Params = RSASSA_PSS_params()$/;" v +rSASSA_PSS_SHA384_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA384_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSASSA_PSS_SHA384_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA384_Params = RSASSA_PSS_params()$/;" v +rSASSA_PSS_SHA512_Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA512_Identifier = rfc5280.AlgorithmIdentifier()$/;" v +rSASSA_PSS_SHA512_Params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^rSASSA_PSS_SHA512_Params = RSASSA_PSS_params()$/;" v +RsaSsa_Pss_sig_caps adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^class RsaSsa_Pss_sig_caps(univ.Sequence):$/;" c +RSAVerifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/rsa.py /^ RSAVerifier = _cryptography_rsa.RSAVerifier$/;" v +RSAVerifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/rsa.py /^ RSAVerifier = _python_rsa.RSAVerifier$/;" v +RSAVerifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^class RSAVerifier(base.Verifier):$/;" c +RSAVerifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^class RSAVerifier(base.Verifier):$/;" c +RSAVerifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^RSAVerifier = rsa.RSAVerifier$/;" v +rsa_kem adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^rsa_kem = AlgorithmIdentifier()$/;" v +rsf2csf adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^def rsf2csf(T, Z, check_finite=True):$/;" f +rsh adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def rsh(data, points=None):$/;" f +rshft_0 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ rshft_0 = np.eye(4)$/;" v class:TestMatrixPower +rshft_1 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ rshft_1 = rshft_0[[3, 0, 1, 2]]$/;" v class:TestMatrixPower +rshft_2 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ rshft_2 = rshft_0[[2, 3, 0, 1]]$/;" v class:TestMatrixPower +rshft_3 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ rshft_3 = rshft_0[[1, 2, 3, 0]]$/;" v class:TestMatrixPower +rshft_all adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ rshft_all = [rshft_0, rshft_1, rshft_2, rshft_3]$/;" v class:TestMatrixPower +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, v, tol=0):$/;" m class:asjacobian.Jac +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, f, tol=0):$/;" m class:BroydenFirst +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, f, tol=0):$/;" m class:DiagBroyden +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, f, tol=0):$/;" m class:ExcitingMixing +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, f, tol=0):$/;" m class:LinearMixing +rsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def rsolve(self, v, tol=0):$/;" m class:LowRankMatrix +rsplit adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rsplit(self, sep=None, maxsplit=None):$/;" m class:chararray +rsplit adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rsplit(a, sep=None, maxsplit=None):$/;" f +rsqrt adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def rsqrt(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +rsqrt adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^rsqrt = lambda x: np.ones_like(x) \/ np.sqrt(x)$/;" f +rsqrt adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def rsqrt(x: Array) -> Array:$/;" f +RSQRT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ RSQRT = 76$/;" v class:BuiltinOperator +rsqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rsqrt(x, name=None):$/;" f +Rsqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Rsqrt = tf_export("raw_ops.Rsqrt")(_ops.to_raw_op(rsqrt))$/;" v +rsqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def rsqrt(x, name=None):$/;" f +rsqrt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def rsqrt(g, self):$/;" f +RsqrtGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^RsqrtGrad = tf_export("raw_ops.RsqrtGrad")(_ops.to_raw_op(rsqrt_grad))$/;" v +rsqrt_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rsqrt_eager_fallback(x, name, ctx):$/;" f +rsqrt_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rsqrt_grad(y, dy, name=None):$/;" f +rsqrt_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def rsqrt_grad_eager_fallback(y, dy, name, ctx):$/;" f +rsqrt_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^rsqrt_p = standard_unop(_float | _complex, 'rsqrt')$/;" v +rsqrt_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def rsqrt_ref(X):$/;" f member:TestElementwiseOps.test_rsqrt file: +rstate adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ rstate = np.random.RandomState(1234)$/;" v class:Test_DCTN_IDCTN +rstate adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ rstate = np.random.RandomState(1234)$/;" v class:Test_DCTN_IDCTN +rstrip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def rstrip(self, chars=None):$/;" m class:chararray +rstrip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def rstrip(a, chars=None):$/;" f +rsub adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def rsub(g, self, other, alpha=None):$/;" f +RT24 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ RT24 = 0x0052$/;" v class:WAVE_FORMAT +RTL_BIDI_RANGES adpepsenv/lib/python3.8/site-packages/markdown/util.py /^RTL_BIDI_RANGES = ($/;" v +rtol adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ rtol = 1e-5$/;" v class:TestAllclose +rtol adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ rtol = 1e-5$/;" v class:TestIsclose +rtol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ rtol = 1e-5$/;" v class:ODE +RTOL adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^XTOL, RTOL, MITR = 0.001, 0.001, 10$/;" v +RubberbandBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class RubberbandBase(ToolBase):$/;" c +RubberbandGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class RubberbandGTK3(backend_tools.RubberbandBase):$/;" c +RubberbandQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class RubberbandQt(backend_tools.RubberbandBase):$/;" c +RubberbandTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class RubberbandTk(backend_tools.RubberbandBase):$/;" c +RubberbandWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ class RubberbandWx(backend_tools.RubberbandBase):$/;" c +Rule adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Rule(Box):$/;" c +Rule adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^class Rule(object):$/;" c +Rule adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class Rule(RuleFactory):$/;" c +RuleFactory adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RuleFactory(object):$/;" c +RuleTemplate adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RuleTemplate(object):$/;" c +RuleTemplateFactory adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class RuleTemplateFactory(RuleFactory):$/;" c +run adpeps/ipeps/ipeps.py /^ def run(self, params: np.ndarray) -> np.ndarray:$/;" m class:iPEPS +run adpeps/simulation/run_ipeps_exci.py /^def run(config_file: str, momentum_ix: int):$/;" f +run adpeps/simulation/run_ipeps_gs.py /^def run(config_file: str):$/;" f +run adpepsenv/lib/python3.8/site-packages/absl/app.py /^def run($/;" f +run adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def run(self, test):$/;" m class:TextTestRunner +run adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def run(self, result=None):$/;" m class:TestCase +run adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def run(self):$/;" m class:SoftMaxWithLoss +run adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def run(self):$/;" m class:SumElements +run adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def run(self):$/;" m class:SumSqrElements +run adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run(comm_rank, comm_size, tmpdir):$/;" f member:DataParallelModelTest.test_pre_train_synchronization_barrier file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run(comm_rank, comm_size, tmpdir):$/;" f member:DataParallelModelTest.test_synchronization_barrier file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def run(self):$/;" m class:DataWorker +run adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def run():$/;" f member:TestOperators.test_dag_net_forking file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def run(do):$/;" f member:TestOperators.test_random_seed_behaviour file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def run(model):$/;" f member:MKLRewriteTest.test_mkl_alexnet_rewrite file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def run(model):$/;" f member:MKLRewriteTest.test_mkl_multi_output_rewrite file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def run(model):$/;" f member:MKLRewriteTest.test_mkl_resnet_rewrite file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def run(model):$/;" f member:MKLRewriteTest.test_mkl_simple_rewrite file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def run(self, inputs):$/;" m class:Caffe2CppRep +run adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_rep.py /^ def run(self, inputs, **kwargs):$/;" m class:Caffe2Rep +run adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def run():$/;" f member:TestConvolution.test_convolution_sync file: +run adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def run(self):$/;" m class:Worker +run adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def run(self, runnable, workspace_type=None, setup_net_list=None):$/;" m class:Session +run adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^ def run(self):$/;" m class:WatcherThread +run adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^ def run(cls, func):$/;" m class:DebugMode +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:BlockProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:BlockQuoteProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:CodeBlockProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:EmptyBlockProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:HashHeaderProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:HRProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:ListIndentProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:OListProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:ParagraphProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:ReferenceProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def run(self, parent, blocks):$/;" m class:SetextHeaderProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def run(self, parent, blocks):$/;" m class:AbbrPreprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def run(self, parent, blocks):$/;" m class:AdmonitionProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ def run(self, doc):$/;" m class:AttrListTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def run(self, root):$/;" m class:HiliteTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ def run(self, parent, blocks):$/;" m class:DefListProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def run(self, lines):$/;" m class:FencedBlockPreprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def run(self, parent, blocks):$/;" m class:FootnoteBlockProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def run(self, root):$/;" m class:FootnotePostTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def run(self, root):$/;" m class:FootnoteTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def run(self, text):$/;" m class:FootnotePostprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_attrs.py /^ def run(self, doc):$/;" m class:LegacyAttrs +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def run(self, lines):$/;" m class:HtmlBlockPreprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def run(self, parent, blocks):$/;" m class:MarkdownInHtmlProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/meta.py /^ def run(self, lines):$/;" m class:MetaPreprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def run(self, parent, blocks):$/;" m class:TableProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def run(self, doc):$/;" m class:TocTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def run(self, text):$/;" m class:AndSubstitutePostprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def run(self, text):$/;" m class:Postprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def run(self, text):$/;" m class:RawHtmlPostprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def run(self, text):$/;" m class:UnescapePostprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^ def run(self, lines):$/;" m class:HtmlBlockPreprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^ def run(self, lines):$/;" m class:NormalizeWhitespace +run adpepsenv/lib/python3.8/site-packages/markdown/preprocessors.py /^ def run(self, lines):$/;" m class:Preprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def run(self, root):$/;" m class:PrettifyTreeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def run(self, root):$/;" m class:Treeprocessor +run adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def run(self, tree, ancestors=None):$/;" m class:InlineProcessor +run adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^def run(): # pragma: no cover$/;" f +run adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def run(self):$/;" m class:ServerThread +run adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ def run(self):$/;" m class:MathDirective +run adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ def run(self):$/;" m class:PlotDirective +run adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def run(arguments, content, options, state_machine, state, lineno):$/;" f +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ def run(self):$/;" m class:build +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ def run(self):$/;" m class:build_clib +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def run(self):$/;" m class:build_ext +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_py.py /^ def run(self):$/;" m class:build_py +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_scripts.py /^ def run (self):$/;" m class:build_scripts +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def run(self):$/;" m class:build_src +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def run(self):$/;" m class:config_cc +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ def run(self):$/;" m class:config_fc +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/egg_info.py /^ def run(self):$/;" m class:egg_info +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ def run(self):$/;" m class:install +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ def run (self):$/;" m class:install_clib +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_data.py /^ def run(self):$/;" m class:install_data +run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_headers.py /^ def run (self):$/;" m class:install_headers +run adpepsenv/lib/python3.8/site-packages/numpy/f2py/diagnose.py /^def run():$/;" f +run adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py_testing.py /^def run(runtest, test_functions, repeat=1):$/;" f +run adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def run(result):$/;" f member:FPUModeCheckPlugin.prepareTestCase file: +run adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def run(self, options, args):$/;" m class:Command +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def run(self, options, args):$/;" m class:CacheCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/check.py /^ def run(self, options, args):$/;" m class:CheckCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/completion.py /^ def run(self, options, args):$/;" m class:CompletionCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def run(self, options, args):$/;" m class:ConfigurationCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^ def run(self, options, args):$/;" m class:DebugCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/download.py /^ def run(self, options, args):$/;" m class:DownloadCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^ def run(self, options, args):$/;" m class:FreezeCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^ def run(self, options, args):$/;" m class:HashCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/help.py /^ def run(self, options, args):$/;" m class:HelpCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def run(self, options, args):$/;" m class:InstallCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def run(self, options, args):$/;" m class:ListCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ def run(self, options, args):$/;" m class:SearchCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^ def run(self, options, args):$/;" m class:ShowCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/uninstall.py /^ def run(self, options, args):$/;" m class:UninstallCommand +run adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/wheel.py /^ def run(self, options, args):$/;" m class:WheelCommand +run adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def run(self):$/;" m class:LoggerWrapper +run adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:dopri5 +run adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:IntegratorBase +run adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:lsoda +run adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:vode +run adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def run(self):$/;" m class:TestGIL.make_worker_thread.WorkerThread +run adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def run(self):$/;" m class:ODR +run adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def run(self, step, temperature):$/;" m class:StrategyChain +run adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def run(self):$/;" m class:test_threads.Worker +run adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^ def run():$/;" f function:test_threadsafety.check file: +run adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ def run(self):$/;" m class:alias +run adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def run(self):$/;" m class:bdist_egg +run adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_rpm.py /^ def run(self):$/;" m class:bdist_rpm +run adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_wininst.py /^ def run(self):$/;" m class:bdist_wininst +run adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def run(self):$/;" m class:build_ext +run adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def run(self):$/;" m class:build_py +run adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def run(self):$/;" m class:develop +run adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^ def run(self):$/;" m class:dist_info +run adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def run(self, show_deprecation=True):$/;" m class:easy_install +run adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def run(self):$/;" m class:egg_info +run adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def run(self):$/;" m class:manifest_maker +run adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def run(self):$/;" m class:install +run adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def run(self):$/;" m class:install_egg_info +run adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def run(self):$/;" m class:install_lib +run adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^ def run(self):$/;" m class:install_scripts +run adpepsenv/lib/python3.8/site-packages/setuptools/command/register.py /^ def run(self):$/;" m class:register +run adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ def run(self):$/;" m class:rotate +run adpepsenv/lib/python3.8/site-packages/setuptools/command/saveopts.py /^ def run(self):$/;" m class:saveopts +run adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def run(self):$/;" m class:sdist +run adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ def run(self):$/;" m class:setopt +run adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def run(self):$/;" m class:test +run adpepsenv/lib/python3.8/site-packages/setuptools/command/upload.py /^ def run(self):$/;" m class:upload +run adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def run(self):$/;" m class:upload_docs +run adpepsenv/lib/python3.8/site-packages/setuptools/launch.py /^def run():$/;" f +run adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def run(self, func):$/;" m class:AbstractSandbox +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def run(self):$/;" m class:Command +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ def run(self):$/;" m class:bdist +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ def run(self):$/;" m class:bdist_dumb +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def run(self):$/;" m class:bdist_msi +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def run(self):$/;" m class:bdist_rpm +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def run(self):$/;" m class:bdist_wininst +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ def run(self):$/;" m class:build +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ def run(self):$/;" m class:build_clib +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def run(self):$/;" m class:build_ext +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def run(self):$/;" m class:build_py +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ def run(self):$/;" m class:build_py_2to3 +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ def run(self):$/;" m class:build_scripts +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def run(self):$/;" m class:check +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ def run(self):$/;" m class:clean +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def run(self):$/;" m class:config +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def run(self):$/;" m class:install +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ def run(self):$/;" m class:install_data +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ def run(self):$/;" m class:install_egg_info +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ def run(self):$/;" m class:install_headers +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def run(self):$/;" m class:install_lib +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ def run(self):$/;" m class:install_scripts +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def run(self):$/;" m class:register +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def run(self):$/;" m class:sdist +run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ def run(self):$/;" m class:upload +run adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^def run(main=None, argv=None):$/;" f +Run adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class Run(object):$/;" c +run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def run(self):$/;" m class:Handler +run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/get_experiment.py /^ def run(self):$/;" m class:Handler +run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_metric_evals.py /^ def run(self):$/;" m class:Handler +run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def run(self):$/;" m class:Handler +run adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def run(self, flags):$/;" m class:TensorBoardSubcommand +run adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def run(self):$/;" m class:_AsyncWriterThread +run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def run(self, force_console=False):$/;" m class:CustomInstalledAppFlow +run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def run(self, flags):$/;" m class:UploaderSubcommand +run adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def run($/;" m class:_TensorFlowWavEncoder +run adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def run(self, image): # pylint: disable=arguments-differ$/;" m class:_TensorFlowPngEncoder +run adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ def run(self, *args, **kwargs):$/;" m class:PersistentOpEvaluator +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def run(self, fetches, feed_dict=None, options=None, run_metadata=None):$/;" m class:BaseSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def run(self, fetches, feed_dict=None, options=None, run_metadata=None):$/;" m class:SessionInterface +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def run(self,$/;" m class:BaseDebugWrapperSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def run(self,$/;" m class:TensorBoardDebugWrapperSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None): # pylint: disable=useless-super-delega/;" m class:CentralStorageStrategy +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def run(self, fn, args=(), kwargs=None, options=None):$/;" m class:StrategyBase +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def run(self):$/;" m class:_MirroredReplicaThread +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def run(self, fn, args=None, kwargs=None):$/;" m class:MultiProcessPoolRunner +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def run(fn,$/;" f +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None): # pylint: disable=useless-super-delega/;" m class:OneDeviceStrategy +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None):$/;" m class:ParameterServerStrategyV1 +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None):$/;" m class:TPUStrategy +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None):$/;" m class:TPUStrategyV1 +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def run(self, fn, args=(), kwargs=None, options=None):$/;" m class:TPUStrategyV2 +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def run(self, feed_dict=None, session=None):$/;" m class:Operation +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def run(self, *args, **kwargs):$/;" m class:ErrorLoggingSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def run(self, fetches, *args, **kwargs):$/;" m class:FakeEagerSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/app.py /^def run(main=None, argv=None):$/;" f +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def run(self):$/;" m class:_EventLoggerThread +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def run(args):$/;" f +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def run(self):$/;" m class:_TPUPollingThread +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def run(self):$/;" m class:WatchdogManager +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def run(self):$/;" m class:LooperThread +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run(self, *args, **kwargs):$/;" m class:_CoordinatedSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run(self, *args, **kwargs):$/;" m class:_WrappedSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run(self, fetches, feed_dict=None, options=None, run_metadata=None):$/;" m class:_HookedSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run(self, fetches, feed_dict=None, options=None, run_metadata=None):$/;" m class:_MonitoredSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run(self, fetches, feed_dict=None, options=None, run_metadata=None):$/;" m class:_RecoverableSession +run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def run(self, fetches, feed_dict=None, **kwargs):$/;" m class:_SessionWithFeedDictAdditions +run adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ def run(self):$/;" m class:InstallHeaders +run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run(self):$/;" m class:_TrainingExecutor +run adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def run(cmd, cuda_visible_devices=""):$/;" f +run adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/op_benchmark.py /^def run(n, stmt, fuzzer_cls):$/;" f +run adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def run(args: List[str], **kwargs: Any) -> Tuple[subprocess.CompletedProcess, str]:$/;" f member:_ValgrindWrapper._invoke file: +run adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def run(command):$/;" f +run adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def run(self):$/;" m class:LOBPCG +run adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^ def run(cls, src: str, package: str) -> List[Tuple[str, Optional[str]]]:$/;" m class:_ExtractModuleReferences +run adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def run(self):$/;" m class:ReloaderLoop +run adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def run(self):$/;" m class:StatReloaderLoop +run adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def run(self):$/;" m class:WatchdogReloaderLoop +run adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def run(self):$/;" m class:bdist_wheel +run versioneer.py /^ def run(self):$/;" m class:get_cmdclass.cmd_build_exe +run versioneer.py /^ def run(self):$/;" m class:get_cmdclass.cmd_py2exe +run versioneer.py /^ def run(self):$/;" m class:get_cmdclass.cmd_build_py +run versioneer.py /^ def run(self):$/;" m class:get_cmdclass.cmd_sdist +run versioneer.py /^ def run(self):$/;" m class:get_cmdclass.cmd_version +RunAllOnGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RunAllOnGPU(self, gpu_id=0, use_cudnn=False):$/;" m class:Net +RunAllOnGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def RunAllOnGPU(self, *args, **kwargs):$/;" m class:ModelHelper +RunAllOnIDEEP adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RunAllOnIDEEP(self):$/;" m class:Net +RunAllOnMKL adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RunAllOnMKL(self):$/;" m class:Net +runAndSaveRNG adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def runAndSaveRNG(self, func, inputs, kwargs=None):$/;" m class:JitTestCase +runapp adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/profiler.py /^ def runapp():$/;" f member:ProfilerMiddleware.__call__ file: +runcode adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def runcode(self, code):$/;" m class:_InteractiveConsole +RunConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^class RunConfig(object):$/;" c +RunConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^class RunConfig(run_config_lib.RunConfig):$/;" c +RunConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^RunConfiguration = _reflection.GeneratedProtocolMessageType('RunConfiguration', (_message.Messag/;" v +runDefaultTestWithSeed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def runDefaultTestWithSeed(seed):$/;" f +rundocs adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def rundocs(filename=None, raise_on_error=True):$/;" f +RunEpoch adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def RunEpoch($/;" f +RunEpoch adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def RunEpoch($/;" f +RunEveryMillis adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def RunEveryMillis(self, interval):$/;" m class:ExecutionStep +runfiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^ runfiles = None$/;" v +RungeKutta adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^class RungeKutta(OdeSolver):$/;" c +runge_kutta_step adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def runge_kutta_step(func, y0, f0, t0, dt):$/;" f +RunInitNet adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def RunInitNet(model):$/;" f +RunKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/common.py /^RunKey = collections.namedtuple("RunKey", ["feed_names", "fetch_names"])$/;" v +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def RunMetadata(self, tag):$/;" m class:EventAccumulator +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def RunMetadata(self, run, tag):$/;" m class:EventMultiplexer +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def RunMetadata(self, tag):$/;" m class:EventAccumulator +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def RunMetadata(self, run, tag):$/;" m class:EventMultiplexer +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^RunMetadata = _reflection.GeneratedProtocolMessageType('RunMetadata', (_message.Message,), {$/;" v +RunMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^RunMetadata = _reflection.GeneratedProtocolMessageType('RunMetadata', (_message.Message,), {$/;" v +runner adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_shell_utils.py /^def runner(Parser):$/;" f +runner adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^ def runner($/;" f function:runner_with_spinner_message file: +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = getattr(_dop, 'dop853', None)$/;" v class:dop853 +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = getattr(_dop, 'dopri5', None)$/;" v class:dopri5 +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = getattr(_lsoda, 'lsoda', None)$/;" v class:lsoda +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = getattr(_vode, 'dvode', None)$/;" v class:vode +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = getattr(_vode, 'zvode', None)$/;" v class:zvode +runner adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ runner = None # runner is None => integrator is not available$/;" v class:IntegratorBase +runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def runner(self):$/;" m class:NamedDistribution +runner_with_spinner_message adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/subprocess.py /^def runner_with_spinner_message(message):$/;" f +RunNet adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def RunNet(model, num_iterations):$/;" f +RunNet adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunNet(name, num_iter=1, allow_fail=False):$/;" f +RunNetOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunNetOnce(net):$/;" f +running adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def running(self):$/;" m class:_ChannelReadyFuture +running adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def running(self):$/;" m class:_Rendezvous +running adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def running(self):$/;" m class:Future +running adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def running(self):$/;" m class:_InactiveRpcError +running adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def running(self):$/;" m class:_MultiThreadedRendezvous +running adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def running(self):$/;" m class:_FailureOutcome +running adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def running(self):$/;" m class:_UnaryOutcome +running adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def running(self):$/;" m class:_ChannelReadyFuture +running adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def running(self):$/;" m class:Future +running adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def running(self):$/;" m class:Future +RUNNING adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^RUNNING = 'RUNNING'$/;" v +RunningAllreduceWithGPUs adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def RunningAllreduceWithGPUs(self, gpu_ids, allreduce_function):$/;" m class:TestMuji +running_script_path adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^running_script_path = None$/;" v +running_under_virtualenv adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def running_under_virtualenv():$/;" f +runOpBenchmark adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def runOpBenchmark($/;" f +RunOperatorImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunOperatorImmediate(op):$/;" f +RunOperatorMultiple adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunOperatorMultiple(operator, num_runs):$/;" f +RunOperatorOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunOperatorOnce(operator):$/;" f +RunOperatorsOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunOperatorsOnce(operators):$/;" f +runOpOnInput adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def runOpOnInput($/;" f +RunOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^RunOptions = _reflection.GeneratedProtocolMessageType('RunOptions', (_message.Message,), {$/;" v +RunOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^RunOptions = _reflection.GeneratedProtocolMessageType('RunOptions', (_message.Message,), {$/;" v +RunOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class RunOptions($/;" c +RunParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^RunParams = collections.namedtuple($/;" v +RunPaths adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def RunPaths(self):$/;" m class:EventMultiplexer +RunPaths adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def RunPaths(self):$/;" m class:EventMultiplexer +RunPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunPlan(plan_or_step):$/;" f +RunPlanInBackground adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def RunPlanInBackground(plan_or_step):$/;" f +Runs adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Runs(self):$/;" m class:EventMultiplexer +Runs adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def Runs(self):$/;" m class:EventMultiplexer +runs adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def runs(self):$/;" m class:RunTagFilter +Runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def Runs(self):$/;" m class:DebuggerV2EventMultiplexer +runShardedTrainLoop adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^def runShardedTrainLoop(opts, myTrainFun):$/;" f +runsource adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def runsource(self, source):$/;" m class:_InteractiveConsole +runstring adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def runstring(astr, dict):$/;" f +RUNS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^RUNS_ROUTE = "\/runs"$/;" v +RunTagFilter adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class RunTagFilter(object):$/;" c +runTest adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def runTest(self):$/;" m class:_SubTest +runtest adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^runtest = sys.platform.startswith('linux') and IS_AVX$/;" v +RunTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def RunTest(self, run_params):$/;" m class:TfTrtIntegrationTestBase +runTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^def runTest(seed, args):$/;" f +runTests adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def runTests(self):$/;" m class:NumpyTestProgram +runTests adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def runTests(self, tests, parseAll=True, comment='#',$/;" m class:ParserElement +runTests adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def runTests(self, tests, parseAll=True, comment='#', fullDump=True, printResults=True, fail/;" m class:ParserElement +runTests adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def runTests(self, tests, parseAll=True, comment='#',$/;" m class:ParserElement +runTests adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def runTests(self, tests, parseAll=True, comment='#', fullDump=True, printResults=True, fail/;" m class:ParserElement +runtime adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ runtime = runtime_checkable$/;" v +RuntimeCounter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^RuntimeCounter = collections.namedtuple($/;" v +runtime_checkable adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def runtime_checkable(cls):$/;" f +runtime_checkable adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ runtime_checkable = typing.runtime_checkable$/;" v +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def runtime_library_dir_option(self, dir):$/;" m class:GnuFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def runtime_library_dir_option(self, dir):$/;" m class:BaseIntelFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def runtime_library_dir_option(self, dir):$/;" m class:IntelVisualFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ def runtime_library_dir_option(self, dir):$/;" m class:NVHPCFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def runtime_library_dir_option(self, dir):$/;" m class:PGroupFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ def runtime_library_dir_option(self, dir):$/;" m class:PGroupFlangCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ def runtime_library_dir_option(self, dir):$/;" m class:SunFCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def runtime_library_dir_option(self, dir):$/;" m class:CCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def runtime_library_dir_option(self, dir):$/;" m class:MSVCCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def runtime_library_dir_option(self, dir):$/;" m class:MSVCCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def runtime_library_dir_option(self, dir):$/;" m class:UnixCCompiler +runtime_library_dir_option adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def runtime_library_dir_option(self, dir):$/;" m class:MSVCCompiler +runtime_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def runtime_version(self):$/;" m class:Client +runTransform adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^ def runTransform(cls, transform_name, net):$/;" m class:Transformer +RunWarmup adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def RunWarmup(model):$/;" f +runWithPytorchAPIUsageStderr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def runWithPytorchAPIUsageStderr(code):$/;" m class:TestCase +run_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def run_2to3(self, files, doctests=True):$/;" m class:Mixin2to3 +run_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def run_2to3(self, files, doctests=False):$/;" m class:Mixin2to3 +run_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ def run_2to3(self, files):$/;" m class:Mixin2to3 +run_2to3 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def run_2to3(files, fixer_names=None, options=None, explicit=None):$/;" f +run_2to3_on_doctests adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^run_2to3_on_doctests = True$/;" v +run_additional_tri_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def run_additional_tri_tests(self, device):$/;" f +run_all_in_deprecated_graph_mode_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_all_in_deprecated_graph_mode_only(cls):$/;" f +run_all_in_graph_and_eager_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_all_in_graph_and_eager_modes(cls):$/;" f +run_all_keras_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def run_all_keras_modes(test_or_class=None,$/;" f +run_all_without_tensor_float_32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_all_without_tensor_float_32(description): # pylint: disable=unused-argument$/;" f +run_all_without_tensor_float_32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def run_all_without_tensor_float_32(description): # pylint: disable=unused-argument$/;" f +run_and_assert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/test_util.py /^ def run_and_assert_equal(self, targets1, targets2, rtol=1e-4, atol=1e-5):$/;" m class:PForTestCase +run_and_concatenate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def run_and_concatenate(strategy, i):$/;" f member:DistributionTestBase._test_numpy_dataset file: +run_and_parse_first_match adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def run_and_parse_first_match(run_lambda, command, regex):$/;" f +run_and_read_all adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^def run_and_read_all(run_lambda, command):$/;" f +run_and_report_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/benchmarks/benchmark_base.py /^ def run_and_report_benchmark(self,$/;" m class:DatasetBenchmarkBase +run_autograd_prof adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def run_autograd_prof(code, globs):$/;" f +run_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/benchmarks/benchmark_base.py /^ def run_benchmark(self,$/;" m class:DatasetBenchmarkBase +run_call_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def run_call_count(self):$/;" m class:BaseDebugWrapperSession +run_check adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def run_check(self, method, name):$/;" m class:TestBasic +run_check_by_name adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def run_check_by_name(self, name, smoothness=0, **kwargs):$/;" m class:TestBasic +run_check_lru_cached adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def run_check_lru_cached(self, method, name):$/;" m class:TestBasic +run_chief adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_chief(self):$/;" m class:_TrainingExecutor +run_code adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def run_code(code, code_path, ns=None, function_name=None):$/;" f +run_collection adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def run_collection(self, collection, method, name, smoothness=None,$/;" m class:TestBasic +run_command adpeps/_version.py /^def run_command(commands, args, cwd=None, verbose=False, hide_stderr=False,$/;" f +run_command adpepsenv/lib/python3.8/site-packages/numpy/f2py/diagnose.py /^def run_command(cmd):$/;" f +run_command adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def run_command($/;" m class:VersionControl +run_command adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def run_command(self, cmd, input_data=None):$/;" m class:PackageIndex +run_command adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def run_command(self, cmd, **kwargs):$/;" m class:SubprocessMixin +run_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def run_command(self, command):$/;" m class:Command +run_command adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def run_command(self, command):$/;" m class:Distribution +run_command versioneer.py /^def run_command(commands, args, cwd=None, verbose=False, hide_stderr=False,$/;" f +run_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def run_commands(self):$/;" m class:Distribution +run_command_line adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^def run_command_line(args=None):$/;" f +run_compile adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def run_compile():$/;" f +run_config_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^from tensorflow_estimator.python.estimator import run_config as run_config_lib$/;" x +run_config_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^from tensorflow_estimator.python.estimator import run_config as run_config_lib$/;" x +run_console adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def run_console($/;" m class:InstalledAppFlow +run_conv_or_fc adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dnnlowp_test_utils.py /^def run_conv_or_fc($/;" f +run_core_tests adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def run_core_tests(self, ds_fn, num_outputs, sparse_tensors=False):$/;" m class:DatasetSerializationTestBase +run_correctness_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def run_correctness_test(self,$/;" m class:TestDistributionStrategyCorrectnessBase +run_cprofile adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def run_cprofile(code, globs, launch_blocking=False):$/;" f +run_ctm adpeps/ipeps/ctm.py /^def run_ctm(tensors, chi, conv_fun=None):$/;" f +RUN_CUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^RUN_CUDA = torch.cuda.is_available()$/;" v +RUN_CUDA_HALF adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ RUN_CUDA_HALF = False$/;" v +RUN_CUDA_HALF adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^RUN_CUDA_HALF = RUN_CUDA$/;" v +RUN_CUDA_MULTI_GPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^RUN_CUDA_MULTI_GPU = RUN_CUDA and torch.cuda.device_count() > 1$/;" v +run_cuda_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_cuda_only(func=None):$/;" f +run_ddp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def run_ddp(rank, world_size, prepared):$/;" f +run_deprecated_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^run_deprecated_v1 = deprecated_graph_mode_only$/;" v +run_distribute_coordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def run_distribute_coordinator(worker_fn,$/;" f +run_dynamic_lr_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def run_dynamic_lr_test(self,$/;" m class:TestDistributionStrategyCorrectnessBase +run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def run_eagerly(self, **kwargs):$/;" f function:run_in_graph_and_eager_modes.decorator.decorated file: +run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def run_eagerly(self):$/;" m class:Model +run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def run_eagerly(self, value):$/;" m class:Model +run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def run_eagerly(self):$/;" m class:Model +run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def run_eagerly(self, value):$/;" m class:Model +run_eagerly_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def run_eagerly_scope(value):$/;" f +run_env_analysis adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^def run_env_analysis():$/;" f +run_evaluator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_evaluator(self):$/;" m class:_TrainingExecutor +run_eval_metrics_correctness_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def run_eval_metrics_correctness_test(self, distribution):$/;" m class:TestDistributionStrategyDnnMetricEvalCorrectness +run_feed_keys_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def run_feed_keys_info(self):$/;" m class:DebugDumpDir +run_fetches_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def run_fetches_info(self):$/;" m class:DebugDumpDir +run_fn adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def run_fn(*args, **kwargs):$/;" f member:TestCase.run_test_locally file: +run_fn adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_fn(*args, **kwargs):$/;" f member:DataParallelModelTest.run_test_locally file: +run_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def run_fn():$/;" f member:DistributionTestBase._test_summary_for_replica_zero_only file: +run_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def run_fn(inputs):$/;" f member:TPUExtended._experimental_run_steps_on_iterator file: +run_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def run_fn():$/;" f member:TestDistributionStrategyWithNumpyArrays.test_operator_overload_mixed_precision file: +run_forward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def run_forward(scripted_remote_module: MyModuleInterface):$/;" f member:RemoteModuleTest.test_forward_sync_script file: +run_forward_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def run_forward_async(scripted_remote_module: RemoteMyModuleInterface):$/;" f member:RemoteModuleTest.test_forward_async_script file: +run_for_debugging adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ run_for_debugging = False$/;" v class:TextTestRunner +run_function adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^ def run_function(start, end, functions):$/;" f function:checkpoint_sequential file: +run_functions_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def run_functions_eagerly(run_eagerly):$/;" f +RUN_FUNCTIONS_EAGERLY adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^RUN_FUNCTIONS_EAGERLY = False$/;" v +run_functions_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_functions_eagerly(run_eagerly):$/;" f +run_fwd_bwd adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def run_fwd_bwd(args, force_trace=False, assert_compiled=False):$/;" f function:verify file: +run_gc adpeps/ipeps/ipeps.py /^ def run_gc(self, *args):$/;" m class:iPEPS_exci +run_gpu_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_gpu_only(func=None):$/;" f +RUN_GRAPH_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/metadata.py /^RUN_GRAPH_NAME = "__run_graph__"$/;" v +run_graph_optimizations adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def run_graph_optimizations(graph_def,$/;" f +run_id adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def run_id(self):$/;" m class:Run +run_inference adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def run_inference(examples, serving_bundle):$/;" f +run_inference_for_inference_results adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def run_inference_for_inference_results(examples, serving_bundle):$/;" f +run_init_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def run_init_ops(self, sess, tags, import_scope=None):$/;" m class:SavedModelLoader +run_in_async_and_sync_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_in_async_and_sync_mode(f):$/;" f +run_in_graph_and_eager_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_in_graph_and_eager_modes(func=None,$/;" f +run_in_hip adpepsenv/lib/python3.8/site-packages/caffe2/python/hip_test_util.py /^def run_in_hip(gc, dc):$/;" f +run_local adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_local(self):$/;" m class:_TrainingExecutor +run_local_server adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def run_local_server($/;" m class:InstalledAppFlow +run_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def run_loop(self):$/;" m class:LooperThread +run_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def run_loop(self):$/;" m class:SVStepCounterThread +run_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def run_loop(self):$/;" m class:SVSummaryThread +run_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def run_loop(self):$/;" m class:SVTimerCheckpointThread +run_main adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def run_main(comline_list):$/;" f +run_main adpepsenv/lib/python3.8/site-packages/numpy/f2py/__init__.py /^run_main = f2py2e.run_main$/;" v +run_main adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^run_main = run_script$/;" v +run_main adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^run_main = run_script$/;" v +run_main adpepsenv/lib/python3.8/site-packages/tensorboard/main.py /^def run_main():$/;" f +run_main adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def run_main(_):$/;" f +run_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def run_main():$/;" f +run_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_master(self):$/;" m class:_TrainingExecutor +RUN_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^RUN_METADATA = tag_types.RUN_METADATA$/;" v +RUN_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^RUN_METADATA = tag_types.RUN_METADATA$/;" v +RUN_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^RUN_METADATA = "run_metadata"$/;" v +run_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def run_metadata(name, data, step=None):$/;" f +run_metadata_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def run_metadata_graphs(name, data, step=None):$/;" f +run_metadata_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def run_metadata_impl(self, run, tag):$/;" m class:GraphsPlugin +run_metadata_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def run_metadata_route(self, request):$/;" m class:GraphsPlugin +run_method adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^ def run_method(self, method_name, *input):$/;" m class:LiteScriptModule +run_metric_correctness_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def run_metric_correctness_test(self, distribution):$/;" m class:TestDistributionStrategyDnnMetricCorrectness +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_model(self, devices, gpu):$/;" m class:DataParallelModelTest +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_model(self, devices, gpu):$/;" m class:RecurrentNetworkParallelTest +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_model(self, V, gpu_devices):$/;" m class:SparseDataParallelModelTestWithSharedIndices +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_model(self, V, gpu_devices, cpu_indices):$/;" m class:SparseDataParallelModelTest +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^run_model = Caffe2Backend.run_model$/;" v +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def run_model():$/;" f member:ExecutorCPUConvNetTest.test_executor file: +run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def run_model():$/;" f member:ExecutorGPUResNetTest.test_executor file: +run_module_suite adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^def run_module_suite(file_to_run=None, argv=None):$/;" f +run_mod_and_filter_tensor_outputs adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def run_mod_and_filter_tensor_outputs(mod, inputs, running_what):$/;" f function:_check_trace file: +run_moments_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^ def run_moments_test(self, X, axes, keepdims, gc, dc):$/;" m class:TestMomentsOp +run_multiple_tasks_in_processes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def run_multiple_tasks_in_processes(self, cmd_args, cluster_spec):$/;" m class:MultiWorkerMultiProcessTest +run_multiple_tasks_in_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def run_multiple_tasks_in_threads(self, task_fn, cluster_spec, *args,$/;" m class:IndependentWorkerTestBase +run_name adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def run_name(self):$/;" m class:Run +run_nested_pickle adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def run_nested_pickle(pickle_cls_instance, tensor):$/;" f +run_node adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def run_node(cls, node, inputs, device='CPU', opset_version=_known_opset_version, outputs_in/;" m class:Caffe2Backend +run_node adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^run_node = Caffe2Backend.run_node$/;" v +run_once adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def run_once(self, *args, **kwargs):$/;" m class:ThroughputBenchmark +run_op_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def run_op_benchmark(self,$/;" m class:TensorFlowBenchmark +RUN_PARALLEL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^RUN_PARALLEL = args.run_parallel$/;" v +run_pass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def run_pass(self, name, trace):$/;" m class:JitTestCase +run_prof adpepsenv/lib/python3.8/site-packages/torch/utils/bottleneck/__main__.py /^ def run_prof(use_cuda=False):$/;" f function:run_autograd_prof file: +run_profiling_workload adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def run_profiling_workload(self, dst):$/;" m class:RpcTest +run_ps adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_ps(self):$/;" m class:_TrainingExecutor +run_reduce_op_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def run_reduce_op_test($/;" m class:TestReduceOps +run_reduce_op_test_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def run_reduce_op_test_impl($/;" m class:TestReduceOps +run_ref_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def run_ref_script_module($/;" f +run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run_relax(self, *args):$/;" m class:lsoda +run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run_relax(self, *args):$/;" m class:vode +run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def run_relax(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:IntegratorBase +run_repeatedly_in_background adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^def run_repeatedly_in_background(target, interval_sec):$/;" f +run_report adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^ def run_report(self, run_benchmark, func, num_iters, execution_mode=None):$/;" m class:MicroBenchmarksBase +run_requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def run_requires(self):$/;" m class:Distribution +run_resnet50_epoch adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test_util.py /^def run_resnet50_epoch(train_model, batch_size, epoch_size, skip_first_n_iter=0):$/;" f +run_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def run_restore_ops(self, session=None):$/;" m class:CheckpointLoadStatus +run_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def run_restore_ops(self, session=None):$/;" m class:InitializationOnlyStatus +run_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def run_restore_ops(self, session=None):$/;" m class:NameBasedSaverStatus +run_restore_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def run_restore_ops(self, session=None):$/;" m class:_LoadStatus +run_saved_model_with_feed_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def run_saved_model_with_feed_dict(saved_model_dir, tag_set, signature_def_key,$/;" f +run_script adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def run_script(self, script_name, namespace):$/;" m class:DictMetadata +run_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def run_script(script_name, namespace):$/;" m class:IMetadataProvider +run_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def run_script(self, requires, script_name):$/;" m class:WorkingSet +run_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def run_script(self, script_name, namespace):$/;" m class:NullProvider +run_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def run_script(dist_spec, script_name):$/;" f +run_script adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def run_script(script_name, namespace):$/;" m class:IMetadataProvider +run_script adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def run_script(self, requires, script_name):$/;" m class:WorkingSet +run_script adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def run_script(self, script_name, namespace):$/;" m class:NullProvider +run_script adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def run_script(dist_spec, script_name):$/;" f +run_seq2seq_beam_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^def run_seq2seq_beam_decoder(args, model_params, decoding_params):$/;" f +run_seq2seq_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^def run_seq2seq_model(args, model_params=None):$/;" f +run_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def run_server(self, blocking=True):$/;" m class:EventListenerBaseServicer +run_setup adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def run_setup(self, setup_script='setup.py'):$/;" m class:_BuildMetaBackend +run_setup adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def run_setup(self, setup_script='setup.py'):$/;" m class:_BuildMetaLegacyBackend +run_setup adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def run_setup(self, setup_script, setup_base, args):$/;" m class:easy_install +run_setup adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def run_setup(setup_script, args):$/;" f +run_setup adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^def run_setup (script_name, script_args=None, stop_after="run"):$/;" f +run_simple adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def run_simple($/;" f +run_standard_tensorflow_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def run_standard_tensorflow_server(session_config=None):$/;" f +run_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def run_step(data):$/;" f function:Model.make_predict_function.step_function file: +run_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def run_step(data):$/;" f function:Model.make_test_function.step_function file: +run_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def run_step(data):$/;" f function:Model.make_train_function.step_function file: +run_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def run_step_fn(self, step_fn):$/;" m class:BaseDebugWrapperSession +run_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run_step_fn(self, step_fn):$/;" m class:_MonitoredSession +run_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run_step_fn(self, step_fn, raw_session, run_with_hooks):$/;" m class:_RecoverableSession +run_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run_step_fn(self, step_fn, raw_session, run_with_hooks):$/;" m class:_WrappedSession +run_string adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def run_string(string, init_globals=None, run_name=None,$/;" f function:run_command_line file: +run_tag_from_session_and_metric adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metrics.py /^def run_tag_from_session_and_metric(session_name, metric_name):$/;" f +run_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def run_test($/;" f +run_test adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^def run_test(test, args=(), test_atol=1e-5, n=100, iters=None,$/;" f +run_testing_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def run_testing_net(self):$/;" m class:AnyExpTrainer +run_testing_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/override_no_test_model_no_checkpoint.py /^def run_testing_net(self):$/;" f +run_tests adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def run_tests(argv, args, kwargs): # pylint: disable=line-too-long$/;" f +run_tests adpepsenv/lib/python3.8/site-packages/h5py/tests/__init__.py /^def run_tests(args=''):$/;" f +run_tests adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^def run_tests(args=''):$/;" f +run_tests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def run_tests(self, tests, method, name,$/;" m class:TestBasic +run_tests adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def run_tests(self):$/;" m class:test +run_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def run_tests(argv=UNITTEST_ARGS):$/;" f +run_test_copy_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def run_test_copy_gradient(self, device_opt):$/;" m class:CopyOpsTest +run_test_distributed adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def run_test_distributed(self, fn, device_option=None, **kwargs):$/;" m class:TestCase +run_test_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def run_test_function(self):$/;" f function:_test_function file: +run_test_locally adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def run_test_locally(self, fn, device_option=None, **kwargs):$/;" m class:TestCase +run_test_locally adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def run_test_locally(self, fn, device_option=None, **kwargs):$/;" m class:DataParallelModelTest +run_test_save_no_strategy_restore_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def run_test_save_no_strategy_restore_strategy(self, model_and_input,$/;" m class:TestSavedModelBase +run_test_save_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def run_test_save_strategy(self, model_and_input,$/;" m class:TestSavedModelBase +run_test_save_strategy_restore_no_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def run_test_save_strategy_restore_no_strategy(self, model_and_input,$/;" m class:TestSavedModelBase +run_test_save_strategy_restore_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def run_test_save_strategy_restore_strategy(self, model_and_input,$/;" m class:TestSavedModelBase +run_training_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def run_training_net(self):$/;" m class:AnyExpTrainer +run_training_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet.py /^def run_training_net(self):$/;" f +run_training_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/IN1k_resnet_no_test_model.py /^def run_training_net(self):$/;" f +run_train_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def run_train_net(self):$/;" m class:LayersTestCase +run_train_net_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def run_train_net_forward_only(self, num_iter=1):$/;" m class:LayersTestCase +run_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def run_ui(self,$/;" m class:BaseUI +run_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def run_ui(self,$/;" m class:CursesUI +run_ui adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def run_ui(self,$/;" m class:ReadlineUI +run_v1_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_v1_only(reason, func=None):$/;" f +run_v2_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_v2_only(func=None):$/;" f +run_v2_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def run_v2_only(func=None):$/;" f +run_with adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def run_with(self, builder):$/;" m class:TestCheckpoint +run_without_tensor_float_32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def run_without_tensor_float_32(description): # pylint: disable=unused-argument$/;" f +run_without_tensor_float_32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def run_without_tensor_float_32(description): # pylint: disable=unused-argument$/;" f +run_with_all_model_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def run_with_all_model_types($/;" f +run_with_all_saved_model_formats adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def run_with_all_saved_model_formats($/;" f +run_with_all_weight_formats adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def run_with_all_weight_formats(test_or_class=None, exclude_formats=None):$/;" f +run_with_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def run_with_hooks(self, *args, **kwargs):$/;" m class:_MonitoredSession.StepContext +run_with_pip adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ def run_with_pip(pip):$/;" f function:get_pip_packages file: +run_with_reloader adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def run_with_reloader(*args, **kwargs):$/;" f +run_with_reloader adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def run_with_reloader(main_func, extra_files=None, interval=1, reloader_type="auto"):$/;" f +run_with_xprof adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^ def run_with_xprof(self, enable_python_trace, run_benchmark, func,$/;" m class:MicroBenchmarksBase +run_worker adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^def run_worker(coordinator, worker):$/;" f +run_worker adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def run_worker(self):$/;" m class:_TrainingExecutor +run_wsgi adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def run_wsgi(self):$/;" m class:WSGIRequestHandler +run_wsgi_app adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def run_wsgi_app(self, environ, buffered=False):$/;" m class:Client +run_wsgi_app adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^def run_wsgi_app(app, environ, buffered=False):$/;" f +russellrao adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def russellrao(u, v, w=None):$/;" f +RussianLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^RussianLangModel = ($/;" v +RUSSIAN_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^RUSSIAN_LANG_MODEL = {$/;" v +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def rvs(self, *args, **kwargs):$/;" m class:rv_discrete +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def rvs(self, *args, **kwds):$/;" m class:rv_generic +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def rvs(self, size=None, random_state=None):$/;" m class:rv_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, alpha, size=1, random_state=None):$/;" m class:dirichlet_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, df, scale, size=1, random_state=None):$/;" m class:invwishart_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, df, scale, size=1, random_state=None):$/;" m class:wishart_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, dim, size=1, random_state=None):$/;" m class:ortho_group_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, dim, size=1, random_state=None):$/;" m class:special_ortho_group_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, dim, size=1, random_state=None):$/;" m class:unitary_group_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, eigs, random_state=None, tol=1e-13, diag_tol=1e-7):$/;" m class:random_correlation_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, loc=None, shape=1, df=1, size=1, random_state=None):$/;" m class:multivariate_t_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, m, n, size=None, random_state=None):$/;" m class:multivariate_hypergeom_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, mean=None, cov=1, size=1, random_state=None):$/;" m class:multivariate_normal_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, mean=None, rowcov=1, colcov=1, size=1, random_state=None):$/;" m class:matrix_normal_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, n, p, size=None, random_state=None):$/;" m class:multinomial_gen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:dirichlet_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:invwishart_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:matrix_normal_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:multinomial_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:multivariate_hypergeom_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:multivariate_normal_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:multivariate_t_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:special_ortho_group_frozen +rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def rvs(self, size=1, random_state=None):$/;" m class:wishart_frozen +rvs_no_size_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class rvs_no_size_gen(stats.rv_continuous):$/;" c function:test_rvs_no_size_warning file: +rvs_ratio_uniforms adpepsenv/lib/python3.8/site-packages/scipy/stats/_rvs_sampling.py /^def rvs_ratio_uniforms(pdf, umax, vmin, vmax, size=1, c=0, random_state=None):$/;" f +rv_continuous adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^class rv_continuous(rv_generic):$/;" c +rv_discrete adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^class rv_discrete(rv_generic):$/;" c +rv_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^class rv_frozen(object):$/;" c +rv_generic adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^class rv_generic(object):$/;" c +rv_histogram adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class rv_histogram(rv_continuous):$/;" c +rv_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^class rv_sample(rv_discrete):$/;" c +Rydberg adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^Rydberg = _cd('Rydberg constant')$/;" v +r_ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^r_ = RClass()$/;" v +r_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_attribute = re.compile(r'^\\s*@[Aa][Tt][Tt][Rr][Ii][Bb][Uu][Tt][Ee]\\s*(..*$)')$/;" v +r_binary_op_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def r_binary_op_wrapper(y, x):$/;" f function:_OverrideBinaryOperatorHelper file: +r_comattrval adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_comattrval = re.compile(r"'(..+)'\\s+(..+$)")$/;" v +r_comment adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_comment = re.compile(r'^%')$/;" v +r_d adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def r_d(y, z, tau):$/;" f function:_indicators file: +r_datameta adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_datameta = re.compile(r'^@[Dd][Aa][Tt][Aa]')$/;" v +r_date adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_date = re.compile(r"[Dd][Aa][Tt][Ee]\\s+[\\"']?(.+?)[\\"']?$")$/;" v +r_empty adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_empty = re.compile(r'^\\s+$')$/;" v +r_g adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def r_g(x, y, kappa):$/;" f function:_indicators file: +r_headerline adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_headerline = re.compile(r'^\\s*@\\S*')$/;" v +r_meta adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_meta = re.compile(r'^\\s*@')$/;" v +r_nominal adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_nominal = re.compile(r'{(.+)}')$/;" v +r_p adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def r_p(x, tau):$/;" f function:_indicators file: +r_relation adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_relation = re.compile(r'^@[Rr][Ee][Ll][Aa][Tt][Ii][Oo][Nn]\\s*(\\S*)')$/;" v +r_wcomattrval adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^r_wcomattrval = re.compile(r"(\\S+)\\s+(..+$)")$/;" v +s adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def s(scope, name):$/;" f +s adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def s(name):$/;" f member:CRFWithLoss.build_crf_net file: +s adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transform_ideep_net.py /^ def s(x):$/;" f function:fuse_first_mul_add file: +s adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^ def s(name):$/;" f function:recurrent_net file: +s adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ s = "\\n".join(new_lines)$/;" v +s adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ s = textwrap.dedent(doc).replace("\\n", "\\n ")$/;" v +S adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ S = TypeVar('S')$/;" v +S adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^S = TypeVar("S")$/;" v +S adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^S = 5$/;" v +S adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^S = 5$/;" v +S16 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^S16 = 3$/;" v +S32 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^S32 = 4$/;" v +S3FileSystem adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^class S3FileSystem(object):$/;" c +S3_ENABLED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ S3_ENABLED = False$/;" v +S3_ENABLED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ S3_ENABLED = True$/;" v +S6 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^S6 = 6 ** 0.5$/;" v +S64 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^S64 = 5$/;" v +S8 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^S8 = 2$/;" v +SA adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +SA adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +SafeBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class SafeBag(univ.Sequence):$/;" c +SAFEBLOCK adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^SAFEBLOCK = 1024 * 1024$/;" v +safecall adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_qr.py /^def safecall(f, name, *args, **kwargs):$/;" f +safeCoalesce adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def safeCoalesce(self, t):$/;" m class:TestCase +SafeConstructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class SafeConstructor(BaseConstructor):$/;" c +SafeContents adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class SafeContents(univ.SequenceOf):$/;" c +SafeDumper adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^class SafeDumper(Emitter, Serializer, SafeRepresenter, Resolver):$/;" c +SafeFileCache adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^class SafeFileCache(BaseCache):$/;" c +SafeLoader adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^class SafeLoader(Reader, Scanner, Parser, Composer, SafeConstructor, Resolver):$/;" c +SafeRepresenter adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^class SafeRepresenter(BaseRepresenter):$/;" c +safer_name adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def safer_name(name):$/;" f +safer_version adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^def safer_version(version):$/;" f +safeToDense adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def safeToDense(self, t):$/;" m class:TestCase +SafeTransport adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ class SafeTransport(xmlrpclib.SafeTransport):$/;" c +SAFETY adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^SAFETY = 0.9$/;" v +safety_flags adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^safety_flags = {$/;" v +SAFE_BOOLEAN_OPERAND adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^SAFE_BOOLEAN_OPERAND = 'SAFE_BOOLEAN_OPERAND'$/;" v +safe_characters adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def safe_characters(self):$/;" m class:RequestValidator +safe_dump adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def safe_dump(data, stream=None, **kwds):$/;" f +safe_dump_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def safe_dump_all(documents, stream=None, **kwds):$/;" f +safe_embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def safe_embedding_lookup_sparse(embedding_weights,$/;" f +safe_embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import safe_embedding_lookup_sparse_v2 as safe_embeddin/;" x +safe_embedding_lookup_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.embedding_ops import safe_embedding_lookup_sparse_v2 as safe_embeddin/;" x +safe_embedding_lookup_sparse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def safe_embedding_lookup_sparse_v2(embedding_weights,$/;" f +safe_eval adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def safe_eval(source):$/;" f +safe_extra adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def safe_extra(extra):$/;" f +safe_extra adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def safe_extra(extra):$/;" f +safe_first_element adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def safe_first_element(obj):$/;" f +safe_inv_epsilon adpeps/tensor/config.py /^safe_inv_epsilon = 0$/;" v +safe_is_subclass adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def safe_is_subclass(the_type, super_type):$/;" f function:is_optional file: +safe_join adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def safe_join(directory, *pathnames):$/;" f +safe_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def safe_listdir(path):$/;" f +safe_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def safe_listdir(path):$/;" f +safe_load adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def safe_load(stream):$/;" f +safe_load_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def safe_load_all(stream):$/;" f +safe_map adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def safe_map(f, *args):$/;" f +safe_masked_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def safe_masked_invalid(x, copy=False):$/;" f +safe_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def safe_name(name):$/;" f +safe_name adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def safe_name(name):$/;" f +safe_name adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^def safe_name(name):$/;" f +safe_name adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^safe_name = pkg_resources.safe_name$/;" v +SAFE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^SAFE_OPS = ($/;" v +safe_string_equals adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def safe_string_equals(a, b):$/;" f +safe_str_cmp adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def safe_str_cmp(a, b):$/;" f +safe_sub adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^safe_sub = partial(tree_multimap,$/;" v +safe_sys_path_index adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def safe_sys_path_index(entry):$/;" f function:_rebuild_mod_path file: +safe_sys_path_index adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def safe_sys_path_index(entry):$/;" f function:_rebuild_mod_path file: +safe_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def safe_version(version):$/;" f +safe_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def safe_version(version):$/;" f +safe_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^def safe_version(version):$/;" f +safe_version adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^safe_version = pkg_resources.safe_version$/;" v +safe_zip adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def safe_zip(*args):$/;" f +SageMakerClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^class SageMakerClusterResolver(ClusterResolver):$/;" c +SALT_CHARS adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^SALT_CHARS = "abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789"$/;" v +SAME adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^SAME = 2$/;" v +SAME adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ SAME = 2$/;" v class:PaddingType +SAME adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SAME = 0$/;" v class:Padding +samefile adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def samefile(file1, file2):$/;" f +samefile adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def samefile(p1, p2):$/;" f +same_color adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def same_color(c1, c2):$/;" f +same_dynamic_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def same_dynamic_shape(a, b):$/;" f +same_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def same_matrix(sparse_cls, sp_sparse_cls):$/;" f +same_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def same_project(name1, name2):$/;" f member:Locator.convert_url_to_download_info file: +sample adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def sample(n, d):$/;" f member:TestShgoArguments.test_17_custom_sampling file: +Sample adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^Sample = _reflection.GeneratedProtocolMessageType('Sample', (_message.Message,), {$/;" v +sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def sample(self, sample_shape=(), seed=None, name="sample"):$/;" m class:Distribution +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Bernoulli +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Binomial +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Categorical +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:ContinuousBernoulli +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Distribution +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Geometric +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Independent +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:MixtureSameFamily +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Multinomial +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:NegativeBinomial +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Normal +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:OneHotCategorical +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:Poisson +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:TransformedDistribution +sample adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def sample(self, sample_shape=torch.Size()):$/;" m class:VonMises +sample adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def sample(self, state):$/;" m class:FuzzedParameter +SampleDistortedBoundingBox adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^SampleDistortedBoundingBox = tf_export("raw_ops.SampleDistortedBoundingBox")(_ops.to_raw_op(samp/;" v +SampleDistortedBoundingBoxV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^SampleDistortedBoundingBoxV2 = tf_export("raw_ops.SampleDistortedBoundingBoxV2")(_ops.to_raw_op(/;" v +sampled_softmax_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sampled_softmax_loss(weights,$/;" f +sampled_softmax_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sampled_softmax_loss_v2 as sampled_softmax_loss$/;" x +sampled_softmax_loss adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sampled_softmax_loss_v2 as sampled_softmax_loss$/;" x +sampled_softmax_loss_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sampled_softmax_loss_v2(weights,$/;" f +sampled_surface adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sampled_surface(self, infty_cons_sampl=False):$/;" m class:SHGO +SAMPLEFORMAT adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^SAMPLEFORMAT = 339$/;" v +SampleInput adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class SampleInput(object):$/;" c +Sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class Sampler(Metric):$/;" c +Sampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class Sampler(Generic[T_co]):$/;" c +SamplerCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class SamplerCell(object):$/;" c +SAMPLESPERPIXEL adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^SAMPLESPERPIXEL = 277$/;" v +sample_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def sample_1d(x):$/;" f member:TestApplyAlongAxis.test_tuple_func1d file: +SAMPLE_CONFIG versioneer.py /^SAMPLE_CONFIG = """$/;" v +sample_delaunay_topo adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sample_delaunay_topo(self, ind):$/;" m class:SHGO +sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def sample_distorted_bounding_box(image_size, bounding_boxes, seed=0, seed2=0, min_object_covere/;" f +sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def sample_distorted_bounding_box(image_size,$/;" f +sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import sample_distorted_bounding_box_v2 as sample_dist/;" x +sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import sample_distorted_bounding_box_v2 as sample_dist/;" x +sample_distorted_bounding_box_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def sample_distorted_bounding_box_eager_fallback(image_size, bounding_boxes, seed, seed2, min_ob/;" f +sample_distorted_bounding_box_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def sample_distorted_bounding_box_v2(image_size, bounding_boxes, min_object_covered, seed=0, see/;" f +sample_distorted_bounding_box_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def sample_distorted_bounding_box_v2(image_size,$/;" f +sample_distorted_bounding_box_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def sample_distorted_bounding_box_v2_eager_fallback(image_size, bounding_boxes, min_object_cover/;" f +sample_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ sample_from_datasets = sample_from_datasets_v1$/;" v +sample_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ sample_from_datasets = sample_from_datasets_v2$/;" v +sample_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import sample_from_datasets_v1 as sa/;" x +sample_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import sample_from_datasets_v2 as sa/;" x +sample_from_datasets adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.interleave_ops import sample_from_datasets_v2 as sa/;" x +sample_from_datasets_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^def sample_from_datasets_v1(datasets, weights=None, seed=None):$/;" f +sample_from_datasets_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^def sample_from_datasets_v2(datasets, weights=None, seed=None):$/;" f +sample_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def sample_inputs(self, device, dtype, requires_grad=False):$/;" m class:OpInfo +sample_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def sample_inputs(self, device, dtype, requires_grad=False):$/;" m class:UnaryUfuncInfo +sample_n adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def sample_n(self, n):$/;" m class:Distribution +SAMPLE_SIZE adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ SAMPLE_SIZE = 64$/;" v class:SingleByteCharSetProber +SAMPLE_SIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ SAMPLE_SIZE = 64$/;" v class:SingleByteCharSetProber +SAMPLE_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ SAMPLE_SIZE = 501$/;" v class:DistributionsPlugin +SAMPLE_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ SAMPLE_SIZE = 51$/;" v class:HistogramsPlugin +sample_topo adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sample_topo(self, ind):$/;" m class:SHGO +sample_uniform adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def sample_uniform(self, rng=random):$/;" m class:Discrete +sample_uniform adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def sample_uniform(self, rng=random):$/;" m class:Domain +sample_uniform adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def sample_uniform(self, rng=random):$/;" m class:IntInterval +sample_uniform adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def sample_uniform(self, rng=random):$/;" m class:RealInterval +sample_weight adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def sample_weight(self):$/;" m class:DataFrameIterator +sample_weight adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ def sample_weight(self):$/;" m class:DirectoryIterator +sample_weight adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def sample_weight(self):$/;" m class:BatchFromFilesMixin +sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weight(self):$/;" m class:_TrainingEndpoint +sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weight(self, value):$/;" m class:_TrainingEndpoint +sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weights(self):$/;" m class:Model +sample_weights_mismatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weights_mismatch(self):$/;" m class:_TrainingEndpoint +sample_weight_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weight_mode(self):$/;" m class:_TrainingEndpoint +sample_weight_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def sample_weight_mode(self, value):$/;" m class:_TrainingEndpoint +SamplingConfig adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^SamplingConfig = _reflection.GeneratedProtocolMessageType('SamplingConfig', (_message.Message,),/;" v +SamplingDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SamplingDataset = tf_export("raw_ops.SamplingDataset")(_ops.to_raw_op(sampling_dataset))$/;" v +samplings adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^samplings = {$/;" v +SamplingTrain adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_train.py /^class SamplingTrain(ModelLayer):$/;" c +SamplingTrainableMixin adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^class SamplingTrainableMixin(six.with_metaclass(abc.ABCMeta, object)):$/;" c +sampling_custom adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sampling_custom(self, n, dim):$/;" m class:SHGO +sampling_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sampling_dataset(input_dataset, rate, seed, seed2, output_types, output_shapes, name=None):$/;" f +sampling_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sampling_dataset_eager_fallback(input_dataset, rate, seed, seed2, output_types, output_shape/;" f +sampling_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sampling_sobol(self, n, dim):$/;" m class:SHGO +sampling_subspace adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sampling_subspace(self):$/;" m class:SHGO +sandbox adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ sandbox = csp_property("sandbox")$/;" v class:ContentSecurityPolicy +SandboxViolation adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^class SandboxViolation(DistutilsError):$/;" c +SaneListExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^class SaneListExtension(Extension):$/;" c +SaneOListProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^class SaneOListProcessor(OListProcessor):$/;" c +SaneUListProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^class SaneUListProcessor(UListProcessor):$/;" c +sanitize adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def sanitize(index, default):$/;" f function:_polymorphic_slice_indices file: +sanitize adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ sanitize = False$/;" v class:HTMLSerializer +sanitize adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ sanitize = False$/;" v class:HTMLSerializer +sanitize_content_filename adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def sanitize_content_filename(filename):$/;" f +sanitize_css adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def sanitize_css(self, style):$/;" m class:Filter +sanitize_css adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def sanitize_css(self, style):$/;" m class:BleachSanitizerFilter +sanitize_css adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def sanitize_css(self, style):$/;" m class:Filter +sanitize_flags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def sanitize_flags(flags):$/;" f function:_write_ninja_file file: +sanitize_name adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^ def sanitize_name(self, name):$/;" m class:AttrListTreeprocessor +SANITIZE_PATTERN adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^SANITIZE_PATTERN = re.compile(r'([^&;]*(?:password|token)[^=]*=)[^&;]+', re.IGNORECASE)$/;" v +sanitize_sequence adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def sanitize_sequence(data):$/;" f +sanitize_source adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^def sanitize_source(src):$/;" f +sanitize_token adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def sanitize_token(self, token):$/;" m class:Filter +sanitize_token adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def sanitize_token(self, token):$/;" m class:BleachSanitizerFilter +sanitize_token adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def sanitize_token(self, token):$/;" m class:Filter +SanityCheck adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SanityCheck(self, operators):$/;" m class:IR +Sankey adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^class Sankey:$/;" c +sans_serif adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def sans_serif(self):$/;" m class:TexManager +SANYO_LD_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SANYO_LD_ADPCM = 0x0125$/;" v class:WAVE_FORMAT +SaslCredentials adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SaslCredentials(univ.Sequence):$/;" c +sasum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s sasum(int *n, s *sx, int *incx) nogil$/;" f +sate adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def sate(self):$/;" m class:_TFShouldUseHelper +satisfied_by adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^ def satisfied_by(self, version):$/;" m class:VersionPredicate +satisfies_constraints adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def satisfies_constraints(self, params):$/;" m class:FuzzedTensor +saturate_cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def saturate_cast(value, dtype, name=None):$/;" f +SATURDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +save adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def save(self, epoch):$/;" m class:CheckpointManager +save adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def save(self, epoch):$/;" m class:MultiNodeCheckpointManager +save adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def save(self, checkpoint_path_prefix, current_step):$/;" m class:Seq2SeqModelCaffe2 +save adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^save = np.save$/;" v +save adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def save(self, filename, writer=None, fps=None, dpi=None, codec=None,$/;" m class:Animation +save adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def save(file, arr, allow_pickle=True, fix_imports=True):$/;" f +SAVE adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^SAVE = {$/;" v +save adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^ def save(self, filename):$/;" m class:FontFile +save adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def save(self, fp, format=None, **params):$/;" m class:Image +SAVE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^SAVE = {}$/;" v +save adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def save(self, fp):$/;" m class:ImagePalette +SAVE adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^SAVE = {$/;" v +SAVE adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^SAVE = {$/;" v +SAVE adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^SAVE = {$/;" v +save adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def save(self, fp):$/;" m class:ImageFileDirectory_v2 +save adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def save(self):$/;" m class:Configuration +save adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def save(self):$/;" m class:File +save adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def save(self):$/;" m class:ScriptFile +save adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def save(self):$/;" m class:ZipBackedFile +save adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^ def save(self, pypi_version, current_time):$/;" m class:SelfCheckState +save adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def save(self):$/;" m class:pyparsing_test.reset_pyparsing_context +save adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def save(self):$/;" m class:pyparsing_test.reset_pyparsing_context +save adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def save(self):$/;" m class:PthDistributions +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def save(self, output_saved_model_dir):$/;" m class:TrtGraphConverter +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def save(self, output_saved_model_dir):$/;" m class:TrtGraphConverterV2 +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^def save(dataset, path, compression=None, shard_func=None):$/;" f +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def save(self,$/;" m class:_CustomSaver +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^def save(logdir, result):$/;" f +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def save(self,$/;" m class:Model +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def save(self, filepath, overwrite=True, include_optimizer=True):$/;" m class:DistributedCallbackModel +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save.py /^def save(model, filepath, overwrite, include_optimizer, signatures=None,$/;" f +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save(filename, tensor_names, data, name=None):$/;" f +Save adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^Save = tf_export("raw_ops.Save")(_ops.to_raw_op(save))$/;" v +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def save(self, as_text=False):$/;" m class:_SavedModelBuilder +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/method_name_updater.py /^ def save(self, new_export_dir=None):$/;" m class:MethodNameUpdater +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def save(obj, export_dir, signatures=None, options=None):$/;" f +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def save(self, checkpoint_number=None, check_interval=True):$/;" m class:CheckpointManager +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def save(self,$/;" m class:Saver +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def save(self, file_prefix, options=None):$/;" m class:MultiDeviceSaver +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def save(self, file_prefix, options=None):$/;" m class:_SingleDeviceSaver +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def save(self, file_prefix, checkpoint_number=None, session=None,$/;" m class:TrackableSaver +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def save(self, file_prefix, options=None):$/;" m class:Checkpoint +save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def save(self, file_prefix, session=None):$/;" m class:CheckpointV1 +save adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def save(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +save adpepsenv/lib/python3.8/site-packages/torch/jit/_serialization.py /^def save(m, f, _extra_files=None):$/;" f +save adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def save(obj, f: Union[str, os.PathLike, BinaryIO],$/;" f +save adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def save(self, dst, buffer_size=16384):$/;" m class:FileStorage +saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def saveable(self):$/;" m class:FuncGraph +saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def saveable(self):$/;" m class:QuantileAccumulator +saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def saveable(self):$/;" m class:CheckpointedOp +SaveableHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_hook.py /^class SaveableHook(base.NoRestoreSaveable):$/;" c +SaveableObject adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SaveableObject = _reflection.GeneratedProtocolMessageType('SaveableObject', (_message.Message,),/;" v +SaveableObject adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SaveableObject = _reflection.GeneratedProtocolMessageType('SaveableObject', (_message.Message,),/;" v +SaveableObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ SaveableObject = saveable_object.SaveableObject$/;" v class:BaseSaverBuilder +SaveableObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^class SaveableObject(object):$/;" c +saveables_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def saveables_cache(self):$/;" m class:ObjectGraphView +SAVEABLE_OBJECTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ SAVEABLE_OBJECTS = "saveable_objects"$/;" v class:GraphKeys +saveable_objects_for_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def saveable_objects_for_op(op, name):$/;" f +saveable_object_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^from tensorflow.python.training.saving import saveable_object as saveable_object_lib$/;" x +SaveContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^class SaveContext(threading.local):$/;" c +SavedAsset adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedAsset = _reflection.GeneratedProtocolMessageType('SavedAsset', (_message.Message,), {$/;" v +SavedAsset adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedAsset = _reflection.GeneratedProtocolMessageType('SavedAsset', (_message.Message,), {$/;" v +SaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SaveDataset = tf_export("raw_ops.SaveDataset")(_ops.to_raw_op(save_dataset))$/;" v +SavedBareConcreteFunction adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedBareConcreteFunction = _reflection.GeneratedProtocolMessageType('SavedBareConcreteFunction'/;" v +SavedBareConcreteFunction adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedBareConcreteFunction = _reflection.GeneratedProtocolMessageType('SavedBareConcreteFunction'/;" v +SavedConcreteFunction adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedConcreteFunction = _reflection.GeneratedProtocolMessageType('SavedConcreteFunction', (_mess/;" v +SavedConcreteFunction adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedConcreteFunction = _reflection.GeneratedProtocolMessageType('SavedConcreteFunction', (_mess/;" v +SavedConstant adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedConstant = _reflection.GeneratedProtocolMessageType('SavedConstant', (_message.Message,), {$/;" v +SavedConstant adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedConstant = _reflection.GeneratedProtocolMessageType('SavedConstant', (_message.Message,), {$/;" v +SavedFunction adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedFunction = _reflection.GeneratedProtocolMessageType('SavedFunction', (_message.Message,), {$/;" v +SavedFunction adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedFunction = _reflection.GeneratedProtocolMessageType('SavedFunction', (_message.Message,), {$/;" v +SavedMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^SavedMetadata = _reflection.GeneratedProtocolMessageType('SavedMetadata', (_message.Message,), {$/;" v +SavedModel adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^SavedModel = _reflection.GeneratedProtocolMessageType('SavedModel', (_message.Message,), {$/;" v +SavedModelBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^class SavedModelBuilder(_SavedModelBuilder):$/;" c +SavedModelEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^class SavedModelEstimator(estimator_lib.EstimatorV2):$/;" c +SavedModelLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class SavedModelLabels(object):$/;" c +SavedModelLoader adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^class SavedModelLoader(object):$/;" c +SavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^class SavedModelSaver(object):$/;" c +SavedModelTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^class SavedModelTest(tf_test_util.JaxToTfTestCase):$/;" c +SavedObject adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedObject = _reflection.GeneratedProtocolMessageType('SavedObject', (_message.Message,), {$/;" v +SavedObject adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedObject = _reflection.GeneratedProtocolMessageType('SavedObject', (_message.Message,), {$/;" v +SavedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^SavedObject = _reflection.GeneratedProtocolMessageType('SavedObject', (_message.Message,), {$/;" v +SavedObjectGraph adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedObjectGraph = _reflection.GeneratedProtocolMessageType('SavedObjectGraph', (_message.Messag/;" v +SavedObjectGraph adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedObjectGraph = _reflection.GeneratedProtocolMessageType('SavedObjectGraph', (_message.Messag/;" v +SavedResource adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedResource = _reflection.GeneratedProtocolMessageType('SavedResource', (_message.Message,), {$/;" v +SavedResource adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedResource = _reflection.GeneratedProtocolMessageType('SavedResource', (_message.Message,), {$/;" v +savedRetinaImage adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ savedRetinaImage = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +SavedSlice adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^SavedSlice = _reflection.GeneratedProtocolMessageType('SavedSlice', (_message.Message,), {$/;" v +SavedSliceMeta adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^SavedSliceMeta = _reflection.GeneratedProtocolMessageType('SavedSliceMeta', (_message.Message,),/;" v +SavedTensorSliceMeta adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^SavedTensorSliceMeta = _reflection.GeneratedProtocolMessageType('SavedTensorSliceMeta', (_messag/;" v +SavedTensorSlices adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^SavedTensorSlices = _reflection.GeneratedProtocolMessageType('SavedTensorSlices', (_message.Mess/;" v +SavedUserObject adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedUserObject = _reflection.GeneratedProtocolMessageType('SavedUserObject', (_message.Message,/;" v +SavedUserObject adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedUserObject = _reflection.GeneratedProtocolMessageType('SavedUserObject', (_message.Message,/;" v +SavedVariable adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^SavedVariable = _reflection.GeneratedProtocolMessageType('SavedVariable', (_message.Message,), {$/;" v +SavedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^SavedVariable = _reflection.GeneratedProtocolMessageType('SavedVariable', (_message.Message,), {$/;" v +saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def saved_model(self):$/;" m class:SavedModelLoader +saved_model_builder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.saved_model import builder as saved_model_builder$/;" x +SAVED_MODEL_FILENAME_JSON adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^SAVED_MODEL_FILENAME_JSON = "saved_model.json"$/;" v +SAVED_MODEL_FILENAME_PB adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^SAVED_MODEL_FILENAME_PB = "saved_model.pb"$/;" v +SAVED_MODEL_FILENAME_PBTXT adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^SAVED_MODEL_FILENAME_PBTXT = "saved_model.pbtxt"$/;" v +saved_model_format_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def saved_model_format_scope(value, **kwargs):$/;" f +saved_model_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^from tensorflow.python.keras.saving.saved_model import load as saved_model_load$/;" x +saved_model_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^from tensorflow.python.keras.saving.saved_model import save as saved_model_save$/;" x +SAVED_MODEL_SCHEMA_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^SAVED_MODEL_SCHEMA_VERSION = 1$/;" v +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow.python.saved_model import utils_impl as saved_model_utils$/;" x +saved_results adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ saved_results = {}$/;" v class:system_info +saved_tensors adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def saved_tensors(self):$/;" m class:NestedIOFunction +savefig adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def savefig(self, figure=None, **kwargs):$/;" m class:PdfPages +savefig adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def savefig(self, figure=None, **kwargs):$/;" m class:PdfPages +savefig adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def savefig(self, fname, *, transparent=None, **kwargs):$/;" m class:Figure +savefig adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def savefig(*args, **kwargs):$/;" f +SaveFigureBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class SaveFigureBase(ToolBase):$/;" c +SaveFigureGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class SaveFigureGTK3(backend_tools.SaveFigureBase):$/;" c +SaveFigureQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class SaveFigureQt(backend_tools.SaveFigureBase):$/;" c +SaveFigureTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class SaveFigureTk(backend_tools.SaveFigureBase):$/;" c +SaveFigureWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class SaveFigureWx(backend_tools.SaveFigureBase):$/;" c +saveFile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def saveFile(self, tmp_folder, db_name, db_type, start_blob_id):$/;" m class:TestLoadSaveBase +savemat adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def savemat(file_name, mdict,$/;" f +SaveModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def SaveModel(args, train_model, epoch, use_ideep):$/;" f +SaveModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def SaveModel(args, train_model, epoch, use_ideep):$/;" f +SaveOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^class SaveOptions(object):$/;" c +SaveOptionsContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^class SaveOptionsContext(threading.local):$/;" c +saveopts adpepsenv/lib/python3.8/site-packages/setuptools/command/saveopts.py /^class saveopts(option_base):$/;" c +saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def saver(self):$/;" m class:GracefulShutdownHook +saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def saver(self):$/;" m class:Scaffold +Saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^class Saver(object):$/;" c +saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def saver(self):$/;" m class:Supervisor +SaverDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^SaverDef = _reflection.GeneratedProtocolMessageType('SaverDef', (_message.Message,), {$/;" v +SaverDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^SaverDef = _reflection.GeneratedProtocolMessageType('SaverDef', (_message.Message,), {$/;" v +SAVERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ SAVERS = "savers"$/;" v class:GraphKeys +saver_from_object_based_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def saver_from_object_based_checkpoint(checkpoint_path,$/;" f +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^from tensorflow.python.training import saver as saver_lib$/;" x +saver_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^from tensorflow.python.training import saver as saver_mod$/;" x +saver_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^from tensorflow.python.training import saver as saver_module$/;" x +saver_with_op_caching adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def saver_with_op_caching(obj, attached_dependencies=None):$/;" f +SavesBase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class SavesBase(np.ndarray):$/;" c member:TestArrayFinalize.test_receives_base file: +SaveSliceInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ class SaveSliceInfo(object):$/;" c class:Variable +SaveSliceInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ SaveSliceInfo = Variable.SaveSliceInfo$/;" v class:VariableV1 +SaveSliceInfoDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^SaveSliceInfoDef = _reflection.GeneratedProtocolMessageType('SaveSliceInfoDef', (_message.Messag/;" v +SaveSliceInfoDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^SaveSliceInfoDef = _reflection.GeneratedProtocolMessageType('SaveSliceInfoDef', (_message.Messag/;" v +SaveSlices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^SaveSlices = tf_export("raw_ops.SaveSlices")(_ops.to_raw_op(save_slices))$/;" v +SaveSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ SaveSpec = saveable_object.SaveSpec$/;" v class:BaseSaverBuilder +SaveSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^class SaveSpec(object):$/;" c +savetxt adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def savetxt(fname, X, fmt='%.18e', delimiter=' ', newline='\\n', header='',$/;" f +SaveV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^SaveV2 = tf_export("raw_ops.SaveV2")(_ops.to_raw_op(save_v2))$/;" v +savez adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^savez = np.savez$/;" v +savez adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def savez(file, *args, **kwds):$/;" f +savez_compressed adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def savez_compressed(file, *args, **kwds):$/;" f +save_access_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def save_access_token(self, token, request):$/;" m class:RequestValidator +SAVE_ALL adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^SAVE_ALL = {}$/;" v +save_and_load_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/saved_model_benchmarks/saved_model_benchmark_util.py /^def save_and_load_benchmark(app):$/;" f +save_and_load_model adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def save_and_load_model(self, model: tf.Module) -> tf.Module:$/;" m class:SavedModelTest +SAVE_AND_RESTORE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^SAVE_AND_RESTORE_OPS = ["SaveV2",$/;" v +save_argv adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def save_argv(repl=None):$/;" f +save_attributes_to_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def save_attributes_to_hdf5_group(group, name, data):$/;" f +save_authorization_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def save_authorization_code(self, client_id, code, request, *args, **kwargs):$/;" m class:RequestValidator +save_bearer_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def save_bearer_token(self, token, request, *args, **kwargs):$/;" m class:RequestValidator +save_binary adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_binary(self, package, resource, binary: bytes):$/;" m class:PackageExporter +save_boundary_tensors adpeps/ipeps/ipeps.py /^ def save_boundary_tensors(self):$/;" m class:iPEPS +save_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/helper.py /^def save_caffe2_net(net, file, output_txt=False):$/;" f +save_checkpoints adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def save_checkpoints(self, epoch, session):$/;" m class:JobRunner +save_checkpoints_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def save_checkpoints_secs(self):$/;" m class:RunConfig +save_checkpoints_steps adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def save_checkpoints_steps(self):$/;" m class:RunConfig +save_configuration adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def save_configuration(self):$/;" m class:PackageIndex +save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^def save_context(options):$/;" f +save_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def save_counter(self):$/;" m class:Checkpoint +save_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def save_counter(self):$/;" m class:CheckpointV1 +save_credentials adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def save_credentials(self, resp, **kwargs):$/;" m class:MultiDomainBasicAuth +save_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def save_dataset(input_dataset, path, shard_func_other_args, shard_func, compression="", use_sha/;" f +save_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def save_dataset_eager_fallback(input_dataset, path, shard_func_other_args, shard_func, compress/;" f +save_device_memory_profile adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^def save_device_memory_profile(filename, backend: Optional[str] = None):$/;" f +save_diff_image adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def save_diff_image(expected, actual, output):$/;" f +save_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save_eager_fallback(filename, tensor_names, data, name, ctx):$/;" f +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2GTK3 +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2Mac +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2QT +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2WebAgg +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2Wx +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2Tk +save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def save_figure(self, *args):$/;" m class:NavigationToolbar2 +save_flag_values adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^def save_flag_values(flag_values=FLAGS):$/;" f +save_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def save_fn():$/;" f member:MultiDeviceSaver.save file: +save_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def save_fn(checkpoint_key):$/;" f function:_trace_save_and_restore_function file: +save_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def save_fn(name):$/;" f function:create_saveable_object file: +save_for_backward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def save_for_backward(self, *args: Any) -> None:$/;" m class:NestedIOFunction +save_for_backward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def save_for_backward(self, *tensors):$/;" m class:_ContextMethodMixin +save_global adpepsenv/lib/python3.8/site-packages/torch/_package/_custom_import_pickler.py /^ def save_global(self, obj, name=None):$/;" m class:CustomImportPickler +save_image adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def save_image(self, image):$/;" m class:Viewer +save_img adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def save_img(path,$/;" f +save_img adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^def save_img(path,$/;" f +SAVE_INFO adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^SAVE_INFO = {$/;" v +save_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save.py /^from tensorflow.python.saved_model import save as save_lib$/;" x +save_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^from tensorflow.python.saved_model import save as save_lib$/;" x +save_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def save_lut(self, filename):$/;" m class:MorphOp +save_matfile adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/save_matfile.m /^function save_matfile(test_name, v)$/;" g +save_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^save_model = save.save_model$/;" v +save_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^def save_model(model,$/;" f +save_model_params adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def save_model_params(is_checkpoint, model, checkpoint_path, epoch, opts, best_metric):$/;" f +save_model_params_blob adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def save_model_params_blob(model, params_file, epoch, opts, best_metric):$/;" f +save_model_secs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def save_model_secs(self):$/;" m class:Supervisor +save_model_to_hdf5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def save_model_to_hdf5(model, filepath, overwrite=True, include_optimizer=True):$/;" f +save_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_module(self, module_name: str, dependencies=True):$/;" m class:PackageExporter +save_modules adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def save_modules():$/;" f +save_npz adpepsenv/lib/python3.8/site-packages/scipy/sparse/_matrix_io.py /^def save_npz(file, matrix, compressed=True):$/;" f +save_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def save_offset(self):$/;" m class:DraggableAnnotation +save_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def save_offset(self):$/;" m class:DraggableBase +save_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def save_offset(self):$/;" m class:DraggableOffsetBox +save_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def save_op(self, filename_tensor, saveables):$/;" m class:BaseSaverBuilder +save_optimizer_weights_to_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def save_optimizer_weights_to_hdf5_group(hdf5_group, optimizer):$/;" f +save_options_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^from tensorflow.python.saved_model import save_options as save_options_lib$/;" x +save_path adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def save_path():$/;" f +save_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def save_path(self):$/;" m class:Supervisor +save_pem adpepsenv/lib/python3.8/site-packages/rsa/pem.py /^def save_pem(contents: bytes, pem_marker: FlexiText) -> bytes:$/;" f +save_pickle adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_pickle(self, package: str, resource: str, obj: Any, dependencies: bool = True):$/;" m class:PackageExporter +save_pkcs1 adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def save_pkcs1(self, format: str = 'PEM') -> bytes:$/;" m class:AbstractKey +save_pkg_resources_state adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def save_pkg_resources_state():$/;" f +save_possible_simple_key adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def save_possible_simple_key(self):$/;" m class:Scanner +save_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def save_request_token(self, token, request):$/;" m class:RequestValidator +save_rewind adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def save_rewind(self):$/;" m class:PngStream +save_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def save_rref(rref_var: RRef[Tensor], fname: str) -> None:$/;" f +save_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save_slices(filename, tensor_names, shapes_and_slices, data, name=None):$/;" f +save_slices_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save_slices_eager_fallback(filename, tensor_names, shapes_and_slices, data, name, ctx):$/;" f +save_source_file adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_source_file(self, module_name: str, file_or_directory: str, dependencies=True):$/;" m class:PackageExporter +save_source_string adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_source_string(self, module_name: str, src: str, is_package: bool = False,$/;" m class:PackageExporter +save_state adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def save_state(self, observed):$/;" m class:Quantizer +SAVE_STATE_WARNING adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^SAVE_STATE_WARNING = "Please also save or load the state of the optimizer when saving or loading/;" v +save_summaries_secs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def save_summaries_secs(self):$/;" m class:Supervisor +save_summary_steps adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def save_summary_steps(self):$/;" m class:RunConfig +save_text adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def save_text(self, package: str, resource: str, text: str):$/;" m class:PackageExporter +save_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def save_token(self, token, request, *args, **kwargs):$/;" m class:RequestValidator +save_to_buffer adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def save_to_buffer(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +save_to_db adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def save_to_db(db_type, db_destination, predictor_export_meta, use_ideep=False,$/;" f +save_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save_v2(prefix, tensor_names, shape_and_slices, tensors, name=None):$/;" f +save_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def save_v2_eager_fallback(prefix, tensor_names, shape_and_slices, tensors, name, ctx):$/;" f +save_variables_to_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def save_variables_to_ckpt(model_dir):$/;" f +save_variables_to_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^def save_variables_to_ckpt(model_dir):$/;" f +SAVE_VARIABLE_DEVICES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ SAVE_VARIABLE_DEVICES = "save_variable_devices"$/;" v class:VariablePolicy +save_verifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def save_verifier(self, token, verifier, request):$/;" m class:RequestValidator +save_version_info adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def save_version_info(self, filename):$/;" m class:egg_info +save_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def save_weights(self,$/;" m class:Model +save_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def save_weights(self, filepath, overwrite=True, save_format=None):$/;" m class:DistributedCallbackModel +save_weights_to_hdf5_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def save_weights_to_hdf5_group(f, layers):$/;" f +savgol_coeffs adpepsenv/lib/python3.8/site-packages/scipy/signal/_savitzky_golay.py /^def savgol_coeffs(window_length, polyorder, deriv=0, delta=1.0, pos=None,$/;" f +savgol_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/_savitzky_golay.py /^def savgol_filter(x, window_length, polyorder, deriv=0, delta=1.0,$/;" f +saving adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def saving(self, fig, outfile, dpi, *args, **kwargs):$/;" m class:AbstractMovieWriter +saving adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def saving(self):$/;" m class:CallContext +saving_errors adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def saving_errors(self):$/;" m class:FuncGraph +Sawtooth adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Sawtooth(_Base):$/;" c class:BoxStyle +sawtooth adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def sawtooth(t, width=1):$/;" f +saxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void saxpy(int *n, s *sa, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +sb adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^from . import numeric as sb$/;" x +sbbcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sbbcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, int *m, int /;" f +SBC24 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SBC24 = 0x0091$/;" v class:WAVE_FORMAT +SBCSGroupProber adpepsenv/lib/python3.8/site-packages/chardet/sbcsgroupprober.py /^class SBCSGroupProber(CharSetGroupProber):$/;" c +SBCSGroupProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcsgroupprober.py /^class SBCSGroupProber(CharSetGroupProber):$/;" c +sbdsdc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sbdsdc(char *uplo, char *compq, int *n, s *d, s *e, s *u, int *ldu, s *vt, int *ldvt, /;" f +sbdsqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sbdsqr(char *uplo, int *n, int *ncvt, int *nru, int *ncc, s *d, s *e, s *vt, int *ldvt/;" f +SB_ENOUGH_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ SB_ENOUGH_REL_THRESHOLD = 1024 # 0.25 * SAMPLE_SIZE^2$/;" v class:SingleByteCharSetProber +SB_ENOUGH_REL_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ SB_ENOUGH_REL_THRESHOLD = 1024 # 0.25 * SAMPLE_SIZE^2$/;" v class:SingleByteCharSetProber +sc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def sc(self, request):$/;" m class:TestInterp +sc adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_constants.py /^import scipy.constants as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_digamma.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_erfinv.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gamma.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_owens_t.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pcf.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sici.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^import scipy.special as sc$/;" I +sc adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^import scipy.special as sc$/;" I +sca adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def sca(self, a):$/;" m class:Figure +sca adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def sca(ax):$/;" f +Scaffold adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class Scaffold(object):$/;" c +Scalable adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^Scalable = Scaled$/;" v +Scalar adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class Scalar(Field):$/;" c +scalar adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ scalar = complex$/;" v class:zvode +scalar adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ scalar = float$/;" v class:IntegratorBase +scalar adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def scalar():$/;" f +scalar adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^scalar = summary_v2.scalar$/;" v +scalar adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary_v2.py /^def scalar(name, data, step=None, description=None):$/;" f +scalar adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^scalar = _scalar_summary.op$/;" v +scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def scalar(name, tensor, family=None, step=None):$/;" f +scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def scalar(name, tensor, collections=None, family=None):$/;" f +scalar adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def scalar(name, scalar, collections=None):$/;" f +ScalarAnalysis adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^class ScalarAnalysis:$/;" c +ScalarDatum adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class ScalarDatum(object):$/;" c +ScalarEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ScalarEvent = namedtuple("ScalarEvent", ["wall_time", "step", "value"])$/;" v +ScalarEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class ScalarEvent(NodeEvent):$/;" c +ScalarFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class ScalarFormatter(Formatter):$/;" c +ScalarFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^class ScalarFunction(object):$/;" c +ScalarMap adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class ScalarMap(MutableMapping):$/;" c +ScalarMappable adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^class ScalarMappable:$/;" c +ScalarNode adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^class ScalarNode(Node):$/;" c +ScalarPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^ScalarPluginData = _reflection.GeneratedProtocolMessageType('ScalarPluginData', (_message.Messag/;" v +ScalarPoint adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^ScalarPoint = _reflection.GeneratedProtocolMessageType('ScalarPoint', (_message.Message,), {$/;" v +ScalarPointMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^ScalarPointMetadata = _reflection.GeneratedProtocolMessageType('ScalarPointMetadata', (_message./;" v +ScalarReadSelection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^class ScalarReadSelection(object):$/;" c +scalars adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^scalars = [$/;" v +Scalars adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Scalars(self, tag):$/;" m class:EventAccumulator +SCALARS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^SCALARS = tag_types.SCALARS$/;" v +Scalars adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Scalars(self, run, tag):$/;" m class:EventMultiplexer +SCALARS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^SCALARS = "scalars"$/;" v +ScalarShape adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class ScalarShape(object):$/;" c +ScalarsPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^class ScalarsPlugin(base_plugin.TBPlugin):$/;" c +ScalarSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^ScalarSummary = tf_export("raw_ops.ScalarSummary")(_ops.to_raw_op(scalar_summary))$/;" v +scalars_and_codes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^scalars_and_codes = [$/;" v +scalars_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def scalars_impl(self, ctx, run, tag_regex_string, experiment):$/;" m class:CustomScalarsPlugin +scalars_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def scalars_impl(self, ctx, tag, run, experiment, output_format):$/;" m class:ScalarsPlugin +scalars_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.scalar import metadata as scalars_metadata$/;" x +scalars_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^from tensorboard.plugins.scalar import metadata as scalars_metadata$/;" x +scalars_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def scalars_metadata(self, ctx, experiment_id):$/;" m class:Context +scalars_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^from tensorboard.plugins.scalar import metadata as scalars_metadata$/;" x +scalars_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^from tensorboard.plugins.scalar import metadata as scalars_metadata$/;" x +scalars_multirun_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def scalars_multirun_impl(self, ctx, tag, runs, experiment):$/;" m class:ScalarsPlugin +scalars_multirun_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def scalars_multirun_route(self, request):$/;" m class:ScalarsPlugin +scalars_only adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^scalars_only, codes_only = zip(*scalars_and_codes)$/;" v +scalars_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def scalars_route(self, request):$/;" m class:CustomScalarsPlugin +scalars_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def scalars_route(self, request):$/;" m class:ScalarsPlugin +scalars_tracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def scalars_tracker(self, num_scalars):$/;" m class:UploadTracker +ScalarTimeSeries adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class ScalarTimeSeries(_TimeSeries):$/;" c +ScalarToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class ScalarToken(Token):$/;" c +ScalarType adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^ ScalarType = [int, float, complex, int, bool, bytes, str, memoryview]$/;" v +ScalarType adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^ScalarType = tuple(ScalarType)$/;" v +scalar_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def scalar_iter(self):$/;" m class:TestLineSearch +scalar_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^from tensorboard.plugins.scalar import metadata as scalar_metadata$/;" x +scalar_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^from tensorboard.plugins.scalar import metadata as scalar_metadata$/;" x +scalar_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^from tensorboard.plugins.scalar import metadata as scalar_metadata$/;" x +scalar_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^from tensorboard.plugins.scalar import metadata as scalar_metadata$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def scalar_mul(xs, a):$/;" f +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def scalar_mul(scalar, x, name=None):$/;" f +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.math_ops import scalar_mul_v2 as scalar_mul$/;" x +scalar_mul_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def scalar_mul_v2(scalar, x, name=None):$/;" f +scalar_name_to_pytorch adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^scalar_name_to_pytorch = {$/;" v +scalar_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^scalar_pb = summary_v2.scalar_pb$/;" v +scalar_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary_v2.py /^def scalar_pb(tag, data, description=None):$/;" f +scalar_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^scalar_pb = _scalar_summary.pb$/;" v +scalar_search_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def scalar_search_armijo(phi, phi0, derphi0, c1=1e-4, alpha0=1, amin=0):$/;" f +scalar_search_wolfe1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def scalar_search_wolfe1(phi, derphi, phi0=None, old_phi0=None, derphi0=None,$/;" f +scalar_search_wolfe2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def scalar_search_wolfe2(phi, derphi, phi0=None,$/;" f +scalar_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def scalar_summary(tags, values, name=None):$/;" f +scalar_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def scalar_summary(tags, values, collections=None, name=None):$/;" f +scalar_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def scalar_summary_eager_fallback(tags, values, name, ctx):$/;" f +scalar_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def scalar_tensor(g, scalar, dtype, *options):$/;" f +scalar_testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ scalar_testcase = 4.$/;" v class:TestMoments +scalar_testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ scalar_testcase = 4.$/;" v class:TestVariability +scalar_type_of adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def scalar_type_of(x):$/;" f +scalar_type_to_onnx adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^scalar_type_to_onnx = [$/;" v +scalar_type_to_pytorch_type adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^scalar_type_to_pytorch_type = [$/;" v +scale adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def scale(k, j): return 1. \/ (fact(k - j) * fact(j))$/;" f function:_bilinear_taylor_rule file: +scale adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def scale(k, j): return 1. \/ (fact(k - j) * fact(j))$/;" f function:_div_taylor_rule file: +Scale adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Scale(self):$/;" m class:PocketFftDescriptor +scale adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def scale(self, xscale, yscale):$/;" m class:Table +scale adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def scale(self, sx, sy=None):$/;" m class:Affine2D +scale adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def scale(image, factor, resample=Image.BICUBIC):$/;" f +SCALE adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^SCALE = "Scale (x,y)"$/;" v +Scale adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Scale(self, j):$/;" m class:QuantizationParameters +scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def scale(self):$/;" m class:Laplace +scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def scale(self):$/;" m class:Normal +scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def scale(self):$/;" m class:StudentT +scale adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def scale(self, outputs):$/;" m class:GradScaler +scale adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def scale(self):$/;" m class:HalfCauchy +scale adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def scale(self):$/;" m class:HalfNormal +scale adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ def scale(self):$/;" m class:LogisticNormal +scale adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def scale(self):$/;" m class:LogNormal +ScaleAndTranslate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ScaleAndTranslate = tf_export("raw_ops.ScaleAndTranslate")(_ops.to_raw_op(scale_and_translate))$/;" v +ScaleAndTranslateGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^ScaleAndTranslateGrad = tf_export("raw_ops.ScaleAndTranslateGrad")(_ops.to_raw_op(scale_and_tran/;" v +ScaleAndTranslateOpTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^class ScaleAndTranslateOpTestBase(test.TestCase):$/;" c +ScaleAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ScaleAsNumpy(self):$/;" m class:QuantizationParameters +ScaleBase adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class ScaleBase:$/;" c +scaled adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def scaled(self):$/;" m class:Normalize +Scaled adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class Scaled(_Base):$/;" c +ScaledBy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ class ScaledBy:$/;" c function:test_external_transform_api file: +ScaledTranslation adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class ScaledTranslation(Affine2DBase):$/;" c +ScaleIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ScaleIsNone(self):$/;" m class:QuantizationParameters +ScaleLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ScaleLength(self):$/;" m class:QuantizationParameters +scaleoffset adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def scaleoffset(self):$/;" m class:Dataset +scale_after_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def scale_after_normalization(node):$/;" f +scale_and_translate adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def scale_and_translate(image, shape: Sequence[int],$/;" f +scale_and_translate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def scale_and_translate(images, size, scale, translation, kernel_type="lanczos3", antialias=True/;" f +scale_and_translate_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def scale_and_translate_eager_fallback(images, size, scale, translation, kernel_type, antialias,/;" f +scale_and_translate_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def scale_and_translate_grad(grads, original_image, scale, translation, kernel_type="lanczos3", /;" f +scale_and_translate_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def scale_and_translate_grad_eager_fallback(grads, original_image, scale, translation, kernel_ty/;" f +scale_factors adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def scale_factors(self):$/;" m class:TriAnalyzer +scale_factory adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^def scale_factory(scale, axis, **kwargs):$/;" f +scale_for_robust_loss_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def scale_for_robust_loss_function(J, f, rho):$/;" f +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, *args, **kwargs):$/;" m class:Optimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:AdadeltaOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:AdagradOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:AdamOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:FtrlOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:GFtrlOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:RmsPropOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:SgdOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:StormOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:WngradOptimizer +scale_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def scale_learning_rate(self, scale):$/;" m class:YellowFinOptimizer +scale_losses_by_sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def scale_losses_by_sample_weight(losses, sample_weight):$/;" f +scale_loss_for_distribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def scale_loss_for_distribution(loss_value):$/;" f +scale_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def scale_mle(data, floc):$/;" f member:TestRayleigh.test_fit file: +scale_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def scale_mle(loc, data):$/;" f member:rayleigh_gen.fit file: +scale_range adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def scale_range(vmin, vmax, n=1, threshold=100):$/;" f +scale_regularization_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def scale_regularization_loss(regularization_loss):$/;" f +scale_tril adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def scale_tril(self):$/;" m class:LowRankMultivariateNormal +scale_tril adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def scale_tril(self):$/;" m class:MultivariateNormal +scaling adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def scaling(self, z):$/;" m class:BarrierSubproblem +scan adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def scan(f: Callable[[Carry, X], Tuple[Carry, Y]],$/;" f +scan adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def scan(self, search_path=None):$/;" m class:Environment +scan adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def scan(self, search_path=None):$/;" m class:Environment +scan adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def scan(link):$/;" f member:PackageIndex.process_index file: +scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^def scan(initial_state, scan_func):$/;" f +scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def scan(fn,$/;" f +scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def scan(args):$/;" f +scan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import scan_v2 as scan$/;" x +scan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import scan_v2 as scan$/;" x +scan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.functional_ops import scan_v2 as scan$/;" x +scan adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.functional_ops import scan_v2 as scan$/;" x +scan adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.functional_ops import scan_v2 as scan$/;" x +scan adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def scan(stream, Loader=Loader):$/;" f +ScanDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ScanDataset = tf_export("raw_ops.ScanDataset")(_ops.to_raw_op(scan_dataset))$/;" v +scaninputline adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^def scaninputline(inputline):$/;" f +scanned_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def scanned_fun(loop_carry, _):$/;" f function:_fori_scan_body_fun file: +Scanner adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^class Scanner:$/;" c +ScannerError adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^class ScannerError(MarkedYAMLError):$/;" c +ScanningLoader adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^class ScanningLoader(TestLoader):$/;" c +scanString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def scanString(self, instring, maxMatches=_MAX_INT, overlap=False):$/;" m class:ParserElement +scanString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def scanString( self, instring, maxMatches=_MAX_INT, overlap=False ):$/;" m class:ParserElement +scanString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def scanString(self, instring, maxMatches=_MAX_INT, overlap=False):$/;" m class:ParserElement +scanString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def scanString( self, instring, maxMatches=_MAX_INT, overlap=False ):$/;" m class:ParserElement +scan_all adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def scan_all(self, msg=None, *args):$/;" m class:PackageIndex +scan_anchor adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_anchor(self, TokenClass):$/;" m class:Scanner +scan_bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def scan_bind(*args, **params):$/;" f +scan_block_scalar adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_block_scalar(self, style):$/;" m class:Scanner +scan_block_scalar_breaks adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_block_scalar_breaks(self, indent):$/;" m class:Scanner +scan_block_scalar_ignored_line adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_block_scalar_ignored_line(self, start_mark):$/;" m class:Scanner +scan_block_scalar_indentation adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_block_scalar_indentation(self):$/;" m class:Scanner +scan_block_scalar_indicators adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_block_scalar_indicators(self, start_mark):$/;" m class:Scanner +scan_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def scan_body(scan_state, scan_inputs):$/;" f function:_tf_dataset_for_stmt file: +scan_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def scan_body(aug_vars, iterate):$/;" f function:_dataset_for_stmt_no_extra_test file: +scan_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def scan_body(aug_vars, iterate):$/;" f function:_dataset_for_stmt_with_extra_test file: +scan_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def scan_dataset(input_dataset, initial_state, other_arguments, f, output_types, output_shapes, /;" f +scan_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def scan_dataset_eager_fallback(input_dataset, initial_state, other_arguments, f, output_types, /;" f +scan_directive adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_directive(self):$/;" m class:Scanner +scan_directive_ignored_line adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_directive_ignored_line(self, start_mark):$/;" m class:Scanner +scan_directive_name adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_directive_name(self, start_mark):$/;" m class:Scanner +scan_egg_link adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def scan_egg_link(self, path, entry):$/;" m class:PackageIndex +scan_egg_links adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def scan_egg_links(self, search_path):$/;" m class:PackageIndex +scan_flow_scalar adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_flow_scalar(self, style):$/;" m class:Scanner +scan_flow_scalar_breaks adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_flow_scalar_breaks(self, double, start_mark):$/;" m class:Scanner +scan_flow_scalar_non_spaces adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_flow_scalar_non_spaces(self, double, start_mark):$/;" m class:Scanner +scan_flow_scalar_spaces adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_flow_scalar_spaces(self, double, start_mark):$/;" m class:Scanner +scan_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def scan_fun(carry, i):$/;" f function:_odeint_rev file: +scan_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def scan_fun(carry, target_t):$/;" f function:_odeint file: +scan_line_break adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_line_break(self):$/;" m class:Scanner +scan_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def scan_meta_graph_def(meta_graph_def):$/;" f +scan_module adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def scan_module(egg_dir, base, name, stubs):$/;" f +scan_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^scan_p = core.Primitive("scan")$/;" v +scan_plain adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_plain(self):$/;" m class:Scanner +scan_plain_spaces adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_plain_spaces(self, indent, start_mark):$/;" m class:Scanner +scan_setup_py versioneer.py /^def scan_setup_py():$/;" f +scan_tag adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag(self):$/;" m class:Scanner +scan_tag_directive_handle adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag_directive_handle(self, start_mark):$/;" m class:Scanner +scan_tag_directive_prefix adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag_directive_prefix(self, start_mark):$/;" m class:Scanner +scan_tag_directive_value adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag_directive_value(self, start_mark):$/;" m class:Scanner +scan_tag_handle adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag_handle(self, name, start_mark):$/;" m class:Scanner +scan_tag_uri adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_tag_uri(self, name, start_mark):$/;" m class:Scanner +scan_to_next_token adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_to_next_token(self):$/;" m class:Scanner +scan_uri_escapes adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_uri_escapes(self, name, start_mark):$/;" m class:Scanner +scan_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def scan_url(self, url):$/;" m class:PackageIndex +scan_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def scan_v2(fn,$/;" f +scan_yaml_directive_number adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_yaml_directive_number(self, start_mark):$/;" m class:Scanner +scan_yaml_directive_value adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def scan_yaml_directive_value(self, start_mark):$/;" m class:Scanner +scasum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s scasum(int *n, c *cx, int *incx) nogil$/;" f +scatter adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def scatter(operand: Array, scatter_indices: Array, updates: Array,$/;" f +scatter adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def scatter(self, x, y, s=None, c=None, marker=None, cmap=None, norm=None,$/;" m class:Axes +scatter adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def scatter($/;" f +scatter adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def scatter(self, xs, ys, zs=0, zdir='z', s=20, c=None, depthshade=True,$/;" m class:Axes3D +scatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def scatter(operand, scatter_indices, updates, update_computation,$/;" f +scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def scatter(self, indices, value, name=None):$/;" m class:TensorArray +scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def scatter(self, indices, value, name=None):$/;" m class:_EagerTensorArray +scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def scatter(self, indices, value, name=None):$/;" m class:_GraphTensorArray +scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def scatter(self, indices, value, name=None):$/;" m class:_GraphTensorArrayV2 +scatter adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def scatter(tensor,$/;" f +scatter adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/comm.py /^def scatter(tensor, devices=None, chunk_sizes=None, dim=0, streams=None, *, out=None):$/;" f +scatter adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def scatter(self, inputs, kwargs, device_ids):$/;" m class:DataParallel +scatter adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def scatter(self, inputs, kwargs, device_ids):$/;" m class:DistributedDataParallel +scatter adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/scatter_gather.py /^def scatter(inputs, target_gpus, dim=0):$/;" f +Scatter adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^class Scatter(Function):$/;" c +scatter adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def scatter(g, self, dim, index, src):$/;" f +scatter adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def scatter(g, self, dim, index, src):$/;" f +scatter3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ scatter3D = scatter$/;" v class:Axes3D +ScatterAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterAdd = tf_export("raw_ops.ScatterAdd")(_ops.to_raw_op(scatter_add))$/;" v +ScatterDimensionNumbers adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^class ScatterDimensionNumbers(NamedTuple):$/;" c +ScatterDimensionNumbers adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^class ScatterDimensionNumbers(object):$/;" c +ScatterDimensionNumbers adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ScatterDimensionNumbers = _reflection.GeneratedProtocolMessageType('ScatterDimensionNumbers', (_/;" v +ScatterDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterDiv = tf_export("raw_ops.ScatterDiv")(_ops.to_raw_op(scatter_div))$/;" v +ScatterMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterMax = tf_export("raw_ops.ScatterMax")(_ops.to_raw_op(scatter_max))$/;" v +ScatterMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterMin = tf_export("raw_ops.ScatterMin")(_ops.to_raw_op(scatter_min))$/;" v +ScatterMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterMul = tf_export("raw_ops.ScatterMul")(_ops.to_raw_op(scatter_mul))$/;" v +ScatterNd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ScatterNd = tf_export("raw_ops.ScatterNd")(_ops.to_raw_op(scatter_nd))$/;" v +ScatterNdAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterNdAdd = tf_export("raw_ops.ScatterNdAdd")(_ops.to_raw_op(scatter_nd_add))$/;" v +ScatterNdMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterNdMax = tf_export("raw_ops.ScatterNdMax")(_ops.to_raw_op(scatter_nd_max))$/;" v +ScatterNdMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterNdMin = tf_export("raw_ops.ScatterNdMin")(_ops.to_raw_op(scatter_nd_min))$/;" v +ScatterNdNonAliasingAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ScatterNdNonAliasingAdd = tf_export("raw_ops.ScatterNdNonAliasingAdd")(_ops.to_raw_op(scatter_nd/;" v +ScatterNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ScatterNdOptions = 97$/;" v class:BuiltinOptions +ScatterNdOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ScatterNdOptions(object):$/;" c +ScatterNdOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ScatterNdOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ScatterNdOptions +ScatterNdOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ScatterNdOptionsEnd(builder): return builder.EndObject()$/;" f +ScatterNdOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ScatterNdOptionsStart(builder): builder.StartObject(0)$/;" f +ScatterNdOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ScatterNdOptionsT(object):$/;" c +ScatterNdSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterNdSub = tf_export("raw_ops.ScatterNdSub")(_ops.to_raw_op(scatter_nd_sub))$/;" v +ScatterNdUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterNdUpdate = tf_export("raw_ops.ScatterNdUpdate")(_ops.to_raw_op(scatter_nd_update))$/;" v +ScatterSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterSub = tf_export("raw_ops.ScatterSub")(_ops.to_raw_op(scatter_sub))$/;" v +ScatterUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^ScatterUpdate = tf_export("raw_ops.ScatterUpdate")(_ops.to_raw_op(scatter_update))$/;" v +scatter_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def scatter_add(cls, harness):$/;" m class:Jax2TfLimitation +scatter_add adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def scatter_add(operand: Array, scatter_indices: Array, updates: Array,$/;" f +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_add(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_add(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_add(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_add(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_add(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_add(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_add adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def scatter_add(g, self, dim, index, src):$/;" f +scatter_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_add_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_add_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_add_fn = lambda var, *a, **kw: var.scatter_add(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_add file: +scatter_add_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_add_fn = lambda var, *a, **kw: var.scatter_add(*a, **kw)$/;" f function:scatter_add file: +scatter_add_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^scatter_add_p = standard_primitive($/;" v +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_div(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_div(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_div(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_div(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_div(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_div(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_div_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_div_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_div_fn = lambda var, *a, **kw: var.scatter_div(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_div file: +scatter_div_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_div_fn = lambda var, *a, **kw: var.scatter_div(*a, **kw)$/;" f function:scatter_div file: +scatter_error_msg adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^scatter_error_msg = ("{op_name} is only supported for mirrored "$/;" v +scatter_kwargs adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/scatter_gather.py /^def scatter_kwargs(inputs, kwargs, target_gpus, dim=0):$/;" f +scatter_map adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/scatter_gather.py /^ def scatter_map(obj):$/;" f function:scatter file: +scatter_max adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def scatter_max(cls, harness):$/;" m class:Jax2TfLimitation +scatter_max adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def scatter_max(operand: Array, scatter_indices: Array, updates: Array,$/;" f +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:MirroredVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_max(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_max(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_max(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_max(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_max(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_max(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_max_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_max_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_max_fn = lambda var, *a, **kw: var.scatter_max(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_max file: +scatter_max_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_max_fn = lambda var, *a, **kw: var.scatter_max(*a, **kw)$/;" f function:scatter_max file: +scatter_max_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^scatter_max_p = standard_primitive($/;" v +scatter_min adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def scatter_min(cls, harness):$/;" m class:Jax2TfLimitation +scatter_min adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def scatter_min(operand: Array, scatter_indices: Array, updates: Array,$/;" f +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:MirroredVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_min(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_min(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_min(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_min(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_min(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_min(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_min_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_min_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_min_fn = lambda var, *a, **kw: var.scatter_min(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_min file: +scatter_min_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_min_fn = lambda var, *a, **kw: var.scatter_min(*a, **kw)$/;" f function:scatter_min file: +scatter_min_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^scatter_min_p = standard_primitive($/;" v +scatter_mul adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def scatter_mul(cls, harness):$/;" m class:Jax2TfLimitation +scatter_mul adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def scatter_mul(operand: Array, scatter_indices: Array, updates: Array,$/;" f +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_mul(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_mul(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_mul(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_mul(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_mul(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_mul(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_mul_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_mul_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_mul_fn = lambda var, *a, **kw: var.scatter_mul(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_mul file: +scatter_mul_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_mul_fn = lambda var, *a, **kw: var.scatter_mul(*a, **kw)$/;" f function:scatter_mul file: +scatter_mul_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^scatter_mul_p = standard_primitive($/;" v +SCATTER_ND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SCATTER_ND = 122$/;" v class:BuiltinOperator +scatter_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def scatter_nd(indices, updates, shape, name=None):$/;" f +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_nd_add(self, indices, updates, name=None):$/;" m class:AutoCastVariable +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_add(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_add(self, indices, updates, name=None):$/;" m class:BaseResourceVariable +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_add(self, indices, updates, name=None):$/;" m class:_UnreadVariable +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_nd_add(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_add(self, indices, updates, name=None):$/;" m class:RefVariable +scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_add(self, indices, updates, name=None):$/;" m class:Variable +scatter_nd_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_add_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_nd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def scatter_nd_eager_fallback(indices, updates, shape, name, ctx):$/;" f +scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_max(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_max(self, indices, updates, name=None):$/;" m class:BaseResourceVariable +scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_max(self, indices, updates, name=None):$/;" m class:_UnreadVariable +scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_max(self, indices, updates, name=None):$/;" m class:RefVariable +scatter_nd_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_max_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_min(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_min(self, indices, updates, name=None):$/;" m class:BaseResourceVariable +scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_min(self, indices, updates, name=None):$/;" m class:_UnreadVariable +scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_min(self, indices, updates, name=None):$/;" m class:RefVariable +scatter_nd_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_min_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_nd_non_aliasing_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def scatter_nd_non_aliasing_add(input, indices, updates, name=None):$/;" f +scatter_nd_non_aliasing_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def scatter_nd_non_aliasing_add_eager_fallback(input, indices, updates, name, ctx):$/;" f +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_nd_sub(self, indices, updates, name=None):$/;" m class:AutoCastVariable +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_sub(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_sub(self, indices, updates, name=None):$/;" m class:BaseResourceVariable +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_sub(self, indices, updates, name=None):$/;" m class:_UnreadVariable +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_nd_sub(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_sub(self, indices, updates, name=None):$/;" m class:RefVariable +scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_sub(self, indices, updates, name=None):$/;" m class:Variable +scatter_nd_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_sub_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_nd_update(self, indices, updates, name=None):$/;" m class:AutoCastVariable +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_update(ref, indices, updates, use_locking=True, name=None):$/;" f +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_update(self, indices, updates, name=None):$/;" m class:BaseResourceVariable +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_nd_update(self, indices, updates, name=None):$/;" m class:_UnreadVariable +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_nd_update(ref, indices, updates, use_locking=True, name=None):$/;" f +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_update(self, indices, updates, name=None):$/;" m class:RefVariable +scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_nd_update(self, indices, updates, name=None):$/;" m class:Variable +scatter_nd_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_nd_update_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^scatter_p = standard_primitive($/;" v +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_sub(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_sub(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_sub(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_sub(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_sub(ref, indices, updates, use_locking=False, name=None):$/;" f +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_sub(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_sub_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_sub_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_sub_fn = lambda var, *a, **kw: var.scatter_sub(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_sub file: +scatter_sub_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_sub_fn = lambda var, *a, **kw: var.scatter_sub(*a, **kw)$/;" f function:scatter_sub file: +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedDistributedVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:PackedVarAndDevice +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:TPUAutoPolicy +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:TPUMirroredVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:TPUOnReadPolicy +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:TPUOnWritePolicy +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:TPUDistributedVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:MirroredVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:OnReadPolicy +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_update(self, *args, **kwargs):$/;" m class:SyncOnReadVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:DistributedVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def scatter_update(self, var, sparse_delta, use_locking=False, name=None):$/;" m class:AutoPolicy +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def scatter_update(var, sparse_delta, use_locking=False, name=None):$/;" f +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:AutoCastVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_update(ref, indices, updates, use_locking=True, name=None):$/;" f +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:BaseResourceVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:_UnreadVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def scatter_update(ref, indices, updates, use_locking=True, name=None):$/;" f +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:RefVariable +scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def scatter_update(self, sparse_delta, use_locking=False, name=None):$/;" m class:Variable +scatter_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def scatter_update_eager_fallback(ref, indices, updates, use_locking, name, ctx):$/;" f +scatter_update_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ scatter_update_fn = lambda var, *a, **kw: var.scatter_update(*a, **kw)$/;" f member:PackedDistributedVariable.scatter_update file: +scatter_update_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ scatter_update_fn = lambda var, *a, **kw: var.scatter_update(*a, **kw)$/;" f function:scatter_update file: +schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def schedule(i):$/;" f function:inverse_time_decay file: +schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def schedule(i):$/;" f function:constant file: +schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def schedule(i):$/;" f function:exponential_decay file: +schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def schedule(i):$/;" f function:piecewise_constant file: +schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def schedule(step_num):$/;" f function:polynomial_decay file: +Schedule adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^Schedule = Callable[[Step], float]$/;" v +schedule adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def schedule(req):$/;" f member:Resolver.get_installation_order file: +schedule adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def schedule(self, fn, args=None, kwargs=None):$/;" m class:ClusterCoordinator +schedule adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def schedule(self, function, args, kwargs):$/;" m class:Cluster +schedule adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def schedule(_):$/;" f member:TestDistributionStrategyWithDatasets.testOptimizerWithCallbacks file: +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:CompositeReaderBuilder +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:Pipe +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:PipedReaderBuilder +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:Reader +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:ReaderBuilder +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def schema(self):$/;" m class:Writer +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def schema(self):$/;" m class:TestReaderBuilder +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def schema(self):$/;" m class:NetProcessor +schema adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def schema(self):$/;" m class:ProcessingReader +schema_check adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def schema_check(schema, previous=None):$/;" f +schema_fb adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.lite.python import schema_py_generated as schema_fb$/;" x +scheme adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^scheme = r"%(ALPHA)s (?: %(ALPHA)s | %(DIGIT)s | \\+ | \\- | \\. )*" % locals()$/;" v +scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def scheme(self):$/;" m class:Link +Scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/models/scheme.py /^class Scheme(object):$/;" c +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ scheme = property(Locator.scheme.fget, _set_scheme)$/;" v class:AggregatingLocator +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ scheme = property(_get_scheme, _set_scheme)$/;" v class:Locator +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ scheme = "http"$/;" v class:HTTPConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ scheme = "https"$/;" v class:HTTPSConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ scheme = None$/;" v class:ConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/ntlmpool.py /^ scheme = "https"$/;" v class:NTLMConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ scheme = "http"$/;" v class:HTTPConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ scheme = "https"$/;" v class:HTTPSConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ scheme = None$/;" v class:ConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/urllib3/contrib/ntlmpool.py /^ scheme = "https"$/;" v class:NTLMConnectionPool +scheme adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ scheme = environ_property($/;" v class:BaseRequest +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ schemes = ($/;" v class:Bazaar +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ schemes = ($/;" v class:Git +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ schemes = ($/;" v class:Mercurial +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ schemes = ('svn', 'svn+ssh', 'svn+http', 'svn+https', 'svn+svn')$/;" v class:Subversion +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ schemes = () # type: Tuple[str, ...]$/;" v class:VersionControl +schemes adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ schemes = ['ssh', 'git', 'hg', 'bzr', 'sftp', 'svn']$/;" v class:VcsSupport +SCHEME_KEYS adpepsenv/lib/python3.8/site-packages/pip/_internal/models/scheme.py /^SCHEME_KEYS = ['platlib', 'purelib', 'headers', 'scripts', 'data']$/;" v +SCHEME_KEYS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^SCHEME_KEYS = ('purelib', 'platlib', 'headers', 'scripts', 'data')$/;" v +SCHEME_RE adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^SCHEME_RE = re.compile(r'^(http|https|file):', re.I)$/;" v +SCHEME_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^SCHEME_RE = re.compile(r"^(?:[a-zA-Z][a-zA-Z0-9+-]*:|\/)")$/;" v +SCHEME_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^SCHEME_RE = re.compile(r"^(?:[a-zA-Z][a-zA-Z0-9+-]*:|\/)")$/;" v +schur adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^def schur(a, output='real', lwork=None, overwrite_a=False, sort=None,$/;" f +sci adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def sci(im):$/;" f +scilimits_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ scilimits_data = [$/;" v class:TestScalarFormatter +scipy adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^ scipy = None$/;" v +scipy adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ scipy = None$/;" v +scipy_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ from scipy import sparse as scipy_sparse # pylint: disable=g-import-not-at-top$/;" x +scipy_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ scipy_sparse = None$/;" v +scipy_sparse_classes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^scipy_sparse_classes = [$/;" v +sci_mode adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ sci_mode = None$/;" v class:__PrinterOptions +sci_real adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ sci_real = Regex(r'[+-]?(?:\\d+(?:[eE][+-]?\\d+)|(?:\\d+\\.\\d*|\\.\\d+)(?:[eE][+-]?\\d+)?)'/;" v class:pyparsing_common +sci_real adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ sci_real = Regex(r'[+-]?\\d+([eE][+-]?\\d+|\\.\\d*([eE][+-]?\\d+)?)').setName("real number w/;" v class:pyparsing_common +sci_real adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ sci_real = Regex(r'[+-]?(?:\\d+(?:[eE][+-]?\\d+)|(?:\\d+\\.\\d*|\\.\\d+)(?:[eE][+-]?\\d+)?)'/;" v class:pyparsing_common +sci_real adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ sci_real = Regex(r'[+-]?\\d+([eE][+-]?\\d+|\\.\\d*([eE][+-]?\\d+)?)').setName("real number w/;" v class:pyparsing_common +scnrm2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s scnrm2(int *n, c *x, int *incx) nogil$/;" f +scope adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def scope(self, name):$/;" m class:LSTMWithAttentionDecoder +scope adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def scope(self, scope_name, blob_name):$/;" m class:Seq2SeqModelCaffe2EnsembleDecoder +scope adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def scope(self, name):$/;" m class:RNNCell +Scope adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class Scope(object):$/;" c +scope adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def scope(self):$/;" m class:OAuth2Token +scope adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def scope(self, node, attr=None, trailing_comma=False, default_parens=False):$/;" m class:AstAnnotator +scope adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def scope(self, node, attr=None, trailing_comma=False, default_parens=False):$/;" m class:BaseVisitor +Scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^class Scope(object):$/;" c +scope adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def scope(self, node, attr=None, trailing_comma=False):$/;" m class:TokenGenerator +Scope adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^class Scope(object):$/;" c +scope adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^scope = Scope()$/;" v +scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ scope = None$/;" v class:_Function +SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ SCOPE = 'The scope for the annotated node. See activity.py.'$/;" v class:Static +Scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^class Scope(object):$/;" c +scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def scope(self):$/;" m class:StrategyBase +scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def scope(self): # pylint: disable=useless-super-delegation$/;" m class:OneDeviceStrategy +scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def scope(self):$/;" m class:ParameterServerStrategyV1 +scope adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def scope(method):$/;" f +Scoped adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class Scoped(ReadOnlyScoped):$/;" c +Scoped adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class Scoped(credentials.Scoped):$/;" c +ScopedAllocatorOptions adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^ScopedAllocatorOptions = _reflection.GeneratedProtocolMessageType('ScopedAllocatorOptions', (_me/;" v +ScopedAllocatorOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^ScopedAllocatorOptions = _reflection.GeneratedProtocolMessageType('ScopedAllocatorOptions', (_me/;" v +ScopedBlobReference adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def ScopedBlobReference(name, *args, **kwargs):$/;" f +ScopedName adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def ScopedName(name):$/;" f +ScopedPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^class ScopedPDU(univ.Sequence):$/;" c +ScopedPduData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^class ScopedPduData(univ.Choice):$/;" c +ScopedTFBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFBuffer(object):$/;" c +ScopedTFFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFFunction(object):$/;" c +ScopedTFGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFGraph(object):$/;" c +ScopedTFImportGraphDefOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFImportGraphDefOptions(object):$/;" c +ScopedTFImportGraphDefResults adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFImportGraphDefResults(object):$/;" c +ScopedTFStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^class ScopedTFStatus(object):$/;" c +scoped_execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def scoped_execution_step(name, *args, **kwargs):$/;" f +scoped_name adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def scoped_name(blob_name):$/;" f +scopes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def scopes(self):$/;" m class:ReadOnlyScoped +scopes adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def scopes(self):$/;" m class:Credentials +scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def scopes(self):$/;" m class:OAuth2Token +scopes_to_string adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def scopes_to_string(scopes):$/;" f +ScopeTest adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^class ScopeTest(test_utils.TestCase):$/;" c +ScopeVisitor adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^class ScopeVisitor(ast.NodeVisitor):$/;" c +scope_changed adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def scope_changed(self):$/;" m class:OAuth2Token +scope_changed adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^scope_changed = _signals.signal('scope-changed')$/;" v +scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def scope_name(self):$/;" m class:Context +scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def scope_name(self, s):$/;" m class:Context +scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def scope_name():$/;" f +scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def scope_name(self):$/;" m class:Layer +scope_to_list adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/utils.py /^def scope_to_list(scope):$/;" f +scope_wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ def scope_wrapper(*args, **kwargs):$/;" f member:HelperWrapper.__getattr__ file: +scopingElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^scopingElements = frozenset([$/;" v +scopingElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^scopingElements = frozenset([$/;" v +scopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void scopy(int *n, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +score adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ score = 1000 # load late, after doctest builtin$/;" v class:NumpyDoctest +score adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ score = 4000 # load late in order to be after builtins$/;" v class:Unplugger +score adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def score(self, x, y, **kwargs):$/;" m class:KerasClassifier +score adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def score(self, x, y, **kwargs):$/;" m class:KerasRegressor +score adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def score(self, input_fn):$/;" m class:KMeansClustering +SCORE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ SCORE = 'score'$/;" v class:KMeansClustering +scoreatpercentile adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def scoreatpercentile(data, per, limit=(), alphap=.4, betap=.4):$/;" f +scoreatpercentile adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def scoreatpercentile(a, per, limit=(), interpolation_method='fraction',$/;" f +scores adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def scores(self):$/;" m class:ClassificationOutput +scores_to_probs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/detectron_keypoints.py /^def scores_to_probs(scores):$/;" f +score_family adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_family(self, families, family2):$/;" m class:FontManager +score_size adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_size(self, size1, size2):$/;" m class:FontManager +score_stretch adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_stretch(self, stretch1, stretch2):$/;" m class:FontManager +score_style adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_style(self, style1, style2):$/;" m class:FontManager +score_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def score_url(self, url):$/;" m class:Locator +score_variant adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_variant(self, variant1, variant2):$/;" m class:FontManager +score_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def score_weight(self, weight1, weight2):$/;" m class:FontManager +scotts_factor adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ def scotts_factor(self):$/;" m class:GaussianKDE +scotts_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def scotts_factor(self):$/;" m class:gaussian_kde +scotts_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def scotts_factor(kde_obj):$/;" f function:test_kde_bandwidth_method file: +scotts_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def scotts_factor(kde_obj):$/;" f function:test_kde_bandwidth_method_weighted file: +screen adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def screen(image1, image2):$/;" f +screen_nones adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def screen_nones(num_heads, in_tree_def, *heads_and_tails):$/;" f +script adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def script(obj, optimize=None, _frames_up=0, _rcb=None):$/;" f +scriptDataDoubleEscapedDashDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedDashDashState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedDashDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedDashDashState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedDashState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedDashState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedLessThanSignState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedLessThanSignState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapedState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapeEndState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapeEndState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapeEndState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapeEndState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapeStartState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapeStartState(self):$/;" m class:HTMLTokenizer +scriptDataDoubleEscapeStartState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataDoubleEscapeStartState(self):$/;" m class:HTMLTokenizer +scriptDataEndTagNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEndTagNameState(self):$/;" m class:HTMLTokenizer +scriptDataEndTagNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEndTagNameState(self):$/;" m class:HTMLTokenizer +scriptDataEndTagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEndTagOpenState(self):$/;" m class:HTMLTokenizer +scriptDataEndTagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEndTagOpenState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedDashDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedDashDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedDashDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedDashDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedEndTagNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedEndTagNameState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedEndTagNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedEndTagNameState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedEndTagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedEndTagOpenState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedEndTagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedEndTagOpenState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedLessThanSignState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedLessThanSignState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedState(self):$/;" m class:HTMLTokenizer +scriptDataEscapedState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapedState(self):$/;" m class:HTMLTokenizer +scriptDataEscapeStartDashState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapeStartDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapeStartDashState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapeStartDashState(self):$/;" m class:HTMLTokenizer +scriptDataEscapeStartState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapeStartState(self):$/;" m class:HTMLTokenizer +scriptDataEscapeStartState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataEscapeStartState(self):$/;" m class:HTMLTokenizer +scriptDataLessThanSignState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataLessThanSignState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataLessThanSignState(self):$/;" m class:HTMLTokenizer +scriptDataState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def scriptDataState(self):$/;" m class:HTMLTokenizer +scriptDataState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def scriptDataState(self):$/;" m class:HTMLTokenizer +ScriptFile adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^class ScriptFile(object):$/;" c +ScriptFunction adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ScriptFunction = torch._C.ScriptFunction$/;" v +ScriptMaker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^class ScriptMaker(object):$/;" c +ScriptMeta adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class ScriptMeta(type):$/;" c +ScriptMethodStub adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ScriptMethodStub = collections.namedtuple('ScriptMethodStub', ('resolution_callback', 'def_', 'o/;" v +ScriptModule adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ class ScriptModule(torch.nn.Module): # type: ignore$/;" c +ScriptModule adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ class ScriptModule(with_metaclass(ScriptMeta, Module)): # type: ignore$/;" c +scripts adpepsenv/lib/python3.8/site-packages/idna/idnadata.py /^scripts = {$/;" v +scripts adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/idnadata.py /^scripts = {$/;" v +ScriptWarning adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class ScriptWarning(Warning):$/;" c +ScriptWriter adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class ScriptWriter:$/;" c +script_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_add(x: Tensor, y: Tensor) -> Tensor:$/;" f +script_add_ones adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_add_ones(x):$/;" f +script_add_ones_with_record_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_add_ones_with_record_function(x, block: str):$/;" f +script_check_rref_confirmed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_check_rref_confirmed(rref: RRef[Tensor]) -> bool:$/;" f +script_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def script_fn(*args, **kwargs):$/;" f function:create_script_fn file: +script_fork_wait_throw adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_fork_wait_throw(invalue):$/;" f +script_fork_wait_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_fork_wait_udf(tensor):$/;" f +script_method adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def script_method(fn):$/;" f +script_method_template adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^script_method_template = '''$/;" v +script_model_defines_attr adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def script_model_defines_attr(script_model, attr):$/;" f +script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def script_module(*args, **kwargs):$/;" f function:create_script_module file: +script_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def script_qconfig(qconfig):$/;" f +script_qconfig_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def script_qconfig_dict(qconfig_dict):$/;" f +script_raise_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_raise_func(value):$/;" f +script_root adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def script_root(self):$/;" m class:BaseRequest +script_rpc_async_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_rpc_async_call($/;" f +script_rpc_async_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def script_rpc_async_call($/;" f +script_rpc_async_call_without_args_kwargs_passed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_without_args_kwargs_passed($/;" f member:JitRpcOpTest.test_args_kwargs_are_neither_passed file: +script_rpc_async_call_without_kwargs_passed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_without_kwargs_passed($/;" f member:JitRpcOpTest.test_kwargs_not_passed file: +script_rpc_async_call_with_assorted_types adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_with_assorted_types($/;" f member:JitRpcOpTest.test_args_and_kwargs_contain_different_types file: +script_rpc_async_call_with_less_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_with_less_args($/;" f member:JitRpcOpTest.test_less_than_needed_args_are_specified file: +script_rpc_async_call_with_more_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_with_more_args($/;" f member:JitRpcOpTest.test_more_than_needed_args_are_specified file: +script_rpc_async_call_with_unexpected_kwarg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_rpc_async_call_with_unexpected_kwarg($/;" f member:JitRpcOpTest.test_unexepected_kwarg_is_specified file: +script_rpc_remote_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_rpc_remote_call($/;" f +script_rpc_sync_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_rpc_sync_call($/;" f +script_rref_get_value_my_script_class adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_rref_get_value_my_script_class(rref: RRef[MyScriptClass]) -> int:$/;" f +script_rref_run_forward_my_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def script_rref_run_forward_my_script_module(rref: RRef[MyModuleInterface]) -> Tensor:$/;" f +script_space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ script_space = 0.05$/;" v class:FontConstantsBase +script_space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ script_space = 0.05$/;" v class:STIXSansFontConstants +script_space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ script_space = 0.075$/;" v class:ComputerModernFontConstants +script_space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ script_space = 0.1$/;" v class:STIXFontConstants +script_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ script_src = csp_property("script-src")$/;" v class:ContentSecurityPolicy +script_src_attr adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ script_src_attr = csp_property("script-src-attr")$/;" v class:ContentSecurityPolicy +script_src_elem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ script_src_elem = csp_property("script-src-elem")$/;" v class:ContentSecurityPolicy +script_template adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ script_template = SCRIPT_TEMPLATE$/;" v class:ScriptMaker +SCRIPT_TEMPLATE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^SCRIPT_TEMPLATE = r'''# -*- coding: utf-8 -*-$/;" v +script_template adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^script_template = '''$/;" v +script_use_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def script_use_future() -> Tensor:$/;" f member:FutureTypingTest.test_future_python_annotation file: +ScrollBar adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^class ScrollBar(object):$/;" c +scroll_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def scroll_event(self, widget, event):$/;" m class:FigureCanvasGTK3 +scroll_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def scroll_event(self, event):$/;" m class:FigureCanvasTk +scroll_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def scroll_event(self, x, y, step, guiEvent=None):$/;" m class:FigureCanvasBase +scroll_event_windows adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def scroll_event_windows(self, event):$/;" m class:FigureCanvasTk +scroll_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def scroll_zoom(self, event):$/;" m class:ZoomPanBase +scrub adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def scrub(self, x, axis=-1):$/;" m class:UpFIRDnCase +Scrypt_params adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7914.py /^class Scrypt_params(univ.Sequence):$/;" c +scsum1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s scsum1(int *n, c *cx, int *incx) nogil$/;" f +sctype2char adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def sctype2char(sctype):$/;" f +sctypeDict adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^sctypeDict = {} # Contains all leaf-node scalar types with aliases$/;" v +sctypeNA adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^sctypeNA = TypeNADict() # Contails all leaf-node types -> numarray type equivalences$/;" v +sctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^sctypes = {'int': [],$/;" v +scu adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^import scipy.special._ufuncs as scu$/;" I +scu adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^import scipy.special._ufuncs as scu$/;" I +SCVPReqRes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^class SCVPReqRes(univ.Sequence):$/;" c +sc_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def sc_diff(x, a, b, period=None, _cache=_cache):$/;" f +sc_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def sc_gegenbauer(n, a, x):$/;" f member:TestSystematic.test_gegenbauer_int file: +SdcaFprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^SdcaFprint = tf_export("raw_ops.SdcaFprint")(_ops.to_raw_op(sdca_fprint))$/;" v +SdcaOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^SdcaOptimizer = tf_export("raw_ops.SdcaOptimizer")(_ops.to_raw_op(sdca_optimizer))$/;" v +SdcaOptimizerV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^SdcaOptimizerV2 = tf_export("raw_ops.SdcaOptimizerV2")(_ops.to_raw_op(sdca_optimizer_v2))$/;" v +SdcaShrinkL1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^SdcaShrinkL1 = tf_export("raw_ops.SdcaShrinkL1")(_ops.to_raw_op(sdca_shrink_l1))$/;" v +sdca_fprint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_fprint(input, name=None):$/;" f +sdca_fprint_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_fprint_eager_fallback(input, name, ctx):$/;" f +sdca_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_optimizer(sparse_example_indices, sparse_feature_indices, sparse_feature_values, dense_/;" f +sdca_optimizer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_optimizer_eager_fallback(sparse_example_indices, sparse_feature_indices, sparse_feature/;" f +sdca_optimizer_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_optimizer_v2(sparse_example_indices, sparse_feature_indices, sparse_feature_values, den/;" f +sdca_optimizer_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_optimizer_v2_eager_fallback(sparse_example_indices, sparse_feature_indices, sparse_feat/;" f +sdca_shrink_l1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_shrink_l1(weights, l1, l2, name=None):$/;" f +sdca_shrink_l1_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^def sdca_shrink_l1_eager_fallback(weights, l1, l2, name, ctx):$/;" f +sdisna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sdisna(char *job, int *m, int *n, s *d, s *sep, int *info) nogil$/;" f +sdist adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/sdist.py /^class sdist(old_sdist):$/;" c +sdist adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^class sdist(sdist_add_defaults, orig.sdist):$/;" c +sdist adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^class sdist(Command):$/;" c +sdist_add_defaults adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ class sdist_add_defaults: # noqa$/;" c +sdist_add_defaults adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^class sdist_add_defaults:$/;" c +SdkSetup adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def SdkSetup(self):$/;" m class:EnvironmentInfo +SdkTools adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def SdkTools(self):$/;" m class:EnvironmentInfo +sdot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s sdot(int *n, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +sdsdot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s sdsdot(int *n, s *sb, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +sdsiCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^sdsiCertificate = CertBag()$/;" v +SE adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def SE(img, thresh=.7, size=4):$/;" f function:test_ticket_742 file: +search adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ def search(self, query, options):$/;" m class:SearchCommand +search adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def search(self, terms, operator=None):$/;" m class:PackageIndex +SearchCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^class SearchCommand(Command, SessionCommandMixin):$/;" c +SearchRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SearchRequest(univ.Sequence):$/;" c +SearchResultDone adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SearchResultDone(LDAPResult):$/;" c +SearchResultEntry adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SearchResultEntry(univ.Sequence):$/;" c +SearchResultReference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SearchResultReference(univ.SequenceOf):$/;" c +SearchScope adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^class SearchScope(object):$/;" c +searchsorted adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def searchsorted(a, v, side='left', sorter=None):$/;" f +searchsorted adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def searchsorted(a, v, side='left', sorter=None):$/;" f +searchsorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def searchsorted(sorted_sequence,$/;" f +searchString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def searchString(self, instring, maxMatches=_MAX_INT):$/;" m class:ParserElement +searchString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def searchString( self, instring, maxMatches=_MAX_INT ):$/;" m class:ParserElement +searchString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def searchString(self, instring, maxMatches=_MAX_INT):$/;" m class:ParserElement +searchString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def searchString( self, instring, maxMatches=_MAX_INT ):$/;" m class:ParserElement +search_cpp adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def search_cpp(self, pattern, body=None, headers=None, include_dirs=None,$/;" m class:config +search_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def search_distribution(req_name):$/;" f +search_for_tensor adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def search_for_tensor(m : torch.nn.Module) -> Optional[List[str]]:$/;" f member:Tracer.create_arg file: +search_packages_info adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^def search_packages_info(query):$/;" f +search_path adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^ def search_path(self):$/;" m class:VendorImporter +search_path adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^ def search_path(self):$/;" m class:VendorImporter +SEARCH_RE adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ SEARCH_RE = re.compile(RE, re.MULTILINE)$/;" v class:HRProcessor +search_scope adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def search_scope(self):$/;" m class:PackageFinder +search_scope adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def search_scope(self, search_scope):$/;" m class:PackageFinder +search_static_first adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ search_static_first = 0$/;" v class:system_info +sec adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^sec = UnitDbl(1.0, "sec")$/;" v +SecCertificateRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecCertificateRef = POINTER(c_void_p)$/;" v +SecCertificateRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecCertificateRef = POINTER(c_void_p)$/;" v +SecExternalFormat adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecExternalFormat = c_uint32$/;" v +SecExternalFormat adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecExternalFormat = c_uint32$/;" v +SecExternalItemType adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecExternalItemType = c_uint32$/;" v +SecExternalItemType adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecExternalItemType = c_uint32$/;" v +SecIdentityRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecIdentityRef = POINTER(c_void_p)$/;" v +SecIdentityRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecIdentityRef = POINTER(c_void_p)$/;" v +SecItemImportExportFlags adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecItemImportExportFlags = c_uint32$/;" v +SecItemImportExportFlags adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecItemImportExportFlags = c_uint32$/;" v +SecItemImportExportKeyParameters adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecItemImportExportKeyParameters = c_void_p$/;" v +SecItemImportExportKeyParameters adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecItemImportExportKeyParameters = c_void_p$/;" v +SecKeychainRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecKeychainRef = POINTER(c_void_p)$/;" v +SecKeychainRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecKeychainRef = POINTER(c_void_p)$/;" v +SecondaryAxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^class SecondaryAxis(_AxesBase):$/;" c +secondary_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def secondary_locator(ax, renderer):$/;" f function:_make_secondary_locator file: +secondary_xaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def secondary_xaxis(self, location, *, functions=None, **kwargs):$/;" m class:Axes +secondary_yaxis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def secondary_yaxis(self, location, *, functions=None, **kwargs):$/;" m class:Axes +SecondLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class SecondLocator(RRuleLocator):$/;" c +SecondOrStepTimer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class SecondOrStepTimer(_HookTimer):$/;" c +seconds adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def seconds(self):$/;" m class:Duration +secondsPast adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def secondsPast(self, frame, jd):$/;" m class:Epoch +secp192r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^secp192r1 = univ.ObjectIdentifier('1.2.840.10045.3.1.1')$/;" v +secp224r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^secp224r1 = univ.ObjectIdentifier('1.3.132.0.33')$/;" v +secp256r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^secp256r1 = univ.ObjectIdentifier('1.2.840.10045.3.1.7')$/;" v +secp384r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^secp384r1 = univ.ObjectIdentifier('1.3.132.0.34')$/;" v +secp521r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^secp521r1 = univ.ObjectIdentifier('1.3.132.0.35')$/;" v +SecretBag adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^class SecretBag(univ.Sequence):$/;" c +secs_remaining adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def secs_remaining(self):$/;" m class:_CountDownTimer +sect163k1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect163k1 = univ.ObjectIdentifier('1.3.132.0.1')$/;" v +sect163r2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect163r2 = univ.ObjectIdentifier('1.3.132.0.15')$/;" v +sect233k1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect233k1 = univ.ObjectIdentifier('1.3.132.0.26')$/;" v +sect233r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect233r1 = univ.ObjectIdentifier('1.3.132.0.27')$/;" v +sect283k1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect283k1 = univ.ObjectIdentifier('1.3.132.0.16')$/;" v +sect283r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect283r1 = univ.ObjectIdentifier('1.3.132.0.17')$/;" v +sect409k1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect409k1 = univ.ObjectIdentifier('1.3.132.0.36')$/;" v +sect409r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect409r1 = univ.ObjectIdentifier('1.3.132.0.37')$/;" v +sect571k1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect571k1 = univ.ObjectIdentifier('1.3.132.0.38')$/;" v +sect571r1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sect571r1 = univ.ObjectIdentifier('1.3.132.0.39')$/;" v +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ section = options.section$/;" v +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'accelerate'$/;" v class:accelerate_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'agg2'$/;" v class:agg2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'ALL'$/;" v class:system_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'amd'$/;" v class:amd_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'atlas'$/;" v class:atlas_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'blas'$/;" v class:blas_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'blas_src'$/;" v class:blas_src_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'blis'$/;" v class:blis_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'boost_python'$/;" v class:boost_python_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'djbfft'$/;" v class:djbfft_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:dfftw_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:dfftw_threads_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:fftw2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:fftw_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:fftw_threads_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:sfftw_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw'$/;" v class:sfftw_threads_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'fftw3'$/;" v class:fftw3_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'flame'$/;" v class:flame_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'freetype2'$/;" v class:freetype2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gdk'$/;" v class:gdk_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gdk_2'$/;" v class:gdk_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gdk_pixbuf_2'$/;" v class:gdk_pixbuf_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gdk_pixbuf_xlib_2'$/;" v class:gdk_pixbuf_xlib_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gdk_x11_2'$/;" v class:gdk_x11_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gtkp_2'$/;" v class:gtkp_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'gtkp_x11_2'$/;" v class:gtkp_x11_2_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'lapack'$/;" v class:lapack_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'lapack_src'$/;" v class:lapack_src_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'mkl'$/;" v class:mkl_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'numarray'$/;" v class:numarray_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'Numeric'$/;" v class:Numeric_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'Numeric'$/;" v class:_numpy_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'numerix'$/;" v class:numerix_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'numpy'$/;" v class:numpy_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'openblas'$/;" v class:openblas_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'openblas'$/;" v class:openblas_lapack_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'openblas64_'$/;" v class:openblas64__info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'openblas_ilp64'$/;" v class:openblas_ilp64_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'umfpack'$/;" v class:umfpack_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'wx'$/;" v class:wx_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'x11'$/;" v class:x11_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = 'xft'$/;" v class:xft_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ section = None$/;" v class:_pkg_config_info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ section = 'duplicate_options'$/;" v class:DuplicateOptionInfo +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ section = 'temp1'$/;" v class:Temp1Info +section adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ section = 'temp2'$/;" v class:Temp2Info +sections adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def sections(self):$/;" m class:LibraryInfo +section_prefix adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ section_prefix = 'metadata'$/;" v class:ConfigMetadataHandler +section_prefix adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ section_prefix = 'options'$/;" v class:ConfigOptionsHandler +section_prefix adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ section_prefix = None$/;" v class:ConfigHandler +SecTrustOptionFlags adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecTrustOptionFlags = c_uint32$/;" v +SecTrustOptionFlags adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecTrustOptionFlags = c_uint32$/;" v +SecTrustRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecTrustRef = POINTER(c_void_p)$/;" v +SecTrustRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecTrustRef = POINTER(c_void_p)$/;" v +SecTrustResultType adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SecTrustResultType = c_uint32$/;" v +SecTrustResultType adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SecTrustResultType = c_uint32$/;" v +SecureHeaderFields adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^class SecureHeaderFields(univ.Set):$/;" c +SecureOrigin adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ SecureOrigin = Tuple[str, str, Optional[Union[int, str]]]$/;" v +SecureTransportContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^class SecureTransportContext(object):$/;" c +SecureTransportContext adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^class SecureTransportContext(object):$/;" c +secure_authorized_channel adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^def secure_authorized_channel($/;" f +secure_channel adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^def secure_channel(target: str,$/;" f +secure_channel adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def secure_channel(host, port, channel_credentials):$/;" f +secure_channel adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def secure_channel(target, credentials, options=None, compression=None):$/;" f +secure_filename adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def secure_filename(filename):$/;" f +SECURE_ORIGINS adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^SECURE_ORIGINS = [$/;" v +Security adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^Security = CDLL(security_path, use_errno=True)$/;" v +Security adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^Security = load_cdll($/;" v +SecurityAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SecurityAttribute(univ.Integer):$/;" c +SecurityCategories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class SecurityCategories(univ.SetOf):$/;" c +SecurityCategories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SecurityCategories = rfc2634.SecurityCategories$/;" v +SecurityCategories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^SecurityCategories= rfc2634.SecurityCategories$/;" v +SecurityCategory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class SecurityCategory(univ.Sequence):$/;" c +SecurityCategory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class SecurityCategory(univ.Sequence):$/;" c +SecurityCategory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SecurityCategory = rfc2634.SecurityCategory$/;" v +SecurityCategory adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class SecurityCategory(univ.Sequence):$/;" c +securityCategoryMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^securityCategoryMap = { }$/;" v +SecurityCategoryValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^class SecurityCategoryValues(univ.SequenceOf):$/;" c +SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class SecurityClassification(univ.Integer):$/;" c +SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SecurityClassification = rfc2634.SecurityClassification$/;" v +SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^SecurityClassification = rfc2634.SecurityClassification$/;" v +SecurityConst adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^class SecurityConst(object):$/;" c +SecurityConst adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^class SecurityConst(object):$/;" c +SecurityError adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class SecurityError(BadRequest):$/;" c +SecurityPolicyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class SecurityPolicyIdentifier(univ.ObjectIdentifier):$/;" c +SecurityPolicyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SecurityPolicyIdentifier = rfc2634.SecurityPolicyIdentifier$/;" v +SecurityPolicyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^SecurityPolicyIdentifier = rfc2634.SecurityPolicyIdentifier$/;" v +SecurityValidatorMiddleware adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^class SecurityValidatorMiddleware(object):$/;" c +SecurityWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class SecurityWarning(HTTPWarning):$/;" c +SecurityWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class SecurityWarning(HTTPWarning):$/;" c +security_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^security_path = find_library("Security")$/;" v +sec_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ sec_mark = r"^\\mathrm{s}"$/;" v class:FormatterHMS +sec_mark adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ sec_mark = r"^{\\prime\\prime}"$/;" v class:FormatterDMS +SEC_PER_DAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^SEC_PER_DAY = SEC_PER_HOUR * HOURS_PER_DAY$/;" v +SEC_PER_HOUR adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^SEC_PER_HOUR = SEC_PER_MIN * MIN_PER_HOUR$/;" v +SEC_PER_MIN adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^SEC_PER_MIN = 60.$/;" v +SEC_PER_WEEK adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^SEC_PER_WEEK = SEC_PER_DAY * DAYS_PER_WEEK$/;" v +see adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def see(self, *key):$/;" m class:EnforceUnique +seed adpeps/ipeps/config.py /^seed: int = 1$/;" v +seed adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def seed(self):$/;" m class:LayerModelHelper +seed adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def seed(seed=None):$/;" f +seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def seed(self):$/;" m class:Graph +seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def seed(self, seed):$/;" m class:Graph +seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def seed(s):$/;" f +seed adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def seed() -> None:$/;" f +seed adpepsenv/lib/python3.8/site-packages/torch/random.py /^def seed() -> int:$/;" f +SEED adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^SEED = args.seed$/;" v +SeedlessSequence adpepsenv/lib/python3.8/site-packages/numpy/random/bit_generator.pxd /^cdef class SeedlessSequence():$/;" c +seeds adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ seeds = [1755490010, 934377150, 1391612830, 1752708722, 2008891431,$/;" v class:TestClarksonWoodruffTransform +SeedSequence adpepsenv/lib/python3.8/site-packages/numpy/random/bit_generator.pxd /^cdef class SeedSequence():$/;" c +seed_all adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def seed_all() -> None:$/;" f +SEED_BIT_MASK adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_BIT_MASK = 0xFFFFFFFFFFFFFFFF$/;" v +SEED_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_MAX = MAX_INT64$/;" v +SEED_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_MIN = MIN_INT64$/;" v +SEED_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_SIZE = 16 # in units of SEED_TYPE$/;" v +SEED_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_TYPE = "int64"$/;" v +SEED_TYPE_BITS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_TYPE_BITS = 64$/;" v +SEED_UINT_SPAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^SEED_UINT_SPAN = UINT64_SPAN$/;" v +seek adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def seek(self, off, whence=0):$/;" m class:JustReader +seek adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def seek(self, offset, mode=io.SEEK_SET):$/;" m class:ContainerIO +seek adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ def seek(self, frame):$/;" m class:DcxImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def seek(self, offset, whence=io.SEEK_SET):$/;" m class:PSFile +seek adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def seek(self, frame):$/;" m class:FliImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def seek(self, frame):$/;" m class:GifImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def seek(self, frame):$/;" m class:Image +seek adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def seek(self, frame):$/;" m class:ImImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ def seek(self, frame):$/;" m class:MicImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def seek(self, frame):$/;" m class:MpoImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def seek(self, frame):$/;" m class:PngImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ def seek(self, layer):$/;" m class:PsdImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def seek(self, frame):$/;" m class:SpiderImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def seek(self, frame):$/;" m class:TiffImageFile +seek adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def seek(self, offset, whence=io.SEEK_SET):$/;" m class:AppendingTiffWriter +seek adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def seek(self, frame):$/;" m class:WebPImageFile +seek adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def seek(self, offset, whence=0):$/;" m class:LazyZipOverHTTP +seek adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seek(self, pos):$/;" m class:_BZ2Proxy +seek adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seek(self, pos, whence=os.SEEK_SET):$/;" m class:ExFileObject +seek adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seek(self, pos=0):$/;" m class:_Stream +seek adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seek(self, position):$/;" m class:_FileInFile +seek adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def seek(self, pos):$/;" m class:BufferedStream +seek adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def seek(self, pos):$/;" m class:BufferedStream +seek adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def seek(self, offset=None, whence=0, position=None):$/;" m class:FileIO +seek adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def seek(self, n, mode=0):$/;" m class:HTMLStringO +seek adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def seek(self, *args):$/;" m class:FileWrapper +seekable adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def seekable(self):$/;" m class:LazyZipOverHTTP +seekable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seekable(self):$/;" m class:ExFileObject +seekable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def seekable(self):$/;" m class:_FileInFile +seekable adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def seekable(self):$/;" m class:FileIO +seekable adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def seekable(self):$/;" m class:FileWrapper +seek_end adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def seek_end(self):$/;" m class:PdfParser +seen adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ seen = set()$/;" v +seen_file adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def seen_file(self, fn):$/;" m class:EditHistory +segment adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^segment = r"%(pchar)s*" % locals()$/;" v +SegmentBase adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class SegmentBase(base_class):$/;" c function:read_mach_header file: +segmented_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def segmented_tensor($/;" f +SegmentID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SegmentID(univ.Choice):$/;" c +SegmentMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SegmentMax = tf_export("raw_ops.SegmentMax")(_ops.to_raw_op(segment_max))$/;" v +SegmentMean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SegmentMean = tf_export("raw_ops.SegmentMean")(_ops.to_raw_op(segment_mean))$/;" v +SegmentMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SegmentMin = tf_export("raw_ops.SegmentMin")(_ops.to_raw_op(segment_min))$/;" v +SegmentNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SegmentNumber(univ.Integer):$/;" c +SegmentProd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SegmentProd = tf_export("raw_ops.SegmentProd")(_ops.to_raw_op(segment_prod))$/;" v +SegmentRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SegmentRange(univ.Sequence):$/;" c +SEGMENTS adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^SEGMENTS = [linear, curved, sine, sphere_increasing, sphere_decreasing]$/;" v +SegmentsTester adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^class SegmentsTester(TesterBase):$/;" c +SegmentSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SegmentSum = tf_export("raw_ops.SegmentSum")(_ops.to_raw_op(segment_sum))$/;" v +SegmentSumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SegmentSumOptions = 100$/;" v class:BuiltinOptions +SegmentSumOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SegmentSumOptions(object):$/;" c +SegmentSumOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SegmentSumOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SegmentSumOptions +SegmentSumOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SegmentSumOptionsEnd(builder): return builder.EndObject()$/;" f +SegmentSumOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SegmentSumOptionsStart(builder): builder.StartObject(0)$/;" f +SegmentSumOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SegmentSumOptionsT(object):$/;" c +segment_base_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^segment_base_fields = [("cmd", ctypes.c_uint32), ("cmdsize", ctypes.c_uint32)]$/;" v +segment_circle_intersect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def segment_circle_intersect(x0, y0, x1, y1):$/;" f member:Arc.draw file: +segment_command_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^segment_command_fields = [$/;" v +segment_command_fields_64 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^segment_command_fields_64 = [$/;" v +segment_hits adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^def segment_hits(cx, cy, x, y, radius):$/;" f +segment_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def segment_ids(size, is_sorted):$/;" f +segment_ids_to_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/segment_id_ops.py /^def segment_ids_to_row_splits(segment_ids, num_segments=None,$/;" f +segment_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_max(data, segment_ids, name=None):$/;" f +segment_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_max(data, segment_ids, num_segments, name=None):$/;" f +segment_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_max_eager_fallback(data, segment_ids, name, ctx):$/;" f +segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_mean(data, segment_ids, name=None):$/;" f +segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_mean(data, segment_ids, num_segments, name=None):$/;" f +segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_mean as segment_mean$/;" x +segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_mean_v2 as segment_mean$/;" x +segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_mean_v2 as segment_mean$/;" x +segment_mean_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_mean_eager_fallback(data, segment_ids, name, ctx):$/;" f +segment_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_min(data, segment_ids, name=None):$/;" f +segment_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_min(data, segment_ids, num_segments, name=None):$/;" f +segment_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_min_eager_fallback(data, segment_ids, name, ctx):$/;" f +segment_nz adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^segment_nz = r"%(pchar)s+" % locals()$/;" v +segment_nz_nc adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^segment_nz_nc = r"(?: %(unreserved)s | %(pct_encoded)s | %(sub_delims)s | @ )+" % locals()$/;" v +segment_one_hot_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def segment_one_hot_ref(lengths, hot_indices, size):$/;" f member:TestOneHotOps.test_segment_one_hot file: +segment_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_prod(data, segment_ids, name=None):$/;" f +segment_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_prod(data, segment_ids, num_segments, name=None):$/;" f +segment_prod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_prod_eager_fallback(data, segment_ids, name, ctx):$/;" f +segment_reduce_grad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def segment_reduce_grad_op($/;" m class:TesterBase +segment_reduce_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def segment_reduce_op(self, data, segment_ids, reducer, indices=None):$/;" m class:TesterBase +segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_sqrt_n(data, segment_ids, num_segments, name=None):$/;" f +segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sqrt_n as segment_sqrt_n$/;" x +segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sqrt_n_v2 as segment_sqrt_n$/;" x +segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sqrt_n_v2 as segment_sqrt_n$/;" x +segment_sum adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def segment_sum(data,$/;" f +SEGMENT_SUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SEGMENT_SUM = 125$/;" v class:BuiltinOperator +segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_sum(data, segment_ids, name=None):$/;" f +segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def segment_sum(data, segment_ids, num_segments, name=None):$/;" f +segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sum as segment_sum$/;" x +segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sum_v2 as segment_sum$/;" x +segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.math_ops import sparse_segment_sum_v2 as segment_sum$/;" x +segment_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def segment_sum_eager_fallback(data, segment_ids, name, ctx):$/;" f +seg_reduce adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def seg_reduce(data, *args):$/;" f function:TesterBase._test.test_segment_ops file: +seg_reduce_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def seg_reduce_grad(grad_out, outputs, inputs):$/;" f function:TesterBase._test.test_segment_ops file: +sel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^import h5py._hl.selections2 as sel$/;" I +sel adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^from . import selections as sel$/;" x +sel adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ sel = lambda _, x, y: lax.select(b, x, y)$/;" f function:_select_taylor_rule file: +sel2 adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^from . import selections2 as sel2$/;" x +select adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def select(shape, args, dsid):$/;" f +select adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^select = np.where$/;" v +select adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def select(pred: Array, on_true: Array, on_false: Array) -> Array:$/;" f +select adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def select(condlist, choicelist, default=0):$/;" f +select adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def select(self):$/;" m class:GraphicsContextWx +select adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def select(condlist, choicelist, default=0):$/;" f +select adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def select(condition, x, y, name=None):$/;" f +SELECT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SELECT = 64$/;" v class:BuiltinOperator +select adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def select(condition, x, y, name=None):$/;" f +Select adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Select = tf_export("raw_ops.Select")(_ops.to_raw_op(select))$/;" v +select adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def select(condlist, choicelist, default=0): # pylint: disable=missing-docstring$/;" f +select adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def select(self, attributes):$/;" m class:ProfileOptionBuilder +select adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def select(g, self, dim, index):$/;" f +select adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def select(g, self, dim, index):$/;" f +selected_alpn_protocol adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def selected_alpn_protocol(self):$/;" m class:SSLTransport +selected_int_kind adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^ _selected_int_kind_func as selected_int_kind,$/;" x +selected_npn_protocol adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def selected_npn_protocol(self):$/;" m class:SSLTransport +selected_real_kind adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^ _selected_real_kind_func as selected_real_kind$/;" x +selection adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def selection(self, ref):$/;" m class:_RegionProxy +Selection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^class Selection(object):$/;" c +SelectionPreferences adpepsenv/lib/python3.8/site-packages/pip/_internal/models/selection_prefs.py /^class SelectionPreferences(object):$/;" c +SelectOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SelectOptions = 47$/;" v class:BuiltinOptions +SelectOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SelectOptions(object):$/;" c +SelectOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SelectOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SelectOptions +SelectOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SelectOptionsEnd(builder): return builder.EndObject()$/;" f +SelectOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SelectOptionsStart(builder): builder.StartObject(0)$/;" f +SelectOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SelectOptionsT(object):$/;" c +selectpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^selectpattern = re.compile($/;" v +SelectRecordByContext adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^class SelectRecordByContext(ModelLayer):$/;" c +selectToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ selectToken = CaselessLiteral("select")$/;" v +selectToken adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ selectToken = CaselessLiteral("select")$/;" v +selectToken adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ selectToken = CaselessLiteral("select")$/;" v +selectToken adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ selectToken = CaselessLiteral("select")$/;" v +SelectV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SelectV2 = tf_export("raw_ops.SelectV2")(_ops.to_raw_op(select_v2))$/;" v +SelectV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SelectV2Options = 98$/;" v class:BuiltinOptions +SelectV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SelectV2Options(object):$/;" c +SelectV2OptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SelectV2OptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SelectV2Options +SelectV2OptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SelectV2OptionsEnd(builder): return builder.EndObject()$/;" f +SelectV2OptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SelectV2OptionsStart(builder): builder.StartObject(0)$/;" f +SelectV2OptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SelectV2OptionsT(object):$/;" c +select_address_family adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^def select_address_family(host, port):$/;" f +select_and_gather_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def select_and_gather_add(cls, harness):$/;" m class:Jax2TfLimitation +select_and_gather_add_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^select_and_gather_add_p = standard_primitive($/;" v +select_and_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^select_and_scatter = gen_xla_ops.xla_select_and_scatter$/;" v +select_and_scatter_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def select_and_scatter_add(cls, harness):$/;" m class:Jax2TfLimitation +select_and_scatter_add_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^select_and_scatter_add_p = standard_primitive($/;" v +select_and_scatter_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^select_and_scatter_p = standard_primitive($/;" v +select_cross_device_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def select_cross_device_ops(devices, session_config=None):$/;" f +select_dataset_constant_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def select_dataset_constant_logits(seed):$/;" f function:sample_from_datasets_v2 file: +select_dataset_varying_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def select_dataset_varying_logits(logits, seed):$/;" f function:sample_from_datasets_v2 file: +select_data_adapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def select_data_adapter(x, y):$/;" f +select_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def select_eager_fallback(condition, x, y, name, ctx):$/;" f +select_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def select_fn(host_id):$/;" f function:_load_variables_impl file: +select_initial_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def select_initial_step(fun, t0, y0, f0, direction, order, rtol, atol):$/;" f +select_max_and_avg_eq adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def select_max_and_avg_eq(x_i, y_i):$/;" f function:_lax_max_taylor_rule file: +select_min_and_avg_eq adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def select_min_and_avg_eq(x_i, y_i):$/;" f function:_lax_min_taylor_rule file: +select_model_mode_for_export adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def select_model_mode_for_export(model, mode):$/;" f +select_model_mode_for_export adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def select_model_mode_for_export(model, mode):$/;" f +select_one_carry adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def select_one_carry(new_c: TfVal, c: TfVal) -> TfVal:$/;" f function:_batched_cond_while.new_body_tf_func file: +select_or_zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def select_or_zeros(x):$/;" f function:_load_variables_impl.select_fn file: +select_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^select_p = standard_primitive(_select_shape_rule, _select_dtype_rule, 'select')$/;" v +select_proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def select_proxy(url, proxies):$/;" f +select_proxy adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def select_proxy(url, proxies):$/;" f +select_read adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^def select_read(fspace, args):$/;" f +SELECT_REGION adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ HAND, POINTER, SELECT_REGION, MOVE, WAIT = range(5)$/;" v class:Cursors +select_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def select_replica(replica_id, structured):$/;" f +select_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ select_replica = lambda x: distribute_utils.select_replica( # pylint: disable=g-long-la/;" f function:TPUExtended._experimental_run_steps_on_iterator.rewrite_fn file: +select_replica_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def select_replica_mirrored(replica_id, structured):$/;" f +select_scheme adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def select_scheme(self, name):$/;" m class:easy_install +select_scheme adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def select_scheme(self, name):$/;" m class:install +select_step adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step(v1, v2, nv, hour=False, include_last=True,$/;" f +select_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf.py /^def select_step(x, J_h, diag_h, g_h, p, p_h, d, Delta, lb, ub, theta):$/;" f +select_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf_linear.py /^def select_step(x, A_h, g_h, c_h, p, p_h, d, lb, ub, theta):$/;" f +select_step24 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step24(v1, v2, nv, include_last=True, threshold_factor=3600):$/;" f +select_step360 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step360(v1, v2, nv, include_last=True, threshold_factor=3600):$/;" f +select_step_degree adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step_degree(dv):$/;" f +select_step_hour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step_hour(dv):$/;" f +select_step_sub adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^def select_step_sub(dv):$/;" f +SELECT_TF_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ SELECT_TF_OPS = "SELECT_TF_OPS"$/;" v class:OpsSet +select_unit adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^def select_unit(t: float):$/;" f +SELECT_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SELECT_V2 = 123$/;" v class:BuiltinOperator +select_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def select_v2(condition, t, e, name=None):$/;" f +select_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def select_v2_eager_fallback(condition, t, e, name, ctx):$/;" f +select_wait_for_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def select_wait_for_socket(sock, read=False, write=False, timeout=None):$/;" f +select_wait_for_socket adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def select_wait_for_socket(sock, read=False, write=False, timeout=None):$/;" f +SelfAdjointEig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^SelfAdjointEig = tf_export("raw_ops.SelfAdjointEig")(_ops.to_raw_op(self_adjoint_eig))$/;" v +SelfAdjointEigV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^SelfAdjointEigV2 = tf_export("raw_ops.SelfAdjointEigV2")(_ops.to_raw_op(self_adjoint_eig_v2))$/;" v +SelfCheckState adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^class SelfCheckState(object):$/;" c +SELFCHECK_DATE_FMT adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^SELFCHECK_DATE_FMT = "%Y-%m-%dT%H:%M:%SZ"$/;" v +selfClosingStartTagState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def selfClosingStartTagState(self):$/;" m class:HTMLTokenizer +selfClosingStartTagState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def selfClosingStartTagState(self):$/;" m class:HTMLTokenizer +self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def self_adjoint_eig(a, lower, max_iter, epsilon):$/;" f +self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def self_adjoint_eig(input, name=None):$/;" f +self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def self_adjoint_eig(tensor, name=None):$/;" f +self_adjoint_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def self_adjoint_eigvals(tensor, name=None):$/;" f +self_adjoint_eig_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def self_adjoint_eig_eager_fallback(input, name, ctx):$/;" f +self_adjoint_eig_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def self_adjoint_eig_v2(input, compute_v=True, name=None):$/;" f +self_adjoint_eig_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def self_adjoint_eig_v2_eager_fallback(input, compute_v, name, ctx):$/;" f +self_as_first_argument adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def self_as_first_argument(arguments):$/;" f +self_cpu_memory_usage adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cpu_memory_usage(self):$/;" m class:FunctionEvent +self_cpu_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cpu_time_total(self):$/;" m class:EventList +self_cpu_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cpu_time_total(self):$/;" m class:FunctionEvent +self_cpu_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cpu_time_total(self):$/;" m class:profile +self_cpu_time_total_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ self_cpu_time_total_str = attr_formatter('self_cpu_time_total')$/;" v class:FormattedTimesMixin +self_cuda_memory_usage adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cuda_memory_usage(self):$/;" m class:FunctionEvent +self_cuda_time_total adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def self_cuda_time_total(self):$/;" m class:FunctionEvent +self_cuda_time_total_str adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ self_cuda_time_total_str = attr_formatter('self_cuda_time_total')$/;" v class:FormattedTimesMixin +Selu adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Selu = elementwise(selu)$/;" v +selu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def selu(x: Array) -> Array:$/;" f +selu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def selu(x):$/;" f +selu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def selu(features, name=None):$/;" f +Selu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Selu = tf_export("raw_ops.Selu")(_ops.to_raw_op(selu))$/;" v +selu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def selu(input, inplace=False):$/;" f +SELU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class SELU(Module):$/;" c +selu adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def selu(g, input):$/;" f +SeluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^SeluGrad = tf_export("raw_ops.SeluGrad")(_ops.to_raw_op(selu_grad))$/;" v +selu_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^selu_ = _add_docstr(torch.selu_, r"""$/;" v +selu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def selu_eager_fallback(features, name, ctx):$/;" f +selu_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def selu_grad(gradients, outputs, name=None):$/;" f +selu_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def selu_grad_eager_fallback(gradients, outputs, name, ctx):$/;" f +selu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def selu_ref(x, scale, alpha):$/;" m class:TestSelu +sem adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def sem(a, axis=0, ddof=1):$/;" f +sem adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def sem(a, axis=0, ddof=1, nan_policy='propagate'):$/;" f +SemanticMatcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class SemanticMatcher(Matcher):$/;" c +SemanticVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class SemanticVersion(Version):$/;" c +semicircular adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^semicircular = semicircular_gen(a=-1.0, b=1.0, name="semicircular")$/;" v +semicircular_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class semicircular_gen(rv_continuous):$/;" c +SEMICOLON adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^SEMICOLON = L(";").suppress()$/;" v +SEMICOLON adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^SEMICOLON = L(";").suppress()$/;" v +SEMICOLON adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^SEMICOLON = L(";").suppress()$/;" v +SemiInfiniteFunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^class SemiInfiniteFunc(object):$/;" c +semilogx adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def semilogx(self, *args, **kwargs):$/;" m class:Axes +semilogx adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def semilogx(*args, **kwargs):$/;" f +semilogy adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def semilogy(self, *args, **kwargs):$/;" m class:Axes +semilogy adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def semilogy(*args, **kwargs):$/;" f +SemiRandomFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/semi_random_features.py /^class SemiRandomFeatures(ArcCosineFeatureMap):$/;" c +send adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def send():$/;" f function:client file: +send adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def send(task):$/;" f function:server file: +send adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ send = lambda *a, **kw: None$/;" m class:_FakeSignal +send adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def send(self, request, stream=None, timeout=None, verify=None, cert=None,$/;" m class:LocalFSAdapter +send adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ def send(self, request, cacheable_methods=None, **kw):$/;" m class:CacheControlAdapter +send adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def send(self, request, stream=False, timeout=None, verify=True, cert=None, proxies=None):$/;" m class:HTTPAdapter +send adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def send(self, request, stream=False, timeout=None, verify=True,$/;" m class:BaseAdapter +send adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def send(self, request, **kwargs):$/;" m class:Session +send adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def send(self, data):$/;" m class:WrappedSocket +send adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def send(self, request, stream=False, timeout=None, verify=True, cert=None, proxies=None):$/;" m class:HTTPAdapter +send adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def send(self, request, stream=False, timeout=None, verify=True,$/;" m class:BaseAdapter +send adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def send(self, request, **kwargs):$/;" m class:Session +send adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^send = gen_xla_ops.xla_send$/;" v +send adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^def send(tensor, tensor_name, send_device, send_device_incarnation, recv_device, client_terminat/;" f +Send adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^Send = tf_export("raw_ops.Send")(_ops.to_raw_op(send))$/;" v +send adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def send(tensor,$/;" f +send adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def send(self, obj):$/;" m class:ConnectionWrapper +send adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def send(self, data):$/;" m class:WrappedSocket +send adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def send(self, data, flags=0):$/;" m class:SSLTransport +sendall adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def sendall(self, data):$/;" m class:WrappedSocket +sendall adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def sendall(self, data):$/;" m class:WrappedSocket +sendall adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def sendall(self, data):$/;" m class:WrappedSocket +sendall adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def sendall(self, data):$/;" m class:WrappedSocket +sendall adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def sendall(self, data, flags=0):$/;" m class:SSLTransport +SendEvents adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^ def SendEvents(self, request_iterator, context):$/;" m class:EventListenerServicer +SendEvents adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^ def SendEvents(self, request_iterator, context):$/;" m class:EventListenerServicer +SendEvents adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def SendEvents(self, request_iterator, context):$/;" m class:EventListenerBaseServicer +SendSourceFiles adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^ def SendSourceFiles(self, request, context):$/;" m class:EventListenerServicer +SendSourceFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^ def SendSourceFiles(self, request, context):$/;" m class:EventListenerServicer +SendSourceFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def SendSourceFiles(self, request, context):$/;" m class:EventListenerBaseServicer +SendSourceFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def SendSourceFiles(self, request, context):$/;" m class:EventListenerTestServicer +SendTPUEmbeddingGradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^SendTPUEmbeddingGradients = tf_export("raw_ops.SendTPUEmbeddingGradients")(_ops.to_raw_op(send_t/;" v +SendTracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^ def SendTracebacks(self, request, context):$/;" m class:EventListenerServicer +SendTracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^ def SendTracebacks(self, request, context):$/;" m class:EventListenerServicer +SendTracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def SendTracebacks(self, request, context):$/;" m class:EventListenerBaseServicer +SendTracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def SendTracebacks(self, request, context):$/;" m class:EventListenerTestServicer +send_binary adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def send_binary(self, blob):$/;" m class:CommSocket +send_binary adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def send_binary(self, blob):$/;" m class:WebAggApplication.WebSocket +send_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^def send_eager_fallback(tensor, tensor_name, send_device, send_device_incarnation, recv_device, /;" f +send_eager_tracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def send_eager_tracebacks(destinations,$/;" f +send_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def send_event(self, event_type, **kwargs):$/;" m class:FigureCanvasWebAggCore +send_graph_tracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def send_graph_tracebacks(destinations,$/;" f +send_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def send_initial_metadata(self, initial_metadata: Metadata) -> None:$/;" m class:ServicerContext +send_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def send_initial_metadata(self, initial_metadata):$/;" m class:_Context +send_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def send_initial_metadata(unused_send_initial_metadata_event):$/;" f function:_send_initial_metadata file: +send_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def send_initial_metadata(self, initial_metadata):$/;" m class:ServicerContext +send_json adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def send_json(self, content):$/;" m class:CommSocket +send_json adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def send_json(self, content):$/;" m class:WebAggApplication.WebSocket +send_message adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def send_message(unused_send_message_event):$/;" f function:_send_message file: +send_message adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def send_message(self, event):$/;" m class:ToolCursorPosition +send_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def send_metadata(self):$/;" m class:register +send_next_batch_signal adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def send_next_batch_signal(self, iterations):$/;" m class:_OpQueueContext +send_next_batch_signal adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def send_next_batch_signal(self, iterations):$/;" m class:_OpSignalOnceQueueContext +send_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def send_request(self, req):$/;" m class:PackageIndex +send_requests adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def send_requests(self, run_to_events):$/;" m class:_BatchedRequestSender +send_response adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def send_response(response):$/;" f function:_stream_response_in_pool file: +send_response adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def send_response(self, code, message=None):$/;" m class:WSGIRequestHandler +send_status_from_server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def send_status_from_server(unused_send_status_from_server_event):$/;" f function:_send_status_from_server file: +send_tpu_embedding_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def send_tpu_embedding_gradients(inputs, learning_rates, config, name=None):$/;" f +send_tpu_embedding_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def send_tpu_embedding_gradients(inputs,$/;" f +send_tpu_embedding_gradients_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def send_tpu_embedding_gradients_eager_fallback(inputs, learning_rates, config, name, ctx):$/;" f +send_tracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def send_tracker(self):$/;" m class:UploadTracker +SensitivityAtSpecificity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SensitivityAtSpecificity(SensitivitySpecificityBase):$/;" c +SensitivitySpecificityBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SensitivitySpecificityBase(Metric):$/;" c +sensitivity_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def sensitivity_across_replicas(_, values):$/;" f function:sensitivity_at_specificity file: +sensitivity_at_specificity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def sensitivity_at_specificity(labels,$/;" f +SENSITIVITY_AT_SPECIFICITY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ SENSITIVITY_AT_SPECIFICITY = 'sensitivity_at_specificity_%g'$/;" v class:MetricKeys +sensor_module_dimensions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def sensor_module_dimensions(self):$/;" m class:ExcaliburData +sensor_module_dimensions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def sensor_module_dimensions(self):$/;" m class:ExcaliburData +sen_seasonal_slopes adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def sen_seasonal_slopes(x):$/;" f +SeparableConv adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class SeparableConv(Conv):$/;" c +SeparableConv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class SeparableConv1D(SeparableConv):$/;" c +SeparableConv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class SeparableConv1D(keras_layers.SeparableConv1D, base.Layer):$/;" c +SeparableConv1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^SeparableConv1D = convolutional.SeparableConv1D$/;" v +SeparableConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class SeparableConv2D(SeparableConv):$/;" c +SeparableConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^class SeparableConv2D(keras_layers.SeparableConv2D, base.Layer):$/;" c +SeparableConv2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^SeparableConv2D = convolutional.SeparableConv2D$/;" v +SeparableConvolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv1D as SeparableConvolution/;" x +SeparableConvolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv1D as SeparableConvolution/;" x +SeparableConvolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv1D as SeparableConvolution/;" x +SeparableConvolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv1D as SeparableConvolution/;" x +SeparableConvolution1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^SeparableConvolution1D = SeparableConv1D$/;" v +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv2D as SeparableConvolution/;" x +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv2D as SeparableConvolution/;" x +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv2D as SeparableConvolution/;" x +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from tensorflow.python.keras.layers.convolutional import SeparableConv2D as SeparableConvolution/;" x +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^SeparableConvolution2D = SeparableConv2D$/;" v +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^SeparableConvolution2D = SeparableConv2D$/;" v +SeparableConvolution2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^SeparableConvolution2D = SeparableConv2D$/;" v +separable_conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def separable_conv1d(x,$/;" f +separable_conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def separable_conv1d(inputs,$/;" f +separable_conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^separable_conv1d = convolutional.separable_conv1d$/;" v +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def separable_conv2d(x,$/;" f +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^def separable_conv2d(inputs,$/;" f +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^separable_conv2d = convolutional.separable_conv2d$/;" v +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def separable_conv2d(input,$/;" f +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import separable_conv2d_v2 as separable_conv2d$/;" x +separable_conv2d adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import separable_conv2d_v2 as separable_conv2d$/;" x +separable_conv2d_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def separable_conv2d_v2($/;" f +separable_convolution2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^separable_convolution2d = separable_conv2d$/;" v +separable_convolution2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/convolutional.py /^separable_convolution2d = separable_conv2d$/;" v +sepdict adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^sepdict = {}$/;" v +sepia adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def sepia(white="#fff0c0"):$/;" f +sep_by adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ sep_by = " (separated by '%s')" % os.pathsep$/;" v class:build_ext +sep_re adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^sep_re = re.compile(r'\\s*,\\s*')$/;" v +Seq2SeqBeamSearchTest adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^class Seq2SeqBeamSearchTest(test_util.TestCase):$/;" c +Seq2SeqModelCaffe2 adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^class Seq2SeqModelCaffe2(object):$/;" c +Seq2SeqModelCaffe2EnsembleDecoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^class Seq2SeqModelCaffe2EnsembleDecoder(Seq2SeqModelCaffe2EnsembleDecoderBase):$/;" c +Seq2SeqModelCaffe2EnsembleDecoderBase adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^class Seq2SeqModelCaffe2EnsembleDecoderBase(with_metaclass(ABCMeta, object)):$/;" c +Seq2SeqModelHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper.py /^class Seq2SeqModelHelper(ModelHelper):$/;" c +Seq2SeqModelHelperTest adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper_test.py /^class Seq2SeqModelHelperTest(test_util.TestCase):$/;" c +seq2seq_util adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^import caffe2.python.models.seq2seq.seq2seq_util as seq2seq_util$/;" I +seq2seq_util adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^import caffe2.python.models.seq2seq.seq2seq_util as seq2seq_util$/;" I +seq2seq_util adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^import caffe2.python.models.seq2seq.seq2seq_util as seq2seq_util$/;" I +seq2seq_util adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^import caffe2.python.models.seq2seq.seq2seq_util as seq2seq_util$/;" I +SeqDim adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SeqDim(self):$/;" m class:ReverseSequenceOptions +SeqNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class SeqNumber(univ.Integer):$/;" c +SEQUENCE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^SEQUENCE = 1$/;" v +Sequence adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Sequence(SequenceAndSetBase):$/;" c +sequence adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^sequence = tuple, list$/;" v +Sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^class Sequence(object):$/;" c +SequenceAndSetBase adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class SequenceAndSetBase(base.ConstructedAsn1Type):$/;" c +SequenceCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class SequenceCategoricalColumn($/;" c +SequenceDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SequenceDecoder(SequenceOrSequenceOfDecoder):$/;" c +SequenceDenseColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class SequenceDenseColumn(FeatureColumn):$/;" c +SequenceEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class SequenceEncoder(AbstractItemEncoder):$/;" c +SequenceEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class SequenceEncoder(encoder.SequenceEncoder):$/;" c +SequenceEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class SequenceEncoder(SetEncoder):$/;" c +SequenceEndEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class SequenceEndEvent(CollectionEndEvent):$/;" c +SequenceEnqueuer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^class SequenceEnqueuer(object):$/;" c +SequenceExample adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^SequenceExample = _reflection.GeneratedProtocolMessageType('SequenceExample', (_message.Message,/;" v +SequenceFeatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^class SequenceFeatures(kfc._BaseFeaturesLayer):$/;" c +SequenceLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class SequenceLike:$/;" c member:TestIndexing.test_broken_sequence_not_nd_index file: +SequenceLikelihood adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^class SequenceLikelihood(object):$/;" c +SequenceLikelihood adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^class SequenceLikelihood(object):$/;" c +SequenceNode adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^class SequenceNode(CollectionNode):$/;" c +SequenceNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class SequenceNumber(univ.Integer):$/;" c +sequenceNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^sequenceNumber = CMSSingleAttribute()$/;" v +SequenceNumberAdjust adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class SequenceNumberAdjust(univ.Sequence):$/;" c +SequenceNumberAdjustConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class SequenceNumberAdjustConfirm(univ.Sequence):$/;" c +SequenceNumericColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^class SequenceNumericColumn($/;" c +SequenceOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class SequenceOf(SequenceOfAndSetOfBase):$/;" c +SequenceOfAndSetOfBase adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class SequenceOfAndSetOfBase(base.ConstructedAsn1Type):$/;" c +SequenceOfDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SequenceOfDecoder(SequenceOrSequenceOfDecoder):$/;" c +SequenceOfEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^class SequenceOfEncoder(AbstractItemEncoder):$/;" c +SequenceOfEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class SequenceOfEncoder(encoder.SequenceOfEncoder):$/;" c +SequenceOfEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class SequenceOfEncoder(AbstractItemEncoder):$/;" c +SequenceOfOrSetOfDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^class SequenceOfOrSetOfDecoder(object):$/;" c +SequenceOrSequenceOfDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SequenceOrSequenceOfDecoder(UniversalConstructedTypeDecoder):$/;" c +SequenceOrSetDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^class SequenceOrSetDecoder(object):$/;" c +Sequencer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class Sequencer(object):$/;" c +SequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SequenceRNNOptions = 31$/;" v class:BuiltinOptions +SequenceRNNOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SequenceRNNOptions(object):$/;" c +SequenceRNNOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SequenceRNNOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs): builder.Pr/;" f +SequenceRNNOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SequenceRNNOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.Prep/;" f +SequenceRNNOptionsAddTimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SequenceRNNOptionsAddTimeMajor(builder, timeMajor): builder.PrependBoolSlot(0, timeMajor, 0)$/;" f +SequenceRNNOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SequenceRNNOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SequenceRNNOptions +SequenceRNNOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SequenceRNNOptionsEnd(builder): return builder.EndObject()$/;" f +SequenceRNNOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SequenceRNNOptionsStart(builder): builder.StartObject(3)$/;" f +SequenceRNNOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SequenceRNNOptionsT(object):$/;" c +SequenceStartEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class SequenceStartEvent(CollectionStartEvent):$/;" c +sequences_from_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/timeseries.py /^def sequences_from_indices(array, indices_ds, start_index, end_index):$/;" f +sequences_to_matrix adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def sequences_to_matrix(self, sequences, mode='binary'):$/;" m class:Tokenizer +sequences_to_texts adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def sequences_to_texts(self, sequences):$/;" m class:Tokenizer +sequences_to_texts_generator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def sequences_to_texts_generator(self, sequences):$/;" m class:Tokenizer +sequence_categorical_column_with_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def sequence_categorical_column_with_hash_bucket($/;" f +sequence_categorical_column_with_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def sequence_categorical_column_with_identity($/;" f +sequence_categorical_column_with_vocabulary_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def sequence_categorical_column_with_vocabulary_file($/;" f +sequence_categorical_column_with_vocabulary_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def sequence_categorical_column_with_vocabulary_list($/;" f +sequence_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def sequence_fn(instance, args):$/;" f function:list_to_tuple file: +sequence_length_from_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def sequence_length_from_sparse_tensor(sp_tensor, num_elements=1):$/;" f +sequence_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def sequence_mask(lengths, maxlen=None, dtype=dtypes.bool, name=None):$/;" f +sequence_numeric_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def sequence_numeric_column($/;" f +sequence_parallel adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^def sequence_parallel(fs):$/;" f +sequence_seed adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def sequence_seed(self):$/;" m class:LayerModelHelper +Sequential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^class Sequential(functional.Functional):$/;" c +Sequential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^Sequential = sequential.Sequential # pylint: disable=invalid-name$/;" v +sequential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^sequential = LazyLoader($/;" v +Sequential adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^class Sequential(Module):$/;" c +SequentialHeadWrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^class SequentialHeadWrapper(_SequentialHead):$/;" c +SequentialSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class SequentialSampler(Sampler[int]):$/;" c +SequentialSavedModelSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^class SequentialSavedModelSaver(ModelSavedModelSaver):$/;" c +sequential_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^sequential_lib = LazyLoader($/;" v +sequential_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^sequential_lib = LazyLoader($/;" v +seq_head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^from tensorflow_estimator.python.estimator.head import sequential_head as seq_head_lib$/;" x +seq_id adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def seq_id():$/;" f +seq_to_seq adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def seq_to_seq():$/;" f +serde adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^import caffe2.python.predictor.serde as serde$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conftest.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/erf_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/scale_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^import caffe2.python.serialized_test.serialized_test_util as serial$/;" I +serial adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def serial(*layers):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def serialize(self, value):$/;" m class:ArgumentSerializer +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def serialize(self, value):$/;" m class:CsvListSerializer +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def serialize(self, value):$/;" m class:EnumClassListSerializer +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def serialize(self, value):$/;" m class:EnumClassSerializer +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def serialize(self, value):$/;" m class:ListSerializer +serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def serialize(self):$/;" m class:Flag +serialize adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def serialize(self, value):$/;" m class:_LoggerLevelsSerializer +serialize adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def serialize(message, serializer):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def serialize(self, treewalker, encoding=None):$/;" m class:HTMLSerializer +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^def serialize(input, tree="etree", encoding=None, **serializer_opts):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Node +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Op +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Value +serialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Variable +serialize adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Node +serialize adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Op +serialize adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Value +serialize adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Variable +serialize adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Node +serialize adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Op +serialize adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Value +serialize adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def serialize(self):$/;" m class:Variable +serialize adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def serialize(self, treewalker, encoding=None):$/;" m class:HTMLSerializer +serialize adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^def serialize(input, tree="etree", encoding=None, **serializer_opts):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def serialize(self):$/;" m class:InputWorkers +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def serialize(activation):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^def serialize(constraint):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def serialize(self, accumulator):$/;" m class:Combiner +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def serialize(self, make_node_key, node_conversion_map):$/;" m class:Node +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/__init__.py /^def serialize(initializer):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def serialize(self, accumulator):$/;" m class:_CategoryEncodingCombiner +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def serialize(self, accumulator):$/;" m class:_IndexLookupCombiner +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def serialize(self, accumulator):$/;" m class:_NormalizingCombiner +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/serialization.py /^def serialize(layer):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def serialize(loss):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def serialize(metric):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale.py /^def serialize(loss_scale):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def serialize(policy):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^def serialize(optimizer):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^def serialize(learning_rate_schedule):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def serialize(regularizer):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def serialize(self):$/;" m class:TreeEnsemble +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^def serialize(obj):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/python_state.py /^ def serialize(self):$/;" m class:PythonState +serialize adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def serialize(self, obj):$/;" m class:_InternalRPCPickler +serialize adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def serialize(obj):$/;" f +serialize adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def serialize(self, node):$/;" m class:Serializer +serialize adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def serialize(node, stream=None, Dumper=Dumper, **kwds):$/;" f +SerializeBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^SerializeBlob = C.serialize_blob$/;" v +serialized adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def serialized(function):$/;" f +serialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def serialized(self):$/;" m class:Topology +SerializedAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^class SerializedAttributes(object):$/;" c +SerializedGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def SerializedGraph(self, run):$/;" m class:EventMultiplexer +SerializedGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def SerializedGraph(self):$/;" m class:EventAccumulator +SerializedGraph adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def SerializedGraph(self, run):$/;" m class:EventMultiplexer +SerializedTestCase adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^class SerializedTestCase(hu.HypothesisTestCase):$/;" c +serializeElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def serializeElement(element, indent=0):$/;" f function:getDomBuilder.testSerializer file: +serializeElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def serializeElement(element):$/;" f function:getETreeBuilder.tostring file: +serializeElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def serializeElement(element, indent=0):$/;" f function:getETreeBuilder.testSerializer file: +serializeElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def serializeElement(element):$/;" f function:tostring file: +serializeElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def serializeElement(element, indent=0):$/;" f function:testSerializer file: +serializeElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def serializeElement(element, indent=0):$/;" f function:getDomBuilder.testSerializer file: +serializeElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def serializeElement(element):$/;" f function:getETreeBuilder.tostring file: +serializeElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def serializeElement(element, indent=0):$/;" f function:getETreeBuilder.testSerializer file: +serializeElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def serializeElement(element):$/;" f function:tostring file: +serializeElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def serializeElement(element, indent=0):$/;" f function:testSerializer file: +serializeError adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def serializeError(self, data="XXX ERROR MESSAGE NEEDED"):$/;" m class:HTMLSerializer +SerializeError adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^class SerializeError(Exception):$/;" c +serializeError adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def serializeError(self, data="XXX ERROR MESSAGE NEEDED"):$/;" m class:HTMLSerializer +SerializeError adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^class SerializeError(Exception):$/;" c +SerializeIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^SerializeIterator = tf_export("raw_ops.SerializeIterator")(_ops.to_raw_op(serialize_iterator))$/;" v +SerializeManySparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SerializeManySparse = tf_export("raw_ops.SerializeManySparse")(_ops.to_raw_op(serialize_many_spa/;" v +SerializePartialToString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def SerializePartialToString(self, **kwargs):$/;" f function:_AddSerializePartialToStringMethod file: +SerializePartialToString adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def SerializePartialToString(self, **kwargs):$/;" m class:Message +Serializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^class Serializer(object):$/;" c +Serializer adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^class Serializer:$/;" c +SerializerError adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^class SerializerError(YAMLError):$/;" c +SerializeSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SerializeSparse = tf_export("raw_ops.SerializeSparse")(_ops.to_raw_op(serialize_sparse))$/;" v +SerializeTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^SerializeTensor = tf_export("raw_ops.SerializeTensor")(_ops.to_raw_op(serialize_tensor))$/;" v +SerializeToJsonError adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^class SerializeToJsonError(Error):$/;" c +SerializeToString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def SerializeToString(self, **kwargs):$/;" f function:_AddSerializeToStringMethod file: +SerializeToString adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def SerializeToString(self, **kwargs):$/;" m class:Message +serialize_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def serialize_all(nodes, stream=None, Dumper=Dumper,$/;" f +serialize_bare_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^def serialize_bare_concrete_function(concrete_function, name_map):$/;" f +serialize_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^def serialize_concrete_function(concrete_function, node_ids, coder):$/;" f +serialize_feature_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def serialize_feature_column(fc):$/;" f +serialize_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def serialize_feature_columns(feature_columns):$/;" f +serialize_first_arg_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def serialize_first_arg_tensor(t):$/;" f member:Node.serialize file: +serialize_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^def serialize_function(function, name_map):$/;" f +serialize_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def serialize_iterator(resource_handle, external_state_policy=0, name=None):$/;" f +serialize_iterator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def serialize_iterator_eager_fallback(resource_handle, external_state_policy, name, ctx):$/;" f +serialize_keras_class_and_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def serialize_keras_class_and_config(cls_name, cls_config):$/;" f +serialize_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def serialize_keras_object(instance):$/;" f +serialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def serialize_many_sparse(sparse_indices, sparse_values, sparse_shape, out_type=_dtypes.string, /;" f +serialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def serialize_many_sparse(sp_input, name=None, out_type=dtypes.string):$/;" f +serialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^from tensorflow.python.ops.sparse_ops import serialize_many_sparse_v2 as serialize_many_sparse$/;" x +serialize_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^from tensorflow.python.ops.sparse_ops import serialize_many_sparse_v2 as serialize_many_sparse$/;" x +serialize_many_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def serialize_many_sparse_eager_fallback(sparse_indices, sparse_values, sparse_shape, out_type, /;" f +serialize_many_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def serialize_many_sparse_tensors(tensors):$/;" f +serialize_many_sparse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def serialize_many_sparse_v2(sp_input, out_type=dtypes.string, name=None):$/;" f +serialize_node adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def serialize_node(self, node, parent, index):$/;" m class:Serializer +serialize_object_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def serialize_object_graph(self):$/;" m class:ObjectGraphView +serialize_protobuf_struct adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/serde.py /^def serialize_protobuf_struct(protobuf_struct):$/;" f +serialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def serialize_sparse(sparse_indices, sparse_values, sparse_shape, out_type=_dtypes.string, name=/;" f +serialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def serialize_sparse(sp_input, name=None, out_type=dtypes.string):$/;" f +serialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^from tensorflow.python.ops.sparse_ops import serialize_sparse_v2 as serialize_sparse$/;" x +serialize_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^from tensorflow.python.ops.sparse_ops import serialize_sparse_v2 as serialize_sparse$/;" x +serialize_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def serialize_sparse_eager_fallback(sparse_indices, sparse_values, sparse_shape, out_type, name,/;" f +serialize_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/sparse.py /^def serialize_sparse_tensors(tensors):$/;" f +serialize_sparse_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def serialize_sparse_v2(sp_input, out_type=dtypes.string, name=None):$/;" f +serialize_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def serialize_state(self, name=None):$/;" m class:ReaderBase +serialize_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def serialize_tensor(tensor, name=None):$/;" f +serialize_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def serialize_tensor_eager_fallback(tensor, name, ctx):$/;" f +serialize_test adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def serialize_test(self, inputs, outputs, grad_ops, op, device_option):$/;" m class:SerializedTestCase +serialize_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def serialize_to_string(self):$/;" m class:Profiler +SerializingFunction adpepsenv/lib/python3.8/site-packages/grpc/aio/_typing.py /^SerializingFunction = Callable[[Any], bytes]$/;" v +SerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SerialNumber(univ.Integer):$/;" c +serialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^serialNumber = Attribute()$/;" v +series_start_updates adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def series_start_updates():$/;" f member:InputStatisticsFromMiniBatch._update_statistics_from_mini_batch file: +serif adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def serif(self):$/;" m class:TexManager +Server adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^class Server(abc.ABC):$/;" c +Server adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^class Server(_base_server.Server):$/;" c +server adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^def server(migration_thread_pool: Optional[Executor] = None,$/;" f +server adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def server(service_implementations, options=None):$/;" f +Server adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^class Server(six.with_metaclass(abc.ABCMeta)):$/;" c +server adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def server(service_implementations, multi_method_implementation,$/;" f +Server adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Server(six.with_metaclass(abc.ABCMeta)):$/;" c +server adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def server(thread_pool,$/;" f +server adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server(comm, **options):$/;" f +Server adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^class Server(AuthorizationEndpoint, IntrospectEndpoint, TokenEndpoint,$/;" c +Server adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/pre_configured.py /^class Server(AuthorizationEndpoint, IntrospectEndpoint, TokenEndpoint,$/;" c +Server adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^class Server(object):$/;" c +ServerCertificateConfiguration adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ServerCertificateConfiguration(object):$/;" c +ServerCredentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ServerCredentials = grpc.ServerCredentials$/;" v +ServerCredentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ServerCredentials(object):$/;" c +ServerDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^ServerDef = _reflection.GeneratedProtocolMessageType('ServerDef', (_message.Message,), {$/;" v +ServerError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class ServerError(OAuth2Error):$/;" c +ServerInfoRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^ServerInfoRequest = _reflection.GeneratedProtocolMessageType('ServerInfoRequest', (_message.Mess/;" v +ServerInfoResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^ServerInfoResponse = _reflection.GeneratedProtocolMessageType('ServerInfoResponse', (_message.Me/;" v +ServerInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class ServerInterceptor(metaclass=ABCMeta):$/;" c +ServerInterceptor adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ServerInterceptor(six.with_metaclass(abc.ABCMeta)):$/;" c +ServerOptions adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^class ServerOptions(object):$/;" c +ServerProxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class ServerProxy(xmlrpclib.ServerProxy):$/;" c +ServerThread adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^class ServerThread(threading.Thread):$/;" c +server_accept adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_accept(service, mpi_info=None,$/;" f +SERVER_BIND adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^SERVER_BIND = ''$/;" v +server_bind adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def server_bind(self):$/;" m class:WerkzeugServer +server_close adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_close(comm):$/;" f +server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def server_def(self):$/;" m class:Server +SERVER_HOST adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^SERVER_HOST = 'localhost'$/;" v +server_info_lib adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^from tensorboard.uploader import server_info as server_info_lib$/;" x +server_info_lib adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^from tensorboard.uploader import server_info as server_info_lib$/;" x +server_main adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_main():$/;" f +server_main_accept adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_main_accept():$/;" f +server_main_comm adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_main_comm(comm):$/;" f +server_main_spawn adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_main_spawn():$/;" f +server_main_split adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_main_split(comm, root, **options):$/;" f +server_name adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def server_name(self):$/;" m class:EnvironBuilder +server_options adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def server_options(multi_method_implementation=None,$/;" f +SERVER_PORT adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^SERVER_PORT = 31415$/;" v +server_port adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def server_port(self):$/;" m class:EnvironBuilder +server_protocol adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ server_protocol = "HTTP\/1.1"$/;" v class:EnvironBuilder +server_publish adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_publish(address, mpi_port):$/;" f +server_sync adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def server_sync(comm):$/;" f +server_version adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def server_version(self):$/;" m class:WSGIRequestHandler +serve_alerts adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_alerts(self, request):$/;" m class:DebuggerV2Plugin +serve_annotations adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def serve_annotations(self, request):$/;" m class:NpmiPlugin +serve_embeddings adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def serve_embeddings(self, request):$/;" m class:NpmiPlugin +serve_execution_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_execution_data(self, request):$/;" m class:DebuggerV2Plugin +serve_execution_digests adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_execution_digests(self, request):$/;" m class:DebuggerV2Plugin +serve_forever adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def serve_forever(self):$/;" m class:TensorBoardServer +serve_forever adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def serve_forever(self):$/;" m class:BaseWSGIServer +serve_graph_execution_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_graph_execution_data(self, request):$/;" m class:DebuggerV2Plugin +serve_graph_execution_digests adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_graph_execution_digests(self, request):$/;" m class:DebuggerV2Plugin +serve_graph_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_graph_info(self, request):$/;" m class:DebuggerV2Plugin +serve_graph_op_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_graph_op_info(self, request):$/;" m class:DebuggerV2Plugin +serve_metrics adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def serve_metrics(self, request):$/;" m class:NpmiPlugin +serve_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_runs(self, request):$/;" m class:DebuggerV2Plugin +serve_source_file adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_source_file(self, request):$/;" m class:DebuggerV2Plugin +serve_source_files_list adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_source_files_list(self, request):$/;" m class:DebuggerV2Plugin +serve_stack_frames adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def serve_stack_frames(self, request):$/;" m class:DebuggerV2Plugin +serve_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def serve_tags(self, request):$/;" m class:NpmiPlugin +serve_values adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def serve_values(self, request):$/;" m class:NpmiPlugin +Service adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^class Service(object):$/;" c +service adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def service(self, handler_call_details):$/;" m class:_GenericRpcHandler +Service adpepsenv/lib/python3.8/site-packages/grpc/framework/common/style.py /^class Service(enum.Enum):$/;" c +service adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def service(self, group, method, context, output_operator):$/;" m class:Servicer +service adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def service(self, group, method, response_consumer, context):$/;" m class:MultiMethodImplementation +service adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def service(self, handler_call_details):$/;" m class:DictionaryGenericHandler +service adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def service(self, handler_call_details):$/;" m class:GenericRpcHandler +SERVICE adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^SERVICE = __package__$/;" v +service adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def service(self):$/;" m class:RunConfig +ServiceApplicationClient adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/service_application.py /^class ServiceApplicationClient(Client):$/;" c +ServiceDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class ServiceDescriptor(_NestedDescriptorBase):$/;" c +ServiceDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^ServiceDescriptorProto = _reflection.GeneratedProtocolMessageType('ServiceDescriptorProto', (_me/;" v +ServiceLocator adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class ServiceLocator(univ.Sequence):$/;" c +ServiceOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^ServiceOptions = _reflection.GeneratedProtocolMessageType('ServiceOptions', (_message.Message,),/;" v +ServicePool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def ServicePool(executor):$/;" f +ServiceProviderCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class ServiceProviderCode(char.IA5String):$/;" c +Servicer adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class Servicer(six.with_metaclass(abc.ABCMeta)):$/;" c +ServicerContext adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^class ServicerContext(Generic[RequestType, ResponseType], abc.ABC):$/;" c +ServicerContext adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class ServicerContext(six.with_metaclass(abc.ABCMeta, RpcContext)):$/;" c +ServicerContext adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ServicerContext(six.with_metaclass(abc.ABCMeta, RpcContext)):$/;" c +ServiceRpcHandler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class ServiceRpcHandler(six.with_metaclass(abc.ABCMeta, GenericRpcHandler)):$/;" c +services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ from grpc_tools.protoc import _services as services # pylint: disable=unused-import$/;" x +services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ services = _uninstalled_services$/;" v +services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ services = _uninstalled_services$/;" v +services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^ services = _interpreter_version_services$/;" v +services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def services(protobuf_path): # pylint: disable=unused-argument$/;" f +ServiceUnavailable adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class ServiceUnavailable(_RetryAfter):$/;" c +service_account adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^ from google.oauth2 import _service_account_async as service_account$/;" x function:load_credentials_from_file file: +service_account adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^ from google.oauth2 import _service_account_async as service_account$/;" x function:fetch_id_token file: +service_account_email adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def service_account_email(self):$/;" m class:Credentials +service_account_email adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def service_account_email(self):$/;" m class:Credentials +service_account_email adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def service_account_email(self):$/;" m class:IDTokenCredentials +service_account_email adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def service_account_email(self):$/;" m class:Credentials +service_account_email adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def service_account_email(self):$/;" m class:Credentials +service_account_email adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def service_account_email(self):$/;" m class:IDTokenCredentials +service_name adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def service_name(self):$/;" m class:DictionaryGenericHandler +service_name adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def service_name(self):$/;" m class:ServiceRpcHandler +service_pipeline adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^def service_pipeline(interceptors):$/;" f +SERVING adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/tag_constants.py /^SERVING = "serve"$/;" v +ServingBundle adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^class ServingBundle(object):$/;" c +ServingInputReceiver adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^class ServingInputReceiver($/;" c +serving_embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/embedding/__init__.py /^from tensorflow.python.tpu.tpu_embedding_v2 import cpu_embedding_lookup as serving_embedding_loo/;" x +serving_embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/experimental/embedding/__init__.py /^from tensorflow.python.tpu.tpu_embedding_v2 import cpu_embedding_lookup as serving_embedding_loo/;" x +serving_embedding_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/experimental/embedding/__init__.py /^from tensorflow.python.tpu.tpu_embedding_v2 import cpu_embedding_lookup as serving_embedding_loo/;" x +serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def serving_input_receiver_fn():$/;" f function:build_parsing_serving_input_receiver_fn file: +serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def serving_input_receiver_fn():$/;" f function:build_raw_serving_input_receiver_fn file: +Session adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^class Session(object):$/;" c +Session adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^class Session(SessionRedirectMixin):$/;" c +session adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^def session():$/;" f +Session adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^class Session(SessionRedirectMixin):$/;" c +session adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^def session():$/;" f +Session adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^Session = _reflection.GeneratedProtocolMessageType('Session', (_message.Message,), {$/;" v +Session adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class Session(BaseSession):$/;" c +session adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def session(self):$/;" m class:BaseDebugWrapperSession +session adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def session(self, graph=None, config=None, target=None):$/;" m class:MultiWorkerTestBase +session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def session(self, graph=None, config=None, use_gpu=False, force_gpu=False):$/;" m class:TensorFlowTestCase +session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def session(self):$/;" m class:_MonitoredSession.StepContext +session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def session(self):$/;" m class:SessionRunContext +SessionCommandMixin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^class SessionCommandMixin(CommandContextMixIn):$/;" c +SessionCreator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class SessionCreator(object):$/;" c +SessionDebugTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^class SessionDebugTestBase(test_util.TensorFlowTestCase):$/;" c +SessionEndInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^SessionEndInfo = _reflection.GeneratedProtocolMessageType('SessionEndInfo', (_message.Message,),/;" v +SessionGroup adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^SessionGroup = _reflection.GeneratedProtocolMessageType('SessionGroup', (_message.Message,), {$/;" v +SessionInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^SessionInfo = _reflection.GeneratedProtocolMessageType('SessionInfo', (_message.Message,), {$/;" v +SessionInterface adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class SessionInterface(object):$/;" c +SessionLog adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^SessionLog = _reflection.GeneratedProtocolMessageType('SessionLog', (_message.Message,), {$/;" v +SessionLog adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^SessionLog = _reflection.GeneratedProtocolMessageType('SessionLog', (_message.Message,), {$/;" v +SessionManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^class SessionManager(object):$/;" c +SessionMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^SessionMetadata = _reflection.GeneratedProtocolMessageType('SessionMetadata', (_message.Message,/;" v +SessionMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^SessionMetadata = _reflection.GeneratedProtocolMessageType('SessionMetadata', (_message.Message,/;" v +SessionRedirectMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^class SessionRedirectMixin(object):$/;" c +SessionRedirectMixin adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^class SessionRedirectMixin(object):$/;" c +SessionRunArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^class SessionRunArgs($/;" c +SessionRunContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^class SessionRunContext(object):$/;" c +SessionRunHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^class SessionRunHook(object):$/;" c +SessionRunLog adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^SessionRunLog = _reflection.GeneratedProtocolMessageType('SessionRunLog', (_message.Message,), d/;" v +SessionRunRequest adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^SessionRunRequest = _reflection.GeneratedProtocolMessageType('SessionRunRequest', (_message.Mess/;" v +SessionRunResponse adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^SessionRunResponse = _reflection.GeneratedProtocolMessageType('SessionRunResponse', (_message.Me/;" v +SessionRunValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^class SessionRunValues($/;" c +SessionStartInfo adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^SessionStartInfo = _reflection.GeneratedProtocolMessageType('SessionStartInfo', (_message.Messag/;" v +session_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def session_config(self):$/;" m class:_WorkerContext +session_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def session_config(self):$/;" m class:RunConfig +session_creation_timeout_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def session_creation_timeout_secs(self):$/;" m class:RunConfig +session_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def session_creator(self,$/;" m class:_WorkerContext +SESSION_END_INFO_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^SESSION_END_INFO_TAG = "_hparams_\/session_end_info"$/;" v +session_end_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^def session_end_pb(status, end_time_secs=None):$/;" f +session_from_client_config adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/helpers.py /^def session_from_client_config(client_config, scopes, **kwargs):$/;" f +session_from_client_secrets_file adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/helpers.py /^def session_from_client_secrets_file(client_secrets_file, scopes, **kwargs):$/;" f +session_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^from tensorflow.python.client import session as session_lib$/;" x +session_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^from tensorflow.python.client import session as session_lib$/;" x +session_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^from tensorflow.python.client import session as session_lib$/;" x +session_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^from tensorflow.python.client import session as session_lib$/;" x +session_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^from tensorflow.python.client import session as session_lib$/;" x +session_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def session_manager(self):$/;" m class:Supervisor +session_manager_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^from tensorflow.python.training import session_manager as session_manager_mod$/;" x +session_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.client import session as session_module$/;" x +SESSION_START_INFO_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^SESSION_START_INFO_TAG = "_hparams_\/session_start_info"$/;" v +session_start_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^def session_start_pb($/;" f +sess_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def sess_str(self):$/;" m class:BaseSession +sess_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def sess_str(self):$/;" m class:SessionInterface +sess_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def sess_str(self):$/;" m class:BaseDebugWrapperSession +sess_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def sess_str(self):$/;" m class:_WrappedSession +set adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def set(self, dtype=None, blob=None, metadata=None, unsafe=False):$/;" m class:Scalar +set adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def set(self, values, _fetch_func=None):$/;" m class:TaskOutput +set adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def set(self, points):$/;" m class:PointSelection +set adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def set(self, values, indices_are_sorted=False, unique_indices=False):$/;" m class:_IndexUpdateRef +set adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def set(self, state):$/;" m class:State +set adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set(self, **kwargs):$/;" m class:Artist +set adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set(self, **kwargs):$/;" m class:rrulewrapper +set adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set(self, child):$/;" m class:TransformWrapper +set adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set(self, other):$/;" m class:Affine2D +set adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set(self, other):$/;" m class:Bbox +set adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^def set(node, name, value):$/;" f +set adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^ def set(self, key, value):$/;" m class:SafeFileCache +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def set(self, key, value):$/;" m class:BaseCache +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def set(self, key, value):$/;" m class:DictCache +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def set(self, key, value):$/;" m class:FileCache +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def set(self, key, value, expires=None):$/;" m class:RedisCache +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def set(self, name, value):$/;" m class:LegacyMetadata +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._FifoCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._UnboundedCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def set(self, name, value, **kwargs):$/;" m class:RequestsCookieJar +set adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._FifoCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._UnboundedCache.__init__ file: +Set adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class Set(SequenceAndSetBase):$/;" c +set adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._FifoCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._UnboundedCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def set(self, name, value, **kwargs):$/;" m class:RequestsCookieJar +set adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._FifoCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def set(self, key, value):$/;" f member:ParserElement._UnboundedCache.__init__ file: +set adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def set(self, key, value):$/;" m class:LRUCache +set adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def set(self, property_name, property_val):$/;" m class:CLIConfig +set adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def set(self, value):$/;" m class:BoolGaugeCell +set adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def set(self, value):$/;" m class:IntGaugeCell +set adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def set(self, value):$/;" m class:StringGaugeCell +Set adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def Set(self, parent, child_name, new_child):$/;" m class:StubOutForTesting +set adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def set(self, val):$/;" m class:MyPickleClass +set adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def set(self, key, value):$/;" m class:ImmutableHeadersMixin +set adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def set(self, start, stop, length=None, units="bytes"):$/;" m class:ContentRange +set adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def set(self, _key, _value, **kw):$/;" m class:Headers +setanno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^def setanno(node, key, value, field_name='___pyct_anno'):$/;" f +SetAOTConfig adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def SetAOTConfig(meta_net_def, aot_config):$/;" f +setastest adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def setastest(tf=True):$/;" f +setAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def setAttributes(self, attributes):$/;" m class:getDomBuilder.NodeBuilder +setAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def setAttributes(self, attributes):$/;" m class:getDomBuilder.NodeBuilder +setattrspec adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def setattrspec(decl, attr, force=0):$/;" f +setattr_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def setattr_wrapper(obj, name, value):$/;" f member:KerasObjectLoader._config_node_setter file: +setBitLength adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setBitLength(self, bitLength):$/;" m class:SizedInteger +SetBlobsOrder adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def SetBlobsOrder(meta_net_def, blobs_order):$/;" f +setBreak adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setBreak(self, breakFlag=True):$/;" m class:ParserElement +setBreak adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setBreak(self,breakFlag = True):$/;" m class:ParserElement +setBreak adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setBreak(self, breakFlag=True):$/;" m class:ParserElement +setBreak adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setBreak(self,breakFlag = True):$/;" m class:ParserElement +setbufsize adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def setbufsize(size):$/;" f +setcharselector adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def setcharselector(decl, sel, force=0):$/;" f +setComponentByName adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setComponentByName(self, name, value=noValue,$/;" m class:SequenceAndSetBase +setComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def setComponentByPosition(self, idx, value, verifyConstraints=True):$/;" m class:ConstructedAsn1Type +setComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setComponentByPosition(self, idx, value=noValue,$/;" m class:Choice +setComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setComponentByPosition(self, idx, value=noValue,$/;" m class:SequenceAndSetBase +setComponentByPosition adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setComponentByPosition(self, idx, value=noValue,$/;" m class:SequenceOfAndSetOfBase +setComponentByType adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setComponentByType(self, tagSet, value=noValue,$/;" m class:Set +setComponents adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def setComponents(self, *args, **kwargs):$/;" m class:ConstructedAsn1Type +SetConcurrentSubsteps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetConcurrentSubsteps(self, concurrent_substeps):$/;" m class:ExecutionStep +setConfig adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def setConfig(self, key, value):$/;" m class:Extension +setConfigs adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def setConfigs(self, items):$/;" m class:Extension +SetConsoleCursorPosition adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def SetConsoleCursorPosition(stream_id, position, adjust=True):$/;" f +SetConsoleTextAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def SetConsoleTextAttribute(stream_id, attrs):$/;" f +SetConsoleTextAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ SetConsoleTextAttribute = lambda *_: None$/;" f +SetConsoleTitle adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def SetConsoleTitle(title):$/;" f +SetCreateWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetCreateWorkspace(self, create_workspace):$/;" m class:ExecutionStep +SetCursorBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class SetCursorBase(ToolBase):$/;" c +SetCursorGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class SetCursorGTK3(backend_tools.SetCursorBase):$/;" c +SetCursorQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class SetCursorQt(backend_tools.SetCursorBase):$/;" c +SetCursorTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class SetCursorTk(backend_tools.SetCursorBase):$/;" c +SetCursorWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class SetCursorWx(backend_tools.SetCursorBase):$/;" c +setDebug adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setDebug(self, flag=True):$/;" m class:ParserElement +setDebug adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setDebug( self, flag=True ):$/;" m class:ParserElement +setDebug adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setDebug(self, flag=True):$/;" m class:ParserElement +setDebug adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setDebug( self, flag=True ):$/;" m class:ParserElement +setDebugActions adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setDebugActions(self, startAction, successAction, exceptionAction):$/;" m class:ParserElement +setDebugActions adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setDebugActions( self, startAction, successAction, exceptionAction ):$/;" m class:ParserElement +setDebugActions adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setDebugActions(self, startAction, successAction, exceptionAction):$/;" m class:ParserElement +setDebugActions adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setDebugActions( self, startAction, successAction, exceptionAction ):$/;" m class:ParserElement +SetDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SetDecoder(SetOrSetOfDecoder):$/;" c +setdefault adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def setdefault(self, key, default=None):$/;" m class:Cache +setdefault adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def setdefault(self, *args, **kwargs):$/;" m class:TTLCache +setdefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def setdefault(self, key, default=None):$/;" m class:MutableMapping +setdefault adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def setdefault(self, key, default=None):$/;" m class:OrderedDict +setdefault adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def setdefault(self, key=None, default=None, kwargs=None):$/;" m class:ContextValueCache +setdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setdefault(self, key, default):$/;" m class:Headers +setdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setdefault(self, key, default):$/;" m class:ImmutableHeadersMixin +setdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setdefault(self, key, default=None):$/;" m class:ImmutableDictMixin +setdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setdefault(self, key, default=None):$/;" m class:MultiDict +setdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setdefault(self, key, default=None):$/;" m class:UpdateDictMixin +setDefaultComponents adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def setDefaultComponents(self):$/;" m class:ConstructedAsn1Type +setDefaultComponents adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def setDefaultComponents(self):$/;" m class:SequenceAndSetBase +setDefaultKeywordChars adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setDefaultKeywordChars(chars):$/;" m class:Keyword +setDefaultKeywordChars adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setDefaultKeywordChars( chars ):$/;" m class:Keyword +setDefaultKeywordChars adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setDefaultKeywordChars(chars):$/;" m class:Keyword +setDefaultKeywordChars adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setDefaultKeywordChars( chars ):$/;" m class:Keyword +setDefaultWhitespaceChars adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setDefaultWhitespaceChars(chars):$/;" m class:ParserElement +setDefaultWhitespaceChars adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setDefaultWhitespaceChars( chars ):$/;" m class:ParserElement +setDefaultWhitespaceChars adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setDefaultWhitespaceChars(chars):$/;" m class:ParserElement +setDefaultWhitespaceChars adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setDefaultWhitespaceChars( chars ):$/;" m class:ParserElement +setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def setdiag(self, values, k=0):$/;" m class:spmatrix +setdiff1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def setdiff1d(ar1, ar2, assume_unique=False):$/;" f +setdiff1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def setdiff1d(ar1, ar2, assume_unique=False):$/;" f +setdiff1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def setdiff1d(ar1, ar2, assume_unique=False):$/;" f +setdiff1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def setdiff1d(x, y, index_dtype=dtypes.int32, name=None):$/;" f +SetEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class SetEncoder(encoder.SequenceEncoder):$/;" c +SetEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^class SetEncoder(encoder.SetEncoder):$/;" c +SetEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class SetEncoder(AbstractItemEncoder):$/;" c +setEndian adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def setEndian(self, endian):$/;" m class:AppendingTiffWriter +SetEnginePref adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^SetEnginePref = C.set_engine_pref$/;" v +seterr adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def seterr(all=None, divide=None, over=None, under=None, invalid=None):$/;" f +seterrcall adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def seterrcall(func):$/;" f +SetErrorHandler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^def SetErrorHandler(handler):$/;" f +SetextHeaderProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class SetextHeaderProcessor(BlockProcessor):$/;" c +setFailAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setFailAction(self, fn):$/;" m class:ParserElement +setFailAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setFailAction( self, fn ):$/;" m class:ParserElement +setFailAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setFailAction(self, fn):$/;" m class:ParserElement +setFailAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setFailAction( self, fn ):$/;" m class:ParserElement +SetFailed adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def SetFailed(self, reason):$/;" m class:RpcController +setfd adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def setfd(self, fd):$/;" m class:PyDecoder +setfont adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def setfont(self, font, size):$/;" m class:PSDraw +setFootnote adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def setFootnote(self, id, text):$/;" m class:FootnoteExtension +setFormatter adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def setFormatter(self, fmt):$/;" m class:ABSLHandler +SetGlobalEnginePref adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^SetGlobalEnginePref = C.set_global_engine_pref$/;" v +setHooks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def setHooks(self):$/;" m class:JitTestCase +setimage adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def setimage(self, im, extents=None):$/;" m class:PyDecoder +SetInParent adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def SetInParent(self):$/;" m class:Message +SetIter adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetIter(self, num_iter):$/;" m class:ExecutionStep +setitermethod adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setitermethod(cls, name):$/;" f function:native_itermethods file: +setkindselector adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def setkindselector(decl, sel, force=0):$/;" f +setlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlist(self, key, new_list):$/;" m class:ImmutableMultiDictMixin +setlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlist(self, key, new_list):$/;" m class:MultiDict +setlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlist(self, key, new_list):$/;" m class:OrderedMultiDict +setlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlist(self, key, value):$/;" m class:ImmutableHeadersMixin +setlist adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlist(self, key, values):$/;" m class:Headers +setlistdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlistdefault(self, key, default):$/;" m class:Headers +setlistdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlistdefault(self, key, default):$/;" m class:ImmutableHeadersMixin +setlistdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlistdefault(self, key, default_list=None):$/;" m class:ImmutableMultiDictMixin +setlistdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlistdefault(self, key, default_list=None):$/;" m class:MultiDict +setlistdefault adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setlistdefault(self, key, default_list=None):$/;" m class:OrderedMultiDict +setLogger adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^def setLogger(userLogger):$/;" f +setmesstext adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def setmesstext(block):$/;" f +setName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setName(self, name):$/;" m class:ParserElement +setName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setName( self, name ):$/;" m class:ParserElement +setName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setName(self, name):$/;" m class:ParserElement +setName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setName( self, name ):$/;" m class:ParserElement +SetNumConcurrentInstances adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetNumConcurrentInstances(self, num_concurrent_instances):$/;" m class:ExecutionStep +SetOf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class SetOf(SequenceOfAndSetOfBase):$/;" c +SetOfDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SetOfDecoder(SetOrSetOfDecoder):$/;" c +SetOfEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class SetOfEncoder(encoder.SequenceOfEncoder):$/;" c +setOffset adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setOffset(self, i):$/;" m class:_ParseResultsWithOffset +setOffset adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setOffset(self,i):$/;" m class:_ParseResultsWithOffset +setOffset adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setOffset(self, i):$/;" m class:_ParseResultsWithOffset +setOffset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setOffset(self,i):$/;" m class:_ParseResultsWithOffset +SetOnlyOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetOnlyOnce(self, only_once):$/;" m class:ExecutionStep +SetOpEnginePref adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^SetOpEnginePref = C.set_op_engine_pref$/;" v +setopt adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^class setopt(option_base):$/;" c +SetOrSetOfDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class SetOrSetOfDecoder(UniversalConstructedTypeDecoder):$/;" c +setp adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^def setp(obj, *args, file=None, **kwargs):$/;" f +setp adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def setp(obj, *args, **kwargs):$/;" f +setParseAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setParseAction(self, *fns, **kwargs):$/;" m class:ParserElement +setParseAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setParseAction( self, *fns, **kwargs ):$/;" m class:ParserElement +setParseAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setParseAction(self, *fns, **kwargs):$/;" m class:ParserElement +setParseAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setParseAction( self, *fns, **kwargs ):$/;" m class:ParserElement +SetPerOpEnginePref adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^SetPerOpEnginePref = C.set_per_op_engine_pref$/;" v +setPosition adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def setPosition(self, position):$/;" m class:EncodingBytes +setPosition adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def setPosition(self, position):$/;" m class:EncodingBytes +SetPreLoadBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def SetPreLoadBlobs(meta_net_def, pre_load_blobs):$/;" f +SetReportNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetReportNet(self, report_net, report_interval):$/;" m class:ExecutionStep +SetRequestOnlyEmbeddings adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def SetRequestOnlyEmbeddings(meta_net_def, request_only_embeddings):$/;" f +SetRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class SetRequestPDU(_RequestBase):$/;" c +SetRequestPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class SetRequestPDU(PDU):$/;" c +setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setResultsName(self, name, listAllMatches=False):$/;" m class:ParserElement +setResultsName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setResultsName( self, name, listAllMatches=False ):$/;" m class:ParseExpression +setResultsName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setResultsName( self, name, listAllMatches=False ):$/;" m class:ParserElement +setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setResultsName(self, name, listAllMatches=False):$/;" m class:ParserElement +setResultsName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setResultsName( self, name, listAllMatches=False ):$/;" m class:ParseExpression +setResultsName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setResultsName( self, name, listAllMatches=False ):$/;" m class:ParserElement +SetRowsCustomProperties adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def SetRowsCustomProperties(self, rows, custom_properties):$/;" m class:DataTable +setSeed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setSeed(self):$/;" m class:TestBroadcast +SetShouldStopBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def SetShouldStopBlob(self, should_stop_blob):$/;" m class:ExecutionStep +SetSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^SetSize = tf_export("raw_ops.SetSize")(_ops.to_raw_op(set_size))$/;" v +SetStatsAggregatorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SetStatsAggregatorDataset = tf_export("raw_ops.SetStatsAggregatorDataset")(_ops.to_raw_op(set_st/;" v +SetTensorBoundShapes adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def SetTensorBoundShapes(meta_net_def, tensor_bound_shapes):$/;" f +setter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def setter(self, new_value):$/;" f function:_AddPropertiesForNonRepeatedScalarField file: +setter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def setter(self, new_value):$/;" f function:_AddPropertiesForNonRepeatedCompositeField file: +setter adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def setter(self, new_value):$/;" f function:_AddPropertiesForRepeatedField file: +setter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def setter(self, vmin, vmax, ignore=False):$/;" f function:_make_getset_interval file: +setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ setter = lambda *args: None$/;" f member:KerasObjectLoader._add_children_recreated_from_config file: +setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ setter = lambda *args: None$/;" f member:Loader._retrieve_all_filtered_nodes file: +setThrowIfFpExceptions adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_fp_exceptions_test.py /^def setThrowIfFpExceptions(enabled):$/;" f +settimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def settimeout(self, timeout):$/;" m class:WrappedSocket +settimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def settimeout(self, timeout):$/;" m class:WrappedSocket +settimeout adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def settimeout(self, timeout):$/;" m class:WrappedSocket +settimeout adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def settimeout(self, timeout):$/;" m class:WrappedSocket +settimeout adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def settimeout(self, value):$/;" m class:SSLTransport +settings adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def settings(*args, **kwargs):$/;" f +settings adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def settings(*args, **kwargs):$/;" f +settransform adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def settransform(self, offset):$/;" m class:Draw +setup adpeps/ipeps/models/heisenberg.py /^def setup():$/;" f +setUp adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ def setUp(self):$/;" m class:TestFileStoreHandlerOp +setUp adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^ def setUp(self):$/;" m class:TestRedisStoreHandlerOp +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def setUp(self):$/;" m class:BrewTest +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def setUp(self):$/;" m class:TestControl +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/convert_test.py /^ def setUp(self):$/;" m class:TestOperator +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def setUp(self):$/;" m class:TestInferDevice +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup(self, **kwargs):$/;" m class:CompositeReaderBuilder +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup(self, **kwargs):$/;" m class:PipedReaderBuilder +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup(self, **kwargs):$/;" m class:ReaderBuilder +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup(self, global_init_net):$/;" m class:Pipe +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def setUp(self):$/;" m class:TestDBFileReader +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def setup(self, ws):$/;" m class:TestReaderBuilder +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/db_test.py /^ def setUp(self):$/;" m class:TestDB +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestAbs +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestConcat +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestCos +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestExp +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestLRN +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestMakeTwoClass +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestRelu +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestSigmoid +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestSin +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestSum +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def setUp(self):$/;" m class:TestTanh +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def setUp(self):$/;" m class:LayersTestCase +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def setup(self, net):$/;" m class:_SetupBuilder +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^ def setUp(self):$/;" m class:TestObservers +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def setUp(self):$/;" m class:TestCaffe2End2End +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/test_utils.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ def setUp(self):$/;" m class:OnnxifiTransformTest +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^ def setUp(self):$/;" m class:TestHeatmapMaxKeypointOp +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def setUp(self):$/;" m class:TestRNNExecutor +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def setup(self, init_net):$/;" m class:NetProcessor +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def setUp(self):$/;" m class:PredictorExporterTest +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^ def setUp(self):$/;" m class:TestPredictor +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def setup(self, global_init_net):$/;" m class:Queue +setup adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def setup(self, init_net):$/;" m class:SetupNets +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def setUp(self):$/;" m class:Test_PT_ONNX_TRT +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def setUp(self):$/;" m class:TensorRTOpTest +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def setUp(self):$/;" m class:TensorRTTransformTest +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def setUp(self):$/;" m class:TestMultiWorkspaces +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def setUp(self):$/;" m class:TestWorkspace +setUp adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def setUp(self):$/;" m class:TestWorkspaceGPU +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def setUp(self):$/;" m class:BaseAttrs +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def setUp(self):$/;" m class:BaseAttrs +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def setUp(self):$/;" m class:TestEmpty +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def setUp(self):$/;" m class:BaseTest +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def setUp(self):$/;" m class:BaseDataset +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def setUp(self):$/;" m class:TestRegionRefs +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:Test1DFloat +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:Test1DZeroFloat +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:Test2DFloat +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:Test2DZeroFloat +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:TestEmpty +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:TestScalarArray +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:TestScalarCompound +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:TestScalarFloat +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def setUp(self):$/;" m class:TestVeryLargeArray +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def setUp(self):$/;" m class:TestDatasetSwmrRead +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def setUp(self):$/;" m class:TestDatasetSwmrWrite +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def setUp(self):$/;" m class:TestSwmrNotAvailable +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def setUp(self):$/;" m class:BaseDataset +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_filters.py /^ def setUp(self):$/;" m class:TestFilters +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:BaseGroup +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:BaseMapping +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:TestAdditionalMappingFuncs +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:TestCopy +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:TestExternalLinks +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def setUp(self):$/;" m class:TestVisit +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def setUp(self):$/;" m class:TestScalarSliceRules +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def setUp(self):$/;" m class:BaseSlicing +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def setUp(self):$/;" m class:TestFieldNames +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def setUp(self):$/;" m class:TestSimpleSlicing +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def setUp(self):$/;" m class:IndexingTestCase +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def setUp(self):$/;" m class:SlicingTestCase +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def setUp(self):$/;" m class:TestEigerHighLevel +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def setUp(self):$/;" m class:TestExcaliburHighLevel +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def setUp(self):$/;" m class:TestPercivalHighLevel +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def setUp(self):$/;" m class:TestEigerLowLevel +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def setUp(self):$/;" m class:TestExcaliburLowLevel +setUp adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def setUp(self):$/;" m class:TestPercivalLowLevel +setUp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def setUp(self):$/;" m class:JaxToTfTestCase +setUp adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def setUp(self):$/;" m class:JaxTestCase +setup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def setup(self, fig, outfile, dpi, frame_dir=None):$/;" m class:HTMLWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def setup(self, fig, outfile, dpi=None):$/;" m class:AbstractMovieWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def setup(self, fig, outfile, dpi=None):$/;" m class:MovieWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def setup(self, fig, outfile, dpi=None):$/;" m class:PillowWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def setup(self, fig, outfile, dpi=None, frame_prefix=None,$/;" m class:FileMovieWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def setup(self):$/;" m class:FormComboWidget +setup adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def setup(self):$/;" m class:FormTabWidget +setup adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def setup(self):$/;" m class:FormWidget +setup adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^def setup(app):$/;" f +setup adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def setup(app):$/;" f +setup adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^def setup():$/;" f +setup adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def setup(self, fig, outfile, dpi, *args):$/;" m class:NullMovieWriter +setup adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def setup(self):$/;" m class:Test_boxplot_stats +setup adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def setup(self):$/;" m class:Test_callback_registry +setup adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def setup(self):$/;" m class:TestDetrend +setup adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def setup(self):$/;" m class:TestWindow +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def setup(self):$/;" m class:TestPrintOptions +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestChar +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestComparisons +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestComparisonsMixed1 +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestComparisonsMixed2 +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestInformation +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestMethods +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestOperations +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def setup(self):$/;" m class:TestWhitespace +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def setup(self):$/;" m class:_DeprecationTestCase +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def setup(self):$/;" m class:TestHalf +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def setup(self):$/;" m class:TestMultiIndexingAutomated +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def setup(self):$/;" m class:TestMemmap +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestAttributes +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestChoose +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestDot +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestFlags +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestFlat +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestIO +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestRepeat +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestScalarIndexing +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestStats +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def setup(self):$/;" m class:TestZeroRank +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestAllclose +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestBoolArray +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestBoolCmp +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestClip +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestCreationFuncs +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestIsclose +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestLikeFuncs +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def setup(self):$/;" m class:TestStdVar +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def setup(self):$/;" m class:TestMultipleFields +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def setup(self):$/;" m class:TestRecord +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def setup(self):$/;" m class:_FilterInvalids +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def setup(self):$/;" m class:TestCabs +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def setup(self):$/;" m class:TestCpow +setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^ def setup(self):$/;" m class:CommaDecimalPointLocale +setup adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def setup(**attr):$/;" f +setup adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def setup(self):$/;" m class:TestExecCommand +setup adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def setup(self):$/;" m class:TestSystemInfoReading +setup adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^ def setup(self):$/;" m class:TestF2cmapOption +setup adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ def setup(self):$/;" m class:F2PyTest +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def setup(self):$/;" m class:TestDelete +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def setup(self):$/;" m class:TestHistogram +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def setup(self):$/;" m class:TestLoadTxt +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(cls):$/;" m class:TestJoinBy2 +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestAppendFields +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestAppendFieldsObj +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestJoinBy +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestMergeArrays +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestRecFunctions +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def setup(self):$/;" m class:TestStackArrays +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestDataSourceAbspath +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestDataSourceExists +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestDataSourceOpen +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestOpenFunc +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestRepositoryAbspath +setup adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def setup(self):$/;" m class:TestRepositoryExists +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArray +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayArithmetic +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayFunctions +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayMathMethods +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayMathMethodsComplex +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedArrayMethods +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedFields +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestMaskedView +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def setup(self):$/;" m class:TestUfuncs +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def setup(self):$/;" m class:TestCorrcoef +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def setup(self):$/;" m class:TestCov +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def setup(self):$/;" m class:TestView +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def setup(self):$/;" m class:TestArrayMethods +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def setup(self):$/;" m class:TestMa +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def setup(self):$/;" m class:TestUfuncs +setup adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def setup(self):$/;" m class:TestSubclassing +setup adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def setup(self):$/;" m class:TestSubclassing +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestBroadcast +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestMultivariateHypergeometric +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestRandomDist +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestSetState +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestSingleEltArrayInput +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def setup(self):$/;" m class:TestThread +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setup(self):$/;" m class:TestBroadcast +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setup(self):$/;" m class:TestRandomDist +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setup(self):$/;" m class:TestSetState +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setup(self):$/;" m class:TestSingleEltArrayInput +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def setup(self):$/;" m class:TestThread +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def setup(self):$/;" m class:TestBroadcast +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def setup(self):$/;" m class:TestRandomDist +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def setup(self):$/;" m class:TestSetState +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def setup(self):$/;" m class:TestSingleEltArrayInput +setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def setup(self):$/;" m class:TestThread +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestAlmostEqual +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestApproxEqual +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestArrayAlmostEqual +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestArrayAssertLess +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestArrayEqual +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestEqual +setup adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def setup(self):$/;" m class:TestRaises +setup adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def setup(self, inputs, output, size_dict):$/;" m class:RandomGreedy +setup adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def setup(self, inputs, output, size_dict):$/;" m class:RandomOptimizer +setup adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ def setup(self, inputs, output, size_dict):$/;" m class:test_custom_random_optimizer.NaiveRandomOptimizer +setup adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def setup(self):$/;" m class:AppendingTiffWriter +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x, F, func):$/;" m class:BroydenFirst +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x, F, func):$/;" m class:DiagBroyden +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x, F, func):$/;" m class:ExcitingMixing +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x, F, func):$/;" m class:Jacobian +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x, f, func):$/;" m class:KrylovJacobian +setup adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def setup(self, x0, f0, func):$/;" m class:GenericBroyden +setup adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def setup(self):$/;" m class:TestMakeTupleBunch +setup adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^def setup (**attrs):$/;" f +setup adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^def setup(**attrs):$/;" f +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def setUp(self):$/;" m class:AutoGraphTestCase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def setUp(self):$/;" m class:TfTrtIntegrationTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def setUp(self):$/;" m class:FixedLengthRecordDatasetTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def setUp(self):$/;" m class:MakeBatchedFeaturesDatasetTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def setUp(self):$/;" m class:TFRecordDatasetTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback_test_lib.py /^ def setUp(self):$/;" m class:DumpingCallbackTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def setUp(self):$/;" m class:SessionDebugTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def setUp(self):$/;" m class:IndependentWorkerTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def setUp(self):$/;" m class:MultiWorkerTestBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def setUp(self):$/;" m class:SingleWorkerTestBaseEager +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def setUp(self):$/;" m class:TensorFlowTestCase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def setUp(self):$/;" m class:TestDistributionStrategyWithDatasetsFile +setUp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def setUp(self):$/;" m class:TestSavedModelBase +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNClassifierEvaluateTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNClassifierPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNClassifierTrainTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNLogitFnTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNModelFnTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNRegressorEvaluateTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNRegressorPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNRegressorTrainTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def setUp(self):$/;" m class:BaseDNNWarmStartingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearClassifierEvaluationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearClassifierIntegrationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearClassifierPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearClassifierTrainingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearRegressorEvaluationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearRegressorIntegrationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearRegressorPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearRegressorTrainingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def setUp(self):$/;" m class:BaseLinearWarmStartingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNClassifierEvaluateTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNClassifierPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNClassifierTrainTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNLogitFnTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNModelFnTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNRegressorEvaluateTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNRegressorPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNRegressorTrainTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseDNNWarmStartingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearClassifierEvaluationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearClassifierIntegrationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearClassifierPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearClassifierTrainingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearRegressorEvaluationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearRegressorIntegrationTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearRegressorPartitionerTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearRegressorPredictTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearRegressorTrainingTest +setUp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def setUp(self):$/;" m class:BaseLinearWarmStartingTest +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def setUp(self):$/;" m class:MultiProcessTestCase +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def setUp(self):$/;" m class:QuantizationTestCase +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def setUp(self):$/;" m class:TestCase +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def setUp(self):$/;" m class:TestDistBackend +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^ def setUp(self):$/;" m class:ForkHelper +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^ def setUp(self):$/;" m class:SpawnHelper +setUp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def setUp(self):$/;" m class:JitTestCase +setUp tests/test_utils.py /^ def setUp(self):$/;" m class:TestTList +setUpClass adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^ def setUpClass(cls):$/;" m class:TestCase +setUpClass adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def setUpClass(cls):$/;" m class:TestCase +setUpClass adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def setUpClass(cls):$/;" m class:TestNewLibver +setUpClass adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def setUpClass(cls):$/;" m class:CleanupTestCase +setUpClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def setUpClass(cls):$/;" m class:StatsDatasetTestBase +setUpClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def setUpClass(cls):$/;" m class:SessionDebugTestBase +setUpClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def setUpClass(cls):$/;" m class:SingleWorkerTestBaseGraph +setUpClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def setUpClass(cls, num_workers=2, num_ps=1): # pylint: disable=g-missing-super-call$/;" m class:MultiWorkerTestBase +setUpClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def setUpClass(cls):$/;" m class:CUDATestBase +setUpClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def setUpClass(cls):$/;" m class:TestDistBackend +setUpModule adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator_test.py /^def setUpModule():$/;" f +SetupMPI adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^def SetupMPI():$/;" f +SetupNets adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class SetupNets(object):$/;" c +SetupRequirementsError adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^class SetupRequirementsError(BaseException):$/;" c +SetupStatusBarInsideGoogle adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/status_bar.py /^def SetupStatusBarInsideGoogle(unused_link_text, unused_port):$/;" f +SetuptoolsDeprecationWarning adpepsenv/lib/python3.8/site-packages/setuptools/_deprecation_warning.py /^class SetuptoolsDeprecationWarning(Warning):$/;" c +setuptools_run adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ def setuptools_run(self):$/;" m class:install +setup_bare_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def setup_bare_concrete_function(saved_bare_concrete_function,$/;" f +setup_base adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ setup_base = ("from __main__ import ModuleTester \\n"$/;" v +setup_bug_8278 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^def setup_bug_8278():$/;" f +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def setup_class(cls):$/;" m class:Base +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def setup_class(cls):$/;" m class:TestMT19937 +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def setup_class(cls):$/;" m class:TestPCG64 +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def setup_class(cls):$/;" m class:TestPhilox +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def setup_class(cls):$/;" m class:TestSFC64 +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:RNG +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:TestDefaultRNG +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:TestMT19937 +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:TestPCG64 +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:TestPhilox +setup_class adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def setup_class(cls):$/;" m class:TestSFC64 +setup_class adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_class(cls):$/;" m class:TestOrdQZ +setup_class adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_class(self):$/;" m class:TestEigh +setup_class adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def setup_class(cls):$/;" m class:TestBinnedStatistic +setup_class adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def setup_class(self):$/;" m class:TestMedianAbsDeviation +setup_class adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def setup_class(self):$/;" m class:TestMedianAbsoluteDeviation +setup_context adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def setup_context(setup_dir):$/;" f +setup_cur adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ setup_cur = "import numpy.ma.core as module\\n" + setup_base$/;" v +setup_environment adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^def setup_environment():$/;" f +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, global_init_net, global_finish_net):$/;" m class:CounterReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, global_init_net, global_finish_net):$/;" m class:ReaderWithDelay +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, global_init_net, global_finish_net):$/;" m class:ReaderWithLimitBase +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, init_net, finish_net):$/;" m class:CompositeReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, init_net, finish_net):$/;" m class:Reader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_ex(self, init_net, finish_net):$/;" m class:Writer +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def setup_ex(self, init_net, exit_net):$/;" m class:_DatasetRandomReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def setup_ex(self, init_net, exit_net):$/;" m class:_DatasetReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def setup_ex(self, init_net, exit_net):$/;" m class:_DatasetWriter +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def setup_ex(self, init_net, finish_net):$/;" m class:DBFileReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def setup_ex(self, init_net, finish_net):$/;" m class:ProcessingReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def setup_ex(self, init_net, exit_net):$/;" m class:_QueueReader +setup_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def setup_ex(self, init_net, exit_net):$/;" m class:_QueueWriter +setup_example adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def setup_example(self):$/;" m class:LayersTestCase +setup_fault_injection adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def setup_fault_injection(self, faulty_messages, messages_to_delay):$/;" m class:FaultyRpcAgentTestFixture +setup_fault_injection adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def setup_fault_injection(self, faulty_messages, messages_to_delay):$/;" m class:RpcAgentTestFixture +setup_keywords adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^setup_keywords = ('distclass', 'script_name', 'script_args', 'options',$/;" v +setup_limiter adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_limiter(self, global_init_net, global_finish_net):$/;" m class:ReaderWithLimit +setup_limiter adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_limiter(self, global_init_net, global_finish_net):$/;" m class:ReaderWithLimitBase +setup_limiter adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def setup_limiter(self, global_init_net, global_finish_net):$/;" m class:ReaderWithTimeLimit +setup_logging adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^def setup_logging(verbosity, no_color, user_log_file):$/;" f +setup_logging adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/_cmd.py /^def setup_logging():$/;" f +setup_method adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def setup_method(self):$/;" m class:TestBasicTransform +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestDoubleFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestDoubleIFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:Testfft2 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestFftn +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestFftnSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIfftn +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIRFFTDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIRFFTLongDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIRFFTSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestLongDoubleFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestLongDoubleIFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRFFTDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRFFTLongDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRfftn +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRFFTSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestSingleFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestSingleIFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestIFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestIRFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestRFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestDoubleFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestDoubleIFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:Testfft2 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestFftn +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestFftnSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIfftn +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIRFFTDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestIRFFTSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRFFTDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestRFFTSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestSingleFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestSingleIFFT +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestIFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestIRFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def setup_method(self):$/;" m class:_TestRFFTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIVDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIVFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDCTIVInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIVDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIVFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestDSTIVInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIVDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIVFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDCTIVInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIIDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIIFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIVDouble +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIVFloat +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:TestIDSTIVnt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:_TestDCTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:_TestDSTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:_TestIDCTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def setup_method(self):$/;" m class:_TestIDSTBase +setup_method adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def setup_method(self):$/;" m class:TestCtypesQuad +setup_method adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def setup_method(self):$/;" m class:TestMultivariateCtypesQuad +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def setup_method(self):$/;" m class:TestInterop +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def setup_method(self):$/;" m class:TestSplder +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def setup_method(self):$/;" m class:TestLSQSphereBivariateSpline +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def setup_method(self):$/;" m class:TestSmoothSphereBivariateSpline +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def setup_method(self):$/;" m class:TestGIL +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def setup_method(self):$/;" m class:TestInterp1D +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def setup_method(self):$/;" m class:TestBarycentric +setup_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def setup_method(self):$/;" m class:TestKrogh +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def setup_method(self):$/;" m class:TestDateAttribute +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def setup_method(self):$/;" m class:TestQuotedNominal +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def setup_method(self):$/;" m class:TestQuotedNominalSpaces +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def setup_method(self):$/;" m class:TestRelationalAttribute +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def setup_method(self):$/;" m class:TestRelationalAttributeLong +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def setup_method(self):$/;" m class:TestFortranFormatParser +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def setup_method(self):$/;" m class:TestMMIOArray +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def setup_method(self):$/;" m class:TestMMIOCoordinate +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def setup_method(self):$/;" m class:TestMMIOReadLargeIntegers +setup_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def setup_method(self):$/;" m class:TestMMIOSparseCSR +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestDet +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestInv +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestLstsq +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def setup_method(self):$/;" m class:TestSolve +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def setup_method(self):$/;" m class:TestBLAS3Symm +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def setup_method(self):$/;" m class:TestBLAS3Syr2k +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def setup_method(self):$/;" m class:TestBLAS3Syrk +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def setup_method(self):$/;" m class:TestSyHe +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def setup_method(self):$/;" m class:TestTRMM +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestEigBanded +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestEigTridiagonal +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestLU +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestLUSingle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestLUSolve +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestOrdQZWorkspaceSize +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestQR +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestQZ +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestRQ +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestSVD_GESDD +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def setup_method(self):$/;" m class:TestSVD_GESVD +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def setup_method(self):$/;" m class:BaseQRdeltas +setup_method adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^ def setup_method(self):$/;" m class:TestMatmulToeplitz +setup_method adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def setup_method(self):$/;" m class:TestBinaryOpeningClosing +setup_method adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def setup_method(self):$/;" m class:TestDilateFix +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def setup_method(self):$/;" m class:TestCobyla +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def setup_method(self):$/;" m class:TestLineSearch +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def setup_method(self):$/;" m class:TestLinprogSimplexBland +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def setup_method(self):$/;" m class:TestLinprogSimplexDefault +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def setup_method(self):$/;" m class:TestLinprogSimplexNoPresolve +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def setup_method(self):$/;" m class:TestQuadraticFunction +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def setup_method(self):$/;" m class:BaseMixin +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def setup_method(self):$/;" m class:TestCurveFit +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def setup_method(self):$/;" m class:TestLeastSq +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:CheckOptimize +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:TestBrute +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:TestIterationLimits +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:TestLBFGSBBounds +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:TestOptimizeResultAttributes +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def setup_method(self):$/;" m class:TestOptimizeScalar +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def setup_method(self):$/;" m class:QAPCommonTests +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def setup_method(self):$/;" m class:TestQAPOnce +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def setup_method(self):$/;" m class:TestSLSQP +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def setup_method(self):$/;" m class:TestTnc +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def setup_method(self):$/;" m class:TestTrustRegionSolvers +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def setup_method(self):$/;" m class:TestBasinHopping +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def setup_method(self):$/;" m class:Test_AdaptiveStepsize +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def setup_method(self):$/;" m class:Test_Metropolis +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def setup_method(self):$/;" m class:Test_RandomDisplacement +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def setup_method(self):$/;" m class:Test_Storage +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def setup_method(self):$/;" m class:TestDifferentialEvolutionSolver +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def setup_method(self):$/;" m class:TestDualAnnealing +setup_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def setup_method(self):$/;" m class:TestApproxDerivativeSparse +setup_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def setup_method(self):$/;" m class:TestImpulse +setup_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def setup_method(self):$/;" m class:TestImpulse2 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def setup_method(self):$/;" m class:TestStep +setup_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def setup_method(self):$/;" m class:TestStep2 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def setup_method(self):$/;" m class:Test_abcd_normalize +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def setup_method(self):$/;" m class:TestFactorized +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def setup_method(self):$/;" m class:TestLinsolve +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def setup_method(self):$/;" m class:TestSplu +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def setup_method(self):$/;" m class:TestSpsolveTriangular +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def setup_method(self):$/;" m class:TestLSMR +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def setup_method(self):$/;" m class:TestLSMRReturns +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def setup_method(self):$/;" m class:TestAsLinearOperator +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def setup_method(self):$/;" m class:TestLinearOperator +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def setup_method(self):$/;" m class:TestNorm +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_extract.py /^ def setup_method(self):$/;" m class:TestExtract +setup_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def setup_method(self):$/;" m class:TestInt32Overflow +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def setup_method(self):$/;" m class:TestCdist +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def setup_method(self):$/;" m class:TestPdist +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def setup_method(self):$/;" m class:TestSomeDistanceFunctions +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def setup_method(self):$/;" m class:TestHausdorff +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:Test_rectangle +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:Test_vectorization_cKDTree +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:Test_vectorization_KDTree +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_count_neighbors +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_approx +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_approx_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_far +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_far_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_l1 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_largep_issue9890 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_linf +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_ball_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_random_far +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_small +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_small_nonleaf +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_sorted_query_ball_point +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_sparse_distance_matrix +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees_far +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees_far_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees_linf +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees_linf_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def setup_method(self):$/;" m class:_Test_two_random_trees_periodic +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def setup_method(self):$/;" m class:TestSphericalVoronoi +setup_method adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def setup_method(self):$/;" m class:TestProcrustes +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestArrayArgument +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestBernoulli +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestBinom +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestDLaplace +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestErlang +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestFitMethod +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestFrozen +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestGenInvGauss +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestGeom +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestGumbel_r_l +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestHistogram +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestHypergeom +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestInvgauss +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestKSTwo +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestLogser +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestNBinom +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestNormInvGauss +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestPearson3 +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestPlanck +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestPoisson +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestRandInt +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestRayleigh +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestRvDiscrete +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestSkewNorm +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestTruncnorm +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def setup_method(self):$/;" m class:TestZipf +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def setup_method(self):$/;" m class:TestBoxcoxNormmax +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def setup_method(self):$/;" m class:TestBoxcoxNormplot +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def setup_method(self):$/;" m class:TestPpccPlot +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def setup_method(self):$/;" m class:TestYeojohnsonNormmax +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def setup_method(self):$/;" m class:TestPercentile +setup_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def setup_method(self):$/;" m class:TestScoreatpercentile +setup_module adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^def setup_module():$/;" f +setup_module adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_compile_function.py /^def setup_module():$/;" f +setup_module adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def setup_module():$/;" f +setup_module adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def setup_module():$/;" f +setup_module adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^def setup_module():$/;" f +setup_mpi_threads adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def setup_mpi_threads():$/;" f +setup_pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def setup_pool(pool, num_workers):$/;" f +setup_py_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def setup_py_path(self):$/;" m class:InstallRequirement +setup_shlib_compiler adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def setup_shlib_compiler(self):$/;" m class:build_ext +setup_test_file adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^def setup_test_file():$/;" f +setup_tpu adpepsenv/lib/python3.8/site-packages/jax/tools/colab_tpu.py /^def setup_tpu():$/;" f +setup_type adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def setup_type(self, request):$/;" m class:TestSharedMemory +setviewmethod adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def setviewmethod(cls, name):$/;" f function:native_itermethods file: +setWhitespaceChars adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def setWhitespaceChars(self, chars):$/;" m class:ParserElement +setWhitespaceChars adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def setWhitespaceChars( self, chars ):$/;" m class:ParserElement +setWhitespaceChars adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def setWhitespaceChars(self, chars):$/;" m class:ParserElement +setWhitespaceChars adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def setWhitespaceChars( self, chars ):$/;" m class:ParserElement +setxor1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def setxor1d(ar1, ar2, assume_unique=False):$/;" f +setxor1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def setxor1d(ar1, ar2, assume_unique=False):$/;" f +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self):$/;" m class:Poly3DCollection +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, path, zs=0, zdir='z'):$/;" m class:PathPatch3D +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, verts, zs=0, zdir='z'):$/;" m class:Patch3D +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, z=0, zdir='z'):$/;" m class:Text3D +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, zs, zdir):$/;" m class:Patch3DCollection +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, zs, zdir):$/;" m class:Path3DCollection +set_3d_properties adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_3d_properties(self, zs=0, zdir='z'):$/;" m class:Line3D +set_abort_status adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^def set_abort_status(status):$/;" f +set_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_active(self, active):$/;" m class:Widget +set_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_active(self, active):$/;" m class:_SelectorWidget +set_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_active(self, index):$/;" m class:CheckButtons +set_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_active(self, index):$/;" m class:RadioButtons +set_active adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def set_active(cls, manager):$/;" m class:Gcf +set_adjustable adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_adjustable(self, adjustable, share=False):$/;" m class:_AxesBase +set_agg_filter adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_agg_filter(self, filter_func):$/;" m class:Artist +set_aliases adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def set_aliases(self, alias):$/;" m class:FancyGetopt +set_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_alignment(self, align):$/;" m class:SecondaryAxis +set_all adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def set_all(self, key: MetadataKey, values: List[MetadataValue]) -> None:$/;" m class:Metadata +set_allfiles adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def set_allfiles(self, allfiles):$/;" m class:FileList +set_allow_all_prereleases adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def set_allow_all_prereleases(self):$/;" m class:PackageFinder +set_allow_float64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def set_allow_float64(b):$/;" f +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_alpha(self, alpha):$/;" m class:Artist +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_alpha(self, alpha):$/;" m class:GraphicsContextCairo +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_alpha(self, alpha):$/;" m class:GraphicsContextBase +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_alpha(self, alpha):$/;" m class:Collection +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def set_alpha(self, alpha):$/;" m class:ColorbarBase +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def set_alpha(self, alpha):$/;" m class:ContourSet +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_alpha(self, alpha):$/;" m class:_ImageBase +set_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_alpha(self, alpha):$/;" m class:Patch +set_alpha adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def set_alpha(self, alpha):$/;" m class:ColorbarBase +set_alpha adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_alpha(self, alpha):$/;" m class:Poly3DCollection +set_alpn_protocols adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def set_alpn_protocols(self, protocols):$/;" m class:PyOpenSSLContext +set_alpn_protocols adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def set_alpn_protocols(self, protocols):$/;" m class:SecureTransportContext +set_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_anchor(self, anchor, share=False):$/;" m class:_AxesBase +set_anchor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_anchor(self, anchor):$/;" m class:Divider +set_anchor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_anchor(self, anchor, share=False):$/;" m class:Axes3D +set_and_check_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def set_and_check_done(value):$/;" f +set_and_check_flag adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def set_and_check_flag(self, flag, dtype, arr):$/;" m class:TestRequire +set_and_validate_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def set_and_validate_functions(self, function_dict):$/;" m class:SerializedAttributes +set_and_validate_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def set_and_validate_objects(self, object_dict):$/;" m class:SerializedAttributes +set_angle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_angle(self, angle):$/;" m class:Ellipse +set_animated adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_animated(self, b):$/;" m class:Artist +set_animated adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_animated(self, val):$/;" m class:ToolHandles +set_anncoords adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_anncoords(self, coords):$/;" m class:Annotation +set_annotation_clip adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_annotation_clip(self, b):$/;" m class:ConnectionPatch +set_annotation_clip adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_annotation_clip(self, b):$/;" m class:_AnnotationBase +set_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_antialiased(self, b):$/;" m class:GraphicsContextBase +set_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_antialiased(self, aa):$/;" m class:Collection +set_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_antialiased(self, b):$/;" m class:Line2D +set_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_antialiased(self, aa):$/;" m class:Patch +set_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def set_args(self, args=None, kwargs=None):$/;" m class:MultiProcessRunner +set_array adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def set_array(self, A):$/;" m class:ScalarMappable +set_array adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_array(self, *args):$/;" m class:NonUniformImage +set_array adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_array(self, *args):$/;" m class:PcolorImage +set_array adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_array(self, A):$/;" m class:_ImageBase +set_array_base adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cdef inline void set_array_base(ndarray arr, object base):$/;" f +set_array_base adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cdef inline void set_array_base(ndarray arr, object base):$/;" f +set_arrowstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_arrowstyle(self, arrowstyle=None, **kw):$/;" m class:FancyArrowPatch +set_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_aspect(self, aspect, adjustable=None, anchor=None, share=False):$/;" m class:_AxesBase +set_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_aspect(self, *args, **kwargs):$/;" m class:SecondaryAxis +set_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_aspect(self, aspect=False):$/;" m class:Divider +set_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def set_aspect(self, aspect):$/;" m class:Grid +set_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_aspect(self, aspect, adjustable=None, anchor=None, share=False):$/;" m class:Axes3D +set_as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def set_as_default(self, step=None):$/;" m class:NoopSummaryWriter +set_as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def set_as_default(self, step=None):$/;" m class:ResourceSummaryWriter +set_as_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def set_as_default(self, step=None):$/;" m class:SummaryWriter +set_as_raw adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def set_as_raw(self, data, rawmode=None):$/;" m class:PyDecoder +set_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def set_attr(self, func, api_names_attr, names):$/;" m class:api_export +set_attrs adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def set_attrs(self, value):$/;" m class:WinTerm +set_autoscalex_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_autoscalex_on(self, b):$/;" m class:_AxesBase +set_autoscaley_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_autoscaley_on(self, b):$/;" m class:_AxesBase +set_autoscalez_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_autoscalez_on(self, b):$/;" m class:Axes3D +set_autoscale_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_autoscale_on(self, b):$/;" m class:_AxesBase +set_autoscale_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_autoscale_on(self, b):$/;" m class:Axes3D +set_axes_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_axes_locator(self, locator):$/;" m class:_AxesBase +set_axes_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def set_axes_locator(self, locator):$/;" m class:Grid +set_axes_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def set_axes_pad(self, axes_pad):$/;" m class:Grid +set_axis adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set_axis(self, axis):$/;" m class:MicrosecondLocator +set_axis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_axis(self, axis):$/;" m class:ThetaLocator +set_axis adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_axis(self, axis):$/;" m class:TickHelper +set_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axis(self, axis):$/;" m class:GridlinesCollection +set_axisbelow adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_axisbelow(self, b):$/;" m class:_AxesBase +set_axislabel_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axislabel_direction(self, label_direction):$/;" m class:AxisArtist +set_axisline_style adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axisline_style(self, axisline_style=None, **kwargs):$/;" m class:AxisArtist +set_axis_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axis_direction(self, axis_direction):$/;" m class:AxisArtist +set_axis_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axis_direction(self, d):$/;" m class:AxisLabel +set_axis_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_axis_direction(self, label_direction):$/;" m class:TickLabels +set_axis_off adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_axis_off(self):$/;" m class:_AxesBase +set_axis_off adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_axis_off(self):$/;" m class:Axes3D +set_axis_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_axis_on(self):$/;" m class:_AxesBase +set_axis_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_axis_on(self):$/;" m class:Axes3D +set_backend adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^def set_backend(backend, coerce=False, only=False):$/;" f +set_backend adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def set_backend(backend, coerce=False, only=False):$/;" f +set_backgroundcolor adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_backgroundcolor(self, color):$/;" m class:Text +set_backoff_factor adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def set_backoff_factor(self, new_factor):$/;" m class:GradScaler +set_backward_stacktraces adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def set_backward_stacktraces(self):$/;" m class:EventList +set_bad adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def set_bad(self, color='k', alpha=None):$/;" m class:Colormap +set_bandwidth adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def set_bandwidth(self, bw_method=None):$/;" m class:gaussian_kde +set_basic adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def set_basic(self, realm="authentication required"):$/;" m class:WWWAuthenticate +set_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_bbox(self, rectprops):$/;" m class:Text +set_bbox_to_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def set_bbox_to_anchor(self, bbox, transform=None):$/;" m class:Legend +set_bbox_to_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_bbox_to_anchor(self, bbox, transform=None):$/;" m class:AnchoredOffsetbox +set_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def set_body(ns):$/;" f function:_get_wrapper file: +set_bound adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^set_bound = gen_xla_ops.xla_set_bound$/;" v +set_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_bounds(self, *args):$/;" m class:FancyBboxPatch +set_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_bounds(self, *args):$/;" m class:Rectangle +set_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_bounds(self, low=None, high=None):$/;" m class:Spine +set_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_bounds(self, vmin, vmax):$/;" m class:TickHelper +set_boxstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_boxstyle(self, boxstyle=None, **kwargs):$/;" m class:FancyBboxPatch +set_box_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_box_aspect(self, aspect=None):$/;" m class:_AxesBase +set_box_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_box_aspect(self, aspect, *, zoom=1):$/;" m class:Axes3D +set_bracket adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def set_bracket(self, brack=None):$/;" m class:Brent +set_cache_size adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def set_cache_size(self, size):$/;" m class:__get_gettz.GettzFunc +set_caching_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_caching_device(self, caching_device):$/;" m class:VariableScope +set_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def set_callback(self, property_name, callback):$/;" m class:CLIConfig +set_callback_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^def set_callback_parameters(callback_list,$/;" f +set_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_canvas(self, canvas):$/;" m class:Figure +set_canvas_size adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def set_canvas_size(self, w, h, d):$/;" m class:Fonts +set_canvas_size adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def set_canvas_size(self, w, h, d):$/;" m class:MathtextBackend +set_canvas_size adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def set_canvas_size(self, w, h, d):$/;" m class:MathtextBackendAgg +set_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_capstyle(self, cs):$/;" m class:GraphicsContextCairo +set_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_capstyle(self, cs):$/;" m class:GraphicsContextWx +set_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_capstyle(self, cs):$/;" m class:GraphicsContextBase +set_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_capstyle(self, cs):$/;" m class:Collection +set_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_capstyle(self, s):$/;" m class:Patch +set_center adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_center(self, center):$/;" m class:Wedge +set_center adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_center(self, xy):$/;" m class:Ellipse +set_cert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def set_cert($/;" m class:HTTPSConnection +set_cert adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def set_cert($/;" m class:HTTPSConnection +set_check_link adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def set_check_link(value):$/;" f +set_child adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_child(self, child):$/;" m class:AnchoredOffsetbox +set_children adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set_children(self, *children):$/;" m class:TransformNode +set_ciphers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def set_ciphers(self, ciphers):$/;" m class:PyOpenSSLContext +set_ciphers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def set_ciphers(self, ciphers):$/;" m class:SecureTransportContext +set_ciphers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ def set_ciphers(self, cipher_suite):$/;" m class:SSLContext +set_ciphers adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def set_ciphers(self, ciphers):$/;" m class:PyOpenSSLContext +set_ciphers adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def set_ciphers(self, ciphers):$/;" m class:SecureTransportContext +set_ciphers adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ def set_ciphers(self, cipher_suite):$/;" m class:SSLContext +set_classifiers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_classifiers(self, value):$/;" m class:DistributionMetadata +set_clim adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def set_clim(self, vmin=None, vmax=None):$/;" m class:ScalarMappable +set_clip_box adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_clip_box(self, clipbox):$/;" m class:Artist +set_clip_box adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_clip_box(self, clipbox):$/;" m class:Text +set_clip_on adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_clip_on(self, b):$/;" m class:Artist +set_clip_on adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_clip_on(self, b):$/;" m class:Text +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_clip_path(self, path, transform=None):$/;" m class:Artist +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_clip_path(self, clippath, transform=None):$/;" m class:Axis +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_clip_path(self, clippath, transform=None):$/;" m class:Tick +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_clip_path(self, path):$/;" m class:GraphicsContextCairo +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_clip_path(self, path):$/;" m class:GraphicsContextBase +set_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_clip_path(self, path, transform=None):$/;" m class:Text +set_clip_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_clip_rectangle(self, rectangle):$/;" m class:GraphicsContextCairo +set_clip_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_clip_rectangle(self, rectangle):$/;" m class:GraphicsContextBase +set_closed adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_closed(self, closed):$/;" m class:Polygon +set_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def set_cmap(self, cmap):$/;" m class:ScalarMappable +set_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_cmap(self, cmap):$/;" m class:NonUniformImage +set_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def set_cmap(cmap):$/;" f +set_code adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def set_code(self, code: grpc.StatusCode) -> None:$/;" m class:ServicerContext +set_code adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def set_code(self, code):$/;" m class:_Context +set_code adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def set_code(self, code):$/;" m class:ServicerContext +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_color(self, color):$/;" m class:SecondaryAxis +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_color(self, r, g, b, store=True):$/;" m class:RendererPS +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def set_color(self, color):$/;" m class:ColorButton +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_color(self, c):$/;" m class:Collection +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_color(self, c):$/;" m class:LineCollection +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_color(self, color):$/;" m class:Line2D +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_color(self, c):$/;" m class:Patch +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_color(self, c):$/;" m class:Spine +set_color adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_color(self, color):$/;" m class:Text +set_command adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def set_command(self, key, value):$/;" m class:FCompiler +set_commands adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def set_commands(self, **kw):$/;" m class:FCompiler +set_compression adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def set_compression(self, compression: grpc.Compression) -> None:$/;" m class:ServicerContext +set_compression adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def set_compression(self, compression):$/;" m class:_Context +set_compression adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def set_compression(self, compression):$/;" m class:ServicerContext +set_configuration_from_input_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_configuration_from_input_tensors(self, input_tensors):$/;" m class:InfeedQueue +set_configuration_from_sharded_input_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_configuration_from_sharded_input_tensors(self, input_tensors):$/;" m class:InfeedQueue +set_connectionstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_connectionstyle(self, connectionstyle, **kw):$/;" m class:FancyArrowPatch +set_console adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def set_console(self, attrs=None, on_stderr=False):$/;" m class:WinTerm +set_constrained_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_constrained_layout(self, constrained):$/;" m class:Figure +set_constrained_layout_pads adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_constrained_layout_pads(self, **kwargs):$/;" m class:Figure +set_contains adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_contains(self, picker):$/;" m class:Artist +set_context adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^ def set_context(self, ctx):$/;" m class:RendererGTK3Cairo +set_cookie adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def set_cookie(self, cookie, *args, **kwargs):$/;" m class:RequestsCookieJar +set_cookie adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def set_cookie(self, cookie, *args, **kwargs):$/;" m class:RequestsCookieJar +set_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def set_cookie($/;" m class:Client +set_cookie adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def set_cookie($/;" m class:BaseResponse +set_cpu0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def set_cpu0(device_string):$/;" f +set_cpu_parent adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def set_cpu_parent(self, parent):$/;" m class:FunctionEvent +set_ctx_from_surface adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_ctx_from_surface(self, surface):$/;" m class:RendererCairo +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2GTK3 +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_cursor(self, cursor):$/;" m class:SetCursorGTK3 +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2Mac +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2QT +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_cursor(self, cursor):$/;" m class:SetCursorQt +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2WebAgg +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2Wx +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_cursor(self, cursor):$/;" m class:SetCursorWx +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2Tk +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_cursor(self, cursor):$/;" m class:SetCursorTk +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_cursor(self, cursor):$/;" m class:NavigationToolbar2 +set_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_cursor(self, cursor):$/;" m class:SetCursorBase +set_cursor_position adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def set_cursor_position(self, position=None, on_stderr=False):$/;" m class:WinTerm +set_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_custom_getter(self, custom_getter):$/;" m class:VariableScope +set_cxx_flags_clib_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def set_cxx_flags_clib_hook(build_clib, build_info):$/;" f +set_cxx_flags_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def set_cxx_flags_hook(build_ext, ext):$/;" f +set_c_flags_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def set_c_flags_hook(build_ext, ext):$/;" f +set_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_dashes(self, offset, dashes):$/;" m class:GraphicsContextCairo +set_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_dashes(self, dash_offset, dash_list):$/;" m class:GraphicsContextBase +set_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_dashes(self, seq):$/;" m class:Line2D +set_dash_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_dash_capstyle(self, s):$/;" m class:Line2D +set_dash_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_dash_joinstyle(self, s):$/;" m class:Line2D +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_data(self, A):$/;" m class:FigureImage +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_data(self, A):$/;" m class:_ImageBase +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_data(self, x, y, A):$/;" m class:NonUniformImage +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_data(self, x, y, A):$/;" m class:PcolorImage +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_data(self, *args):$/;" m class:Line2D +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_data(self, arr):$/;" m class:OffsetImage +set_data adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_data(self, pts, y=None):$/;" m class:ToolHandles +set_data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def set_data(self, value):$/;" m class:BaseResponse +set_data_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_data_3d(self, *args):$/;" m class:Line3D +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_data_interval(self, vmin, vmax, ignore=False):$/;" m class:Axis +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_data_interval, set_data_interval = _make_getset_interval($/;" v class:XAxis +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_data_interval, set_data_interval = _make_getset_interval($/;" v class:YAxis +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set_data_interval(self, vmin, vmax):$/;" m class:MicrosecondLocator +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_data_interval(self, vmin, vmax):$/;" m class:_AxisWrapper +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_data_interval(self, vmin, vmax):$/;" m class:TickHelper +set_data_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_data_interval(self, vmin, vmax):$/;" m class:_DummyAxis +set_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:XAxis +set_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:YAxis +set_data_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_data_interval, set_data_interval = maxis._make_getset_interval($/;" v class:ZAxis +set_debug adpepsenv/lib/python3.8/site-packages/oauthlib/__init__.py /^def set_debug(debug_val):$/;" f +set_default adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def set_default(self, name, value):$/;" m class:FlagValues +set_default_alignment adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_default_alignment(self, d):$/;" m class:AxisLabel +set_default_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_default_angle(self, d):$/;" m class:AxisLabel +set_default_dtype adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def set_default_dtype(dtype):$/;" f +set_default_dtype adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def set_default_dtype(d):$/;" f +set_default_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def set_default_handler_map(cls, handler_map):$/;" m class:Legend +set_default_indent_diff adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def set_default_indent_diff(self, indent):$/;" m class:BaseVisitor +set_default_intervals adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_default_intervals(self):$/;" m class:Axis +set_default_intervals adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_default_intervals(self):$/;" m class:XAxis +set_default_intervals adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_default_intervals(self):$/;" m class:YAxis +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:FuncScale +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:LinearScale +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:LogitScale +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:LogScale +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:ScaleBase +set_default_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def set_default_locators_and_formatters(self, axis):$/;" m class:SymmetricalLogScale +set_default_tensor_type adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def set_default_tensor_type(t):$/;" f +set_default_validate_args adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def set_default_validate_args(value):$/;" m class:Distribution +set_default_verify_paths adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def set_default_verify_paths(self):$/;" m class:PyOpenSSLContext +set_default_verify_paths adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def set_default_verify_paths(self):$/;" m class:SecureTransportContext +set_default_verify_paths adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def set_default_verify_paths(self):$/;" m class:PyOpenSSLContext +set_default_verify_paths adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def set_default_verify_paths(self):$/;" m class:SecureTransportContext +set_default_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_default_weight(self, weight):$/;" m class:FontManager +set_default_xml_stream adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_default_xml_stream(cls, xml_stream):$/;" m class:TextAndXMLTestRunner +set_delete adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def set_delete(self, kind, value):$/;" m class:TempDirectoryTypeRegistry +set_deprecated adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^def set_deprecated(obj):$/;" f +set_details adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ def set_details(self, details: str) -> None:$/;" m class:ServicerContext +set_details adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def set_details(self, details):$/;" m class:_Context +set_details adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def set_details(self, details):$/;" m class:ServicerContext +set_detect_anomaly adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^class set_detect_anomaly(object):$/;" c +set_deterministic adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def set_deterministic(d):$/;" f +set_device adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def set_device(device: _device_t) -> None:$/;" f +set_device_filters adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def set_device_filters(self, job_name, task_index, device_filters):$/;" m class:ClusterDeviceFilters +set_device_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_device_policy(device_policy):$/;" f +set_device_states adpepsenv/lib/python3.8/site-packages/torch/utils/checkpoint.py /^def set_device_states(devices, states) -> None:$/;" f +set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^set_diag = array_ops.matrix_set_diag$/;" v +set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_set_diag as set_diag$/;" x +set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_set_diag as set_diag$/;" x +set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_set_diag as set_diag$/;" x +set_difference adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def set_difference(a, b, aminusb=True, validate_indices=True):$/;" f +set_digest adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def set_digest($/;" m class:WWWAuthenticate +set_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def set_dim(self, smooth_matrix):$/;" m class:LabelSmooth +set_dir adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def set_dir(d):$/;" f +set_dirty adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def set_dirty(self):$/;" m class:MovieWriterRegistry +set_display adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def set_display(self, s):$/;" m class:_MaskedPrintOption +set_distributed_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def set_distributed_function(model, mode, distributed_function):$/;" f +set_distributed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def set_distributed_model(model, mode, distributed_model):$/;" f +set_docstring adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def set_docstring(header, Ainfo, footer='', atol_default='0'):$/;" f +set_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_dpi(self, val):$/;" m class:Figure +set_dpi_cor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_dpi_cor(self, dpi_cor):$/;" m class:FancyArrowPatch +set_draggable adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def set_draggable(self, state, use_blit=False, update='loc'):$/;" m class:Legend +set_drawstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_drawstyle(self, drawstyle):$/;" m class:Line2D +set_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_dtype(self, dtype):$/;" m class:VariableScope +set_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_edgecolor(self, c):$/;" m class:Collection +set_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_edgecolor(self, color):$/;" m class:Figure +set_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_edgecolor(self, color):$/;" m class:Patch +set_edgecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_edgecolor(self, colors):$/;" m class:Poly3DCollection +set_element_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/directives.py /^def set_element_type(entity, dtype, shape=UNSPECIFIED):$/;" f +set_enabled adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^ def set_enabled(self, value):$/;" m class:Warning +set_end_time adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_end_time(self, timestamp_in_secs):$/;" m class:_TestSuiteResult +set_environ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def set_environ(env_name, value):$/;" f +set_environ adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def set_environ(env_name, value):$/;" f +set_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def set_epoch(epoch):$/;" f +set_epoch adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^ def set_epoch(self, epoch: int) -> None:$/;" m class:DistributedSampler +set_epsilon adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^set_epsilon = backend_config.set_epsilon$/;" v +set_epsilon adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^def set_epsilon(value):$/;" f +set_etag adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def set_etag(self, etag, weak=False):$/;" m class:ETagResponseMixin +set_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def set_exception(self, exception):$/;" m class:Future +set_exe adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def set_exe(exe_key, f77=None, f90=None):$/;" f member:FCompiler.find_executables file: +set_executable adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def set_executable(self, key, value):$/;" m class:FCompiler +set_executable adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_executable(self, key, value):$/;" m class:CCompiler +set_executables adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_executables(self, **kwargs):$/;" m class:CCompiler +set_executable_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ set_executable_mode = lambda s, f: s.set_mode(0o555, 0o7777, f)$/;" m class:FileOperator +set_execution_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def set_execution_mode(mode):$/;" f +set_expect_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def set_expect_partial(self, expect_partial):$/;" m class:_CheckpointRestoreCoordinatorDeleter +set_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_experimental_options as set_experim/;" x +set_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_experimental_options as set_experim/;" x +set_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_experimental_options as set_experim/;" x +set_extent adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_extent(self, extent):$/;" m class:AxesImage +set_extracted_file_to_default_mode_plus_executable adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def set_extracted_file_to_default_mode_plus_executable(path):$/;" f +set_extraction_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def set_extraction_path(self, path):$/;" m class:ResourceManager +set_extraction_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^set_extraction_path = None$/;" v +set_extraction_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def set_extraction_path(self, path):$/;" m class:ResourceManager +set_extraction_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^set_extraction_path = None$/;" v +set_extremes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def set_extremes(self, e1, e2):$/;" m class:FloatingAxisArtistHelper +set_f adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^ def set_f(self, arg):$/;" m class:test_artist_inspector_get_valid_values.TestArtist +set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_facecolor(self, color):$/;" m class:_AxesBase +set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_facecolor(self, c):$/;" m class:Collection +set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_facecolor(self, color):$/;" m class:Figure +set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_facecolor(self, color):$/;" m class:Patch +set_facecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_facecolor(self, colors):$/;" m class:Poly3DCollection +set_factor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def set_factor(self, f):$/;" m class:FixedLocator +set_factor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def set_factor(self, f):$/;" m class:MaxNLocator +set_family adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_family(self, family):$/;" m class:FontProperties +set_fapl adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def set_fapl(plist, *args, **kwargs):$/;" f member:TestDriverRegistration.test_register_driver file: +set_figheight adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_figheight(self, val, forward=True):$/;" m class:Figure +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_figure(self, fig):$/;" m class:Artist +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_figure(self, fig):$/;" m class:_AxesBase +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def set_figure(self, figure, update_tools=True):$/;" m class:ToolManager +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_figure(self, figure):$/;" m class:SetCursorBase +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_figure(self, figure):$/;" m class:ToolBase +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_figure(self, figure):$/;" m class:ToolCursorPosition +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_figure(self, figure):$/;" m class:ToolToggleBase +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_figure(self, fig):$/;" m class:AnnotationBbox +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_figure(self, fig):$/;" m class:OffsetBox +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def set_figure(self, fig):$/;" m class:QuiverKey +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def set_figure(self, fig):$/;" m class:Cell +set_figure adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_figure(self, fig):$/;" m class:Annotation +set_figwidth adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_figwidth(self, val, forward=True):$/;" m class:Figure +set_file adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_file(self, file):$/;" m class:FontProperties +set_filename_and_line_from_caller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def set_filename_and_line_from_caller(self, offset=0):$/;" m class:TraceableObject +set_file_position adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^def set_file_position(body, pos):$/;" f +set_file_position adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^def set_file_position(body, pos):$/;" f +set_fill adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_fill(self, b):$/;" m class:Patch +set_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_fillstyle(self, fs):$/;" m class:Line2D +set_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def set_fillstyle(self, fillstyle):$/;" m class:MarkerStyle +set_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ set_fill_value = fill_value.fset$/;" v class:MaskedArray +set_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def set_fill_value(a, fill_value):$/;" f +set_filternorm adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_filternorm(self, filternorm):$/;" m class:_ImageBase +set_filternorm adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_filternorm(self, s):$/;" m class:NonUniformImage +set_filterrad adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_filterrad(self, filterrad):$/;" m class:_ImageBase +set_filterrad adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_filterrad(self, s):$/;" m class:NonUniformImage +set_flags adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^def set_flags(_enabled=None, _benchmark=None, _deterministic=None, _allow_tf32=None):$/;" f +set_flags adpepsenv/lib/python3.8/site-packages/torch/backends/mkldnn/__init__.py /^def set_flags(_enabled):$/;" f +set_floatx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^set_floatx = backend_config.set_floatx$/;" v +set_floatx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^def set_floatx(value):$/;" f +set_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def set_fn(option, value):$/;" f function:create_option file: +set_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_font(self, fontname, fontsize, store=True):$/;" m class:RendererPS +set_fontconfig_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_fontconfig_pattern(self, pattern):$/;" m class:FontProperties +set_fontfamily adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontfamily(self, fontname):$/;" m class:Text +set_fontname adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontname(self, fontname):$/;" m class:Text +set_fontproperties adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontproperties(self, fp):$/;" m class:Text +set_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_fontsize(self, s=None):$/;" m class:AnnotationBbox +set_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def set_fontsize(self, size):$/;" m class:Cell +set_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def set_fontsize(self, size):$/;" m class:Table +set_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontsize(self, fontsize):$/;" m class:Text +set_fontstretch adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontstretch(self, stretch):$/;" m class:Text +set_fontstyle adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontstyle(self, fontstyle):$/;" m class:Text +set_fontvariant adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontvariant(self, variant):$/;" m class:Text +set_fontweight adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_fontweight(self, weight):$/;" m class:Text +set_font_settings_for_testing adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^def set_font_settings_for_testing():$/;" f +set_foreground adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_foreground(self, fg, isRGBA=None):$/;" m class:GraphicsContextCairo +set_foreground adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_foreground(self, fg, isRGBA=None):$/;" m class:GraphicsContextWx +set_foreground adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_foreground(self, fg, isRGBA=False):$/;" m class:GraphicsContextBase +set_frameon adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_frameon(self, b):$/;" m class:Figure +set_frame_on adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_frame_on(self, b):$/;" m class:_AxesBase +set_frame_on adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def set_frame_on(self, b):$/;" m class:Legend +set_frame_on adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_frame_on(self, b):$/;" m class:Axes3D +set_function adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_function(self, string):$/;" m class:StatusBarWx +set_functions adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_functions(self, functions):$/;" m class:SecondaryAxis +set_f_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_f_params(self, *args):$/;" m class:ode +set_gamma adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def set_gamma(self, gamma):$/;" m class:LinearSegmentedColormap +set_gc_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^def set_gc_state(state):$/;" f +set_gid adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_gid(self, gid):$/;" m class:Artist +set_gid adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_gid(self, id):$/;" m class:GraphicsContextBase +set_global_backend adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^def set_global_backend(backend):$/;" f +set_global_backend adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def set_global_backend(backend, coerce=False, only=False):$/;" f +set_global_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def set_global_generator(generator):$/;" f +set_global_policy adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/__init__.py /^from tensorflow.python.keras.mixed_precision.policy import set_policy as set_global_policy$/;" x +set_global_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/__init__.py /^from tensorflow.python.keras.mixed_precision.policy import set_policy as set_global_policy$/;" x +set_global_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def set_global_rref(rref):$/;" f +set_global_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def set_global_seed(seed):$/;" f +set_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def set_global_step(self, new_global_step, name=None):$/;" m class:ConditionalAccumulatorBase +set_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def set_global_step(self, new_global_step, name=None):$/;" m class:SparseConditionalAccumulator +set_gnu_getopt adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def set_gnu_getopt(self, gnu_getopt=True):$/;" m class:FlagValues +set_grad_enabled adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^class set_grad_enabled(object):$/;" c +set_grad_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def set_grad_func(self, grad_func):$/;" m class:_DefinedFunction +set_grid_helper adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_grid_helper(self, grid_helper):$/;" m class:GridlinesCollection +set_growth_factor adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def set_growth_factor(self, new_factor):$/;" m class:GradScaler +set_growth_interval adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def set_growth_interval(self, new_interval):$/;" m class:GradScaler +set_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/handle_data_util.py /^def set_handle_data(target_t, handle_data):$/;" f +set_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_hatch(self, hatch):$/;" m class:GraphicsContextBase +set_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_hatch(self, hatch):$/;" m class:Collection +set_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_hatch(self, hatch):$/;" m class:Patch +set_hatch_color adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_hatch_color(self, hatch_color):$/;" m class:GraphicsContextBase +set_height adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_height(self, height):$/;" m class:OffsetBox +set_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_height(self, h):$/;" m class:FancyBboxPatch +set_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_height(self, h):$/;" m class:Rectangle +set_height adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_height(self, height):$/;" m class:Ellipse +set_height_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def set_height_ratios(self, height_ratios):$/;" m class:GridSpecBase +set_help_intro adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def set_help_intro(self, help_intro):$/;" m class:BaseUI +set_help_intro adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def set_help_intro(self, help_intro):$/;" m class:CommandHandlerRegistry +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2GTK3 +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2QT +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2WebAgg +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2Wx +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2Tk +set_history_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_history_buttons(self):$/;" m class:NavigationToolbar2 +set_horizontal adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_horizontal(self, h):$/;" m class:Divider +set_horizontalalignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_horizontalalignment(self, align):$/;" m class:Text +set_host_platform_device_count adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def set_host_platform_device_count(nr_devices: int):$/;" f +set_image_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^set_image_data_format = backend_config.set_image_data_format$/;" v +set_image_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^def set_image_data_format(data_format):$/;" f +set_image_mode adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def set_image_mode(self, mode):$/;" m class:FigureCanvasWebAggCore +set_include_dirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_include_dirs(self, dirs):$/;" m class:CCompiler +set_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def set_info(self, **info):$/;" m class:system_info +set_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_initializer(self, initializer):$/;" m class:VariableScope +set_initialize_params adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def set_initialize_params(self, initialize_params):$/;" m class:LayerModelHelper +set_initial_value adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_initial_value(self, y, t=0.0):$/;" m class:complex_ode +set_initial_value adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_initial_value(self, y, t=0.0):$/;" m class:ode +set_input_record adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def set_input_record(self, input_record):$/;" m class:Net +set_integrator adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_integrator(self, name, **integrator_params):$/;" m class:complex_ode +set_integrator adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_integrator(self, name, **integrator_params):$/;" m class:ode +set_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_interpolation(self, s):$/;" m class:NonUniformImage +set_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_interpolation(self, s):$/;" m class:_ImageBase +set_intersection adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def set_intersection(a, b, validate_indices=True):$/;" f +set_inter_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_inter_op_parallelism_threads(num_threads):$/;" f +set_intra_op_parallelism_threads adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_intra_op_parallelism_threads(num_threads):$/;" f +set_int_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def set_int_list_attr(op, attr_name, ints):$/;" f +set_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_inverted(self, inverted):$/;" m class:Axis +set_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_inverted(self, inverted):$/;" m class:XAxis +set_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_inverted(self, inverted):$/;" m class:YAxis +set_in_environ adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^def set_in_environ(environ, ctx):$/;" f +set_in_layout adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_in_layout(self, in_layout):$/;" m class:Artist +set_iprint adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def set_iprint(self, init=None, so_init=None,$/;" m class:ODR +set_is_sig_mismatch_an_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def set_is_sig_mismatch_an_error(value):$/;" f +set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def set_item(target, i, x):$/;" f +set_j adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def set_j(ri, ci, val):$/;" f member:CoupledDecay.jac file: +set_jac_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_jac_params(self, *args):$/;" m class:ode +set_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_jit as set_jit$/;" x +set_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_jit as set_jit$/;" x +set_jit adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^from tensorflow.python.framework.config import set_optimizer_jit as set_jit$/;" x +set_job adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def set_job(self, fit_type=None, deriv=None, var_calc=None,$/;" m class:ODR +set_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_joinstyle(self, js):$/;" m class:GraphicsContextCairo +set_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_joinstyle(self, js):$/;" m class:GraphicsContextWx +set_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_joinstyle(self, js):$/;" m class:GraphicsContextBase +set_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_joinstyle(self, js):$/;" m class:Collection +set_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_joinstyle(self, s):$/;" m class:Patch +set_keras_style adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^def set_keras_style():$/;" f +set_keras_style adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/base.py /^set_keras_style = base.set_keras_style$/;" v +set_keras_submodules adpepsenv/lib/python3.8/site-packages/keras_preprocessing/__init__.py /^def set_keras_submodules(backend, utils):$/;" f +set_kernel_process_name adpepsenv/lib/python3.8/site-packages/absl/command_name.py /^def set_kernel_process_name(name):$/;" f +set_keywords adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_keywords(self, value):$/;" m class:DistributionMetadata +set_label adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_label(self, s):$/;" m class:Artist +set_label adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ set_label = set_label1$/;" v class:Tick +set_label adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def set_label(self, label, *, loc=None, **kwargs):$/;" m class:ColorbarBase +set_label adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ set_label = Artist.set_label$/;" v class:Container +set_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def set_label(self, txt):$/;" m class:SimpleAxisArtist +set_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_label(self, s):$/;" m class:AxisArtist +set_label1 adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label1(self, s):$/;" m class:Tick +set_label2 adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label2(self, s):$/;" m class:Tick +set_label_coords adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label_coords(self, x, y, transform=None):$/;" m class:Axis +set_label_mode adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def set_label_mode(self, mode):$/;" m class:Grid +set_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label_position(self, position):$/;" m class:Axis +set_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label_position(self, position):$/;" m class:XAxis +set_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label_position(self, position):$/;" m class:YAxis +set_label_props adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def set_label_props(self, label, text, color):$/;" m class:ContourLabeler +set_label_text adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_label_text(self, label, fontdict=None, **kwargs):$/;" m class:Axis +set_label_text adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def set_label_text(self, label, **kw):$/;" m class:ColorbarBase +set_last_checkpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def set_last_checkpoints(self, last_checkpoints):$/;" m class:Saver +set_last_checkpoints_with_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def set_last_checkpoints_with_time(self, last_checkpoints_with_time):$/;" m class:Saver +set_last_step_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def set_last_step_output(self, name, output, reduce_op=None):$/;" m class:MultiStepContext +set_latitude_grid adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def set_latitude_grid(self, degrees):$/;" m class:GeoAxes +set_learning_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def set_learning_phase(value):$/;" f +set_level adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def set_level(self, elem):$/;" m class:TocTreeprocessor +set_libraries adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_libraries(self, libnames):$/;" m class:CCompiler +set_library_dirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_library_dirs(self, dirs):$/;" m class:CCompiler +set_linecap adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_linecap(self, linecap, store=True):$/;" m class:RendererPS +set_linedash adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_linedash(self, offset, seq, store=True):$/;" m class:RendererPS +set_linejoin adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_linejoin(self, linejoin, store=True):$/;" m class:RendererPS +set_linelength adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_linelength(self, linelength):$/;" m class:EventCollection +set_lineoffset adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_lineoffset(self, lineoffset):$/;" m class:EventCollection +set_linespacing adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_linespacing(self, spacing):$/;" m class:Text +set_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_linestyle(self, ls):$/;" m class:Collection +set_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_linestyle(self, ls):$/;" m class:Line2D +set_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_linestyle(self, ls):$/;" m class:Patch +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_linewidth(self, w):$/;" m class:GraphicsContextCairo +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def set_linewidth(self, linewidth, store=True):$/;" m class:RendererPS +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_linewidth(self, w):$/;" m class:GraphicsContextWx +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_linewidth(self, w):$/;" m class:GraphicsContextBase +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_linewidth(self, lw):$/;" m class:Collection +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_linewidth(self, w):$/;" m class:Line2D +set_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_linewidth(self, w):$/;" m class:Patch +set_line_mutation_scale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def set_line_mutation_scale(self, scale):$/;" m class:_FancyAxislineStyle.SimpleArrow +set_link_color_palette adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def set_link_color_palette(palette):$/;" f +set_link_objects adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_link_objects(self, objects):$/;" m class:CCompiler +set_load_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^ def set_load_options(self, load_options):$/;" m class:LoadContext +set_location adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_location(self, location):$/;" m class:SecondaryAxis +set_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_locator(self, _locator):$/;" m class:Divider +set_locs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_locs(self, locs):$/;" m class:Formatter +set_locs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_locs(self, locs):$/;" m class:LogitFormatter +set_locs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_locs(self, locs):$/;" m class:ScalarFormatter +set_locs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_locs(self, locs=None):$/;" m class:LogFormatter +set_locs_angles adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_locs_angles(self, locs_angles):$/;" m class:Ticks +set_locs_angles_labels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_locs_angles_labels(self, locs_angles_labels):$/;" m class:TickLabels +set_logger adpepsenv/lib/python3.8/site-packages/torch/jit/_logging.py /^set_logger = torch._C._logging_set_logger$/;" v +set_logical_devices_to_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/test_util.py /^def set_logical_devices_to_at_least(device, num):$/;" f +set_logical_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def set_logical_device_configuration(self, dev, virtual_devices):$/;" m class:Context +set_logical_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_logical_device_configuration(device, logical_devices):$/;" f +set_loglevel adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def set_loglevel(*args, **kwargs): # Ensure this appears in the pyplot docs.$/;" f +set_loglevel adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def set_loglevel(level):$/;" f +set_log_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def set_log_device_placement(enabled):$/;" f +set_longitude_grid adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def set_longitude_grid(self, degrees):$/;" m class:GeoAxes +set_longitude_grid_ends adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def set_longitude_grid_ends(self, degrees):$/;" m class:GeoAxes +set_loop_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/directives.py /^def set_loop_options($/;" f +set_lr_injection adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def set_lr_injection(lr_injection_value):$/;" f +set_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def set_lut(self, lut):$/;" m class:MorphOp +set_macro adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def set_macro(self, macro, path, key):$/;" m class:MacroExpander +set_macro adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def set_macro(self, macro, path, key):$/;" m class:MacroExpander +set_major_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_major_formatter(self, formatter):$/;" m class:Axis +set_major_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_major_locator(self, locator):$/;" m class:Axis +set_marker adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_marker(self, marker):$/;" m class:Line2D +set_marker adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def set_marker(self, marker):$/;" m class:MarkerStyle +set_markeredgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markeredgecolor(self, ec):$/;" m class:Line2D +set_markeredgewidth adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markeredgewidth(self, ew):$/;" m class:Line2D +set_markerfacecolor adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markerfacecolor(self, fc):$/;" m class:Line2D +set_markerfacecoloralt adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markerfacecoloralt(self, fc):$/;" m class:Line2D +set_markersize adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markersize(self, sz):$/;" m class:Line2D +set_markevery adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_markevery(self, every):$/;" m class:Line2D +set_mask adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def set_mask(self, mask):$/;" m class:Triangulation +set_materialize_grads adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def set_materialize_grads(self, value):$/;" m class:_ContextMethodMixin +set_matlab_compatible adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def set_matlab_compatible(self):$/;" m class:MatFileReader +set_matrix adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set_matrix(self, mtx):$/;" m class:Affine2D +set_memory_growth adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def set_memory_growth(self, dev, enable):$/;" m class:Context +set_memory_growth adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_memory_growth(device, enable):$/;" f +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_message(self, s):$/;" m class:NavigationToolbar2GTK3 +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_message(self, s):$/;" m class:StatusbarGTK3 +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_message(self, s):$/;" m class:ToolbarGTK3 +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def set_message(self, message):$/;" m class:NavigationToolbar2Mac +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_message(self, s):$/;" m class:NavigationToolbar2QT +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_message(self, s):$/;" m class:StatusbarQt +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_message(self, s):$/;" m class:ToolbarQt +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def set_message(self, message):$/;" m class:NavigationToolbar2WebAgg +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_message(self, s):$/;" m class:NavigationToolbar2Wx +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_message(self, s):$/;" m class:StatusbarWx +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_message(self, s):$/;" m class:ToolbarWx +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_message(self, s):$/;" m class:NavigationToolbar2Tk +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_message(self, s):$/;" m class:StatusbarTk +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_message(self, s):$/;" m class:ToolbarTk +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_message(self, s):$/;" m class:NavigationToolbar2 +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_message(self, s):$/;" m class:StatusbarBase +set_message adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_message(self, s):$/;" m class:ToolContainerBase +set_meta adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def set_meta(self, **kwds):$/;" m class:Data +set_meta adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def set_meta(self, **kwds):$/;" m class:Model +set_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def set_metadata(self, value):$/;" m class:Scalar +set_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def set_metadata(self, **kwargs):$/;" m class:Trace +set_metadata_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def set_metadata_version(self):$/;" m class:LegacyMetadata +set_minimumdescent adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_minimumdescent(self, t):$/;" m class:TextArea +set_minor_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_minor_formatter(self, formatter):$/;" m class:Axis +set_minor_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_minor_locator(self, locator):$/;" m class:Axis +set_minor_number adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_minor_number(self, minor_number):$/;" m class:LogitFormatter +set_minor_threshold adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_minor_threshold(self, minor_threshold):$/;" m class:LogitFormatter +set_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def set_mode(self, bits, mask, files):$/;" m class:FileOperator +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_model(self, model):$/;" m class:BackupAndRestore +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_model(self, model):$/;" m class:Callback +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_model(self, model):$/;" m class:CallbackList +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_model(self, model):$/;" m class:ModelCheckpoint +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_model(self, model):$/;" m class:TensorBoard +set_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def set_model(self, model):$/;" m class:TensorBoard +set_model_info adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def set_model_info(meta_net_def, project_str, model_class_str, version):$/;" f +set_model_probers adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def set_model_probers(self, logicalProber, visualProber):$/;" m class:HebrewProber +set_model_probers adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def set_model_probers(self, logicalProber, visualProber):$/;" m class:HebrewProber +set_module adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^def set_module(module):$/;" f +set_module adpepsenv/lib/python3.8/site-packages/torch/utils/__init__.py /^def set_module(obj, mod):$/;" f +set_multialignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_multialignment(self, align):$/;" m class:Text +set_multilinebaseline adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_multilinebaseline(self, t):$/;" m class:TextArea +set_mutation_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_mutation_aspect(self, aspect):$/;" m class:FancyArrowPatch +set_mutation_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_mutation_aspect(self, aspect):$/;" m class:FancyBboxPatch +set_mutation_scale adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_mutation_scale(self, scale):$/;" m class:FancyArrowPatch +set_mutation_scale adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_mutation_scale(self, scale):$/;" m class:FancyBboxPatch +set_name adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ set_name = set_family$/;" v class:FontProperties +set_names adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ def set_names(node_list, name_list, descriptor):$/;" f function:_set_input_and_output_names file: +set_name_and_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def set_name_and_version(s, n, v):$/;" f member:EggInfoDistribution.__init__ file: +set_name_for_node adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def set_name_for_node(self, node, name):$/;" m class:RootScope +set_name_value adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def set_name_value(self, options, args):$/;" m class:ConfigurationCommand +set_navigate adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_navigate(self, b):$/;" m class:_AxesBase +set_navigate_mode adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_navigate_mode(self, b):$/;" m class:_AxesBase +set_negative_aliases adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def set_negative_aliases(self, negative_alias):$/;" m class:FancyGetopt +set_non_tensor_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def set_non_tensor_output(self, name, output):$/;" m class:MultiStepContext +set_norm adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def set_norm(self, norm):$/;" m class:ScalarMappable +set_norm adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_norm(self, norm):$/;" m class:NonUniformImage +set_np_doc_form adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def set_np_doc_form(value):$/;" f +set_number_of_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def set_number_of_partitions(self, number_of_partitions):$/;" m class:ShardingPolicy +set_number_of_shards adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_number_of_shards(self, number_of_shards):$/;" m class:InfeedQueue +set_number_of_shards adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^ def set_number_of_shards(self, number_of_shards):$/;" m class:TpuContext +set_number_of_shards adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def set_number_of_shards(self, number_of_shards):$/;" m class:ShardingPolicy +set_num_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def set_num_elements(self, num_elements):$/;" m class:CategoryEncoding +set_obsoletes adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_obsoletes(self, value):$/;" m class:DistributionMetadata +set_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_offset(self, xy):$/;" m class:AuxTransformBox +set_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_offset(self, xy):$/;" m class:DrawingArea +set_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_offset(self, xy):$/;" m class:OffsetBox +set_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_offset(self, xy):$/;" m class:TextArea +set_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_offsets(self, offsets):$/;" m class:Collection +set_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def set_offsets(self, xy):$/;" m class:Barbs +set_offset_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_offset_position(self, position):$/;" m class:YAxis +set_offset_position adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_offset_position(self, offset_position):$/;" m class:Collection +set_offset_string adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_offset_string(self, ofs):$/;" m class:FixedFormatter +set_offset_string adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_offset_string(self, ofs):$/;" m class:FuncFormatter +set_of_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def set_of_lengths(x):$/;" f function:check_array_lengths file: +set_one_half adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_one_half(self, one_half):$/;" m class:LogitFormatter +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_AdagradHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_AdamHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_FtrlHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_MomentumHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_OptimizerHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_ProximalAdagradHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_ProximalYogiHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_RMSPropHandler +set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def set_optimization_parameters(self, table_descriptor):$/;" m class:_StochasticGradientDescentHandler +set_optimizer_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def set_optimizer_experimental_options(self, options):$/;" m class:Context +set_optimizer_experimental_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_optimizer_experimental_options(options):$/;" f +set_optimizer_jit adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_optimizer_jit(enabled):$/;" f +set_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def set_options(self, **options):$/;" m class:Configuration +set_option_table adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def set_option_table(self, option_table):$/;" m class:FancyGetopt +set_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_orientation(self, orientation=None):$/;" m class:EventCollection +set_original_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def set_original_model(self, orig_model):$/;" m class:DistributedCallbackModel +set_output_format adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def set_output_format(self, format):$/;" m class:Markdown +set_output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def set_output_record(self, record):$/;" m class:Net +set_over adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def set_over(self, color='k', alpha=None):$/;" m class:Colormap +set_overwrite_module_params_on_conversion adpepsenv/lib/python3.8/site-packages/torch/__future__.py /^def set_overwrite_module_params_on_conversion(value):$/;" f +set_pad adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_pad(self, val):$/;" m class:Tick +set_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_pad(self, pad):$/;" m class:AxisLabel +set_pane_color adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def set_pane_color(self, color):$/;" m class:Axis +set_pane_pos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def set_pane_pos(self, xys):$/;" m class:Axis +set_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^def set_parameters(tensor_tracer_params=None):$/;" f +set_params adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def set_params(self, nodes, path_prefix=None, path_type=None):$/;" m class:CheckpointManager +set_params adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def set_params(self, nodes, path_prefix=None, path_type=None):$/;" m class:MultiNodeCheckpointManager +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, **kwargs):$/;" m class:Locator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, **kwargs):$/;" m class:MaxNLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, base):$/;" m class:MultipleLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, base=None, offset=None):$/;" m class:IndexLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, base=None, subs=None, numdecs=None, numticks=None):$/;" m class:LogLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, minor=None, **kwargs):$/;" m class:LogitLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, nbins=None):$/;" m class:FixedLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, numticks=None, presets=None):$/;" m class:LinearLocator +set_params adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_params(self, subs=None, numticks=None):$/;" m class:SymmetricalLogLocator +set_params adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def set_params(self, nbins=None):$/;" m class:LocatorBase +set_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_params(self, params):$/;" m class:Callback +set_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_params(self, params):$/;" m class:CallbackList +set_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def set_params(self, params):$/;" m class:ProgbarLogger +set_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def set_params(self, **params):$/;" m class:BaseWrapper +set_parent adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def set_parent(self, parent):$/;" m class:LayoutBox +set_parent adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def set_parent(self, node, parent):$/;" m class:RootScope +set_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_partitioner(self, partitioner):$/;" m class:VariableScope +set_patchA adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_patchA(self, patchA):$/;" m class:FancyArrowPatch +set_patchB adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_patchB(self, patchB):$/;" m class:FancyArrowPatch +set_patch_arc adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_patch_arc(self, center, radius, theta1, theta2):$/;" m class:Spine +set_patch_circle adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_patch_circle(self, center, radius):$/;" m class:Spine +set_patch_line adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_patch_line(self):$/;" m class:Spine +set_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_path(self, path):$/;" m class:PathPatch +set_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def set_path(self, path):$/;" m class:_FancyAxislineStyle.SimpleArrow +set_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_path(self, path):$/;" m class:BezierPath +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_paths(self):$/;" m class:Collection +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_paths(self):$/;" m class:QuadMesh +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_paths(self):$/;" m class:TriMesh +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_paths(self, patches):$/;" m class:PatchCollection +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_paths(self, paths):$/;" m class:PathCollection +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ set_paths = set_segments$/;" v class:LineCollection +set_paths adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ set_paths = set_verts$/;" v class:PolyCollection +set_path_effects adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_path_effects(self, path_effects):$/;" m class:Artist +set_path_env_var adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def set_path_env_var(self, name):$/;" m class:MSVCCompiler +set_pattern adpeps/utils/tlist.py /^def set_pattern(pattern):$/;" f +set_picker adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_picker(self, picker):$/;" m class:Artist +set_picker adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_picker(self, p):$/;" m class:Line2D +set_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_pickradius(self, pickradius):$/;" m class:Axis +set_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_pickradius(self, pr):$/;" m class:Collection +set_pickradius adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_pickradius(self, d):$/;" m class:Line2D +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccess32_2 +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccess32_3 +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccess32_4 +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccess8 +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessF +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessI16_B +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessI16_L +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessI16_N +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessI32_N +set_pixel adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def set_pixel(self, x, y, color):$/;" m class:_PyAccessI32_Swap +set_platforms adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_platforms(self, value):$/;" m class:DistributionMetadata +set_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def set_points(self, points):$/;" m class:Bbox +set_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def set_policy(policy):$/;" f +set_position adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_position(self, pos, which='both'):$/;" m class:_AxesBase +set_position adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_position(self, position):$/;" m class:Spine +set_position adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_position(self, xy):$/;" m class:Text +set_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_position(self, pos):$/;" m class:Divider +set_positions adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_positions(self, positions):$/;" m class:EventCollection +set_positions adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_positions(self, posA, posB):$/;" m class:FancyArrowPatch +set_powerlimits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_powerlimits(self, lims):$/;" m class:ScalarFormatter +set_prefer_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def set_prefer_binary(self):$/;" m class:PackageFinder +set_printoptions adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^set_printoptions = np.set_printoptions$/;" v +set_printoptions adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def set_printoptions(precision=None, threshold=None, edgeitems=None,$/;" f +set_printoptions adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def set_printoptions($/;" f +set_processing_attrs adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def set_processing_attrs(self,$/;" m class:BatchFromFilesMixin +set_producer_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def set_producer_version(graph, producer_version):$/;" f +set_proj_type adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_proj_type(self, proj_type):$/;" m class:Axes3D +set_prop_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_prop_cycle(self, *args, **kwargs):$/;" m class:_AxesBase +set_prop_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_prop_cycle(self, *args, **kwargs):$/;" m class:_process_plot_var_args +set_provides adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_provides(self, value):$/;" m class:DistributionMetadata +set_python_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def set_python_graph(self, python_graph):$/;" m class:DebugDumpDir +set_python_instance_state adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def set_python_instance_state(self, instance, state):$/;" m class:UnsafeConstructor +set_python_instance_state adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def set_python_instance_state(self, instance, state, unsafe=False):$/;" m class:FullConstructor +set_radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_radius(self, radius):$/;" m class:Circle +set_radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_radius(self, radius):$/;" m class:Wedge +set_random_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^def set_random_seed(seed):$/;" f +set_rand_seed adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def set_rand_seed(self, seed=100, sequence_seed=True, seed_on_op_def=False):$/;" m class:Net +set_rasterization_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_rasterization_zorder(self, z):$/;" m class:_AxesBase +set_rasterized adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_rasterized(self, rasterized):$/;" m class:Artist +set_ref_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_ref_artist(self, artist):$/;" m class:AttributeCopier +set_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_regularizer(self, regularizer):$/;" m class:VariableScope +set_remove_overlapping_locs adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_remove_overlapping_locs(self, val):$/;" m class:Axis +set_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ set_repr = _sequence_repr_maker("set([", "])", set)$/;" v class:DebugReprGenerator +set_reproducibility_for_testing adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^def set_reproducibility_for_testing():$/;" f +set_request_only adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^def set_request_only(field):$/;" f +set_requires adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def set_requires(self, value):$/;" m class:DistributionMetadata +set_resample adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def set_resample(self, v):$/;" m class:_ImageBase +set_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def set_result(self, result):$/;" m class:Future +set_result adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ def set_result(self, result: T) -> None:$/;" m class:Future +set_rgrids adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rgrids(self, radii, labels=None, angle=None, fmt=None, **kwargs):$/;" m class:PolarAxes +set_rlabel_position adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rlabel_position(self, value):$/;" m class:PolarAxes +set_rlim adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rlim(self, bottom=None, top=None, emit=True, auto=False, **kwargs):$/;" m class:PolarAxes +set_rmax adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rmax(self, rmax):$/;" m class:PolarAxes +set_rmin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rmin(self, rmin):$/;" m class:PolarAxes +set_rng_seed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def set_rng_seed(seed):$/;" f +set_rng_state adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def set_rng_state(new_state: Tensor, device: Union[int, str, torch.device] = 'cuda') -> None:$/;" f +set_rng_state adpepsenv/lib/python3.8/site-packages/torch/random.py /^def set_rng_state(new_state) -> None:$/;" f +set_rng_state_all adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^def set_rng_state_all(new_states: Iterable[Tensor]) -> None:$/;" f +set_rnn_executor_config adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^def set_rnn_executor_config(rnn_op, num_threads=None, max_cuda_streams=None):$/;" f +set_root_name adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def set_root_name(self, root_name):$/;" m class:PublicAPIVisitor +set_rorigin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rorigin(self, rorigin):$/;" m class:PolarAxes +set_rotate_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def set_rotate_label(self, val):$/;" m class:Axis +set_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_rotation(self, s):$/;" m class:Text +set_rotation_mode adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_rotation_mode(self, m):$/;" m class:Text +set_rscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rscale(self, *args, **kwargs):$/;" m class:PolarAxes +set_rticks adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_rticks(self, *args, **kwargs):$/;" m class:PolarAxes +set_running_or_notify_cancel adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def set_running_or_notify_cancel(self):$/;" m class:Future +set_running_script_path adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def set_running_script_path():$/;" f +set_runtime_args adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^ def set_runtime_args(self, runtime_args):$/;" m class:ExternalLogger +set_runtime_library_dirs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def set_runtime_library_dirs(self, dirs):$/;" m class:CCompiler +set_run_time adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_run_time(self, time_in_secs):$/;" m class:_TestCaseResult +set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_scale(self, ax, scale):$/;" m class:ToolXScale +set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def set_scale(self, ax, scale):$/;" m class:ToolYScale +set_scale adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^ def set_scale(self,scale):$/;" m class:LazyOperatorNormInfo +set_scientific adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_scientific(self, b):$/;" m class:ScalarFormatter +set_seed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def set_seed(self):$/;" m class:TestBroadcast +set_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^def set_seed(seed):$/;" f +set_segments adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_segments(self, segments):$/;" m class:LineCollection +set_segments adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_segments(self, segments):$/;" m class:Line3DCollection +set_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def set_server_def(self, server_def, keep_alive_secs=_KEEP_ALIVE_SECS):$/;" m class:Context +set_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def set_server_def(server_def):$/;" f +set_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def set_session(session):$/;" f +set_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def set_shape(self, shape):$/;" m class:spmatrix +set_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def set_shape(self, shape):$/;" m class:dok_matrix +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def set_shape(self, shape):$/;" m class:Tensor +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def set_shape(self, shape):$/;" m class:_EagerTensorBase +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def set_shape(output_):$/;" f function:rnn file: +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def set_shape(self, shape):$/;" m class:KerasTensor +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def set_shape(self, shape):$/;" m class:AutoCastVariable +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def set_shape(self, shape):$/;" m class:BaseResourceVariable +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def set_shape(self, shape):$/;" m class:RefVariable +set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def set_shape(self, shape):$/;" m class:Variable +set_shape_and_handle_data_for_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def set_shape_and_handle_data_for_outputs(_):$/;" f +set_sharding adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def set_sharding(builder, op, sharding: SpatialSharding):$/;" f +set_sharding_proto adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def set_sharding_proto(builder, op, sharding_proto):$/;" f +set_shard_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def set_shard_dimension(self, shard_dimension):$/;" m class:ShardingPolicy +set_shard_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_shard_dimensions(self, shard_dimensions):$/;" m class:InfeedQueue +set_sharing_strategy adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^def set_sharing_strategy(new_strategy):$/;" f +set_shutdown_signal adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def set_shutdown_signal():$/;" f +set_size adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_size(self, size):$/;" m class:FontProperties +set_size adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def set_size(self, size):$/;" m class:TextPath +set_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def set_size(set_indices, set_values, set_shape, validate_indices=True, name=None):$/;" f +set_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def set_size(a, validate_indices=True):$/;" f +set_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_sizes(self, sizes, dpi=72.0):$/;" m class:_CollectionWithSizes +set_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def set_size_eager_fallback(set_indices, set_values, set_shape, validate_indices, name, ctx):$/;" f +set_size_inches adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_size_inches(self, w, h=None, forward=True):$/;" m class:Figure +set_sketch_params adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_sketch_params(self, scale=None, length=None, randomness=None):$/;" m class:Artist +set_sketch_params adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_sketch_params(self, scale=None, length=None, randomness=None):$/;" m class:GraphicsContextBase +set_slant adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ set_slant = set_style$/;" v class:FontProperties +set_smart_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_smart_bounds(self, value):$/;" m class:Axis +set_smart_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def set_smart_bounds(self, value):$/;" m class:Spine +set_smoothing_factor adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def set_smoothing_factor(self, s):$/;" m class:UnivariateSpline +set_smooth_matrix adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def set_smooth_matrix(self, smooth_matrix):$/;" m class:LabelSmooth +set_snap adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_snap(self, snap):$/;" m class:Artist +set_snap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_snap(self, snap):$/;" m class:GraphicsContextBase +set_soft_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_soft_device_placement(enabled):$/;" f +set_solid_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_solid_capstyle(self, s):$/;" m class:Line2D +set_solid_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_solid_joinstyle(self, s):$/;" m class:Line2D +set_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_solout(self, solout):$/;" m class:complex_ode +set_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_solout(self, solout):$/;" m class:ode +set_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def set_solout(self, solout, complex=False):$/;" m class:dopri5 +set_sort_zpos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_sort_zpos(self, val):$/;" m class:Line3DCollection +set_sort_zpos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_sort_zpos(self, val):$/;" m class:Patch3DCollection +set_sort_zpos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_sort_zpos(self, val):$/;" m class:Path3DCollection +set_sort_zpos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_sort_zpos(self, val):$/;" m class:Poly3DCollection +set_start_time adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_start_time(self, timestamp_in_secs):$/;" m class:_TestSuiteResult +set_start_time adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_start_time(self, time_in_secs):$/;" m class:_TestCaseResult +set_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ set_state = lambda v: prev_set_state(v[1:])$/;" f function:_tf_if_stmt file: +set_stats_aggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^def set_stats_aggregator(stats_aggregator, prefix="", counter_prefix=""):$/;" f +set_stats_aggregator_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def set_stats_aggregator_dataset(input_dataset, stats_aggregator, tag, counter_prefix, output_ty/;" f +set_stats_aggregator_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def set_stats_aggregator_dataset_eager_fallback(input_dataset, stats_aggregator, tag, counter_pr/;" f +set_status_bar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_status_bar(self, statbar):$/;" m class:NavigationToolbar2Wx +set_stderrthreshold adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def set_stderrthreshold(s):$/;" f +set_step adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def set_step(self, step):$/;" m class:Task +set_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def set_step(step):$/;" f +set_stretch adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_stretch(self, stretch):$/;" m class:FontProperties +set_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def set_strides(arr, strides):$/;" f member:TestAttributes.test_set_stridesattr file: +set_string_function adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def set_string_function(f, repr=True):$/;" f +set_style adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_style(self, style):$/;" m class:FontProperties +set_subplotspec adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def set_subplotspec(self, subplotspec):$/;" m class:SubplotBase +set_subplotspec adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_subplotspec(self, subplotspec):$/;" m class:SubplotDivider +set_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def set_summary_writer(summary_writer):$/;" f +set_synchronous_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_synchronous_execution(enable):$/;" f +set_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def set_tensor(self, tensor_index, value):$/;" m class:Interpreter +set_tensor_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def set_tensor_shapes(tensors, shapes):$/;" f +set_test adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def set_test(t):$/;" f function:setastest file: +set_testsuites_property adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def set_testsuites_property(cls, key, value):$/;" m class:TextAndXMLTestRunner +set_test_context adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def set_test_context(self, test):$/;" m class:NumpyDoctest +set_test_runner adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def set_test_runner(name):$/;" f +set_text adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_text(self, s):$/;" m class:TextArea +set_text adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_text(self, s):$/;" m class:Text +set_text_props adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def set_text_props(self, **kwargs):$/;" m class:Cell +set_tfidf_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def set_tfidf_data(self, tfidf_data):$/;" m class:CategoryEncoding +set_theta1 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_theta1(self, theta1):$/;" m class:Wedge +set_theta2 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_theta2(self, theta2):$/;" m class:Wedge +set_thetagrids adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_thetagrids(self, angles, labels=None, fmt=None, **kwargs):$/;" m class:PolarAxes +set_thetalim adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_thetalim(self, *args, **kwargs):$/;" m class:PolarAxes +set_thetamax adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_thetamax(self, thetamax):$/;" m class:PolarAxes +set_thetamin adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_thetamin(self, thetamin):$/;" m class:PolarAxes +set_theta_direction adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_theta_direction(self, direction):$/;" m class:PolarAxes +set_theta_offset adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_theta_offset(self, offset):$/;" m class:PolarAxes +set_theta_zero_location adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_theta_zero_location(self, loc, offset=0.0):$/;" m class:PolarAxes +set_threshold adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^def set_threshold(level, force=False):$/;" f +set_threshold adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^def set_threshold(level):$/;" f +set_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_ticklabels(self, ticklabels, *, minor=False, **kwargs):$/;" m class:Axis +set_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def set_ticklabels(self, ticklabels, update_ticks=True):$/;" m class:ColorbarBase +set_ticklabel_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_ticklabel_direction(self, tick_direction):$/;" m class:AxisArtist +set_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_ticks(self, ticks, minor=False):$/;" m class:SecondaryAxis +set_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_ticks(self, ticks, minor=False):$/;" m class:Axis +set_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def set_ticks(self, ticks, update_ticks=True):$/;" m class:ColorbarBase +set_ticksize adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_ticksize(self, ticksize):$/;" m class:Ticks +set_ticks_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_ticks_position(self, position):$/;" m class:XAxis +set_ticks_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_ticks_position(self, position):$/;" m class:YAxis +set_tick_out adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_tick_out(self, b):$/;" m class:Ticks +set_tick_params adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_tick_params(self, which='major', reset=False, **kw):$/;" m class:Axis +set_tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def set_tight_layout(self, tight):$/;" m class:Figure +set_timestamp adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def set_timestamp(pb, seconds_since_epoch):$/;" f +set_title adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def set_title(self, label, fontdict=None, loc=None, pad=None, *, y=None,$/;" m class:Axes +set_title adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def set_title(self, title, prop=None):$/;" m class:Legend +set_title adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_title(self, label, fontdict=None, loc='center', **kwargs):$/;" m class:Axes3D +set_title adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^def set_title(title):$/;" f +set_title adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def set_title(self, title):$/;" m class:WinTerm +set_top_view adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_top_view(self):$/;" m class:Axes3D +set_torch_threads adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^def set_torch_threads(n: int):$/;" f +set_trace_warned adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^set_trace_warned = False$/;" v +set_trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def set_trailing_metadata(self, trailing_metadata: Metadata) -> None:$/;" m class:ServicerContext +set_trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def set_trailing_metadata(self, trailing_metadata):$/;" m class:_Context +set_trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def set_trailing_metadata(self, trailing_metadata):$/;" m class:ServicerContext +set_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def set_training_arg(training, index, args, kwargs):$/;" f +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_transform(self, t):$/;" m class:Artist +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_transform(self, t):$/;" m class:Line2D +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_transform(self, t):$/;" m class:AuxTransformBox +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_transform(self, t):$/;" m class:DrawingArea +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_transform(self, t):$/;" m class:TextArea +set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def set_transform(self, trans):$/;" m class:Cell +set_tuple_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_tuple_shapes(self, tuple_shapes):$/;" m class:InfeedQueue +set_tuple_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def set_tuple_types(self, tuple_types):$/;" m class:InfeedQueue +set_type adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def set_type(self, dtype):$/;" m class:Scalar +set_tzinfo adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set_tzinfo(self, tz):$/;" m class:DateFormatter +set_tzinfo adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set_tzinfo(self, tz):$/;" m class:DateLocator +set_undefined_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def set_undefined_options(self, src_cmd, *option_pairs):$/;" m class:Command +set_under adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def set_under(self, color='k', alpha=None):$/;" m class:Colormap +set_union adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def set_union(a, b, validate_indices=True):$/;" f +set_unit adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_unit(self, unit):$/;" m class:OffsetFrom +set_units adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_units(self, u):$/;" m class:Axis +set_up_aliases adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def set_up_aliases(c, xla_args, out_tuple, donated_args, tuple_args):$/;" f +set_up_flags adpepsenv/lib/python3.8/site-packages/jax/tools/jax_to_hlo.py /^def set_up_flags():$/;" f +set_up_test_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def set_up_test_config(self,$/;" m class:TestDistributionStrategyCorrectnessBase +set_url adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_url(self, url):$/;" m class:Artist +set_url adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_url(self, url):$/;" m class:Tick +set_url adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_url(self, url):$/;" m class:GraphicsContextBase +set_urls adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_urls(self, urls):$/;" m class:Collection +set_useLocale adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_useLocale(self, val):$/;" m class:ScalarFormatter +set_useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_useMathText(self, val):$/;" m class:EngFormatter +set_useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_useMathText(self, val):$/;" m class:ScalarFormatter +set_useOffset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_useOffset(self, val):$/;" m class:ScalarFormatter +set_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_usetex(self, usetex):$/;" m class:Text +set_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_usetex(self, val):$/;" m class:EngFormatter +set_use_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def set_use_resource(self, use_resource):$/;" m class:VariableScope +set_UVC adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def set_UVC(self, U, V, C=None):$/;" m class:Barbs +set_UVC adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def set_UVC(self, U, V, C=None):$/;" m class:Quiver +set_val adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_val(self, val):$/;" m class:Slider +set_val adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_val(self, val):$/;" m class:TextBox +set_value adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def set_value(self, blob, throw_on_type_mismatch=False, unsafe=False):$/;" m class:Scalar +set_value adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def set_value(self, key, value):$/;" m class:Configuration +set_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def set_value(x, value):$/;" f +set_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def set_value(value):$/;" f +set_values adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def set_values(self, values, _fetch_func=None):$/;" m class:TaskOutputList +set_variable_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def set_variable_scope_name(self, variable_scope_name):$/;" m class:_EagerTemplateVariableStore +set_variant adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_variant(self, variant):$/;" m class:FontProperties +set_variation_by_axes adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def set_variation_by_axes(self, axes):$/;" m class:FreeTypeFont +set_variation_by_name adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def set_variation_by_name(self, name):$/;" m class:FreeTypeFont +set_verbosity adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def set_verbosity(v):$/;" f +set_verbosity adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^def set_verbosity(v, force=False):$/;" f +set_verbosity adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^def set_verbosity(v):$/;" f +set_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def set_verbosity(level, alsologtostdout=False):$/;" f +set_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def set_verbosity(v):$/;" f +set_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def set_verbosity(verbosity):$/;" f +set_vertical adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def set_vertical(self, v):$/;" m class:Divider +set_verticalalignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_verticalalignment(self, align):$/;" m class:Text +set_vertices_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def set_vertices_and_codes(self, vertices, codes):$/;" m class:HorizontalHatch +set_vertices_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def set_vertices_and_codes(self, vertices, codes):$/;" m class:NorthEastHatch +set_vertices_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def set_vertices_and_codes(self, vertices, codes):$/;" m class:Shapes +set_vertices_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def set_vertices_and_codes(self, vertices, codes):$/;" m class:SouthEastHatch +set_vertices_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def set_vertices_and_codes(self, vertices, codes):$/;" m class:VerticalHatch +set_verts adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_verts(self, verts, closed=True):$/;" m class:PolyCollection +set_verts adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ set_verts = set_segments # for compatibility with PolyCollection$/;" v class:LineCollection +set_verts adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_verts(self, verts, closed=True):$/;" m class:Poly3DCollection +set_verts_and_codes adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def set_verts_and_codes(self, verts, codes):$/;" m class:PolyCollection +set_verts_and_codes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_verts_and_codes(self, verts, codes):$/;" m class:Poly3DCollection +set_viewlim_mode adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def set_viewlim_mode(self, mode):$/;" m class:ParasiteAxesAuxTransBase +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def set_view_interval(self, vmin, vmax, ignore=False):$/;" m class:Axis +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_view_interval, set_view_interval = _make_getset_interval($/;" v class:XAxis +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ get_view_interval, set_view_interval = _make_getset_interval($/;" v class:YAxis +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def set_view_interval(self, vmin, vmax):$/;" m class:MicrosecondLocator +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_view_interval(self, vmin, vmax):$/;" m class:_AxisWrapper +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_view_interval(self, vmin, vmax):$/;" m class:TickHelper +set_view_interval adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def set_view_interval(self, vmin, vmax):$/;" m class:_DummyAxis +set_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:XAxis +set_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:YAxis +set_view_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ get_view_interval, set_view_interval = maxis._make_getset_interval($/;" v class:ZAxis +set_virtual_cpus_to_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def set_virtual_cpus_to_at_least(num_virtual_cpus):$/;" f +set_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/experimental/__init__.py /^from tensorflow.python.framework.config import set_logical_device_configuration as set_virtual_d/;" x +set_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/experimental/__init__.py /^from tensorflow.python.framework.config import set_logical_device_configuration as set_virtual_d/;" x +set_virtual_device_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/experimental/__init__.py /^from tensorflow.python.framework.config import set_logical_device_configuration as set_virtual_d/;" x +set_visible adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_visible(self, b):$/;" m class:Artist +set_visible adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_visible(self, val):$/;" m class:ToolHandles +set_visible adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def set_visible(self, visible):$/;" m class:_SelectorWidget +set_visible adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def set_visible(self, b):$/;" m class:SimpleAxisArtist +set_visible_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def set_visible_devices(self, devices, device_type=None):$/;" m class:Context +set_visible_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def set_visible_devices(devices, device_type=None):$/;" f +set_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def set_vocabulary(self, vocab):$/;" m class:IndexLookup +set_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def set_vocabulary(self,$/;" m class:TextVectorization +set_weight adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def set_weight(self, weight):$/;" m class:FontProperties +set_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def set_weight(self, w):$/;" m class:Embedding +set_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def set_weight(self, weight):$/;" m class:EmbeddingPackedParams +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def set_weights(distribution_strategy, dist_model, weights):$/;" f +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def set_weights(self, weights):$/;" m class:Layer +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def set_weights(self, weights):$/;" m class:TrackableWeightHandler +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def set_weights(self, weights):$/;" m class:Layer +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def set_weights(self, weights):$/;" m class:Normalization +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def set_weights(self, weights):$/;" m class:LossScaleOptimizer +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def set_weights(self, weights):$/;" m class:Optimizer +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def set_weights(self, weights):$/;" m class:Adadelta +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def set_weights(self, weights):$/;" m class:Adagrad +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def set_weights(self, weights):$/;" m class:Adam +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def set_weights(self, weights):$/;" m class:NonFusedAdam +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def set_weights(self, weights):$/;" m class:OptimizerV2 +set_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def set_weights(self, weights):$/;" m class:RMSprop +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def set_weight_bias(self, w, b):$/;" m class:Conv1d +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def set_weight_bias(self, w, b):$/;" m class:Conv2d +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def set_weight_bias(self, w, b):$/;" m class:Conv3d +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def set_weight_bias(self, w, b):$/;" m class:ConvTranspose1d +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def set_weight_bias(self, w, b):$/;" m class:ConvTranspose2d +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def set_weight_bias(self, w: torch.Tensor, b: Optional[torch.Tensor]) -> None:$/;" m class:Linear +set_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def set_weight_bias(self, weight: torch.Tensor, bias: Optional[torch.Tensor]) -> None:$/;" m class:LinearPackedParams +set_weight_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def set_weight_collections(self, weight_collections):$/;" m class:_EmbeddingColumnLayer +set_which adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def set_which(self, which):$/;" m class:GridlinesCollection +set_width adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_width(self, width):$/;" m class:OffsetBox +set_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_width(self, w):$/;" m class:FancyBboxPatch +set_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_width(self, w):$/;" m class:Rectangle +set_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_width(self, width):$/;" m class:Ellipse +set_width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_width(self, width):$/;" m class:Wedge +set_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def set_width_height(self, width, height):$/;" m class:RendererCairo +set_width_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def set_width_ratios(self, width_ratios):$/;" m class:GridSpecBase +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def set_window_title(self, title):$/;" m class:FigureManagerGTK3 +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def set_window_title(self, title):$/;" m class:FigureManagerQT +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def set_window_title(self, title):$/;" m class:FigureManagerWebAgg +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def set_window_title(self, title):$/;" m class:FigureManagerWx +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def set_window_title(self, title):$/;" m class:FigureManagerTk +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_window_title(self, title):$/;" m class:FigureCanvasBase +set_window_title adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def set_window_title(self, title):$/;" m class:FigureManagerBase +set_workers adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def set_workers(workers):$/;" f +set_workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def set_workspace(self, node=None, ws=None, do_copy=False):$/;" m class:Analyzer +set_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_wrap(self, wrap):$/;" m class:Text +set_x adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_x(self, x):$/;" m class:FancyBboxPatch +set_x adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_x(self, x):$/;" m class:Rectangle +set_x adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_x(self, x):$/;" m class:Text +set_xbound adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_xbound(self, lower=None, upper=None):$/;" m class:_AxesBase +set_xdata adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_xdata(self, x):$/;" m class:Line2D +set_xlabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def set_xlabel(self, xlabel, fontdict=None, labelpad=None, *,$/;" m class:Axes +set_xlabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_xlabel(self, xlabel, fontdict=None, labelpad=None, **kwargs):$/;" m class:SecondaryAxis +set_xlim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_xlim(self, left=None, right=None, emit=True, auto=False,$/;" m class:_AxesBase +set_xlim adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def set_xlim(self, *args, **kwargs):$/;" m class:GeoAxes +set_xlim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_xlim3d(self, left=None, right=None, emit=True, auto=False,$/;" m class:Axes3D +set_xmargin adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_xmargin(self, m):$/;" m class:_AxesBase +set_xscale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_xscale(self, value, **kwargs):$/;" m class:_AxesBase +set_xscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ set_xscale = set_yscale$/;" v class:GeoAxes +set_xscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_xscale(self, scale, *args, **kwargs):$/;" m class:PolarAxes +set_xscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_xscale(self, value, **kwargs):$/;" m class:Axes3D +set_xticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ set_xticklabels = _axis_method_wrapper($/;" v class:_AxesBase +set_xticks adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ set_xticks = _axis_method_wrapper("xaxis", "set_ticks")$/;" v class:_AxesBase +set_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_xy(self, xy):$/;" m class:Polygon +set_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_xy(self, xy):$/;" m class:Rectangle +set_y adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_y(self, y):$/;" m class:FancyBboxPatch +set_y adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def set_y(self, y):$/;" m class:Rectangle +set_y adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def set_y(self, y):$/;" m class:Text +set_ybound adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_ybound(self, lower=None, upper=None):$/;" m class:_AxesBase +set_ydata adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def set_ydata(self, y):$/;" m class:Line2D +set_yi adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def set_yi(self, yi, axis=None):$/;" m class:BarycentricInterpolator +set_ylabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def set_ylabel(self, ylabel, fontdict=None, labelpad=None, *,$/;" m class:Axes +set_ylabel adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def set_ylabel(self, ylabel, fontdict=None, labelpad=None, **kwargs):$/;" m class:SecondaryAxis +set_ylim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_ylim(self, bottom=None, top=None, emit=True, auto=False,$/;" m class:_AxesBase +set_ylim adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ set_ylim = set_xlim$/;" v class:GeoAxes +set_ylim adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_ylim(self, bottom=None, top=None, emit=True, auto=False,$/;" m class:PolarAxes +set_ylim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_ylim3d(self, bottom=None, top=None, emit=True, auto=False,$/;" m class:Axes3D +set_ymargin adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_ymargin(self, m):$/;" m class:_AxesBase +set_yscale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def set_yscale(self, value, **kwargs):$/;" m class:_AxesBase +set_yscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def set_yscale(self, *args, **kwargs):$/;" m class:GeoAxes +set_yscale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def set_yscale(self, *args, **kwargs):$/;" m class:PolarAxes +set_yscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_yscale(self, value, **kwargs):$/;" m class:Axes3D +set_yticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ set_yticklabels = _axis_method_wrapper($/;" v class:_AxesBase +set_yticks adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ set_yticks = _axis_method_wrapper("yaxis", "set_ticks")$/;" v class:_AxesBase +set_zbound adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_zbound(self, lower=None, upper=None):$/;" m class:Axes3D +set_zlabel adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_zlabel(self, zlabel, fontdict=None, labelpad=None, **kwargs):$/;" m class:Axes3D +set_zlim3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_zlim3d(self, bottom=None, top=None, emit=True, auto=False,$/;" m class:Axes3D +set_zmargin adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_zmargin(self, m):$/;" m class:Axes3D +set_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def set_zoom(self, zoom):$/;" m class:OffsetImage +set_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def set_zorder(self, level):$/;" m class:Artist +set_zscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def set_zscale(self, value, **kwargs):$/;" m class:Axes3D +set_zsort adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def set_zsort(self, zsort):$/;" m class:Poly3DCollection +set_zticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ set_zticklabels = _axis_method_wrapper($/;" v class:Axes3D +set_zticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ set_zticks = _axis_method_wrapper("zaxis", "set_ticks")$/;" v class:Axes3D +seuclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def seuclidean(u, v, V):$/;" f +se_smallq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def se_smallq(m, q, z):$/;" f member:TestCephes.test_mathieu_sem file: +sf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/logistic.py /^def sf(x):$/;" f +sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def sf(self, k, *args, **kwds):$/;" m class:rv_discrete +sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def sf(self, x):$/;" m class:rv_frozen +sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def sf(self, x, *args, **kwds):$/;" m class:rv_continuous +sfc_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^from tensorflow.python.feature_column import sequence_feature_column as sfc_lib$/;" x +sfftw_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class sfftw_info(fftw_info):$/;" c +sfftw_threads_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class sfftw_threads_info(fftw_info):$/;" c +SFixed32ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def SFixed32ByteSize(field_number, sfixed32):$/;" f +SFixed32Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^SFixed32Decoder = _StructPackDecoder(wire_format.WIRETYPE_FIXED32, '<i')$/;" v +SFixed32Encoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^SFixed32Encoder = _StructPackEncoder(wire_format.WIRETYPE_FIXED32, '<i')$/;" v +SFixed64ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def SFixed64ByteSize(field_number, sfixed64):$/;" f +SFixed64Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^SFixed64Decoder = _StructPackDecoder(wire_format.WIRETYPE_FIXED64, '<q')$/;" v +SFixed64Encoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^SFixed64Encoder = _StructPackEncoder(wire_format.WIRETYPE_FIXED64, '<q')$/;" v +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^ sfunction = lambda x: (abs(x) <= 1.0)$/;" f function:schur file: +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^ sfunction = lambda x: (abs(x) > 1.0)$/;" f function:schur file: +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^ sfunction = lambda x: (x.real < 0.0)$/;" f function:schur file: +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^ sfunction = lambda x: (x.real >= 0.0)$/;" f function:schur file: +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^ sfunction = lambda x: None$/;" f function:schur file: +sfunction adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^ sfunction = lambda x: None$/;" f function:_qz file: +sgbbrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbbrd(char *vect, int *m, int *n, int *ncc, int *kl, int *ku, s *ab, int *ldab, s *d,/;" f +sgbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbcon(char *norm, int *n, int *kl, int *ku, s *ab, int *ldab, int *ipiv, s *anorm, s /;" f +sgbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbequ(int *m, int *n, int *kl, int *ku, s *ab, int *ldab, s *r, s *c, s *rowcnd, s *c/;" f +sgbequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbequb(int *m, int *n, int *kl, int *ku, s *ab, int *ldab, s *r, s *c, s *rowcnd, s */;" f +sgbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sgbmv(char *trans, int *m, int *n, int *kl, int *ku, s *alpha, s *a, int *lda, s *x, i/;" f +sgbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbrfs(char *trans, int *n, int *kl, int *ku, int *nrhs, s *ab, int *ldab, s *afb, int/;" f +sgbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbsv(int *n, int *kl, int *ku, int *nrhs, s *ab, int *ldab, int *ipiv, s *b, int *ldb/;" f +sgbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbsvx(char *fact, char *trans, int *n, int *kl, int *ku, int *nrhs, s *ab, int *ldab,/;" f +sgbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbtf2(int *m, int *n, int *kl, int *ku, s *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +sgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbtrf(int *m, int *n, int *kl, int *ku, s *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +sgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgbtrs(char *trans, int *n, int *kl, int *ku, int *nrhs, s *ab, int *ldab, int *ipiv, /;" f +sgd adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def sgd(step_size):$/;" f +SGD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class SGD(Optimizer):$/;" c +sgd adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^sgd = SGD$/;" v +SGD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^class SGD(optimizer_v2.OptimizerV2):$/;" c +SGD adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class SGD(_Optimizer):$/;" c +SGD adpepsenv/lib/python3.8/site-packages/torch/optim/sgd.py /^class SGD(Optimizer):$/;" c +SGD adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/sgd.py /^class SGD(Optimizer):$/;" c +SgdOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class SgdOptimizer(Optimizer):$/;" c +sgebak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgebak(char *job, char *side, int *n, int *ilo, int *ihi, s *scale, int *m, s *v, int /;" f +sgebal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgebal(char *job, int *n, s *a, int *lda, int *ilo, int *ihi, s *scale, int *info) nog/;" f +sgebd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgebd2(int *m, int *n, s *a, int *lda, s *d, s *e, s *tauq, s *taup, s *work, int *inf/;" f +sgebrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgebrd(int *m, int *n, s *a, int *lda, s *d, s *e, s *tauq, s *taup, s *work, int *lwo/;" f +sgecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgecon(char *norm, int *n, s *a, int *lda, s *anorm, s *rcond, s *work, int *iwork, in/;" f +sgeequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeequ(int *m, int *n, s *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, int /;" f +sgeequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeequb(int *m, int *n, s *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, int/;" f +sgees adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgees(char *jobvs, char *sort, sselect2 *select, int *n, s *a, int *lda, int *sdim, s /;" f +sgeesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeesx(char *jobvs, char *sort, sselect2 *select, char *sense, int *n, s *a, int *lda,/;" f +sgeev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeev(char *jobvl, char *jobvr, int *n, s *a, int *lda, s *wr, s *wi, s *vl, int *ldvl/;" f +sgeevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, s *a, int *lda, s /;" f +sgegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^sgegv = _np.deprecate(sgegv, old_name='sgegv', message=_dep_message)$/;" v +sgehd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgehd2(int *n, int *ilo, int *ihi, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgehrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgehrd(int *n, int *ilo, int *ihi, s *a, int *lda, s *tau, s *work, int *lwork, int *i/;" f +sgejsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgejsv(char *joba, char *jobu, char *jobv, char *jobr, char *jobt, char *jobp, int *m,/;" f +sgelq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgelq2(int *m, int *n, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgelqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgelqf(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +sgels adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgels(char *trans, int *m, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, s *work,/;" f +sgelsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgelsd(int *m, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, s *s, s *rcond, int /;" f +sgelss adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgelss(int *m, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, s *s, s *rcond, int /;" f +sgelsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgelsy(int *m, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, int *jpvt, s *rcond,/;" f +sgemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sgemm(char *transa, char *transb, int *m, int *n, int *k, s *alpha, s *a, int *lda, s /;" f +sgemqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgemqrt(char *side, char *trans, int *m, int *n, int *k, int *nb, s *v, int *ldv, s *t/;" f +sgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sgemv(char *trans, int *m, int *n, s *alpha, s *a, int *lda, s *x, int *incx, s *beta,/;" f +sgeql2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeql2(int *m, int *n, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgeqlf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqlf(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +sgeqp3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqp3(int *m, int *n, s *a, int *lda, int *jpvt, s *tau, s *work, int *lwork, int *in/;" f +sgeqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqr2(int *m, int *n, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgeqr2p adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqr2p(int *m, int *n, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgeqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqrf(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +sgeqrfp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqrfp(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +sgeqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqrt(int *m, int *n, int *nb, s *a, int *lda, s *t, int *ldt, s *work, int *info) no/;" f +sgeqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqrt2(int *m, int *n, s *a, int *lda, s *t, int *ldt, int *info) nogil$/;" f +sgeqrt3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgeqrt3(int *m, int *n, s *a, int *lda, s *t, int *ldt, int *info) nogil$/;" f +sger adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sger(int *m, int *n, s *alpha, s *x, int *incx, s *y, int *incy, s *a, int *lda) nogil$/;" f +sgerfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgerfs(char *trans, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, int *ipiv, s /;" f +sgerq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgerq2(int *m, int *n, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sgerqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgerqf(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +sgesc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesc2(int *n, s *a, int *lda, s *rhs, int *ipiv, int *jpiv, s *scale) nogil$/;" f +sgesdd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesdd(char *jobz, int *m, int *n, s *a, int *lda, s *s, s *u, int *ldu, s *vt, int *l/;" f +sgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesv(int *n, int *nrhs, s *a, int *lda, int *ipiv, s *b, int *ldb, int *info) nogil$/;" f +sgesvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesvd(char *jobu, char *jobvt, int *m, int *n, s *a, int *lda, s *s, s *u, int *ldu, /;" f +sgesvj adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesvj(char *joba, char *jobu, char *jobv, int *m, int *n, s *a, int *lda, s *sva, int/;" f +sgesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgesvx(char *fact, char *trans, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, i/;" f +sgetc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgetc2(int *n, s *a, int *lda, int *ipiv, int *jpiv, int *info) nogil$/;" f +sgetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgetf2(int *m, int *n, s *a, int *lda, int *ipiv, int *info) nogil$/;" f +sgetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgetrf(int *m, int *n, s *a, int *lda, int *ipiv, int *info) nogil$/;" f +sgetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgetri(int *n, s *a, int *lda, int *ipiv, s *work, int *lwork, int *info) nogil$/;" f +sgetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgetrs(char *trans, int *n, int *nrhs, s *a, int *lda, int *ipiv, s *b, int *ldb, int /;" f +sggbak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggbak(char *job, char *side, int *n, int *ilo, int *ihi, s *lscale, s *rscale, int *m/;" f +sggbal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggbal(char *job, int *n, s *a, int *lda, s *b, int *ldb, int *ilo, int *ihi, s *lscal/;" f +sgges adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgges(char *jobvsl, char *jobvsr, char *sort, sselect3 *selctg, int *n, s *a, int *lda/;" f +sggesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggesx(char *jobvsl, char *jobvsr, char *sort, sselect3 *selctg, char *sense, int *n, /;" f +sggev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggev(char *jobvl, char *jobvr, int *n, s *a, int *lda, s *b, int *ldb, s *alphar, s */;" f +sggevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, s *a, int *lda, s /;" f +sggglm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggglm(int *n, int *m, int *p, s *a, int *lda, s *b, int *ldb, s *d, s *x, s *y, s *wo/;" f +sgghrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgghrd(char *compq, char *compz, int *n, int *ilo, int *ihi, s *a, int *lda, s *b, int/;" f +sgglse adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgglse(int *m, int *n, int *p, s *a, int *lda, s *b, int *ldb, s *c, s *d, s *x, s *wo/;" f +sggqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggqrf(int *n, int *m, int *p, s *a, int *lda, s *taua, s *b, int *ldb, s *taub, s *wo/;" f +sggrqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sggrqf(int *m, int *p, int *n, s *a, int *lda, s *taua, s *b, int *ldb, s *taub, s *wo/;" f +SGI16Decoder adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^class SGI16Decoder(ImageFile.PyDecoder):$/;" c +SgiImageFile adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^class SgiImageFile(ImageFile.ImageFile):$/;" c +sglQuotedString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^sglQuotedString = Combine(Regex(r"'(?:[^'\\n\\r\\\\]|(?:'')|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*") +/;" v +sglQuotedString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^sglQuotedString = Combine(Regex(r"'(?:[^'\\n\\r\\\\]|(?:'')|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*")+"/;" v +sglQuotedString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^sglQuotedString = Combine(Regex(r"'(?:[^'\\n\\r\\\\]|(?:'')|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*") +/;" v +sglQuotedString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^sglQuotedString = Combine(Regex(r"'(?:[^'\\n\\r\\\\]|(?:'')|(?:\\\\(?:[^x]|x[0-9a-fA-F]+)))*")+"/;" v +sgsvj0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgsvj0(char *jobv, int *m, int *n, s *a, int *lda, s *d, s *sva, int *mv, s *v, int *l/;" f +sgsvj1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgsvj1(char *jobv, int *m, int *n, int *n1, s *a, int *lda, s *d, s *sva, int *mv, s */;" f +sgtcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgtcon(char *norm, int *n, s *dl, s *d, s *du, s *du2, int *ipiv, s *anorm, s *rcond, /;" f +sgtrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgtrfs(char *trans, int *n, int *nrhs, s *dl, s *d, s *du, s *dlf, s *df, s *duf, s *d/;" f +sgtsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgtsv(int *n, int *nrhs, s *dl, s *d, s *du, s *b, int *ldb, int *info) nogil$/;" f +sgtsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgtsvx(char *fact, char *trans, int *n, int *nrhs, s *dl, s *d, s *du, s *dlf, s *df, /;" f +sgttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgttrf(int *n, s *dl, s *d, s *du, s *du2, int *ipiv, int *info) nogil$/;" f +sgttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgttrs(char *trans, int *n, int *nrhs, s *dl, s *d, s *du, s *du2, int *ipiv, s *b, in/;" f +sgtts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sgtts2(int *itrans, int *n, int *nrhs, s *dl, s *d, s *du, s *du2, int *ipiv, s *b, in/;" f +sh adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/struve_convergence.py /^ sh = lambda v, z: float(mpmath.struveh(mpmath.mpf(v), mpmath.mpf(z)))$/;" f function:do_plot file: +sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^sha1 = AlgorithmIdentifier()$/;" v +sha1 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha1 = rfc4055.sha1Identifier$/;" v +sha1Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^sha1Identifier = rfc4055.sha1Identifier$/;" v +sha1Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha1Identifier = rfc5280.AlgorithmIdentifier()$/;" v +SHA1Parameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^SHA1Parameters = univ.Null("")$/;" v +sha1WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^sha1WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.5')$/;" v +sha1WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^sha1WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.5')$/;" v +sha1WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^sha1WithRSAEncryption = _OID(pkcs_1, 5)$/;" v +sha1WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^sha1WithRSAEncryption = univ.ObjectIdentifier('1.2.840.113549.1.1.5')$/;" v +sha1WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha1WithRSAEncryption = rfc2437.sha1WithRSAEncryption$/;" v +sha224 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^sha224 = AlgorithmIdentifier()$/;" v +sha224Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha224Identifier = rfc5280.AlgorithmIdentifier()$/;" v +sha224WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha224WithRSAEncryption = _OID(1, 2, 840, 113549, 1, 1, 14)$/;" v +sha224WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha224WithRSAEncryption = rfc4055.sha224WithRSAEncryption$/;" v +sha256 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^sha256 = AlgorithmIdentifier()$/;" v +sha256AlgId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^sha256AlgId = AlgorithmIdentifier()$/;" v +sha256Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^sha256Identifier = rfc4055.sha256Identifier$/;" v +sha256Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha256Identifier = rfc5280.AlgorithmIdentifier()$/;" v +sha256WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha256WithRSAEncryption = _OID(1, 2, 840, 113549, 1, 1, 11)$/;" v +sha256WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha256WithRSAEncryption = rfc4055.sha256WithRSAEncryption$/;" v +sha256_utf8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def sha256_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +sha256_utf8 adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def sha256_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +sha384 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^sha384 = AlgorithmIdentifier()$/;" v +sha384Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^sha384Identifier = rfc4055.sha384Identifier$/;" v +sha384Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha384Identifier = rfc5280.AlgorithmIdentifier()$/;" v +sha384WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha384WithRSAEncryption = _OID(1, 2, 840, 113549, 1, 1, 12)$/;" v +sha384WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha384WithRSAEncryption = rfc4055.sha384WithRSAEncryption$/;" v +sha512 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^sha512 = AlgorithmIdentifier()$/;" v +sha512Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3560.py /^sha512Identifier = rfc4055.sha512Identifier$/;" v +sha512Identifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha512Identifier = rfc5280.AlgorithmIdentifier()$/;" v +sha512WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^sha512WithRSAEncryption = _OID(1, 2, 840, 113549, 1, 1, 13)$/;" v +sha512WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha512WithRSAEncryption = rfc4055.sha512WithRSAEncryption$/;" v +sha512_224WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha512_224WithRSAEncryption = pkcs_1 + (15, )$/;" v +sha512_256WithRSAEncryption adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^sha512_256WithRSAEncryption = pkcs_1 + (16, )$/;" v +sha512_utf8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def sha512_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +sha512_utf8 adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def sha512_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +shade adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def shade(self, data, cmap, norm=None, blend_mode='overlay', vmin=None,$/;" m class:LightSource +shade_normals adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def shade_normals(self, normals, fraction=1.):$/;" m class:LightSource +shade_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def shade_rgb(self, rgb, elevation, fraction=1., blend_mode='hsv',$/;" m class:LightSource +Shadow adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Shadow(Patch):$/;" c +Shadow adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^class Shadow(nn.Module):$/;" c +ShadowLogger adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^class ShadowLogger(Logger):$/;" c +ShadyBar adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^class ShadyBar(IncrementalBar):$/;" c +ShakeOutputLen adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^class ShakeOutputLen(univ.Integer):$/;" c +shape adpeps/utils/nested.py /^ def shape(self):$/;" m class:Nested +shape adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def shape(self):$/;" m class:LayerParameter +shape adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def shape(self, shape):$/;" m class:LayerParameter +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def shape(self, ref):$/;" m class:_RegionProxy +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ shape = None$/;" v class:Empty +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def shape(self):$/;" m class:Dataset +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def shape(self, shape):$/;" m class:Dataset +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def shape(self):$/;" m class:Selection +shape adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ def shape(self):$/;" m class:VirtualSource +shape adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def shape(self):$/;" m class:UnshapedArray +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ shape = (14, 15, 16, 17)$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ shape = (10,)$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ shape = (3, 4, 5)$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ shape = (8, 9)$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ shape: Tuple[int, ...] = (20, 20)$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def shape(self):$/;" m class:ResourceEnv +shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def shape(self):$/;" m class:Mesh +shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def shape(self):$/;" m class:_DeviceArray +Shape adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^Shape = Sequence[int]$/;" v +shape adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^shape = _shape = np.shape$/;" v +Shape adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def Shape(self, j):$/;" m class:PocketFftDescriptor +Shape adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Shape = _xla.Shape$/;" v +shape adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def shape(self):$/;" m class:Grid +shape adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def shape(a):$/;" f +shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^shape = tuple([size] * ndims)$/;" v +shape adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def shape(self):$/;" m class:_ctypes +shape adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def shape(self):$/;" m class:Arrayterator +shape adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def shape(self):$/;" m class:MaskedArray +shape adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def shape(self, shape):$/;" m class:MaskedArray +shape adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def shape(obj):$/;" f +shape adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline npy_intp *shape(self) nogil:$/;" m class:numpy +shape adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def shape(self, shape, fill=None, outline=None):$/;" m class:ImageDraw +shape adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ shape = (32, 16)$/;" v class:Test_DCTN_IDCTN +shape adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ shape = (32, 16)$/;" v class:Test_DCTN_IDCTN +shape adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def shape(self):$/;" m class:netcdf_variable +shape adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ shape = property(shape)$/;" v class:netcdf_variable +shape adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def shape(self):$/;" m class:InverseJacobian +shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ shape = property(fget=get_shape, fset=set_shape)$/;" v class:spmatrix +shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ shape = property(fget=spmatrix.get_shape, fset=set_shape)$/;" v class:dok_matrix +shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def shape(self):$/;" m class:BinopTester_with_shape +Shape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^class Shape(object):$/;" c +SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^SHAPE = 5$/;" v +Shape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Shape(self, j):$/;" m class:Tensor +SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SHAPE = 77$/;" v class:BuiltinOperator +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def shape(self):$/;" m class:AggregatingVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def shape(self):$/;" m class:ShardedVariableMixin +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def shape(self):$/;" m class:DistributedVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def shape(self):$/;" m class:IndexedSlices +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def shape(self):$/;" m class:Tensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def shape(self):$/;" m class:_EagerTensorBase +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def shape(self):$/;" m class:SparseTensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def shape(self):$/;" m class:SparseTensorSpec +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def shape(self):$/;" m class:DenseSpec +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def shape(x):$/;" f +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def shape(self):$/;" m class:KerasTensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def shape(self):$/;" m class:_TrainingEndpoint +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def shape(self):$/;" m class:AutoCastVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def shape(input, name=None, out_type=dtypes.int32):$/;" f +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def shape(input, out_type=_dtypes.int32, name=None):$/;" f +Shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Shape = tf_export("raw_ops.Shape")(_ops.to_raw_op(shape))$/;" v +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def shape(self):$/;" m class:LinearOperator +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def shape(self):$/;" m class:SparseMatrix +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def shape(self):$/;" m class:ndarray +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def shape(a):$/;" f +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def shape(self):$/;" m class:RaggedTensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def shape(self):$/;" m class:RaggedTensorSpec +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def shape(self):$/;" m class:RaggedTensorValue +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def shape(self):$/;" m class:BaseResourceVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def shape(self):$/;" m class:StructuredTensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def shape(self):$/;" m class:PartitionedVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def shape(self):$/;" m class:RefVariable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def shape(self):$/;" m class:Variable +shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^ def shape(self):$/;" m class:Tensor +shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import shape_v2 as shape$/;" x +shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import shape_v2 as shape$/;" x +shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import shape_v2 as shape$/;" x +shape adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import shape_v2 as shape$/;" x +shape adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import shape_v2 as shape$/;" x +ShapeAsNumpy adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def ShapeAsNumpy(self):$/;" m class:PocketFftDescriptor +ShapeAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeAsNumpy(self):$/;" m class:Tensor +ShapeAsValueTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^class ShapeAsValueTest(tf_test_util.JaxToTfTestCase):$/;" c +shapecheck adpepsenv/lib/python3.8/site-packages/jax/api.py /^def shapecheck(in_shapes, out_shape, fun: Callable):$/;" f +Shaped adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^Shaped = namedtuple('Shaped', ['shape'])$/;" v +ShapedArray adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^ShapedArray = core.ShapedArray$/;" v +ShapedArray adpepsenv/lib/python3.8/site-packages/jax/core.py /^class ShapedArray(UnshapedArray):$/;" c +ShapeDtypeStruct adpepsenv/lib/python3.8/site-packages/jax/api.py /^class ShapeDtypeStruct:$/;" c +shaped_array adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def shaped_array(shape):$/;" f member:ShapePolyTest.test_arg_avals file: +ShapeEnv adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ShapeEnv = Dict[str, TfVal]$/;" v +ShapeEnvs adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ShapeEnvs = namedtuple("ShapeEnvs", ["logical", "padded"])$/;" v +ShapeEqn adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ShapeEqn = Tuple[PolyDim, TfVal]$/;" v +ShapeEquals adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def ShapeEquals(tensor_proto, shape):$/;" f +ShapeError adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class ShapeError(Exception): pass$/;" c +ShapeIsNone adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def ShapeIsNone(self):$/;" m class:PocketFftDescriptor +ShapeIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeIsNone(self):$/;" m class:Tensor +ShapeLength adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def ShapeLength(self):$/;" m class:PocketFftDescriptor +ShapeLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeLength(self):$/;" m class:Tensor +ShapeN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ShapeN = tf_export("raw_ops.ShapeN")(_ops.to_raw_op(shape_n))$/;" v +ShapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ShapeOptions = 55$/;" v class:BuiltinOptions +ShapeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ShapeOptions(object):$/;" c +ShapeOptionsAddOutType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ShapeOptionsAddOutType(builder, outType): builder.PrependInt8Slot(0, outType, 0)$/;" f +ShapeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ShapeOptions +ShapeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ShapeOptionsEnd(builder): return builder.EndObject()$/;" f +ShapeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ShapeOptionsStart(builder): builder.StartObject(1)$/;" f +ShapeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ShapeOptionsT(object):$/;" c +ShapePolyPrimitivesTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^class ShapePolyPrimitivesTest(tf_test_util.JaxToTfTestCase):$/;" c +ShapePolyTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^class ShapePolyTest(tf_test_util.JaxToTfTestCase):$/;" c +ShapeProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^ShapeProto = _reflection.GeneratedProtocolMessageType('ShapeProto', (_message.Message,), {$/;" v +Shapes adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class Shapes(HatchPatternBase):$/;" c +SHAPES adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^SHAPES = [(), (0,), (1,), (6, 2, 5)]$/;" v +shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def shapes(self):$/;" m class:BaseStagingArea +shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def shapes(self):$/;" m class:QueueBase +ShapeSignature adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeSignature(self, j):$/;" m class:Tensor +ShapeSignatureAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeSignatureAsNumpy(self):$/;" m class:Tensor +ShapeSignatureIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeSignatureIsNone(self):$/;" m class:Tensor +ShapeSignatureLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShapeSignatureLength(self):$/;" m class:Tensor +ShapeSpec adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class ShapeSpec(tuple):$/;" c +ShapeSyntaxError adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class ShapeSyntaxError(Exception): pass$/;" c +shapes_empty_string adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def shapes_empty_string(self):$/;" m class:TestSubclassingExplicitShapes +ShapeTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^class ShapeTest(hu.HypothesisTestCase):$/;" c +shape_and_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/default_gradient.py /^def shape_and_dtype(t):$/;" f +shape_as adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def shape_as(self, obj):$/;" m class:_ctypes +shape_as_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/operators.py /^def shape_as_tensor(x):$/;" f +shape_as_value adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def shape_as_value(x):$/;" f +shape_as_value adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def shape_as_value(shape):$/;" f +shape_as_value_p adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^shape_as_value_p = core.Primitive("shape_as_value")$/;" v +shape_dependent adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def shape_dependent(make_layer):$/;" f +shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def shape_eager_fallback(input, out_type, name, ctx):$/;" f +shape_envs adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^shape_envs = ShapeEnvs({}, {}) # TODO(mattjj): make this a stack for efficiency$/;" v +shape_fmt adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def shape_fmt(var):$/;" f function:primitives_by_shape file: +shape_from_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def shape_from_header(self, hdr):$/;" m class:VarReader4 +shape_from_pyval adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def shape_from_pyval(pyval):$/;" f +shape_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def shape_internal(input, name=None, optimize=True, out_type=dtypes.int32):$/;" f +SHAPE_LEN adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_benchmark.py /^SHAPE_LEN = 4096$/;" v +shape_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def shape_n(input, out_type=dtypes.int32, name=None):$/;" f +shape_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def shape_n(input, out_type=_dtypes.int32, name=None):$/;" f +shape_n_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def shape_n_eager_fallback(input, out_type, name, ctx):$/;" f +shape_only adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def shape_only(shape):$/;" f +shape_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def shape_ref(x, y):$/;" f member:TestOperators.test_shape_with_axes file: +shape_safe_assign_variable_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def shape_safe_assign_variable_handle(handle, shape, value, name=None):$/;" f +shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def shape_tensor(shape): # pylint: disable=invalid-name$/;" f +shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def shape_tensor(self, name="shape_tensor"):$/;" m class:LinearOperator +shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def shape_tensor(shape, name=None):$/;" f +shape_type_conversion adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def shape_type_conversion(fn):$/;" f +shape_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def shape_v2(input, out_type=dtypes.int32, name=None):$/;" f +shape_with_no_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def shape_with_no_batch_size(x):$/;" f +shapiro adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def shapiro(x):$/;" f +ShapiroResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ShapiroResult = namedtuple('ShapiroResult', ('statistic', 'pvalue'))$/;" v +shard adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def shard(self, num_shards, index):$/;" m class:DatasetV1 +shard adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def shard(self, num_shards, index):$/;" m class:DatasetV2 +shard adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def shard(computation,$/;" f +ShardDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ShardDataset(UnaryUnchangedStructureDataset):$/;" c +ShardDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShardDataset = tf_export("raw_ops.ShardDataset")(_ops.to_raw_op(shard_dataset))$/;" v +ShardedAxis adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ class ShardedAxis(NamedTuple):$/;" c +ShardedDeviceArray adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class ShardedDeviceArray(xla._DeviceArray):$/;" c +ShardedFilename adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ShardedFilename = tf_export("raw_ops.ShardedFilename")(_ops.to_raw_op(sharded_filename))$/;" v +ShardedFilespec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^ShardedFilespec = tf_export("raw_ops.ShardedFilespec")(_ops.to_raw_op(sharded_filespec))$/;" v +ShardedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class ShardedVariable(ShardedVariableMixin, composite_tensor.CompositeTensor):$/;" c +ShardedVariableMixin adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class ShardedVariableMixin(trackable.Trackable):$/;" c +ShardedVariableSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^class ShardedVariableSpec(type_spec.TypeSpec):$/;" c +sharded_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^sharded_call = sharded_call_p.bind$/;" v +sharded_call_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^sharded_call_p = core.CallPrimitive("sharded_call")$/;" v +sharded_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def sharded_filename(basename, shard, num_shards, name=None):$/;" f +sharded_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def sharded_filename(self, filename_tensor, shard, num_shards):$/;" m class:BaseSaverBuilder +sharded_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^def sharded_filename(filename_tensor, shard, num_shards):$/;" f +sharded_filename_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def sharded_filename_eager_fallback(basename, shard, num_shards, name, ctx):$/;" f +sharded_filespec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def sharded_filespec(basename, num_shards, name=None):$/;" f +sharded_filespec_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def sharded_filespec_eager_fallback(basename, num_shards, name, ctx):$/;" f +sharded_jit adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def sharded_jit(fun: Callable, in_parts, out_parts, num_partitions: int = None,$/;" f +sharded_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def sharded_variable_creator($/;" f function:make_sharded_variable_creator file: +ShardInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ShardInfo = collections.namedtuple($/;" v +sharding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def sharding(x):$/;" f member:TestPartitionOps.testLengthsPartition file: +sharding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def sharding(x):$/;" f member:TestPartitionOps.testPartition file: +sharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^sharding = gen_xla_ops.xla_sharding$/;" v +Sharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^class Sharding(object):$/;" c +ShardingPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^class ShardingPolicy(object):$/;" c +ShardingSpec adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class ShardingSpec:$/;" c +sharding_constraint_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^sharding_constraint_p = core.Primitive("sharding_constraint")$/;" v +sharding_policies adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def sharding_policies(self):$/;" m class:InfeedQueue +sharding_proto adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def sharding_proto(self):$/;" m class:ShardingSpec +shard_args adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def shard_args(devices: Sequence[xb.xla_client.Device],$/;" f +shard_arg_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^shard_arg_handlers: Dict[Any, Callable[[Any, Any, Any], Sequence[Any]]] = {}$/;" v +shard_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def shard_aval(size, axis: int, aval):$/;" f +shard_aval_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^shard_aval_handlers: Dict[Type[core.AbstractValue], Callable[[int, int, Any], Any]] = {}$/;" v +shard_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shard_dataset(input_dataset, num_shards, index, output_types, output_shapes, require_non_emp/;" f +shard_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shard_dataset_eager_fallback(input_dataset, num_shards, index, output_types, output_shapes, /;" f +shard_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def shard_dimension(self):$/;" m class:ShardingPolicy +shard_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def shard_dimensions(self):$/;" m class:InfeedQueue +shard_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^ shard_func = lambda *x: None # a dummy function that will not be used$/;" f function:save file: +SharedCache adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^class SharedCache(dict):$/;" c +SharedDataMiddleware adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^class SharedDataMiddleware(object):$/;" c +SharedEmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class SharedEmbeddingColumn($/;" c +SharedEmbeddingColumnCreator adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class SharedEmbeddingColumnCreator(tracking.AutoTrackable):$/;" c +SharedLayerSubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ class SharedLayerSubclassModel(keras.Model):$/;" c function:shared_layer_subclassed_model file: +sharedmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def sharedmask(self):$/;" m class:MaskedArray +SharedNanFunctionsTestsMixin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class SharedNanFunctionsTestsMixin:$/;" c +SharedPool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^SharedPool = None # pylint: disable=invalid-name$/;" v +SharedPoolCtx adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^class SharedPoolCtx(object):$/;" c +shared_axes_generator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def shared_axes_generator(request):$/;" f +shared_axis_remover adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def shared_axis_remover(request):$/;" f +shared_cache adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^shared_cache = SharedCache()$/;" v +shared_ciphers adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def shared_ciphers(self):$/;" m class:SSLTransport +shared_embeddings adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import shared_embedding_columns_v2 as sh/;" x +shared_embeddings adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/feature_column/__init__.py /^from tensorflow.python.feature_column.feature_column_v2 import shared_embedding_columns_v2 as sh/;" x +shared_embedding_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def shared_embedding_columns(categorical_columns,$/;" f +shared_embedding_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def shared_embedding_columns(categorical_columns,$/;" f +shared_embedding_columns adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/__init__.py /^from tensorflow.python.tpu.feature_column_v2 import shared_embedding_columns_v2 as shared_embedd/;" x +shared_embedding_columns_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def shared_embedding_columns_v2(categorical_columns,$/;" f +shared_embedding_columns_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def shared_embedding_columns_v2(categorical_columns,$/;" f +shared_intermediates adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def shared_intermediates(cache=None):$/;" f +shared_layer_functional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def shared_layer_functional():$/;" f +shared_layer_subclassed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def shared_layer_subclassed_model():$/;" f +SHARED_LIBRARY adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ SHARED_LIBRARY = "shared_library"$/;" v class:CCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ shared_lib_extension = get_shared_lib_extension()$/;" v class:FCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ shared_lib_extension = '.dll'$/;" v class:BCPPCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ shared_lib_extension = None # string$/;" v class:CCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ shared_lib_extension = ".dll"$/;" v class:CygwinCCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ shared_lib_extension = '.dll'$/;" v class:MSVCCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ shared_lib_extension = '.dll'$/;" v class:MSVCCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ shared_lib_extension = ".so"$/;" v class:UnixCCompiler +shared_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ shared_lib_extension = '.dll'$/;" v class:MSVCCompiler +shared_lib_format adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ shared_lib_format = "%s%s"$/;" v class:FCompiler +shared_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ shared_lib_format = None # prob. same as static_lib_format$/;" v class:CCompiler +shared_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ shared_lib_format = "%s%s"$/;" v class:CygwinCCompiler +shared_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def shared_locations(self):$/;" m class:InstalledDistribution +shared_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ shared_locations = {}$/;" v class:EggInfoDistribution +shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def shared_name(name=None):$/;" f +SHARED_OBJECT adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ SHARED_OBJECT = "shared_object"$/;" v class:CCompiler +shared_object_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def shared_object_filename(self, basename, strip_dir=0, output_dir=''):$/;" m class:CCompiler +SHARED_RENDEZVOUS_ATTRIBUTE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^SHARED_RENDEZVOUS_ATTRIBUTE_NAME = "shared_rendezvous"$/;" v +shared_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^def shared_resources():$/;" f +shared_sequential adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def shared_sequential():$/;" f +shares_memory adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def shares_memory(a, b, max_work=None):$/;" f +sharex adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def sharex(self, other):$/;" m class:_AxesBase +sharey adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def sharey(self, other):$/;" m class:_AxesBase +share_grad_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def share_grad_blobs($/;" f +share_memory adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def share_memory(self: T) -> T:$/;" m class:_RemoteModule +share_memory adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def share_memory(self: T) -> T:$/;" m class:Module +share_memory adpepsenv/lib/python3.8/site-packages/torch/optim/adagrad.py /^ def share_memory(self):$/;" m class:Adagrad +share_memory_ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def share_memory_(self):$/;" m class:_StorageBase +share_memory_ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def share_memory_(self):$/;" m class:Tensor +share_memory_ adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def share_memory_(self) -> 'Storage':$/;" m class:Storage +share_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def share_weights(layer):$/;" f +SHARPEN adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class SHARPEN(BuiltinFilter):$/;" c +Sharpness adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^class Sharpness(_Enhance):$/;" c +SHARP_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SHARP_G726 = 0x0045$/;" v class:WAVE_FORMAT +sha_utf8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def sha_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +sha_utf8 adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def sha_utf8(x):$/;" f member:HTTPDigestAuth.build_digest_header file: +SHEBANG_DETAIL_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^SHEBANG_DETAIL_RE = re.compile(br'^(\\s*#!("[^"]+"|\\S+))\\s+(.*)$')$/;" v +SHEBANG_PYTHON adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^SHEBANG_PYTHON = b'#!python'$/;" v +SHEBANG_PYTHONW adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^SHEBANG_PYTHONW = b'#!pythonw'$/;" v +SHEBANG_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^SHEBANG_RE = re.compile(br'\\s*#![^\\r\\n]*')$/;" v +shell adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def shell(command, cwd=None, env=None):$/;" f +shgeqz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void shgeqz(char *job, char *compq, char *compz, int *n, int *ilo, int *ihi, s *h, int *ldh/;" f +SHGO adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^class SHGO(object):$/;" c +shgo adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^def shgo(func, bounds, args=(), constraints=None, n=100, iters=1, callback=None,$/;" f +shi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def shi(x):$/;" f member:TestSystematic.test_shi file: +shi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def shi(z):$/;" f member:TestSystematic.test_shi_complex file: +shichi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void shichi(Dd_number_t x0, Dd_number_t *y0, Dd_number_t *y1) nogil$/;" f +shichi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sici.py /^ def shichi(x):$/;" f function:test_shichi_consistency file: +shift adpeps/utils/nested.py /^ def shift(self, phi):$/;" m class:Nested +SHIFT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^SHIFT = 3 # Deprecated.$/;" v +shift adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def shift(x, a, period=None, _cache=_cache):$/;" f +shift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def shift(input, shift, output=None, order=3, mode='constant', cval=0.0,$/;" f +shift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def shift(x):$/;" f member:TestNdimageInterpolation.test_boundaries file: +shift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def shift(x):$/;" f member:TestNdimageInterpolation.test_boundaries2 file: +shift_left adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^shift_left = np.left_shift$/;" v +shift_left adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def shift_left(x: Array, y: Array) -> Array:$/;" f +shift_left adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^shift_left = _broadcasting_binary_op(bitwise_ops.left_shift)$/;" v +shift_left_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^shift_left_p = standard_naryop([_int, _int], 'shift_left')$/;" v +shift_right_arithmetic adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^shift_right_arithmetic = np.right_shift$/;" v +shift_right_arithmetic adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def shift_right_arithmetic(x: Array, y: Array) -> Array:$/;" f +shift_right_arithmetic adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^shift_right_arithmetic = _broadcasting_binary_op(_shift_right_arithmetic_helper)$/;" v +shift_right_arithmetic_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^shift_right_arithmetic_p = standard_naryop([_int, _int], 'shift_right_arithmetic')$/;" v +shift_right_logical adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def shift_right_logical(x: Array, y: Array) -> Array:$/;" f +shift_right_logical adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^shift_right_logical = _broadcasting_binary_op(_shift_right_logical_helper)$/;" v +shift_right_logical_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^shift_right_logical_p = standard_naryop([_int, _int], 'shift_right_logical')$/;" v +shift_sharded_axis adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def shift_sharded_axis(a: MeshDimAssignment):$/;" f function:_pmap_sharding_spec file: +Ship adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Ship:$/;" c +ship adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ship = Ship()$/;" v +shock_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def shock_bc(ya, yb):$/;" f +shock_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def shock_fun(x, y):$/;" f +shock_sol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def shock_sol(x):$/;" f +SHORT adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^SHORT = 3$/;" v +short adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^from ._binary import si16le as short$/;" x +short adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def short(self):$/;" m class:PackedSequence +short adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def short(self):$/;" m class:_StorageBase +shortcut_string_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ def shortcut_string_merge(self, node_def):$/;" m class:MergeDevice +SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ SHORTCUT_THRESHOLD = 0.95$/;" v class:CharSetProber +SHORTCUT_THRESHOLD adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ SHORTCUT_THRESHOLD = 0.95$/;" v class:CharSetProber +shortDescription adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def shortDescription(self):$/;" m class:TestCase +shortDescription adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def shortDescription(self):$/;" m class:_SubTest +ShortImageReferenceInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class ShortImageReferenceInlineProcessor(ImageReferenceInlineProcessor):$/;" c +ShortReferenceInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class ShortReferenceInlineProcessor(ReferenceInlineProcessor):$/;" c +ShortStorage adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class ShortStorage(_CudaBase, torch._C.CudaShortStorageBase, _StorageBase):$/;" c +ShortStorage adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^class ShortStorage(_C.ShortStorageBase, _StorageBase):$/;" c +ShortTitle adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class ShortTitle(char.PrintableString):$/;" c +SHORT_FIELDS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^SHORT_FIELDS = ["graph", "sessionlog:checkpoint"] + TAG_FIELDS$/;" v +short_float_fmt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^def short_float_fmt(x):$/;" f +SHORT_NAME adpepsenv/lib/python3.8/site-packages/absl/app.py /^ SHORT_NAME = '?'$/;" v class:HelpFlag +SHORT_NAME adpepsenv/lib/python3.8/site-packages/absl/app.py /^ SHORT_NAME = None$/;" v class:HelpshortFlag +SHORT_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ SHORT_NAME = "h"$/;" v class:_HelpFlag +SHORT_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ SHORT_NAME = None$/;" v class:_HelpshortFlag +short_repr adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^def short_repr(x, n=64):$/;" f +short_result adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ short_result = lambda: ops.convert_to_tensor([], dtype=x.dtype)$/;" f function:_get_diff_for_monotonic_comparison file: +SHORT_SIZE adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^SHORT_SIZE = struct.Struct('=h').size$/;" v +short_ton adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^short_ton = 2000 * pound$/;" v +short_version adpepsenv/lib/python3.8/site-packages/numpy/version.py /^short_version = '1.19.5'$/;" v +short_version adpepsenv/lib/python3.8/site-packages/scipy/version.py /^short_version = '1.6.0'$/;" v +SHORT_VERSION_PY versioneer.py /^SHORT_VERSION_PY = """$/;" v +should adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ should = lambda: math_ops.equal(global_step % n, 0)$/;" f function:record_summaries_every_n_global_steps file: +ShouldDispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ class ShouldDispatch:$/;" c member:TestHistogram2d.test_dispatch file: +ShouldRunTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def ShouldRunTest(self, run_params):$/;" m class:TfTrtIntegrationTestBase +should_add_vcs_url_prefix adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def should_add_vcs_url_prefix(cls, remote_url):$/;" m class:Subversion +should_add_vcs_url_prefix adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def should_add_vcs_url_prefix(cls, remote_url):$/;" m class:VersionControl +should_build_for_install_command adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def should_build_for_install_command($/;" f +should_build_for_wheel_command adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def should_build_for_wheel_command($/;" f +should_bypass_proxies adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def should_bypass_proxies(url, no_proxy):$/;" f +should_bypass_proxies adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def should_bypass_proxies(url, no_proxy):$/;" f +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def should_checkpoint(self):$/;" m class:CollectiveAllReduceExtended +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def should_checkpoint(self):$/;" m class:_WorkerContext +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def should_checkpoint(self):$/;" m class:StrategyExtendedV1 +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def should_checkpoint(self):$/;" m class:_DefaultDistributionExtended +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def should_checkpoint(self):$/;" m class:MirroredExtended +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def should_checkpoint(self):$/;" m class:OneDeviceExtended +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def should_checkpoint(self):$/;" m class:ParameterServerStrategyExtended +should_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def should_checkpoint(self):$/;" m class:TPUExtended +should_color adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def should_color(self):$/;" m class:ColorizedStreamHandler +should_drop adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def should_drop(fn):$/;" f +should_execute_combination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def should_execute_combination(self, kwargs):$/;" m class:DistributionCombination +should_execute_combination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def should_execute_combination(self, kwargs):$/;" m class:GPUCombination +should_execute_combination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def should_execute_combination(self, kwargs):$/;" m class:TPUCombination +should_execute_combination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^ def should_execute_combination(self, kwargs):$/;" m class:TFVersionCombination +should_execute_combination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def should_execute_combination(self, kwargs):$/;" m class:TestCombination +should_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_testing.py /^ def should_fail(test, ref):$/;" f function:test_wrap_failure file: +should_include adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def should_include(self, filename, parent):$/;" m class:DirectoryLocator +should_invoke_op_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_callbacks.py /^def should_invoke_op_callbacks():$/;" f +should_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^ def should_load(self, proto):$/;" m class:VersionedTypeRegistration +should_load_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def should_load_checkpoint():$/;" f +should_overwrite adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def should_overwrite(data, shape, axes):$/;" f member:TestOverwrite._check_nd_one file: +should_overwrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def should_overwrite(filepath, overwrite):$/;" f +should_record_backprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def should_record_backprop(tensors):$/;" f +should_record_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def should_record_summaries():$/;" f +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:CompositeTensorDataAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:DataAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:DatasetAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:GeneratorDataAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:KerasSequenceAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:ListsOfScalarsDataAdapter +should_recreate_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_recreate_iterator(self):$/;" m class:TensorLikeDataAdapter +should_reject adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def should_reject(self, attempt):$/;" m class:Retrying +should_run_distribute_coordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def should_run_distribute_coordinator(config):$/;" f +should_run_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def should_run_eagerly():$/;" f +should_run_validation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def should_run_validation(validation_freq, epoch):$/;" f +should_save_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def should_save_checkpoint():$/;" f +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def should_save_summary(self):$/;" m class:CollectiveAllReduceExtended +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def should_save_summary(self):$/;" m class:_WorkerContext +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def should_save_summary(self):$/;" m class:StrategyExtendedV1 +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def should_save_summary(self):$/;" m class:_DefaultDistributionExtended +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def should_save_summary(self):$/;" m class:MirroredExtended +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def should_save_summary(self):$/;" m class:OneDeviceExtended +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def should_save_summary(self):$/;" m class:ParameterServerStrategyExtended +should_save_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def should_save_summary(self):$/;" m class:TPUExtended +should_save_traces adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def should_save_traces():$/;" f +should_serialize adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ should_serialize = False$/;" v class:SerializedTestCase +should_simplify adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def should_simplify(self):$/;" m class:Path +should_simplify adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def should_simplify(self, should_simplify):$/;" m class:Path +should_skip adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def should_skip(self, cls_name, test_name, device_type, dtype):$/;" m class:OpInfo +should_skip_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def should_skip_serialization(layer):$/;" f +should_skip_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def should_skip_target(self):$/;" m class:_TrainingEndpoint +should_skip_target_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def should_skip_target_weights(self):$/;" m class:_TrainingEndpoint +should_skip_tpu_with_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def should_skip_tpu_with_eager(distribution):$/;" f +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def should_stop(self):$/;" m class:BaseDebugWrapperSession +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def should_stop(self):$/;" m class:Coordinator +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def should_stop(self):$/;" m class:_MonitoredSession +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def should_stop(self):$/;" m class:_WrappedSession +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def should_stop(self):$/;" m class:Supervisor +should_stop adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def should_stop(scalar_stopping_signal):$/;" m class:_StopSignals +should_strip_auth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def should_strip_auth(self, old_url, new_url):$/;" m class:SessionRedirectMixin +should_strip_auth adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def should_strip_auth(self, old_url, new_url):$/;" m class:SessionRedirectMixin +should_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def should_sync(self):$/;" m class:DataHandler +should_trigger_for_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def should_trigger_for_step(self, step):$/;" m class:NeverTriggerTimer +should_trigger_for_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def should_trigger_for_step(self, step):$/;" m class:SecondOrStepTimer +should_trigger_for_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def should_trigger_for_step(self, step):$/;" m class:_HookTimer +should_unzip adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def should_unzip(self, dist):$/;" m class:easy_install +should_use_result adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def should_use_result(fn=None, warn_in_eager=False, error_in_function=False):$/;" f +should_use_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^def should_use_v2():$/;" f +should_wrap adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def should_wrap(self):$/;" m class:AnsiToWin32 +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def show(self):$/;" m class:FigureManagerGTK3 +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def show(block=None):$/;" m class:_BackendNbAgg +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def show(self):$/;" m class:FigureManagerNbAgg +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def show(self):$/;" m class:FigureManagerQT +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^def show(*, block=None):$/;" f +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def show():$/;" m class:_BackendWebAgg +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def show(self):$/;" m class:FigureCanvasWebAgg +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def show(self):$/;" m class:FigureCanvasWebAggCore +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def show(self):$/;" m class:FigureManagerWebAgg +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def show(cls, parent, help_entries):$/;" m class:_HelpDialog +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def show(self):$/;" m class:FigureManagerWx +show adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def show(self):$/;" m class:FigureManagerTk +Show adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ class Show(ShowBase):$/;" c member:_Backend.export file: +show adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def show(cls, *, block=None):$/;" m class:_Backend +show adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def show(self):$/;" m class:FigureManagerBase +show adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def show(self, warn=True):$/;" m class:Figure +show adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def show(*args, **kwargs):$/;" f +show adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^def show():$/;" f +show adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^show = pprint.pprint$/;" v +show adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^show = pprint.pprint$/;" v +show adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^def show():$/;" f +show adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def show(self, title=None, command=None):$/;" m class:Image +show adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def show(self, image, **options):$/;" m class:Viewer +show adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^def show(image, title=None, **options):$/;" f +show adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^show = False$/;" v +show adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^def show():$/;" f +show adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def show(args):$/;" f +show adpepsenv/lib/python3.8/site-packages/torch/__config__.py /^def show():$/;" f +ShowBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class ShowBase(_Backend):$/;" c +showblocklist adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ showblocklist = 1$/;" v +showblocklist adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ showblocklist = 0$/;" v +ShowChannels adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowChannels(*args, **kwargs):$/;" m class:NHWC +ShowChannels adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowChannels(patch, *args, **kwargs):$/;" m class:NCHW +ShowChannels adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowChannels(self, patch, cmap=None, bg_func=np.mean):$/;" m class:PatchVisualizer +ShowCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^class ShowCommand(Command):$/;" c +ShowImages adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowImages(*args, **kwargs):$/;" m class:NHWC +ShowImages adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowImages(patch, *args, **kwargs):$/;" m class:NCHW +ShowImages adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowImages(self, patches, *args, **kwargs):$/;" m class:PatchVisualizer +ShowMultiple adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowMultiple(*args, **kwargs):$/;" m class:NHWC +ShowMultiple adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowMultiple(patch, *args, **kwargs):$/;" m class:NCHW +ShowMultiple adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowMultiple(self, patches, ncols=None, cmap=None, bg_func=np.mean):$/;" m class:PatchVisualizer +ShowSingle adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowSingle(*args, **kwargs):$/;" m class:NHWC +ShowSingle adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowSingle(patch, *args, **kwargs):$/;" m class:NCHW +ShowSingle adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def ShowSingle(self, patch, cmap=None):$/;" m class:PatchVisualizer +showsyntaxerror adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def showsyntaxerror(self, filename=None):$/;" m class:_InteractiveConsole +showtip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def showtip(self, text):$/;" m class:ToolTip +showtraceback adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def showtraceback(self):$/;" m class:_InteractiveConsole +show_actual_vendor_versions adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def show_actual_vendor_versions(vendor_txt_versions):$/;" f +show_all adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def show_all(argv=None):$/;" f +show_compilers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^def show_compilers():$/;" f +show_compilers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^def show_compilers():$/;" f +show_compilers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^def show_compilers():$/;" f +show_compilers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^def show_compilers ():$/;" f +show_config adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ from numpy.__config__ import show as show_config$/;" x +show_config adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ from scipy.__config__ import show as show_config$/;" x +SHOW_CURSOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^SHOW_CURSOR = '\\x1b[?25h'$/;" v +show_exception adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ show_exception = False$/;" v class:HTTPException.wrap.newcls +show_fcompilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def show_fcompilers(dist=None):$/;" f +show_file adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def show_file(self, file, **options):$/;" m class:MacViewer +show_file adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def show_file(self, file, **options):$/;" m class:UnixViewer +show_file adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def show_file(self, file, **options):$/;" m class:Viewer +show_formats adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^def show_formats():$/;" f +show_formats adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^def show_formats():$/;" f +show_fortran_compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^def show_fortran_compilers(_cache=None):$/;" f +show_image adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^ def show_image(self, image, **options):$/;" m class:Viewer +show_numpy_config adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^from numpy import show_config as show_numpy_config$/;" x +show_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def show_options(solver=None, method=None, disp=True):$/;" f +show_progress adpeps/ipeps/ctm.py /^ def show_progress(self):$/;" m class:CTM +show_skip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def show_skip(t):$/;" f member:_PendingSkip.__add__ file: +show_skip adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def show_skip(t):$/;" f member:_PendingSkip.__add__ file: +show_sys_implementation adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def show_sys_implementation():$/;" f +show_tags adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def show_tags(options):$/;" f +show_time adpeps/utils/printing.py /^show_time = False$/;" v +show_url adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def show_url(self):$/;" m class:Link +show_value adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def show_value(name, value):$/;" f +show_vendor_versions adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^def show_vendor_versions():$/;" f +shquote adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^def shquote(arg):$/;" f +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Accent +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Box +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Char +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Glue +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Kern +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:List +shrink adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def shrink(self):$/;" m class:Node +ShrinkAxisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ShrinkAxisMask(self):$/;" m class:StridedSliceOptions +SHRINK_AXIS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^SHRINK_AXIS = -2$/;" v +SHRINK_FACTOR adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^SHRINK_FACTOR = 0.7$/;" v +shrink_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def shrink_mask(self):$/;" m class:MaskedArray +shrink_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^shrink_mask = _frommethod('shrink_mask')$/;" v +shrink_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def shrink_output_schema(net, out_schema):$/;" f +shrunk adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def shrunk(self, mx, my):$/;" m class:BboxBase +shrunk_to_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def shrunk_to_aspect(self, box_aspect, container=None, fig_aspect=1.0):$/;" m class:BboxBase +shsein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void shsein(char *side, char *eigsrc, char *initv, bint *select, int *n, s *h, int *ldh, s /;" f +shseqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void shseqr(char *job, char *compz, int *n, int *ilo, int *ihi, s *h, int *ldh, s *wr, s *w/;" f +shuffle adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def shuffle(self):$/;" m class:Dataset +shuffle adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def shuffle(key: jnp.ndarray, x: jnp.ndarray, axis: int = 0) -> jnp.ndarray:$/;" f +shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def shuffle(self, buffer_size, seed=None, reshuffle_each_iteration=None):$/;" m class:DatasetV1 +shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def shuffle(self, buffer_size, seed=None, reshuffle_each_iteration=None):$/;" m class:DatasetV2 +shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.random_ops import random_shuffle as shuffle$/;" x +shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_shuffle as shuffle$/;" x +shuffle adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_shuffle as shuffle$/;" x +ShuffleAndRepeatDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShuffleAndRepeatDataset = tf_export("raw_ops.ShuffleAndRepeatDataset")(_ops.to_raw_op(shuffle_an/;" v +ShuffleAndRepeatDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShuffleAndRepeatDatasetV2 = tf_export("raw_ops.ShuffleAndRepeatDatasetV2")(_ops.to_raw_op(shuffl/;" v +SHUFFLED4x16INT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SHUFFLED4x16INT8 = 1$/;" v class:FullyConnectedOptionsWeightsFormat +ShuffleDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ShuffleDataset(UnaryUnchangedStructureDataset):$/;" c +ShuffleDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShuffleDataset = tf_export("raw_ops.ShuffleDataset")(_ops.to_raw_op(shuffle_dataset))$/;" v +ShuffleDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShuffleDatasetV2 = tf_export("raw_ops.ShuffleDatasetV2")(_ops.to_raw_op(shuffle_dataset_v2))$/;" v +ShuffleDatasetV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ShuffleDatasetV3 = tf_export("raw_ops.ShuffleDatasetV3")(_ops.to_raw_op(shuffle_dataset_v3))$/;" v +shufflenet adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^import caffe2.python.models.shufflenet as shufflenet$/;" I +shufflenet adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^import caffe2.python.models.shufflenet as shufflenet$/;" I +shufflenet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^import caffe2.python.models.shufflenet as shufflenet$/;" I +ShufflenetMemongerTest adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^class ShufflenetMemongerTest(hu.HypothesisTestCase):$/;" c +ShuffleNetV2Builder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^class ShuffleNetV2Builder():$/;" c +shuffle_and_repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/shuffle_ops.py /^def shuffle_and_repeat(buffer_size, count=None, seed=None):$/;" f +shuffle_and_repeat_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_and_repeat_dataset(input_dataset, buffer_size, seed, seed2, count, output_types, out/;" f +shuffle_and_repeat_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_and_repeat_dataset_eager_fallback(input_dataset, buffer_size, seed, seed2, count, ou/;" f +shuffle_and_repeat_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_and_repeat_dataset_v2(input_dataset, buffer_size, seed, seed2, count, seed_generator/;" f +shuffle_and_repeat_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_and_repeat_dataset_v2_eager_fallback(input_dataset, buffer_size, seed, seed2, count,/;" f +shuffle_and_repeat_fusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ shuffle_and_repeat_fusion = options.create_option($/;" v class:OptimizationOptions +shuffle_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def shuffle_batch(*batch):$/;" f member:TensorLikeDataAdapter.__init__ file: +shuffle_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def shuffle_batch(tensors, batch_size, capacity, min_after_dequeue,$/;" f +shuffle_batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def shuffle_batch_join(tensors_list, batch_size, capacity,$/;" f +shuffle_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset(input_dataset, buffer_size, seed, seed2, output_types, output_shapes, reshuf/;" f +shuffle_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset_eager_fallback(input_dataset, buffer_size, seed, seed2, output_types, output/;" f +shuffle_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset_v2(input_dataset, buffer_size, seed_generator, output_types, output_shapes, /;" f +shuffle_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset_v2_eager_fallback(input_dataset, buffer_size, seed_generator, output_types, /;" f +shuffle_dataset_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset_v3(input_dataset, buffer_size, seed, seed2, seed_generator, output_types, ou/;" f +shuffle_dataset_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def shuffle_dataset_v3_eager_fallback(input_dataset, buffer_size, seed, seed2, seed_generator, o/;" f +shutdown adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def shutdown(self, wait=True):$/;" m class:_LoggingPool +SHUTDOWN adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ SHUTDOWN = (_cygrpc.ConnectivityState.shutdown, 'shutdown')$/;" v class:ChannelConnectivity +shutdown adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def shutdown():$/;" f member:WebAggApplication.start file: +shutdown adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def shutdown(self, wait=True):$/;" m class:MPIPoolExecutor +shutdown adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def shutdown(self, wait=True):$/;" m class:Executor +shutdown adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def shutdown(self):$/;" m class:WrappedSocket +shutdown adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def shutdown(self):$/;" m class:WrappedSocket +shutdown adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def shutdown(self):$/;" m class:MultiProcessPoolRunner +Shutdown adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def Shutdown(self):$/;" m class:Cluster +shutdown adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def shutdown(self, wait_time_in_ms=60000, exit_code=0):$/;" m class:WorkerHeartbeatManager +shutdown adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def shutdown(graceful=True):$/;" f +shutdown adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def shutdown(self):$/;" m class:WrappedSocket +shutdown adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def shutdown(self):$/;" m class:WrappedSocket +ShutdownAllWorkers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class ShutdownAllWorkers(object):$/;" c +ShutdownDistributedTPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^ShutdownDistributedTPU = tf_export("raw_ops.ShutdownDistributedTPU")(_ops.to_raw_op(shutdown_dis/;" v +ShutdownLameWorkers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class ShutdownLameWorkers(object):$/;" c +SHUTDOWN_AFTER_TIMEOUT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^SHUTDOWN_AFTER_TIMEOUT = 3$/;" v +SHUTDOWN_AFTER_TIMEOUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^SHUTDOWN_AFTER_TIMEOUT = 3$/;" v +shutdown_distributed_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def shutdown_distributed_tpu(name=None):$/;" f +shutdown_distributed_tpu_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def shutdown_distributed_tpu_eager_fallback(name, ctx):$/;" f +shutdown_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def shutdown_fun():$/;" f member:ParallelWorkersTest.testParallelWorkersShutdownFun file: +shutdown_server adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def shutdown_server():$/;" f member:WSGIRequestHandler.make_environ file: +shutdown_signal adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^shutdown_signal = threading.Condition()$/;" v +shutdown_system adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def shutdown_system(job=None):$/;" f +shutdown_tpu_system adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^def shutdown_tpu_system(cluster_resolver=None):$/;" f +SHUTTING_DOWN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^SHUTTING_DOWN = 3$/;" v +SHUTTING_DOWN adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^SHUTTING_DOWN = 3$/;" v +sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def sh_chebyt(n, monic=False):$/;" f +sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def sh_chebyu(n, monic=False):$/;" f +sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def sh_jacobi(n, p, q, monic=False):$/;" f +sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def sh_legendre(n, monic=False):$/;" f +si adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def si(x):$/;" f member:TestSystematic.test_si file: +si adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def si(z):$/;" f member:TestSystematic.test_si_complex file: +si16le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def si16le(c, o=0):$/;" f +si32le adpepsenv/lib/python3.8/site-packages/PIL/_binary.py /^def si32le(c, o=0):$/;" f +sibc adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_byteordercodes.py /^import scipy.io.matlab.byteordercodes as sibc$/;" I +SIBLING_TAGS adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ SIBLING_TAGS = ['ol', 'ul']$/;" v class:OListProcessor +SIBLING_TAGS adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ SIBLING_TAGS = ['ol']$/;" v class:SaneOListProcessor +SIBLING_TAGS adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ SIBLING_TAGS = ['ul']$/;" v class:SaneUListProcessor +sic adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^class sic(str):$/;" c +sici adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cdef void sici(Dd_number_t x0, Dd_number_t *y0, Dd_number_t *y1) nogil$/;" f +sici adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sici.py /^ def sici(x):$/;" f function:test_sici_consistency file: +siegelslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def siegelslopes(y, x=None, method="hierarchical"):$/;" f +siegelslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^def siegelslopes(y, x=None, method="hierarchical"):$/;" f +SIERRA_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIERRA_ADPCM = 0x0013$/;" v class:WAVE_FORMAT +sigAlg_Ed25519 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^sigAlg_Ed25519 = rfc5280.AlgorithmIdentifier()$/;" v +sigAlg_Ed448 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^sigAlg_Ed448 = rfc5280.AlgorithmIdentifier()$/;" v +sigalrm_handler adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def sigalrm_handler(signum, frame):$/;" f function:time_limited file: +sigm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^ def sigm(x):$/;" f member:TestListwiseL2rOps.ref_lambda_rank_loss file: +sigma adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^sigma = Stefan_Boltzmann = _cd('Stefan-Boltzmann constant')$/;" v +sigmaclip adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def sigmaclip(a, low=4., high=4.):$/;" f +SigmaclipResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^SigmaclipResult = namedtuple('SigmaclipResult', ('clipped', 'lower', 'upper'))$/;" v +sigmam adpeps/ipeps/models/common.py /^sigmam = np.array([[0,0],[1,0]])$/;" v +sigmap adpeps/ipeps/models/common.py /^sigmap = np.array([[0,1],[0,0]])$/;" v +sigmaz adpeps/ipeps/models/common.py /^sigmaz = np.array([[1,0],[0,-1]])$/;" v +sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def sigmoid(x):$/;" f member:TestCaffe2Basic.test_initializer file: +sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/rnn_cell_test_util.py /^def sigmoid(x):$/;" f +Sigmoid adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Sigmoid = elementwise(sigmoid)$/;" v +sigmoid adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def sigmoid(x: Array) -> Array:$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sigmoid(x, name=None):$/;" f +Sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sigmoid = tf_export("raw_ops.Sigmoid")(_ops.to_raw_op(sigmoid))$/;" v +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sigmoid(x, name=None):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^def sigmoid(x):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def sigmoid(input):$/;" f +Sigmoid adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Sigmoid(Module):$/;" c +sigmoid adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def sigmoid(g, input):$/;" f +sigmoid adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sigmoid(g, self):$/;" f +SigmoidGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SigmoidGrad = tf_export("raw_ops.SigmoidGrad")(_ops.to_raw_op(sigmoid_grad))$/;" v +SigmoidTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/sigmoid_op_test.py /^class SigmoidTest(hu.HypothesisTestCase):$/;" c +SigmoidTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class SigmoidTransform(Transform):$/;" c +sigmoid_cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def sigmoid_cross_entropy($/;" f +sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def sigmoid_cross_entropy_with_logits(x, z):$/;" f +sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sigmoid_cross_entropy_with_logits( # pylint: disable=invalid-name$/;" f +sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sigmoid_cross_entropy_with_logits_v2 as sigmoid_cross_/;" x +sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sigmoid_cross_entropy_with_logits_v2 as sigmoid_cross_/;" x +sigmoid_cross_entropy_with_logits_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def sigmoid_cross_entropy_with_logits_grad(x, z):$/;" f +sigmoid_cross_entropy_with_logits_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sigmoid_cross_entropy_with_logits_v2( # pylint: disable=invalid-name$/;" f +sigmoid_cross_entropy_with_logits_with_log_D_trick adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def sigmoid_cross_entropy_with_logits_with_log_D_trick(x, z):$/;" f +sigmoid_cross_entropy_with_logits_with_log_D_trick_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def sigmoid_cross_entropy_with_logits_with_log_D_trick_grad(x, z):$/;" f +sigmoid_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sigmoid_eager_fallback(x, name, ctx):$/;" f +sigmoid_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sigmoid_grad(y, dy, name=None):$/;" f +sigmoid_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sigmoid_grad_eager_fallback(y, dy, name, ctx):$/;" f +sigmoid_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def sigmoid_ref(X):$/;" f member:TestElementwiseOps.test_sigmoid file: +sigmoid_xentr_logit_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def sigmoid_xentr_logit_grad_ref(g_out, outputs, fwd_inputs):$/;" f member:TestCrossEntropyOps.test_cross_entropy_and_unjoied_cross_entropy_relation file: +sigmoid_xentr_logit_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def sigmoid_xentr_logit_grad_ref(g_out, outputs, fwd_inputs):$/;" f member:TestCrossEntropyOps.test_sigmoid_cross_entropy_with_logits file: +sigmoid_xentr_logit_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def sigmoid_xentr_logit_ref(logits, targets):$/;" f member:TestCrossEntropyOps.test_cross_entropy_and_unjoied_cross_entropy_relation file: +sigmoid_xentr_logit_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def sigmoid_xentr_logit_ref(logits, targets):$/;" f member:TestCrossEntropyOps.test_sigmoid_cross_entropy_with_logits file: +sign adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def sign(self, message):$/;" m class:Signer +sign adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def sign(self, message):$/;" m class:Signer +sign adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def sign(self, message):$/;" m class:ES256Signer +sign adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def sign(self, message):$/;" m class:RSASigner +sign adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def sign(self, message):$/;" m class:RSASigner +sign adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^ def sign(self, message):$/;" m class:Signer +sign adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def sign(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +sign adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sign = np.sign$/;" v +sign adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sign(x: Array) -> Array:$/;" f +sign adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def sign(x):$/;" f +sign adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def sign(self, uri, http_method='GET', body=None, headers=None, realm=None):$/;" m class:Client +sign adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^sign = SignOperation()$/;" v +sign adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def sign(message: bytes, priv_key: key.PrivateKey, hash_method: str) -> bytes:$/;" f +sign adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^sign = _unary_op(math_ops.sign)$/;" v +sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sign(x):$/;" f +sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sign(x, name=None):$/;" f +Sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sign = tf_export("raw_ops.Sign")(_ops.to_raw_op(sign))$/;" v +sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sign(x, name=None):$/;" f +sign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def sign(x, out=None, where=None, **kwargs): # pylint: disable=missing-docstring,redefined-oute/;" f +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def sign(self):$/;" m class:AffineTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def sign(self):$/;" m class:ComposeTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def sign(self):$/;" m class:Transform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def sign(self):$/;" m class:_InverseTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ sign = +1$/;" v class:ExpTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ sign = +1$/;" v class:PowerTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ sign = +1$/;" v class:SigmoidTransform +sign adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ sign = +1$/;" v class:TanhTransform +sign adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sign(g, self):$/;" f +sign2map adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^def sign2map(a, var):$/;" f +signal adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ def signal(self, name, doc=None):$/;" m class:Namespace +signalHandler adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^def signalHandler(signal, frame):$/;" f +signals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def signals(self):$/;" m class:_Inputs +signals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def signals(self):$/;" m class:_InputsWithStoppingSignals +signals_available adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ signals_available = True$/;" v +signals_available adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^signals_available = False$/;" v +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class Signature(univ.BitString):$/;" c +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Signature(univ.BitString):$/;" c +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class Signature(univ.Sequence):$/;" c +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class Signature(univ.BitString):$/;" c +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class Signature(univ.BitString):$/;" c +Signature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class Signature(univ.Sequence):$/;" c +signature adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def signature(self):$/;" m class:LowLevelCallable +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class SignatureAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SignatureAlgorithmIdentifier(AlgorithmIdentifier):$/;" c +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignatureAlgorithmIdentifier(rfc3280.AlgorithmIdentifier):$/;" c +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignatureAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^SignatureAlgorithmIdentifier = rfc5652.SignatureAlgorithmIdentifier$/;" v +SignatureAlgorithmIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8410.py /^class SignatureAlgorithmIdentifier(rfc5280.AlgorithmIdentifier):$/;" c +SignatureDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^SignatureDef = _reflection.GeneratedProtocolMessageType('SignatureDef', (_message.Message,), {$/;" v +SignatureDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^SignatureDef = _reflection.GeneratedProtocolMessageType('SignatureDef', (_message.Message,), {$/;" v +SignatureDef adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SignatureDef(object):$/;" c +SignatureDefAddInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefAddInputs(builder, inputs): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.n/;" f +SignatureDefAddKey adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefAddKey(builder, key): builder.PrependUOffsetTRelativeSlot(3, flatbuffers.number_/;" f +SignatureDefAddMethodName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefAddMethodName(builder, methodName): builder.PrependUOffsetTRelativeSlot(2, flatb/;" f +SignatureDefAddOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefAddOutputs(builder, outputs): builder.PrependUOffsetTRelativeSlot(1, flatbuffers/;" f +SignatureDefBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SignatureDefBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SignatureDef +SignatureDefEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefEnd(builder): return builder.EndObject()$/;" f +SignatureDefMap adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^SignatureDefMap = _reflection.GeneratedProtocolMessageType('SignatureDefMap', (_message.Message,/;" v +SignatureDefs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SignatureDefs(self, j):$/;" m class:Model +SignatureDefsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SignatureDefsIsNone(self):$/;" m class:Model +SignatureDefsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SignatureDefsLength(self):$/;" m class:Model +SignatureDefStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefStart(builder): builder.StartObject(4)$/;" f +SignatureDefStartInputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefStartInputsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +SignatureDefStartOutputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SignatureDefStartOutputsVector(builder, numElems): return builder.StartVector(4, numElems, 4/;" f +SignatureDefT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SignatureDefT(object):$/;" c +SignatureOnlyEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/signature_only.py /^class SignatureOnlyEndpoint(BaseEndpoint):$/;" c +SignatureUsage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SignatureUsage(CMSContentConstraints):$/;" c +SignatureValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignatureValue(univ.OctetString):$/;" c +SignatureValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignatureValue(univ.OctetString):$/;" c +SIGNATURE_ATTRIBUTE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^SIGNATURE_ATTRIBUTE_NAME = "signatures"$/;" v +signature_base_string adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def signature_base_string(http_method, base_str_uri,$/;" f +SIGNATURE_HMAC adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_HMAC = SIGNATURE_HMAC_SHA1$/;" v +SIGNATURE_HMAC_SHA1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_HMAC_SHA1 = "HMAC-SHA1"$/;" v +SIGNATURE_HMAC_SHA256 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_HMAC_SHA256 = "HMAC-SHA256"$/;" v +SIGNATURE_KEY_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^SIGNATURE_KEY_MAP = mode_keys.ModeKeyMap(**{$/;" v +SIGNATURE_METHODS adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ SIGNATURE_METHODS = {$/;" v class:Client +SIGNATURE_METHODS adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_METHODS = (SIGNATURE_HMAC_SHA1, SIGNATURE_HMAC_SHA256, SIGNATURE_RSA, SIGNATURE_PLAINT/;" v +SIGNATURE_PLAINTEXT adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_PLAINTEXT = "PLAINTEXT"$/;" v +SIGNATURE_RSA adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_RSA = "RSA-SHA1"$/;" v +signature_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def signature_summary(self, default_values=False):$/;" m class:FunctionSpec +SIGNATURE_TYPE_AUTH_HEADER adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_TYPE_AUTH_HEADER = 'AUTH_HEADER'$/;" v +SIGNATURE_TYPE_BODY adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_TYPE_BODY = 'BODY'$/;" v +SIGNATURE_TYPE_QUERY adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^SIGNATURE_TYPE_QUERY = 'QUERY'$/;" v +signature_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def signature_wrapper(**kwargs):$/;" f function:canonicalize_signatures file: +signbit adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def signbit(x):$/;" f +signbit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def signbit(x):$/;" f +SignedAndEnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SignedAndEnvelopedData(univ.Sequence):$/;" c +signedAndEnvelopedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^signedAndEnvelopedData = univ.ObjectIdentifier('1.2.840.113549.1.7.4')$/;" v +SignedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignedAttributes(univ.SetOf):$/;" c +SignedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignedAttributes(univ.SetOf):$/;" c +SignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SignedData(univ.Sequence):$/;" c +signedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^signedData = univ.ObjectIdentifier('1.2.840.113549.1.7.2')$/;" v +SignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignedData(univ.Sequence):$/;" c +SignedData adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignedData(univ.Sequence):$/;" c +signedinteger adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^signedinteger = np.signedinteger$/;" v +SIGNED_BYTE adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^SIGNED_BYTE = 6$/;" v +signed_integer adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ signed_integer = Regex(r'[+-]?\\d+').setName("signed integer").setParseAction(convertToInteg/;" v class:pyparsing_common +signed_integer adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ signed_integer = Regex(r'[+-]?\\d+').setName("signed integer").setParseAction(convertToInteg/;" v class:pyparsing_common +signed_integer adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ signed_integer = Regex(r'[+-]?\\d+').setName("signed integer").setParseAction(convertToInteg/;" v class:pyparsing_common +signed_integer adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ signed_integer = Regex(r'[+-]?\\d+').setName("signed integer").setParseAction(convertToInteg/;" v class:pyparsing_common +SIGNED_LONG adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^SIGNED_LONG = 9$/;" v +SIGNED_RATIONAL adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^SIGNED_RATIONAL = 10$/;" v +signed_regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def signed_regex(self):$/;" m class:NumberConverter +SIGNED_SHORT adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^SIGNED_SHORT = 8$/;" v +signed_token_generator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def signed_token_generator(request):$/;" f function:signed_token_generator file: +signed_token_generator adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^def signed_token_generator(private_pem, **kwargs):$/;" f +signer adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def signer(self):$/;" m class:Credentials +Signer adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^class Signer(crypt.Signer):$/;" c +signer adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def signer(self):$/;" m class:IDTokenCredentials +signer adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def signer(self):$/;" m class:Signing +Signer adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^class Signer(object):$/;" c +Signer adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^Signer = base.Signer$/;" v +Signer adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^class Signer(crypt.Signer):$/;" c +signer adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def signer(self):$/;" m class:Credentials +signer adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def signer(self):$/;" m class:Credentials +signer adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def signer(self):$/;" m class:OnDemandCredentials +signer adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def signer(self):$/;" m class:Credentials +signer adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def signer(self):$/;" m class:IDTokenCredentials +SignerIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignerIdentifier(univ.Choice):$/;" c +SignerIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignerIdentifier(univ.Choice):$/;" c +SignerInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SignerInfo(univ.Sequence):$/;" c +SignerInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^SignerInfo = rfc5652.SignerInfo$/;" v +SignerInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignerInfo(univ.Sequence):$/;" c +SignerInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignerInfo(univ.Sequence):$/;" c +SignerInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class SignerInfos(univ.SetOf):$/;" c +SignerInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SignerInfos(univ.SetOf):$/;" c +SignerInfos adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SignerInfos(univ.SetOf):$/;" c +SIGNERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^SIGNERR = 'sign error'$/;" v +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def signer_email(self):$/;" m class:Credentials +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def signer_email(self):$/;" m class:IDTokenCredentials +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def signer_email(self):$/;" m class:Signing +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def signer_email(self):$/;" m class:Credentials +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def signer_email(self):$/;" m class:Credentials +signer_email adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def signer_email(self):$/;" m class:OnDemandCredentials +signer_email adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def signer_email(self):$/;" m class:Credentials +signer_email adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def signer_email(self):$/;" m class:IDTokenCredentials +signf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^ def signf(X):$/;" f member:TestMathOps.test_sign file: +significant adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ significant = 14$/;" v class:TestBrunnerMunzel +significant adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ significant = 13$/;" v class:TestBrunnerMunzel +significant adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ significant = 14$/;" v class:TestMannWhitneyU +significant_figures adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def significant_figures(self) -> int:$/;" m class:Measurement +Signing adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^class Signing(object):$/;" c +Signing adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^class Signing(credentials.Signing):$/;" c +SigningCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^class SigningCertificate(univ.Sequence):$/;" c +SigningCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SigningCertificate = rfc2634.SigningCertificate$/;" v +SigningCertificateV2 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^class SigningCertificateV2(univ.Sequence):$/;" c +signingDescription adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^signingDescription = CMSSingleAttribute()$/;" v +signingTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^signingTime = CMSSingleAttribute()$/;" v +SigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^SigningTime = rfc5652.SigningTime$/;" v +SigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SigningTime(Time):$/;" c +SigningTime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SigningTime(Time):$/;" c +signm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def signm(A, disp=True):$/;" f +SignOperation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^class SignOperation(CryptoOperation):$/;" c +SIGN_BIT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SIGN_BIT = 5$/;" v class:ActivationFunctionType +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def sign_bytes(self, message):$/;" m class:Credentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def sign_bytes(self, message):$/;" m class:IDTokenCredentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def sign_bytes(self, message):$/;" m class:Signing +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def sign_bytes(self, message):$/;" m class:Credentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def sign_bytes(self, message):$/;" m class:Credentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def sign_bytes(self, message):$/;" m class:OnDemandCredentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def sign_bytes(self, message):$/;" m class:Credentials +sign_bytes adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def sign_bytes(self, message):$/;" m class:IDTokenCredentials +sign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sign_eager_fallback(x, name, ctx):$/;" f +sign_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def sign_file(self, filename, signer, sign_password, keystore=None):$/;" m class:PackageIndex +sign_hash adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def sign_hash(hash_value: bytes, priv_key: key.PrivateKey, hash_method: str) -> bytes:$/;" f +sign_hmac_sha1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_hmac_sha1(base_string, client_secret, resource_owner_secret):$/;" f +sign_hmac_sha1_with_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_hmac_sha1_with_client(base_string, client):$/;" f +sign_hmac_sha256 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_hmac_sha256(base_string, client_secret, resource_owner_secret):$/;" f +sign_hmac_sha256_with_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_hmac_sha256_with_client(base_string, client):$/;" f +sign_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sign_p = standard_unop(_num, 'sign', translation_rule=_sign_translation_rule)$/;" v +sign_plaintext adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_plaintext(client_secret, resource_owner_secret):$/;" f +sign_plaintext_with_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_plaintext_with_client(base_string, client):$/;" f +sign_round_up adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def sign_round_up(X):$/;" f +sign_rsa_sha1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_rsa_sha1(base_string, rsa_private_key):$/;" f +sign_rsa_sha1_with_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def sign_rsa_sha1_with_client(base_string, client):$/;" f +sigs_from_dir adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^def sigs_from_dir(directory, outfile, manual_wrappers=None, exclusions=None):$/;" f +sig_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^sig_types = {'integer': 'int',$/;" v +silence adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def silence():$/;" f +SilentBar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class SilentBar(Bar):$/;" c +SilentReporter adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ class SilentReporter(Reporter):$/;" c +silent_list adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class silent_list(list):$/;" c +silu adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def silu(x: Array) -> Array:$/;" f +silu adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_impl import swish as silu$/;" x +silu adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import swish as silu$/;" x +silu adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import swish as silu$/;" x +silu adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def silu(input, inplace=False):$/;" f +SiLU adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class SiLU(Module):$/;" c +silverman_factor adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ def silverman_factor(self):$/;" m class:GaussianKDE +silverman_factor adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def silverman_factor(self):$/;" m class:gaussian_kde +simpfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def simpfunc(y, x): # Note order of arguments.$/;" f member:TestQuad.test_double_integral file: +simpfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def simpfunc(z, y, x, t): # Note order of arguments.$/;" f member:TestQuad.test_triple_integral file: +Simple adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Simple(_Base):$/;" c class:ArrowStyle +simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class simple(np.ndarray):$/;" c member:TestSubclass.test_subclass_op file: +simple adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^simple = """\\$/;" v +SIMPLE adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^SIMPLE = """\\$/;" v +simple adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def simple(a, name=None):$/;" f +Simple adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Simple = tf_export("raw_ops.Simple")(_ops.to_raw_op(simple))$/;" v +SIMPLE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ SIMPLE = 2$/;" v class:ProfilingMode +SimpleArrow adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ class SimpleArrow(FancyArrowPatch):$/;" c class:_FancyAxislineStyle +SimpleArrow adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ class SimpleArrow(_Base):$/;" c class:AxislineStyle +SimpleAsn1Type adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^class SimpleAsn1Type(Asn1Type):$/;" c +SimpleAxisArtist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^class SimpleAxisArtist(Artist):$/;" c +SimpleChainedObjects adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^class SimpleChainedObjects:$/;" c +SimpleClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^class SimpleClass(object):$/;" c +SimpleClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^class SimpleClusterResolver(ClusterResolver):$/;" c +SimpleConvTestModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class SimpleConvTestModel(keras.Model):$/;" c +SimpleEvent adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class SimpleEvent:$/;" c class:ConnectionStyle._Base +SimpleFunctionalModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class SimpleFunctionalModel(model_collection_base.ModelAndInput):$/;" c +SimpleIREvalExecuted adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^class SimpleIREvalExecuted(ExecutionCounter):$/;" c +SimpleKey adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^class SimpleKey:$/;" c +SimpleLineShadow adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class SimpleLineShadow(AbstractPathEffect):$/;" c +SimpleNamespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class SimpleNamespace: pass$/;" c +SimpleNamespace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class SimpleNamespace: pass$/;" c +SimpleOscillator adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class SimpleOscillator(ODE):$/;" c +SimplePatchShadow adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class SimplePatchShadow(AbstractPathEffect):$/;" c +SimpleQueue adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^class SimpleQueue(multiprocessing.queues.SimpleQueue):$/;" c +SimpleRNN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class SimpleRNN(RNN):$/;" c +SimpleRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class SimpleRNNCell(DropoutRNNCellMixin, Layer):$/;" c +SimpleScrapingLocator adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^class SimpleScrapingLocator(Locator):$/;" c +SimpleSelection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^class SimpleSelection(Selection):$/;" c +SimpleSequentialModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class SimpleSequentialModel(model_collection_base.ModelAndInput):$/;" c +simpleSQL adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ simpleSQL = selectToken("command") + columnSpec("columns") + fromToken + tableNameList(/;" v +simpleSQL adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ simpleSQL = selectToken("command") + columnSpec("columns") + fromToken + tableNameList(/;" v +simpleSQL adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ simpleSQL = selectToken("command") + columnSpec("columns") + fromToken + tableNameList(/;" v +simpleSQL adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ simpleSQL = selectToken("command") + columnSpec("columns") + fromToken + tableNameList(/;" v +SimpleStruct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^SimpleStruct = tf_export("raw_ops.SimpleStruct")(_ops.to_raw_op(simple_struct))$/;" v +SimpleSubClass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^class SimpleSubClass(VerySimpleSubClass):$/;" c +SimpleSubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class SimpleSubclassModel(model_collection_base.ModelAndInput):$/;" c +SimpleSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class SimpleSyntax(univ.Choice):$/;" c +SimpleSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class SimpleSyntax(univ.Choice):$/;" c +SimpleTagInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SimpleTagInlineProcessor(InlineProcessor):$/;" c +SimpleTagPattern adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SimpleTagPattern(Pattern): # pragma: no cover$/;" c +SimpleTextInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SimpleTextInlineProcessor(InlineProcessor):$/;" c +SimpleTextPattern adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SimpleTextPattern(Pattern): # pragma: no cover$/;" c +SimpleTFModuleModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class SimpleTFModuleModel(model_collection_base.ModelAndInput):$/;" c +simpleTrainFun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^ def simpleTrainFun(opts):$/;" f function:trainFun file: +SimpleWheelCache adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^class SimpleWheelCache(Cache):$/;" c +Simplex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class Simplex(VertexGroup):$/;" c +simplex adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^simplex = _Simplex()$/;" v +SIMPLEX_CRASH_STRATEGY_LTSSF adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_CRASH_STRATEGY_LTSSF = SIMPLEX_CRASH_STRATEGY_LTSSF_K$/;" v +SIMPLEX_CRASH_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_CRASH_STRATEGY_MAX = SIMPLEX_CRASH_STRATEGY_TEST_SING$/;" v +SIMPLEX_CRASH_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_CRASH_STRATEGY_MIN = 0$/;" v +SIMPLEX_CRASH_STRATEGY_OFF adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_CRASH_STRATEGY_OFF = SIMPLEX_CRASH_STRATEGY_MIN$/;" v +SIMPLEX_DUAL_CHUZC_STRATEGY_CHOOSE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_CHUZC_STRATEGY_CHOOSE = SIMPLEX_DUAL_CHUZC_STRATEGY_MIN$/;" v +SIMPLEX_DUAL_CHUZC_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_CHUZC_STRATEGY_MAX = SIMPLEX_DUAL_CHUZC_STRATEGY_BOTH$/;" v +SIMPLEX_DUAL_CHUZC_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_CHUZC_STRATEGY_MIN = 0$/;" v +SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_DANTZIG adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_DANTZIG = SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_MIN$/;" v +SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_MAX = SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_STEEPEST_EDGE_/;" v +SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_DUAL_EDGE_WEIGHT_STRATEGY_MIN = 0$/;" v +simplex_minimizers adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def simplex_minimizers(self):$/;" m class:SHGO +SIMPLEX_PRICE_STRATEGY_COL adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRICE_STRATEGY_COL = SIMPLEX_PRICE_STRATEGY_MIN$/;" v +SIMPLEX_PRICE_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRICE_STRATEGY_MAX = SIMPLEX_PRICE_STRATEGY_ROW_SWITCH_COL_SWITCH$/;" v +SIMPLEX_PRICE_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRICE_STRATEGY_MIN = 0$/;" v +SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_DANTZIG adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_DANTZIG = SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_MIN$/;" v +SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_MAX = SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_DEVEX$/;" v +SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_PRIMAL_EDGE_WEIGHT_STRATEGY_MIN = 0$/;" v +SIMPLEX_SCALE_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_SCALE_STRATEGY_MAX = SIMPLEX_SCALE_STRATEGY_0157$/;" v +SIMPLEX_SCALE_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_SCALE_STRATEGY_MIN = 0$/;" v +SIMPLEX_SCALE_STRATEGY_OFF adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_SCALE_STRATEGY_OFF = SIMPLEX_SCALE_STRATEGY_MIN$/;" v +SIMPLEX_STRATEGY_CHOOSE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_STRATEGY_CHOOSE = SIMPLEX_STRATEGY_MIN$/;" v +SIMPLEX_STRATEGY_DUAL_PLAIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_STRATEGY_DUAL_PLAIN = SIMPLEX_STRATEGY_DUAL$/;" v +SIMPLEX_STRATEGY_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_STRATEGY_MAX = SIMPLEX_STRATEGY_PRIMAL$/;" v +SIMPLEX_STRATEGY_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/_highs/cython/src/SimplexConst.pxd /^ SIMPLEX_STRATEGY_MIN = 0$/;" v +simple_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def simple_broadcast(value, destinations, always_mirrored=False):$/;" f +simple_cnn adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def simple_cnn():$/;" f +simple_d adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^simple_d = {'cflags': '-I\/usr\/include', 'libflags': '-L\/usr\/lib',$/;" v +simple_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def simple_eager_fallback(a, name, ctx):$/;" f +simple_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def simple_fc():$/;" f +simple_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^def simple_function(x):$/;" f +simple_functional_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_combinations.py /^simple_functional_model = combinations.NamedObject($/;" v +simple_lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^simple_lambda = lambda: None$/;" f +simple_linear_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def simple_linear_interpolation(a, steps):$/;" f +SIMPLE_MATRIX adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^SIMPLE_MATRIX = coo_matrix($/;" v +simple_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/basic_definitions.py /^ def simple_method(self):$/;" m class:SimpleClass +simple_mlp adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def simple_mlp():$/;" f +simple_models adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^simple_models = [$/;" v +simple_models_with_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def simple_models_with_strategies():$/;" f +simple_models_with_strategy_pairs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def simple_models_with_strategy_pairs():$/;" f +simple_multi_inputs_multi_outputs_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def simple_multi_inputs_multi_outputs_model():$/;" f +simple_reduce adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def simple_reduce(self, rank):$/;" m class:LowRankMatrix +simple_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def simple_relu():$/;" f +simple_resnet adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^def simple_resnet():$/;" f +simple_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def simple_rnn(self, T, n, d, model, step, input_t, output_t, output_t_prev,$/;" m class:RecurrentNetworkTest +simple_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/simple_save.py /^def simple_save(session, export_dir, inputs, outputs, legacy_init_op=None):$/;" f +simple_sequential_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def simple_sequential_model():$/;" f +simple_sequential_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_combinations.py /^simple_sequential_model = combinations.NamedObject($/;" v +simple_site adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^simple_site = """$/;" v +simple_sparse_reduce_tests adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def simple_sparse_reduce_tests(rank, world_size, num_inputs=1):$/;" f +simple_struct adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def simple_struct(n_a, name=None):$/;" f +simple_struct_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def simple_struct_eager_fallback(n_a, name, ctx):$/;" f +simple_subclassed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def simple_subclassed_model(num_labels=_NUM_CLASS):$/;" f +simple_subclass_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_combinations.py /^simple_subclass_model = combinations.NamedObject($/;" v +simple_tfmodule_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/model_combinations.py /^simple_tfmodule_model = combinations.NamedObject($/;" v +simple_tree_tuple adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def simple_tree_tuple(seq):$/;" f +simple_variable adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^simple_variable = """\\$/;" v +simple_variable_d adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^simple_variable_d = {'cflags': '-I\/foo\/bar\/include', 'libflags': '-L\/foo\/bar\/lib',$/;" v +simple_version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def simple_version_match(pat=r'[-.\\d]+', ignore='', start=''):$/;" f +simplified adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def simplified(x):$/;" f member:TestVoronoi.test_incremental file: +simplify adpepsenv/lib/python3.8/site-packages/cycler.py /^ def simplify(self):$/;" m class:Cycler +simplify_mon adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def simplify_mon(m: masking.Mon, in_poly: masking.Poly) -> Union[str, TfVal]:$/;" f function:_solve_shape_vars file: +simplify_poly adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def simplify_poly(p: PolyDim) -> Optional[Union[TfVal,$/;" f function:_solve_shape_vars file: +simplify_threshold adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def simplify_threshold(self):$/;" m class:Path +simplify_threshold adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def simplify_threshold(self, threshold):$/;" m class:Path +simps adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def simps(y, x=None, dx=1, axis=-1, even='avg'):$/;" f +simpson adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def simpson(y, x=None, dx=1, axis=-1, even='avg'):$/;" f +SimulateBackwardError adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^class SimulateBackwardError(Function):$/;" c +simulate_periodic_box adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def simulate_periodic_box(kdtree, data, k, boxsize, p):$/;" f +simulation_hsm_search adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def simulation_hsm_search():$/;" f member:TestHsm.test_hsm_search file: +sim_config adpeps/ipeps/ctm.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/ipeps/evaluation.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/ipeps/ipeps.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/ipeps/models/heisenberg.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/simulation/run_ipeps_exci.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/simulation/run_ipeps_gs.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/utils/io.py /^import adpeps.ipeps.config as sim_config$/;" I +sim_config adpeps/utils/printing.py /^import adpeps.ipeps.config as sim_config$/;" I +sin adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sin = np.sin$/;" v +sin adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sin(x: Array) -> Array:$/;" f +sin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^sin = _one_to_one_unop(np.sin, lax.sin, True)$/;" v +sin adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^sin = _MaskedUnaryOperation(umath.sin)$/;" v +sin adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^sin = _unary_op(math_ops.sin)$/;" v +SIN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SIN = 66$/;" v class:BuiltinOperator +sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sin(x):$/;" f +sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sin(x, name=None):$/;" f +Sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sin = tf_export("raw_ops.Sin")(_ops.to_raw_op(sin))$/;" v +sin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def sin(x):$/;" f +sin adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sin(g, self):$/;" f +sinc adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def sinc(x):$/;" f +sinc adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def sinc(x):$/;" f +sinc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def sinc(x):$/;" f +sindg adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double sindg(double x0) nogil$/;" f +sine adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^def sine(middle, pos):$/;" f +single adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def single(y):$/;" f +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ singleArgBuiltins = [sum, len, sorted, reversed, list, tuple, set, any, all, min, max]$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ singleArgBuiltins = []$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ singleArgBuiltins = [sum, len, sorted, reversed, list, tuple, set, any, all, min, max]$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ singleArgBuiltins = []$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ singleArgBuiltins = [sum, len, sorted, reversed, list, tuple, set, any, all, min, max]$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ singleArgBuiltins = []$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ singleArgBuiltins = [sum, len, sorted, reversed, list, tuple, set, any, all, min, max]$/;" v +singleArgBuiltins adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ singleArgBuiltins = []$/;" v +SingleAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class SingleAttribute(univ.Sequence):$/;" c +SingleAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^SingleAttribute = rfc2985.SingleAttribute$/;" v +SingleAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class SingleAttribute(univ.Sequence):$/;" c +SingleAttributeValues adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class SingleAttributeValues(univ.SetOf):$/;" c +SingleByteCharSetModel adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^SingleByteCharSetModel = namedtuple('SingleByteCharSetModel',$/;" v +SingleByteCharSetProber adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^class SingleByteCharSetProber(CharSetProber):$/;" c +SingleByteCharSetProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^class SingleByteCharSetProber(CharSetProber):$/;" c +SingleDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/numpy_dataset.py /^class SingleDevice(object):$/;" c +SingleFigurePage adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ class SingleFigurePage(tornado.web.RequestHandler):$/;" c class:WebAggApplication +SingleFlagValidator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^class SingleFlagValidator(Validator):$/;" c +SingleLayerLinearDynamicModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class SingleLayerLinearDynamicModel(torch.nn.Module):$/;" c +SingleLayerLinearModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class SingleLayerLinearModel(torch.nn.Module):$/;" c +SinglePubInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class SinglePubInfo(univ.Sequence):$/;" c +SinglePubInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class SinglePubInfo(univ.Sequence):$/;" c +singleQuoteSetsRe adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^singleQuoteSetsRe = r"""'"(?=\\w)"""$/;" v +singleQuoteStartRe adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^singleQuoteStartRe = r"^'(?=%s\\B)" % punctClass$/;" v +SingleResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class SingleResponse(univ.Sequence):$/;" c +SingleResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class SingleResponse(univ.Sequence):$/;" c +SingleThreadedUnaryStream adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^ SingleThreadedUnaryStream = "SingleThreadedUnaryStream"$/;" v class:ChannelOptions +SingleValueConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class SingleValueConstraint(AbstractConstraint):$/;" c +SingleWorkerTestBaseEager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class SingleWorkerTestBaseEager(test.TestCase):$/;" c +SingleWorkerTestBaseGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^class SingleWorkerTestBaseGraph(MultiWorkerTestBase):$/;" c +single_batch_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def single_batch_iterator(strategy,$/;" f +single_compile adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ def single_compile(args):$/;" f function:CCompiler_compile file: +SINGLE_CORE_ASSIGNMENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^SINGLE_CORE_ASSIGNMENT = [[[0, 0, 0, 0]]]$/;" v +single_dim_common adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^single_dim_common = merge_dicts(reduceops_common_args, parse_kwargs("""$/;" v +single_ellipsis_index adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def single_ellipsis_index(names, fn_name):$/;" f +SINGLE_FEATURE_DEFAULT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^SINGLE_FEATURE_DEFAULT_NAME = 'feature'$/;" v +single_group adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^ def single_group(vals):$/;" f function:_stats file: +single_group adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^ def single_group(vals, positions):$/;" f function:_select file: +SINGLE_LABEL_DEFAULT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^SINGLE_LABEL_DEFAULT_NAME = 'label'$/;" v +SINGLE_LAYER_OUTPUT_ERROR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^SINGLE_LAYER_OUTPUT_ERROR_MSG = ('All layers in a Sequential model should have '$/;" v +single_loss_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/single_loss_example.py /^def single_loss_example(optimizer_fn, distribution, use_bias=False,$/;" f +single_method_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ def single_method_decorator(f):$/;" f function:run_all_keras_modes file: +single_method_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ def single_method_decorator(f):$/;" f function:run_with_all_model_types file: +single_method_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ def single_method_decorator(f):$/;" f function:run_with_all_saved_model_formats file: +single_offset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def single_offset(self, shape):$/;" m class:_PartitionInfo +SINGLE_RECEIVER_DEFAULT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^SINGLE_RECEIVER_DEFAULT_NAME = 'input'$/;" v +single_shot adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def single_shot(self):$/;" m class:TimerBase +single_shot adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def single_shot(self, ss):$/;" m class:TimerBase +single_slice_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def single_slice_dim(self, shape):$/;" m class:_PartitionInfo +single_threaded_process_group_agent adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def single_threaded_process_group_agent(f):$/;" f +SingularMonitoredSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class SingularMonitoredSession(_MonitoredSession):$/;" c +singular_leading_submatrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^def singular_leading_submatrix(A, U, k):$/;" f +sinh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def sinh(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +sinh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sinh = np.sinh$/;" v +sinh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sinh(x: Array) -> Array:$/;" f +sinh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^sinh = _one_to_one_unop(np.sinh, lax.sinh, True)$/;" v +sinh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^sinh = _MaskedUnaryOperation(umath.sinh)$/;" v +sinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sinh(x, name=None):$/;" f +Sinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sinh = tf_export("raw_ops.Sinh")(_ops.to_raw_op(sinh))$/;" v +sinh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def sinh(x):$/;" f +sinhm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def sinhm(A):$/;" f +sinh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sinh_eager_fallback(x, name, ctx):$/;" f +sinh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sinh_p = standard_unop(_float | _complex, 'sinh')$/;" v +sinm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def sinm(A):$/;" f +sinpi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^from scipy.special._ufuncs import _sinpi as sinpi$/;" x +SInt32ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def SInt32ByteSize(field_number, int32):$/;" f +SInt32Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^SInt32Decoder = _ModifiedDecoder($/;" v +SInt32Encoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^SInt32Encoder = SInt64Encoder = _ModifiedEncoder($/;" v +SInt32Sizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^SInt32Sizer = SInt64Sizer = _ModifiedSizer($/;" v +SInt64ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def SInt64ByteSize(field_number, int64):$/;" f +SInt64Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^SInt64Decoder = _ModifiedDecoder($/;" v +sinusoid_embedding_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^ def sinusoid_embedding_op(positions):$/;" f member:TestSinusoidPositionEncodingOp.test_sinusoid_embedding file: +sinusoid_encoding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^ def sinusoid_encoding(dim, position):$/;" f member:TestSinusoidPositionEncodingOp.test_sinusoid_embedding file: +sin_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sin_eager_fallback(x, name, ctx):$/;" f +sin_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sin_p = standard_unop(_float | _complex, 'sin')$/;" v +sin_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def sin_ref(input_tensor):$/;" f member:TestOperators.test_sin file: +sio tests/test_utils.py /^import scipy.io as sio$/;" I +SIPROLAB_ACELP4800 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_ACELP4800 = 0x0131$/;" v class:WAVE_FORMAT +SIPROLAB_ACELP8V3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_ACELP8V3 = 0x0132$/;" v class:WAVE_FORMAT +SIPROLAB_ACEPLNET adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_ACEPLNET = 0x0130$/;" v class:WAVE_FORMAT +SIPROLAB_G729 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_G729 = 0x0133$/;" v class:WAVE_FORMAT +SIPROLAB_G729A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_G729A = 0x0134$/;" v class:WAVE_FORMAT +SIPROLAB_KELVIN adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SIPROLAB_KELVIN = 0x0135$/;" v class:WAVE_FORMAT +SIREntityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class SIREntityName(univ.Sequence):$/;" c +SIREntityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^SIREntityName = rfc7191.SIREntityName$/;" v +SIREntityNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class SIREntityNames(univ.SequenceOf):$/;" c +SIREntityNameType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class SIREntityNameType(univ.ObjectIdentifier):$/;" c +SIREntityNameValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class SIREntityNameValue(univ.Any):$/;" c +siren_dn adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^class siren_dn(SIREntityName):$/;" c +site_and_parse adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def site_and_parse(c, site_cfg):$/;" f member:TestSystemInfoReading.setup file: +site_cfg adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^site_cfg = simple_site$/;" v +site_config_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def site_config_dir(self):$/;" m class:AppDirs +site_config_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def site_config_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_config_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def site_config_dir(self):$/;" m class:AppDirs +site_config_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def site_config_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_config_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def site_config_dir(self):$/;" m class:AppDirs +site_config_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def site_config_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_config_dirs adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/appdirs.py /^def site_config_dirs(appname):$/;" f +site_data_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def site_data_dir(self):$/;" m class:AppDirs +site_data_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def site_data_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_data_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def site_data_dir(self):$/;" m class:AppDirs +site_data_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def site_data_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_data_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def site_data_dir(self):$/;" m class:AppDirs +site_data_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def site_data_dir(appname=None, appauthor=None, version=None, multipath=False):$/;" f +site_packages adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ site_packages = distutils_sysconfig.get_python_lib()$/;" v +site_packages adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^site_packages = sysconfig.get_path("purelib") # type: Optional[str]$/;" v +site_packages_writable adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^def site_packages_writable(root, isolated):$/;" f +six adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^import torch._six as six$/;" I +sixtofour adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def sixtofour(self):$/;" m class:IPv6Address +sixu adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^def sixu(s):$/;" f +SIZE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^SIZE = 0$/;" v +size adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ size = None$/;" v class:Empty +size adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def size(self):$/;" m class:Dataset +size adpepsenv/lib/python3.8/site-packages/jax/api.py /^ size = property(lambda self: prod(self.shape))$/;" v class:ShapeDtypeStruct +size adpepsenv/lib/python3.8/site-packages/jax/core.py /^ size = property(lambda self: prod(self.shape))$/;" v class:ShapedArray +Size adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^Size = Union[int, Poly]$/;" v +size adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def size(self):$/;" m class:Mesh +size adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def size(self):$/;" m class:_DeviceArray +size adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^size = np.size$/;" v +size adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ size = 0.1$/;" v class:SmallFilledCircles +size adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ size = 0.2$/;" v class:SmallCircles +size adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ size = 0.35$/;" v class:LargeCircles +size adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ size = 1.0 \/ 3.0$/;" v class:Stars +size adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def size(self):$/;" m class:BboxBase +Size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid/__init__.py /^from . import axes_size as Size$/;" x +Size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^from . import axes_size as Size$/;" x +Size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^from . import axes_size as Size$/;" x +Size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/__init__.py /^from . import axes_size as Size$/;" x +Size adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^ axes_size as Size, host_subplot, make_axes_locatable, AxesGrid, ImageGrid)$/;" x +size adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def size(a, axis=None):$/;" f +size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^size = 10$/;" v +size adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def size(obj, axis=None):$/;" f +size adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline npy_intp size(self) nogil:$/;" m class:numpy +size adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def size(self):$/;" m class:IcnsImageFile +size adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def size(self, value):$/;" m class:IcnsImageFile +size adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def size(self):$/;" m class:IcoImageFile +size adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def size(self, value):$/;" m class:IcoImageFile +size adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def size(self):$/;" m class:Image +SIZE adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^SIZE = "Image size (x*y)"$/;" v +size adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def size(self):$/;" m class:Resource +size adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def size(self):$/;" m class:DType +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def size(self):$/;" m class:CursesNavigationHistory +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def size(self):$/;" m class:DebugDumpDir +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def size(self):$/;" m class:InfNanAlert +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def size(self):$/;" m class:FileIO +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def size(input, name=None, out_type=dtypes.int32):$/;" f +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def size(self, name=None):$/;" m class:MapStagingArea +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def size(self, name=None):$/;" m class:QueueBase +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def size(self, name=None):$/;" m class:StagingArea +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def size(input, out_type=_dtypes.int32, name=None):$/;" f +Size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Size = tf_export("raw_ops.Size")(_ops.to_raw_op(size))$/;" v +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:DenseHashTable +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:IdTableWithHashBuckets +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:InitializableLookupTableBase +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:LookupInterface +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:MutableHashTable +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def size(self, name=None):$/;" m class:StaticVocabularyTable +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def size(self):$/;" m class:ndarray +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def size(x, axis=None): # pylint: disable=missing-docstring$/;" f +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def size(input, out_type=dtypes.int32, name=None): # pylint: disable=redefined-builtin$/;" f +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def size(self):$/;" m class:FuncRegistry +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def size(self, name=None):$/;" m class:TensorArray +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def size(self, name=None):$/;" m class:_EagerTensorArray +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def size(self, name=None):$/;" m class:_GraphTensorArray +size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def size(self, name=None):$/;" m class:_GraphTensorArrayV2 +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import size_v2 as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_size as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import size_v2 as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_size as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import size_v2 as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_size as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import size_v2 as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import size_v2 as size$/;" x +size adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def size(self, name=None):$/;" m class:_MutableDenseHashTable +size adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def size(self, name=None):$/;" m class:_ShardedMutableDenseHashTable +size adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ size = cuFFTPlanCacheAttrContextProp($/;" v class:cuFFTPlanCache +size adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def size(g, self, dim=None):$/;" f +size adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def size(g, self, dim=None):$/;" f +size adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def size(self) -> int:$/;" m class:Storage +SizedInteger adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^class SizedInteger(SizedIntegerBase):$/;" c +SizedIntegerBase adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ SizedIntegerBase = long$/;" v +SizeFromFunc adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class SizeFromFunc(_Base):$/;" c +sizeHint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def sizeHint(self):$/;" m class:FigureCanvasQT +sizeof adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^sizeof = {"float": 4, "at::Half": 2, "uint8_t": 1}$/;" v +sizes adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def sizes(self):$/;" m class:DynamicAxisEnv +sizes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^sizes = np.array([2, 3, 4, 5, 4, 3, 2, 6, 5, 4, 3])$/;" v +SIZES adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ SIZES = {$/;" v class:IcnsFile +sizes adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def sizes(self):$/;" m class:IcoFile +SIZES adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^SIZES = [60, 24, 12] + list(NS) + [2 * n for n in NS]$/;" v +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ sizeSpec = constraint.ConstraintsIntersection()$/;" v class:ConstructedAsn1Type +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint($/;" v class:VarBindList +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:AuthorityInfoAccessSyntax +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:CertificatePolicies +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:CRLDistPointsSyntax +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:Extensions +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:ExtKeyUsageSyntax +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:GeneralNames +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:GeneralSubtrees +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:PolicyMappings +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:SubjectDirectoryAttributes +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, ub_domain_defined_at/;" v class:BuiltInDomainDefinedAttributes +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, ub_domain_defined_at/;" v class:TeletexDomainDefinedAttributes +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, ub_organizational_un/;" v class:OrganizationalUnitNames +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, ub_organizational_un/;" v class:TeletexOrganizationalUnitNames +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ sizeSpec = univ.SetOf.sizeSpec + constraint.ValueSizeConstraint(1, ub_extension_attributes)$/;" v class:ExtensionAttributes +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:CertReqMessages +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:Controls +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3447.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:OtherPrimeInfos +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:PKIFreeText +sizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4210.py /^ sizeSpec = univ.SequenceOf.sizeSpec + constraint.ValueSizeConstraint(1, MAX)$/;" v class:PKIMessages +size_allocate adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def size_allocate(self, widget, allocation):$/;" m class:FigureCanvasGTK3 +size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def size_eager_fallback(input, out_type, name, ctx):$/;" f +size_from_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def size_from_dim(X, axis):$/;" f member:TestMatMul.test_matmul_axis file: +size_inferred adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ size_inferred = 2$/;" v class:TestUfunc +size_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def size_internal(input, name=None, optimize=True, out_type=dtypes.int32):$/;" f +size_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def size_op(tensor):$/;" f member:TestUtilityOps.test_size_op file: +size_to_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def size_to_dim(X, axis):$/;" f member:TestMatMul.test_matmul_axis file: +size_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def size_v2(input, out_type=dtypes.int32, name=None):$/;" f +SJISContextAnalysis adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^class SJISContextAnalysis(JapaneseContextAnalysis):$/;" c +SJISContextAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^class SJISContextAnalysis(JapaneseContextAnalysis):$/;" c +SJISDistributionAnalysis adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^class SJISDistributionAnalysis(CharDistributionAnalysis):$/;" c +SJISDistributionAnalysis adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^class SJISDistributionAnalysis(CharDistributionAnalysis):$/;" c +SJISProber adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^class SJISProber(MultiByteCharSetProber):$/;" c +SJISProber adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^class SJISProber(MultiByteCharSetProber):$/;" c +SJIS_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^SJIS_CHAR_LEN_TABLE = (0, 1, 1, 2, 0, 0)$/;" v +SJIS_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^SJIS_CHAR_LEN_TABLE = (0, 1, 1, 2, 0, 0)$/;" v +SJIS_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^SJIS_CLS = ($/;" v +SJIS_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^SJIS_CLS = ($/;" v +SJIS_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^SJIS_SM_MODEL = {'class_table': SJIS_CLS,$/;" v +SJIS_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^SJIS_SM_MODEL = {'class_table': SJIS_CLS,$/;" v +SJIS_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^SJIS_ST = ($/;" v +SJIS_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^SJIS_ST = ($/;" v +skellam adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^skellam = skellam_gen(a=-np.inf, name="skellam", longname='A Skellam')$/;" v +skellam_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class skellam_gen(rv_discrete):$/;" c +skew adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def skew(self, xShear, yShear):$/;" m class:Affine2D +skew adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def skew(a, axis=0, bias=True):$/;" f +skew adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def skew(a, axis=0, bias=True, nan_policy='propagate'):$/;" f +skewnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^skewnorm = skew_norm_gen(name='skewnorm')$/;" v +SkewSpine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^class SkewSpine(mspines.Spine):$/;" c +skewtest adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def skewtest(a, axis=0):$/;" f +skewtest adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def skewtest(a, axis=0, nan_policy='propagate'):$/;" f +SkewtestResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^SkewtestResult = namedtuple('SkewtestResult', ('statistic', 'pvalue'))$/;" v +SkewtestResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^SkewtestResult = namedtuple('SkewtestResult', ('statistic', 'pvalue'))$/;" v +SkewXAxes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^class SkewXAxes(Axes):$/;" c +SkewXAxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^class SkewXAxis(maxis.XAxis):$/;" c +SkewXTick adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^class SkewXTick(maxis.XTick):$/;" c +skew_deg adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def skew_deg(self, xShear, yShear):$/;" m class:Affine2D +skew_norm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class skew_norm_gen(rv_continuous):$/;" c +SKeyAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class SKeyAttribute(univ.Sequence):$/;" c +sKeyAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^sKeyAttributesMap = {$/;" v +SKeyPkgAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class SKeyPkgAttribute(univ.Sequence):$/;" c +sKeyPkgAttributesMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^sKeyPkgAttributesMap = {$/;" v +skimmer adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ def skimmer(src, dst):$/;" f member:install_egg_info.copytree file: +skip adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^skip = unittest.skip$/;" v +skip adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def skip(test_method): # pylint: disable=missing-docstring$/;" f function:skip_on_flag file: +skip adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def skip(test_method):$/;" f function:skip_on_devices file: +skip adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ skip = []$/;" v class:F2PyTest +Skip adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def Skip(self, marker):$/;" f +skip adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def skip(self, bits):$/;" m class:BitStream +skip adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def skip(self, chars=spaceCharactersBytes):$/;" m class:EncodingBytes +skip adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def skip(self):$/;" m class:Unpacker +skip adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ skip = ['ncf', 'ksone', 'kstwo']$/;" v class:TestFitMethod +skip adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def skip(self, chars=spaceCharactersBytes):$/;" m class:EncodingBytes +skip adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def skip(self, count):$/;" m class:DatasetV1 +skip adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def skip(self, count):$/;" m class:DatasetV2 +skip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def skip(self, delta):$/;" m class:Generator +skipblocksuntil adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^skipblocksuntil = -1$/;" v +SkipCerts adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class SkipCerts(univ.Integer):$/;" c +SkipCerts adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SkipCerts(univ.Integer):$/;" c +SkipCerts adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SkipCerts(univ.Integer):$/;" c +skipCPUIf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class skipCPUIf(skipIf):$/;" c +skipCPUIfNoLapack adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCPUIfNoLapack(fn):$/;" f +skipCPUIfNoMkl adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCPUIfNoMkl(fn):$/;" f +skipCUDAIf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class skipCUDAIf(skipIf):$/;" c +skipCUDAIfCudnnVersionLessThan adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCUDAIfCudnnVersionLessThan(version=0):$/;" f +skipCUDAIfNoCudnn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCUDAIfNoCudnn(fn):$/;" f +skipCUDAIfNoMagma adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCUDAIfNoMagma(fn):$/;" f +skipCUDAIfNotRocm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCUDAIfNotRocm(fn):$/;" f +skipCUDAIfRocm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def skipCUDAIfRocm(fn):$/;" f +skipCUDAMemoryLeakCheckIf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipCUDAMemoryLeakCheckIf(condition):$/;" f +skipCUDANonDefaultStreamIf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipCUDANonDefaultStreamIf(condition):$/;" f +SkipDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class SkipDataset(UnaryUnchangedStructureDataset):$/;" c +SkipDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^SkipDataset = tf_export("raw_ops.SkipDataset")(_ops.to_raw_op(skip_dataset))$/;" v +skipemptyends adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ skipemptyends = 1$/;" v +skipemptyends adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^skipemptyends = 0 # for old F77 programs without 'program' statement$/;" v +SkipField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def SkipField(buffer, pos, end, tag_bytes):$/;" f function:_FieldSkipper file: +SkipField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^SkipField = _FieldSkipper()$/;" v +skipfuncs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^skipfuncs = []$/;" v +skipfunctions adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^skipfunctions = []$/;" v +SkipGramOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SkipGramOptions = 18$/;" v class:BuiltinOptions +SkipGramOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SkipGramOptions(object):$/;" c +SkipGramOptionsAddIncludeAllNgrams adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SkipGramOptionsAddIncludeAllNgrams(builder, includeAllNgrams): builder.PrependBoolSlot(2, in/;" f +SkipGramOptionsAddMaxSkipSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SkipGramOptionsAddMaxSkipSize(builder, maxSkipSize): builder.PrependInt32Slot(1, maxSkipSize/;" f +SkipGramOptionsAddNgramSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SkipGramOptionsAddNgramSize(builder, ngramSize): builder.PrependInt32Slot(0, ngramSize, 0)$/;" f +SkipGramOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SkipGramOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SkipGramOptions +SkipGramOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SkipGramOptionsEnd(builder): return builder.EndObject()$/;" f +SkipGramOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SkipGramOptionsStart(builder): builder.StartObject(3)$/;" f +SkipGramOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SkipGramOptionsT(object):$/;" c +skipgrams adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^def skipgrams(sequence, vocabulary_size,$/;" f +skipgrams adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/sequence.py /^skipgrams = sequence.skipgrams$/;" v +skipIf adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^skipIf = unittest.skipIf$/;" v +skipif adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def skipif(skip_condition, msg=None):$/;" f +skipIf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^class skipIf(object):$/;" c +skipIfCompiledWithoutNumpy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipIfCompiledWithoutNumpy(fn):$/;" f +skipIFDs adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def skipIFDs(self):$/;" m class:AppendingTiffWriter +skipIfNoFBGEMM adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def skipIfNoFBGEMM(fn):$/;" f +skipIfNoLapack adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipIfNoLapack(fn):$/;" f +skipIfNoSciPy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipIfNoSciPy(fn):$/;" f +skipIfNoTorchVision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^skipIfNoTorchVision = unittest.skipIf(not HAS_TORCHVISION, "no torchvision")$/;" v +skipIfNotRegistered adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipIfNotRegistered(op_name, message):$/;" f +skipIfRocm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def skipIfRocm(fn):$/;" f +SkipInfo adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class SkipInfo(object):$/;" c +skipMethods adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ skipMethods = set($/;" v class:NoValue +SKIPPABLE_HEADERS adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^SKIPPABLE_HEADERS = frozenset(["accept-encoding", "host", "user-agent"])$/;" v +skipped_extensions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ skipped_extensions = ('.pyc', '.pyo', '.class')$/;" v class:ResourceFinder +skipper adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ skipper = lambda v, z: (abs(v) > 50)$/;" f member:TestBessel.test_yv_cephes_vs_amos_only_small_orders file: +skipper_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def skipper_func(*args, **kwargs):$/;" f function:skipif.skip_decorator file: +skipper_gen adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def skipper_gen(*args, **kwargs):$/;" f function:skipif.skip_decorator file: +skipping_checks adpepsenv/lib/python3.8/site-packages/jax/core.py /^def skipping_checks():$/;" f +SkipQuantModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class SkipQuantModel(torch.nn.Module):$/;" c +SkipTest adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^SkipTest = unittest.SkipTest$/;" v +SkipTo adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class SkipTo(ParseElementEnhance):$/;" c +SkipTo adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class SkipTo(ParseElementEnhance):$/;" c +SkipTo adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class SkipTo(ParseElementEnhance):$/;" c +SkipTo adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class SkipTo(ParseElementEnhance):$/;" c +skipTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def skipTypes(self):$/;" m class:TagMap +skipUnless adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^skipUnless = unittest.skipUnless$/;" v +skipUntil adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def skipUntil(self, chars):$/;" m class:EncodingBytes +skipUntil adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def skipUntil(self, chars):$/;" m class:EncodingBytes +skip_backend adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^def skip_backend(backend):$/;" f +skip_backend adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def skip_backend(backend):$/;" f +skip_checks adpepsenv/lib/python3.8/site-packages/jax/core.py /^skip_checks = not FLAGS.jax_enable_checks$/;" v +skip_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def skip_dataset(input_dataset, count, output_types, output_shapes, name=None):$/;" f +skip_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def skip_dataset_eager_fallback(input_dataset, count, output_types, output_shapes, name, ctx):$/;" f +skip_decorator adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def skip_decorator(f):$/;" f function:skipif file: +skip_entry adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def skip_entry(self, arcname):$/;" m class:Wheel +skip_failed_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def skip_failed_serialization():$/;" f +skip_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^skip_fit = [$/;" v +skip_fit_fix_test adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^skip_fit_fix_test = ['burr', 'exponpow', 'exponweib',$/;" v +skip_fit_test adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^skip_fit_test = ['exponpow', 'exponweib', 'gausshyper', 'genexpon',$/;" v +SKIP_GRAM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SKIP_GRAM = 30$/;" v class:BuiltinOperator +SKIP_HEADER adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^SKIP_HEADER = "@@@SKIP_HEADER@@@"$/;" v +skip_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def skip_if(condition):$/;" f +skip_if_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def skip_if_error(test_obj, error_type, messages=None):$/;" f +skip_if_grpc_server_cant_be_started adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def skip_if_grpc_server_cant_be_started(test_obj):$/;" f +skip_if_lt_x_gpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_lt_x_gpu(x):$/;" f +skip_if_not_multigpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_not_multigpu(func):$/;" f +skip_if_no_gpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_no_gpu(func):$/;" f +skip_if_no_torchvision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^skip_if_no_torchvision = unittest.skipIf(not HAS_TORCHVISION, "no torchvision")$/;" v +skip_if_rocm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_rocm(func):$/;" f +skip_if_rocm_single_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_rocm_single_process(func):$/;" f +skip_if_small_worldsize adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_small_worldsize(func):$/;" f +skip_if_unsupported_type adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def skip_if_unsupported_type(dtype):$/;" f +skip_if_win32 adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^def skip_if_win32():$/;" f +SKIP_LIST adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^SKIP_LIST = [$/;" v +SKIP_LIST_2 adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^SKIP_LIST_2 = [$/;" v +skip_log_prefix adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def skip_log_prefix(func):$/;" f +skip_longcomplex_msg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ skip_longcomplex_msg = ('Trig functions of np.longcomplex values known to be '$/;" v +skip_magic adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def skip_magic(code_line, magic_list):$/;" f +skip_on_devices adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def skip_on_devices(*disabled_devices):$/;" f +skip_on_flag adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def skip_on_flag(flag_name, skip_value):$/;" f +SKIP_PROCESSING adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ SKIP_PROCESSING = ($/;" v class:Basic +skip_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/summary_op_util.py /^def skip_summary():$/;" f +skip_target_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def skip_target_weights(self):$/;" m class:_TrainingTarget +skip_tester adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def skip_tester():$/;" f member:TestNoseDecorators.test_skip_functions_callable file: +skip_tester adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def skip_tester():$/;" f member:TestNoseDecorators.test_skip_generators_callable file: +skip_these_tests adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def skip_these_tests():$/;" m class:LinearOperatorDerivedClassTest +skip_these_tests adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def skip_these_tests():$/;" m class:NonSquareLinearOperatorDerivedClassTest +skip_val adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ skip_val = lambda: skip_condition()$/;" f function:skipif.skip_decorator file: +sksparse_available adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ sksparse_available = False$/;" v +sksparse_available adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^ sksparse_available = True$/;" v +sksparse_available adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ sksparse_available = False$/;" v +sksparse_available adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ sksparse_available = True$/;" v +sl adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^import scipy.linalg as sl$/;" I +slabad adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slabad(s *small, s *large) nogil$/;" f +slabrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slabrd(int *m, int *n, int *nb, s *a, int *lda, s *d, s *e, s *tauq, s *taup, s *x, in/;" f +slack_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/slack.py /^def slack_compliance_fix(session):$/;" f +slacn2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slacn2(int *n, s *v, s *x, int *isgn, s *est, int *kase, int *isave) nogil$/;" f +slacon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slacon(int *n, s *v, s *x, int *isgn, s *est, int *kase) nogil$/;" f +slacpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slacpy(char *uplo, int *m, int *n, s *a, int *lda, s *b, int *ldb) nogil$/;" f +sladiv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sladiv(s *a, s *b, s *c, s *d, s *p, s *q) nogil$/;" f +slae2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slae2(s *a, s *b, s *c, s *rt1, s *rt2) nogil$/;" f +slaebz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaebz(int *ijob, int *nitmax, int *n, int *mmax, int *minp, int *nbmin, s *abstol, s /;" f +slaed0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed0(int *icompq, int *qsiz, int *n, s *d, s *e, s *q, int *ldq, s *qstore, int *ldq/;" f +slaed1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed1(int *n, s *d, s *q, int *ldq, int *indxq, s *rho, int *cutpnt, s *work, int *iw/;" f +slaed2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed2(int *k, int *n, int *n1, s *d, s *q, int *ldq, int *indxq, s *rho, s *z, s *dla/;" f +slaed3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed3(int *k, int *n, int *n1, s *d, s *q, int *ldq, s *rho, s *dlamda, s *q2, int *i/;" f +slaed4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed4(int *n, int *i, s *d, s *z, s *delta, s *rho, s *dlam, int *info) nogil$/;" f +slaed5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed5(int *i, s *d, s *z, s *delta, s *rho, s *dlam) nogil$/;" f +slaed6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed6(int *kniter, bint *orgati, s *rho, s *d, s *z, s *finit, s *tau, int *info) nog/;" f +slaed7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed7(int *icompq, int *n, int *qsiz, int *tlvls, int *curlvl, int *curpbm, s *d, s */;" f +slaed8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed8(int *icompq, int *k, int *n, int *qsiz, s *d, s *q, int *ldq, int *indxq, s *rh/;" f +slaed9 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaed9(int *k, int *kstart, int *kstop, int *n, s *d, s *q, int *ldq, s *rho, s *dlamd/;" f +slaeda adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaeda(int *n, int *tlvls, int *curlvl, int *curpbm, int *prmptr, int *perm, int *givp/;" f +slaein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaein(bint *rightv, bint *noinit, int *n, s *h, int *ldh, s *wr, s *wi, s *vr, s *vi,/;" f +slaev2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaev2(s *a, s *b, s *c, s *rt1, s *rt2, s *cs1, s *sn1) nogil$/;" f +slaexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaexc(bint *wantq, int *n, s *t, int *ldt, s *q, int *ldq, int *j1, int *n1, int *n2,/;" f +slag2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slag2(s *a, int *lda, s *b, int *ldb, s *safmin, s *scale1, s *scale2, s *wr1, s *wr2,/;" f +slag2d adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slag2d(int *m, int *n, s *sa, int *ldsa, d *a, int *lda, int *info) nogil$/;" f +slags2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slags2(bint *upper, s *a1, s *a2, s *a3, s *b1, s *b2, s *b3, s *csu, s *snu, s *csv, /;" f +slagtf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slagtf(int *n, s *a, s *lambda_, s *b, s *c, s *tol, s *d, int *in_, int *info) nogil$/;" f +slagtm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slagtm(char *trans, int *n, int *nrhs, s *alpha, s *dl, s *d, s *du, s *x, int *ldx, s/;" f +slagts adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slagts(int *job, int *n, s *a, s *b, s *c, s *d, int *in_, s *y, s *tol, int *info) no/;" f +slagv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slagv2(s *a, int *lda, s *b, int *ldb, s *alphar, s *alphai, s *beta, s *csl, s *snl, /;" f +slahqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slahqr(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, s *h, int *ldh, s *wr, s /;" f +slahr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slahr2(int *n, int *k, int *nb, s *a, int *lda, s *tau, s *t, int *ldt, s *y, int *ldy/;" f +slaic1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaic1(int *job, int *j, s *x, s *sest, s *w, s *gamma, s *sestpr, s *s, s *c) nogil$/;" f +slaln2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaln2(bint *ltrans, int *na, int *nw, s *smin, s *ca, s *a, int *lda, s *d1, s *d2, s/;" f +slals0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slals0(int *icompq, int *nl, int *nr, int *sqre, int *nrhs, s *b, int *ldb, s *bx, int/;" f +slalsa adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slalsa(int *icompq, int *smlsiz, int *n, int *nrhs, s *b, int *ldb, s *bx, int *ldbx, /;" f +slalsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slalsd(char *uplo, int *smlsiz, int *n, int *nrhs, s *d, s *e, s *b, int *ldb, s *rcon/;" f +slamch adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slamch(char *cmach) nogil$/;" f +slamrg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slamrg(int *n1, int *n2, s *a, int *strd1, int *strd2, int *index_bn) nogil$/;" f +slangb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slangb(char *norm, int *n, int *kl, int *ku, s *ab, int *ldab, s *work) nogil$/;" f +slange adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slange(char *norm, int *m, int *n, s *a, int *lda, s *work) nogil$/;" f +slangt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slangt(char *norm, int *n, s *dl, s *d, s *du) nogil$/;" f +slanhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slanhs(char *norm, int *n, s *a, int *lda, s *work) nogil$/;" f +slansb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slansb(char *norm, char *uplo, int *n, int *k, s *ab, int *ldab, s *work) nogil$/;" f +slansf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slansf(char *norm, char *transr, char *uplo, int *n, s *a, s *work) nogil$/;" f +slansp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slansp(char *norm, char *uplo, int *n, s *ap, s *work) nogil$/;" f +slanst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slanst(char *norm, int *n, s *d, s *e) nogil$/;" f +slansy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slansy(char *norm, char *uplo, int *n, s *a, int *lda, s *work) nogil$/;" f +slantb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slantb(char *norm, char *uplo, char *diag, int *n, int *k, s *ab, int *ldab, s *work) nog/;" f +slantp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slantp(char *norm, char *uplo, char *diag, int *n, s *ap, s *work) nogil$/;" f +slantr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slantr(char *norm, char *uplo, char *diag, int *m, int *n, s *a, int *lda, s *work) nogil$/;" f +slanv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slanv2(s *a, s *b, s *c, s *d, s *rt1r, s *rt1i, s *rt2r, s *rt2i, s *cs, s *sn) nogil$/;" f +slapll adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slapll(int *n, s *x, int *incx, s *y, int *incy, s *ssmin) nogil$/;" f +slapmr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slapmr(bint *forwrd, int *m, int *n, s *x, int *ldx, int *k) nogil$/;" f +slapmt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slapmt(bint *forwrd, int *m, int *n, s *x, int *ldx, int *k) nogil$/;" f +slapy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slapy2(s *x, s *y) nogil$/;" f +slapy3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef s slapy3(s *x, s *y, s *z) nogil$/;" f +slaqgb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqgb(int *m, int *n, int *kl, int *ku, s *ab, int *ldab, s *r, s *c, s *rowcnd, s *c/;" f +slaqge adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqge(int *m, int *n, s *a, int *lda, s *r, s *c, s *rowcnd, s *colcnd, s *amax, char/;" f +slaqp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqp2(int *m, int *n, int *offset, s *a, int *lda, int *jpvt, s *tau, s *vn1, s *vn2,/;" f +slaqps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqps(int *m, int *n, int *offset, int *nb, int *kb, s *a, int *lda, int *jpvt, s *ta/;" f +slaqr0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr0(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, s *h, int *ldh, s *wr, s /;" f +slaqr1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr1(int *n, s *h, int *ldh, s *sr1, s *si1, s *sr2, s *si2, s *v) nogil$/;" f +slaqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr2(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, s *h, int *ldh/;" f +slaqr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr3(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, s *h, int *ldh/;" f +slaqr4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr4(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, s *h, int *ldh, s *wr, s /;" f +slaqr5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqr5(bint *wantt, bint *wantz, int *kacc22, int *n, int *ktop, int *kbot, int *nshft/;" f +slaqsb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqsb(char *uplo, int *n, int *kd, s *ab, int *ldab, s *s, s *scond, s *amax, char *e/;" f +slaqsp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqsp(char *uplo, int *n, s *ap, s *s, s *scond, s *amax, char *equed) nogil$/;" f +slaqsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqsy(char *uplo, int *n, s *a, int *lda, s *s, s *scond, s *amax, char *equed) nogil$/;" f +slaqtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaqtr(bint *ltran, bint *lreal, int *n, s *t, int *ldt, s *b, s *w, s *scale, s *x, s/;" f +slar1v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slar1v(int *n, int *b1, int *bn, s *lambda_, s *d, s *l, s *ld, s *lld, s *pivmin, s */;" f +slar2v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slar2v(int *n, s *x, s *y, s *z, int *incx, s *c, s *s, int *incc) nogil$/;" f +slarf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarf(char *side, int *m, int *n, s *v, int *incv, s *tau, s *c, int *ldc, s *work) no/;" f +slarfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, s /;" f +slarfg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarfg(int *n, s *alpha, s *x, int *incx, s *tau) nogil$/;" f +slarfgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarfgp(int *n, s *alpha, s *x, int *incx, s *tau) nogil$/;" f +slarft adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarft(char *direct, char *storev, int *n, int *k, s *v, int *ldv, s *tau, s *t, int */;" f +slarfx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarfx(char *side, int *m, int *n, s *v, s *tau, s *c, int *ldc, s *work) nogil$/;" f +slargv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slargv(int *n, s *x, int *incx, s *y, int *incy, s *c, int *incc) nogil$/;" f +slarnv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarnv(int *idist, int *iseed, int *n, s *x) nogil$/;" f +slarra adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarra(int *n, s *d, s *e, s *e2, s *spltol, s *tnrm, int *nsplit, int *isplit, int *i/;" f +slarrb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrb(int *n, s *d, s *lld, int *ifirst, int *ilast, s *rtol1, s *rtol2, int *offset,/;" f +slarrc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrc(char *jobt, int *n, s *vl, s *vu, s *d, s *e, s *pivmin, int *eigcnt, int *lcnt/;" f +slarrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrd(char *range, char *order, int *n, s *vl, s *vu, int *il, int *iu, s *gers, s *r/;" f +slarre adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarre(char *range, int *n, s *vl, s *vu, int *il, int *iu, s *d, s *e, s *e2, s *rtol/;" f +slarrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrf(int *n, s *d, s *l, s *ld, int *clstrt, int *clend, s *w, s *wgap, s *werr, s */;" f +slarrj adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrj(int *n, s *d, s *e2, int *ifirst, int *ilast, s *rtol, int *offset, s *w, s *we/;" f +slarrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrk(int *n, int *iw, s *gl, s *gu, s *d, s *e2, s *pivmin, s *reltol, s *w, s *werr/;" f +slarrr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrr(int *n, s *d, s *e, int *info) nogil$/;" f +slarrv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarrv(int *n, s *vl, s *vu, s *d, s *l, s *pivmin, int *isplit, int *m, int *dol, int/;" f +slartg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slartg(s *f, s *g, s *cs, s *sn, s *r) nogil$/;" f +slartgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slartgp(s *f, s *g, s *cs, s *sn, s *r) nogil$/;" f +slartgs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slartgs(s *x, s *y, s *sigma, s *cs, s *sn) nogil$/;" f +slartv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slartv(int *n, s *x, int *incx, s *y, int *incy, s *c, s *s, int *incc) nogil$/;" f +slaruv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaruv(int *iseed, int *n, s *x) nogil$/;" f +slarz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarz(char *side, int *m, int *n, int *l, s *v, int *incv, s *tau, s *c, int *ldc, s */;" f +slarzb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarzb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +slarzt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slarzt(char *direct, char *storev, int *n, int *k, s *v, int *ldv, s *tau, s *t, int */;" f +slas2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slas2(s *f, s *g, s *h, s *ssmin, s *ssmax) nogil$/;" f +slascl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slascl(char *type_bn, int *kl, int *ku, s *cfrom, s *cto, int *m, int *n, s *a, int *l/;" f +slasd0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd0(int *n, int *sqre, s *d, s *e, s *u, int *ldu, s *vt, int *ldvt, int *smlsiz, i/;" f +slasd1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd1(int *nl, int *nr, int *sqre, s *d, s *alpha, s *beta, s *u, int *ldu, s *vt, in/;" f +slasd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd2(int *nl, int *nr, int *sqre, int *k, s *d, s *z, s *alpha, s *beta, s *u, int */;" f +slasd3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd3(int *nl, int *nr, int *sqre, int *k, s *d, s *q, int *ldq, s *dsigma, s *u, int/;" f +slasd4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd4(int *n, int *i, s *d, s *z, s *delta, s *rho, s *sigma, s *work, int *info) nog/;" f +slasd5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd5(int *i, s *d, s *z, s *delta, s *rho, s *dsigma, s *work) nogil$/;" f +slasd6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd6(int *icompq, int *nl, int *nr, int *sqre, s *d, s *vf, s *vl, s *alpha, s *beta/;" f +slasd7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd7(int *icompq, int *nl, int *nr, int *sqre, int *k, s *d, s *z, s *zw, s *vf, s */;" f +slasd8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasd8(int *icompq, int *k, s *d, s *z, s *vf, s *vl, s *difl, s *difr, int *lddifr, s/;" f +slasda adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasda(int *icompq, int *smlsiz, int *n, int *sqre, s *d, s *e, s *u, int *ldu, s *vt,/;" f +slasdq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasdq(char *uplo, int *sqre, int *n, int *ncvt, int *nru, int *ncc, s *d, s *e, s *vt/;" f +slasdt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasdt(int *n, int *lvl, int *nd, int *inode, int *ndiml, int *ndimr, int *msub) nogil$/;" f +slaset adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaset(char *uplo, int *m, int *n, s *alpha, s *beta, s *a, int *lda) nogil$/;" f +slasq1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasq1(int *n, s *d, s *e, s *work, int *info) nogil$/;" f +slasq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasq2(int *n, s *z, int *info) nogil$/;" f +slasq3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasq3(int *i0, int *n0, s *z, int *pp, s *dmin, s *sigma, s *desig, s *qmax, int *nfa/;" f +slasq4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasq4(int *i0, int *n0, s *z, int *pp, int *n0in, s *dmin, s *dmin1, s *dmin2, s *dn,/;" f +slasq6 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasq6(int *i0, int *n0, s *z, int *pp, s *dmin, s *dmin1, s *dmin2, s *dn, s *dnm1, s/;" f +slasr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasr(char *side, char *pivot, char *direct, int *m, int *n, s *c, s *s, s *a, int *ld/;" f +slasrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasrt(char *id, int *n, s *d, int *info) nogil$/;" f +slassq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slassq(int *n, s *x, int *incx, s *scale, s *sumsq) nogil$/;" f +slasv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasv2(s *f, s *g, s *h, s *ssmin, s *ssmax, s *snr, s *csr, s *snl, s *csl) nogil$/;" f +slaswp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slaswp(int *n, s *a, int *lda, int *k1, int *k2, int *ipiv, int *incx) nogil$/;" f +slasy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasy2(bint *ltranl, bint *ltranr, int *isgn, int *n1, int *n2, s *tl, int *ldtl, s *t/;" f +slasyf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slasyf(char *uplo, int *n, int *nb, int *kb, s *a, int *lda, int *ipiv, s *w, int *ldw/;" f +slatbs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatbs(char *uplo, char *trans, char *diag, char *normin, int *n, int *kd, s *ab, int /;" f +slatdf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatdf(int *ijob, int *n, s *z, int *ldz, s *rhs, s *rdsum, s *rdscal, int *ipiv, int /;" f +slatps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatps(char *uplo, char *trans, char *diag, char *normin, int *n, s *ap, s *x, s *scal/;" f +slatrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatrd(char *uplo, int *n, int *nb, s *a, int *lda, s *e, s *tau, s *w, int *ldw) nogi/;" f +slatrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatrs(char *uplo, char *trans, char *diag, char *normin, int *n, s *a, int *lda, s *x/;" f +slatrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slatrz(int *m, int *n, int *l, s *a, int *lda, s *tau, s *work) nogil$/;" f +slauu2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slauu2(char *uplo, int *n, s *a, int *lda, int *info) nogil$/;" f +slauum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void slauum(char *uplo, int *n, s *a, int *lda, int *info) nogil$/;" f +sleep adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def sleep(self):$/;" m class:Backoff +sleep adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def sleep(self, response=None):$/;" m class:Retry +sleep adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^def sleep(sleep_microseconds):$/;" f +sleep adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def sleep(t):$/;" f +sleep adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def sleep(self, response=None):$/;" m class:Retry +SleepDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SleepDataset = tf_export("raw_ops.SleepDataset")(_ops.to_raw_op(sleep_dataset))$/;" v +sleep_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sleep_dataset(input_dataset, sleep_microseconds, output_types, output_shapes, name=None):$/;" f +sleep_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sleep_dataset_eager_fallback(input_dataset, sleep_microseconds, output_types, output_shapes,/;" f +sleep_for_retry adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def sleep_for_retry(self, response=None):$/;" m class:Retry +sleep_for_retry adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def sleep_for_retry(self, response=None):$/;" m class:Retry +sleep_op adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def sleep_op(*args, **argd):$/;" f member:ReaderWithDelay.read_ex file: +slice adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def slice(self):$/;" m class:Field +slice adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def slice(operand, start_indices, limit_indices, strides=None): # pylint: disable=redefined-bui/;" f +slice adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def slice(operand: Array, start_indices: Sequence[int],$/;" f +slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def slice(x, start_dims, limit_dims, strides):$/;" f +SLICE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SLICE = 65$/;" v class:BuiltinOperator +slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def slice(self, begin, end):$/;" m class:RichTextLines +slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def slice(input_, begin, size, name=None):$/;" f +Slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Slice = tf_export("raw_ops.Slice")(_ops.to_raw_op(_slice))$/;" v +slice adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_slice as slice$/;" x +slice adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_slice as slice$/;" x +slice adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_slice as slice$/;" x +slice adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def slice(g, input, dim, start, end, step):$/;" f +slice adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def slice(g, self, *args):$/;" f +slice adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def slice(g, self, *args):$/;" f +SliceAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class SliceAggregator(Aggregator):$/;" c +SLICED adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ SLICED = 5$/;" v class:InputPipelineConfig +SliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SliceOptions = 48$/;" v class:BuiltinOptions +SliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SliceOptions(object):$/;" c +SliceOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SliceOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SliceOptions +SliceOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SliceOptionsEnd(builder): return builder.EndObject()$/;" f +SliceOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SliceOptionsStart(builder): builder.StartObject(0)$/;" f +SliceOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SliceOptionsT(object):$/;" c +SliceTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/slices.py /^class SliceTransformer(converter.Base):$/;" c +SLICE_ALL adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^SLICE_ALL = slice(None)$/;" v +slice_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def slice_array(data):$/;" f function:GenericArrayLikeDataAdapter.slice_inputs.grab_batch.py_method file: +slice_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^def slice_arrays(arrays, indices, contiguous=True):$/;" f +slice_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def slice_arrays(arrays, start=None, stop=None):$/;" f +slice_batch_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def slice_batch_indices(indices):$/;" f member:TensorLikeDataAdapter.__init__ file: +slice_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ slice_fn = lambda: dense_data[:, :self._output_sequence_length]$/;" f member:TextVectorization.call file: +slice_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def slice_fn(tensor):$/;" f member:_PaddingSignals.slice_tensor_or_dict file: +slice_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def slice_inputs(self, indices_dataset, inputs):$/;" m class:GenericArrayLikeDataAdapter +slice_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def slice_inputs(self, indices_dataset, inputs):$/;" m class:TensorLikeDataAdapter +slice_input_producer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def slice_input_producer(tensor_list, num_epochs=None, shuffle=True, seed=None,$/;" f +slice_in_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def slice_in_dim(operand: Array, start_index: Optional[int],$/;" f +slice_n adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def slice_n(n):$/;" f member:TestUfunc.compare_matrix_multiply_results file: +slice_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^slice_p = standard_primitive(_slice_shape_rule, _input_dtype, 'slice',$/;" v +slice_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def slice_ref(x, s, e):$/;" f member:TestOperators.test_slice file: +slice_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def slice_ref(X):$/;" f member:TestUtilityOps.test_slice file: +slice_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def slice_ref(x, starts, ends):$/;" f member:TestUtilityOps.test_slice file: +slice_single_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def slice_single_tensor(tensor):$/;" f member:_PaddingSignals.slice_tensor_or_dict file: +slice_tensor_or_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def slice_tensor_or_dict(tensor_or_dict, signals):$/;" m class:_PaddingSignals +SlicingOpLambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class SlicingOpLambda(TFOpLambda):$/;" c +SlicingTestCase adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class SlicingTestCase(ut.TestCase):$/;" c +Slider adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class Slider(AxesWidget):$/;" c +slider adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/optionaltags.py /^ def slider(self):$/;" m class:Filter +slider adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/optionaltags.py /^ def slider(self):$/;" m class:Filter +SlidingWindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SlidingWindowDataset = tf_export("raw_ops.SlidingWindowDataset")(_ops.to_raw_op(sliding_window_d/;" v +sliding_window_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sliding_window_dataset(input_dataset, window_size, window_shift, window_stride, output_types/;" f +sliding_window_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sliding_window_dataset_eager_fallback(input_dataset, window_size, window_shift, window_strid/;" f +slist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ slist = [b'one', b'two', b'three', b'four', b'five']$/;" v class:TestMRecords +slogdet adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def slogdet(a):$/;" f +slogdet adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def slogdet(a):$/;" f +slogdet adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^slogdet = gen_linalg_ops.log_matrix_determinant$/;" v +slogdet adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import log_matrix_determinant as slogdet$/;" x +slogdet adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import log_matrix_determinant as slogdet$/;" x +slogdet adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import log_matrix_determinant as slogdet$/;" x +Slot adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def Slot(self, slotnum):$/;" m class:Builder +SlotVarCreationFnType adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^SlotVarCreationFnType = Callable[$/;" v +slot_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def slot_creator(name, initializer):$/;" f function:TPUEmbedding._create_variables_and_slots.create_variables file: +slow adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^def slow(t):$/;" f +SlowAppendBFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendBFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendBFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def SlowAppendBFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendBoolArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendBoolArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendBoolArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendBoolArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendComplex128ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendComplex128ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendComplex128ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendComplex128ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendComplex64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendComplex64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendComplex64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendComplex64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def SlowAppendFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat32ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendFloat32ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat32ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendFloat32ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendFloat64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendFloat64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendFloat64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendInt64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendInt64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendInt64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendInt64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendIntArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendIntArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendIntArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendIntArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendObjectArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendObjectArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendObjectArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendObjectArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendQIntArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendQIntArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendQIntArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendQIntArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendUInt32ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendUInt32ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendUInt32ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendUInt32ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendUInt64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def SlowAppendUInt64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowAppendUInt64ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ def SlowAppendUInt64ArrayToTensorProto(tensor_proto, proto_values):$/;" f +SlowPickleClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class SlowPickleClass:$/;" c +slowTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def slowTest(fn):$/;" f +slow_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def slow_add(x, y, device="cpu"):$/;" f +slow_async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def slow_async_add(to, x, y, device="cpu"):$/;" f +slow_func adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def slow_func(x, y, z):$/;" f member:TestNoseDecorators.test_slow file: +slow_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def slow_func(self, v):$/;" m class:TestIterationLimits +slug adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^slug = blob \/ 12 # lbf*s**2\/foot (added in 1.0.0)$/;" v +slugify adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def slugify(value, separator, encoding='ascii'):$/;" f +slugify_unicode adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def slugify_unicode(value, separator):$/;" f +SlurmClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^class SlurmClusterResolver(ClusterResolver):$/;" c +sl_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def sl_bc(ya, yb, p):$/;" f +sl_bc_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def sl_bc_jac(ya, yb, p):$/;" f +sl_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def sl_fun(x, y, p):$/;" f +sl_fun_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def sl_fun_jac(x, y, p):$/;" f +sl_sol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def sl_sol(x, p):$/;" f +sm adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^from tensorflow.python.training import session_manager as sm$/;" x +sm3 adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def sm3(step_size, momentum=0.9):$/;" f +SmallCircles adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class SmallCircles(Circles):$/;" c +smaller_types adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def smaller_types(self):$/;" m class:Type +SmallFilledCircles adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class SmallFilledCircles(SmallCircles):$/;" c +SmallSubclassMLP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class SmallSubclassMLP(models.Model):$/;" c +SMALL_COMPOSITE_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^SMALL_COMPOSITE_SIZES = [$/;" v +SMALL_COMPOSITE_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^SMALL_COMPOSITE_SIZES = [$/;" v +SMALL_PRIME_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^SMALL_PRIME_SIZES = [$/;" v +SMALL_PRIME_SIZES adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^SMALL_PRIME_SIZES = [$/;" v +SmartBroadcastGradientArgs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def SmartBroadcastGradientArgs(x, y, grad):$/;" f +SmartSet adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def SmartSet(self, obj, attr_name, new_attr):$/;" m class:StubOutForTesting +SmartUnsetAll adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def SmartUnsetAll(self):$/;" m class:StubOutForTesting +SmartyExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^class SmartyExtension(Extension):$/;" c +smart_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/smart_cond.py /^def smart_case(pred_fn_pairs, default=None, exclusive=False, name="smart_case"):$/;" f +smart_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/smart_cond.py /^def smart_cond(pred, true_fn=None, false_fn=None, name=None):$/;" f +smart_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^def smart_cond(pred, true_fn=None, false_fn=None, name=None): # pylint: disable=invalid-name$/;" f +smart_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^def smart_cond(pred, true_fn=None, false_fn=None, name=None):$/;" f +smart_constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/smart_cond.py /^def smart_constant_value(pred):$/;" f +SMART_EMPHASIS_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^SMART_EMPHASIS_RE = r'(?<!\\w)(_)(?!_)(.+?)(?<!_)\\1(?!\\w)'$/;" v +smart_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/control_flow_util.py /^from tensorflow.python.framework import smart_cond as smart_module$/;" x +smart_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/layers/utils.py /^from tensorflow.python.framework import smart_cond as smart_module$/;" x +smart_resize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^def smart_resize(x, size, interpolation='bilinear'):$/;" f +SMART_STRONG_EM_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^SMART_STRONG_EM_RE = r'(?<!\\w)(\\_)\\1(?!\\1)(.+?)(?<!\\w)\\1(?!\\1)(.+?)\\1{3}(?!\\w)'$/;" v +SMART_STRONG_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^SMART_STRONG_RE = r'(?<!\\w)(_{2})(?!_)(.+?)(?<!_)\\1(?!\\w)'$/;" v +sma_window adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ sma_window = 10 # Simple Moving Average window$/;" v class:Infinite +smime adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^smime = _OID(pkcs_9, 16)$/;" v +SMIMECapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class SMIMECapabilities(univ.SequenceOf):$/;" c +smimeCapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^smimeCapabilities = CMSSingleAttribute()$/;" v +SMIMECapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^class SMIMECapabilities(univ.SequenceOf):$/;" c +smimeCapabilities adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^smimeCapabilities = univ.ObjectIdentifier('1.2.840.113549.1.9.15')$/;" v +SMIMECapabilitiesParametersForRC2CBC adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^class SMIMECapabilitiesParametersForRC2CBC(univ.Integer):$/;" c +SMIMECapability adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^class SMIMECapability(AlgorithmIdentifier):$/;" c +SMIMECapability adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^class SMIMECapability(univ.Sequence):$/;" c +smimeCapabilityMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^smimeCapabilityMap = { }$/;" v +SMIMEEncryptionKeyPreference adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^class SMIMEEncryptionKeyPreference(univ.Choice):$/;" c +smirnov adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double smirnov(dl_number_t x0, double x1) nogil$/;" f +smirnovi adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double smirnovi(dl_number_t x0, double x1) nogil$/;" f +SMOOTH adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class SMOOTH(BuiltinFilter):$/;" c +smooth1d adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def smooth1d(x, window_len):$/;" f function:test_agg_filter file: +smooth2d adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def smooth2d(A, sigma=3):$/;" f function:test_agg_filter file: +SmoothBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class SmoothBivariateSpline(BivariateSpline):$/;" c +SmoothL1Loss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class SmoothL1Loss(_Loss):$/;" c +smoothl1loss_beta_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def smoothl1loss_beta_test():$/;" f +smoothl1loss_no_reduce_scalar_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def smoothl1loss_no_reduce_scalar_test():$/;" f +smoothl1loss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def smoothl1loss_no_reduce_test():$/;" f +smoothl1loss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def smoothl1loss_reference(input, target, reduction='mean', beta=1.0):$/;" f +smoothl1loss_zero_beta_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def smoothl1loss_zero_beta_test():$/;" f +SmoothSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class SmoothSphereBivariateSpline(SphereBivariateSpline):$/;" c +smooth_l1_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def smooth_l1_loss(input, target, size_average=None, reduce=None, reduction='mean', beta=1.0):$/;" f +SMOOTH_MORE adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class SMOOTH_MORE(BuiltinFilter):$/;" c +SmtpUTF8Mailbox adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^class SmtpUTF8Mailbox(char.UTF8String):$/;" c +sm_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^from tensorflow.python.ops.linalg.sparse import gen_sparse_csr_matrix_ops as sm_ops$/;" x +sn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def sn(u, m):$/;" f member:TestSystematic.test_ellipfun_sn file: +snake_case adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def snake_case(s: str) -> str:$/;" f +SnapShot adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^SnapShot = _reflection.GeneratedProtocolMessageType('SnapShot', (_message.Message,), {$/;" v +snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^def snapshot(path, compression="AUTO", reader_func=None, shard_func=None):$/;" f +snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def snapshot(input, name=None):$/;" f +Snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Snapshot = tf_export("raw_ops.Snapshot")(_ops.to_raw_op(snapshot))$/;" v +SnapshotDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SnapshotDataset = tf_export("raw_ops.SnapshotDataset")(_ops.to_raw_op(snapshot_dataset))$/;" v +SnapshotDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SnapshotDatasetV2 = tf_export("raw_ops.SnapshotDatasetV2")(_ops.to_raw_op(snapshot_dataset_v2))$/;" v +SnapshotMetadataRecord adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^SnapshotMetadataRecord = _reflection.GeneratedProtocolMessageType('SnapshotMetadataRecord', (_me/;" v +SnapshotRecord adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^SnapshotRecord = _reflection.GeneratedProtocolMessageType('SnapshotRecord', (_message.Message,),/;" v +SnapshotTensorMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^SnapshotTensorMetadata = _reflection.GeneratedProtocolMessageType('SnapshotTensorMetadata', (_me/;" v +snapshot_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def snapshot_dataset(input_dataset, path, output_types, output_shapes, compression="", reader_pa/;" f +snapshot_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def snapshot_dataset_eager_fallback(input_dataset, path, output_types, output_shapes, compressio/;" f +snapshot_dataset_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def snapshot_dataset_v2(input_dataset, path, reader_func_other_args, shard_func_other_args, outp/;" f +snapshot_dataset_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def snapshot_dataset_v2_eager_fallback(input_dataset, path, reader_func_other_args, shard_func_o/;" f +snapshot_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def snapshot_eager_fallback(input, name, ctx):$/;" f +SNAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^SNAUPD_ERRORS = DNAUPD_ERRORS$/;" v +snd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def snd(t):$/;" f function:_select_and_gather_add file: +snd adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def snd(t):$/;" f function:_select_and_gather_add_translation file: +SNEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^SNEUPD_ERRORS = DNEUPD_ERRORS.copy()$/;" v +SNIMissingWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class SNIMissingWarning(HTTPWarning):$/;" c +SNIMissingWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class SNIMissingWarning(HTTPWarning):$/;" c +SNMPv2TrapPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class SNMPv2TrapPDU(PDU):$/;" c +SNMPv3Message adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3412.py /^class SNMPv3Message(univ.Sequence):$/;" c +snrm2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef s snrm2(int *n, s *x, int *incx) nogil$/;" f +sobel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def sobel(input, axis=-1, output=None, mode="reflect", cval=0.0):$/;" f +sobel_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def sobel_edges(image):$/;" f +Sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^class Sobol:$/;" c +SobolEngine adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^class SobolEngine(object):$/;" c +SobolSample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SobolSample = tf_export("raw_ops.SobolSample")(_ops.to_raw_op(sobol_sample))$/;" v +sobol_points_10k adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sobol_points_10k(self, N, D):$/;" m class:SHGO +sobol_points_40 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sobol_points_40(self, n, d, skip=0):$/;" m class:SHGO +sobol_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sobol_sample(dim, num_results, skip, dtype=_dtypes.float32, name=None):$/;" f +sobol_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sobol_sample(dim, num_results, skip=0, dtype=dtypes.float32, name=None):$/;" f +sobol_sample_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sobol_sample_eager_fallback(dim, num_results, skip, dtype, name, ctx):$/;" f +SocketError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^from socket import timeout, error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^from socket import error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^from socket import error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^from socket import error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^from socket import error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^from socket import error as SocketError$/;" x +SocketError adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^from socket import error as SocketError$/;" x +socketserver adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ import SocketServer as socketserver$/;" I +SocketTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^from socket import error as SocketError, timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^from socket import timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^from socket import timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^from socket import timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^from socket import timeout as SocketTimeout$/;" x +SocketTimeout adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^from socket import timeout as SocketTimeout$/;" x +socket_bind adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^socket_bind = socket.socket.bind$/;" v +socket_connect adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^socket_connect = socket.socket.connect$/;" v +socket_create_connection adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^socket_create_connection = socket.create_connection$/;" v +socket_original adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^socket_original = socket.socket$/;" v +socket_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def socket_timeout(seconds=15):$/;" f +socket_timeout adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def socket_timeout(timeout=15):$/;" f +SOCKSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^class SOCKSConnection(HTTPConnection):$/;" c +SOCKSConnection adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^class SOCKSConnection(HTTPConnection):$/;" c +SOCKSHTTPConnectionPool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^class SOCKSHTTPConnectionPool(HTTPConnectionPool):$/;" c +SOCKSHTTPConnectionPool adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^class SOCKSHTTPConnectionPool(HTTPConnectionPool):$/;" c +SOCKSHTTPSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^class SOCKSHTTPSConnection(SOCKSConnection, HTTPSConnection):$/;" c +SOCKSHTTPSConnection adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^class SOCKSHTTPSConnection(SOCKSConnection, HTTPSConnection):$/;" c +SOCKSHTTPSConnectionPool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^class SOCKSHTTPSConnectionPool(HTTPSConnectionPool):$/;" c +SOCKSHTTPSConnectionPool adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^class SOCKSHTTPSConnectionPool(HTTPSConnectionPool):$/;" c +SOCKSProxyManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def SOCKSProxyManager(*args, **kwargs):$/;" f +SOCKSProxyManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^class SOCKSProxyManager(PoolManager):$/;" c +SOCKSProxyManager adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def SOCKSProxyManager(*args, **kwargs):$/;" f +SOCKSProxyManager adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^class SOCKSProxyManager(PoolManager):$/;" c +SOF adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def SOF(self, marker):$/;" f +soffset adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^soffset = int32$/;" v +SOffsetTFlags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class SOffsetTFlags(Int32Flags):$/;" c +SoftCoverage adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^ Regular, Recurrent, Dot, SoftCoverage = tuple(range(4))$/;" v class:AttentionType +soften_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def soften_mask(self):$/;" m class:MaskedArray +soften_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^soften_mask = _frommethod('soften_mask')$/;" v +soften_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def soften_mask(self):$/;" m class:MaskedRecords +SoftLink adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^class SoftLink(object):$/;" c +SoftMarginLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class SoftMarginLoss(_Loss):$/;" c +softmarginloss_no_reduce_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def softmarginloss_no_reduce_test():$/;" f +softmarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def softmarginloss_reference(input, target, reduction='mean'):$/;" f +softmax adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^def softmax(w):$/;" f +Softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Softmax(self, *args, **kwargs):$/;" m class:CNNModelHelper +softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def softmax(model, blob_in, blob_out=None, use_cudnn=False, **kwargs):$/;" f +Softmax adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Softmax = elementwise(softmax, axis=-1)$/;" v +softmax adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def softmax(x: Array, axis: Optional[Union[int, Tuple[int, ...]]] = -1) -> Array:$/;" f +softmax adpepsenv/lib/python3.8/site-packages/scipy/special/_logsumexp.py /^def softmax(x, axis=None):$/;" f +SOFTMAX adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SOFTMAX = 25$/;" v class:BuiltinOperator +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def softmax(x, axis=-1):$/;" f +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def softmax(x, axis=-1):$/;" f +Softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class Softmax(Layer):$/;" c +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softmax(logits, name=None):$/;" f +Softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Softmax = tf_export("raw_ops.Softmax")(_ops.to_raw_op(softmax))$/;" v +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def softmax(logits, axis=None, name=None, dim=None):$/;" f +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_softmax as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_v2 as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_v2 as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_softmax as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_v2 as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_v2 as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_softmax as softmax$/;" x +softmax adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def softmax(input, dim=None, _stacklevel=3, dtype=None):$/;" f +Softmax adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softmax(Module):$/;" c +softmax adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def softmax(g, input, dim, dtype=None):$/;" f +softmax adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^def softmax(input: Tensor, dim: int, dtype: Optional[DType] = None) -> Tensor:$/;" f +Softmax2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softmax2d(Module):$/;" c +SoftmaxCrossEntropyWithLogits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^SoftmaxCrossEntropyWithLogits = tf_export("raw_ops.SoftmaxCrossEntropyWithLogits")(_ops.to_raw_o/;" v +SoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SoftmaxOptions = 9$/;" v class:BuiltinOptions +SoftmaxOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SoftmaxOptions(object):$/;" c +SoftmaxOptionsAddBeta adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SoftmaxOptionsAddBeta(builder, beta): builder.PrependFloat32Slot(0, beta, 0.0)$/;" f +SoftmaxOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SoftmaxOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SoftmaxOptions +SoftmaxOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SoftmaxOptionsEnd(builder): return builder.EndObject()$/;" f +SoftmaxOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SoftmaxOptionsStart(builder): builder.StartObject(1)$/;" f +SoftmaxOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SoftmaxOptionsT(object):$/;" c +SoftmaxTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/softmax_op_test.py /^class SoftmaxTest(hu.HypothesisTestCase):$/;" c +SoftmaxTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class SoftmaxTransform(Transform):$/;" c +SoftMaxWithLoss adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^class SoftMaxWithLoss(Benchmark):$/;" c +softmax_cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def softmax_cross_entropy($/;" f +softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softmax_cross_entropy_with_logits(features, labels, name=None):$/;" f +softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def softmax_cross_entropy_with_logits($/;" f +softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_cross_entropy_with_logits_v2 as softmax_cross_e/;" x +softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_cross_entropy_with_logits_v2 as softmax_cross_e/;" x +softmax_cross_entropy_with_logits_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softmax_cross_entropy_with_logits_eager_fallback(features, labels, name, ctx):$/;" f +softmax_cross_entropy_with_logits_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def softmax_cross_entropy_with_logits_v2(labels, logits, axis=-1, name=None):$/;" f +softmax_cross_entropy_with_logits_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from tensorflow.python.ops.nn_ops import softmax_cross_entropy_with_logits_v2_helper as softmax_/;" x +softmax_cross_entropy_with_logits_v2_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def softmax_cross_entropy_with_logits_v2_helper($/;" f +softmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softmax_eager_fallback(logits, name, ctx):$/;" f +softmax_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def softmax_v2(logits, axis=None, name=None):$/;" f +softmin adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def softmin(input, dim=None, _stacklevel=3, dtype=None):$/;" f +Softmin adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softmin(Module):$/;" c +Softplus adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Softplus = elementwise(softplus)$/;" v +softplus adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def softplus(x: Array) -> Array:$/;" f +softplus adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def softplus(x):$/;" f +softplus adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def softplus(x):$/;" f +softplus adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softplus(features, name=None):$/;" f +Softplus adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Softplus = tf_export("raw_ops.Softplus")(_ops.to_raw_op(softplus))$/;" v +softplus adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^softplus = _add_docstr(torch._C._nn.softplus, r"""$/;" v +Softplus adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softplus(Module):$/;" c +softplus adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def softplus(g, self, beta, threshold):$/;" f +SoftplusGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^SoftplusGrad = tf_export("raw_ops.SoftplusGrad")(_ops.to_raw_op(softplus_grad))$/;" v +softplus_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softplus_eager_fallback(features, name, ctx):$/;" f +softplus_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softplus_grad(gradients, features, name=None):$/;" f +softplus_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softplus_grad_eager_fallback(gradients, features, name, ctx):$/;" f +softplus_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def softplus_inverse(x, name=None):$/;" f +softshrink adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^softshrink = _add_docstr(torch._C._nn.softshrink, r"""$/;" v +Softshrink adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softshrink(Module):$/;" c +softsign adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def softsign(X):$/;" f member:TestOperators.test_softsign file: +softsign adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def softsign(x):$/;" f +softsign adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def softsign(x):$/;" f +softsign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softsign(features, name=None):$/;" f +Softsign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^Softsign = tf_export("raw_ops.Softsign")(_ops.to_raw_op(softsign))$/;" v +softsign adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def softsign(input):$/;" f +Softsign adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Softsign(Module):$/;" c +SoftsignGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^SoftsignGrad = tf_export("raw_ops.SoftsignGrad")(_ops.to_raw_op(softsign_grad))$/;" v +softsign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softsign_eager_fallback(features, name, ctx):$/;" f +softsign_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softsign_grad(gradients, features, name=None):$/;" f +softsign_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def softsign_grad_eager_fallback(gradients, features, name, ctx):$/;" f +softsign_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def softsign_ref(X):$/;" f member:TestElementwiseOps.test_softsign file: +SOFTSOUND adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SOFTSOUND = 0x0080$/;" v class:WAVE_FORMAT +SOFTWARE adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^SOFTWARE = 305$/;" v +soft_constraints adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def soft_constraints(self):$/;" m class:LayoutBox +soft_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def soft_device_placement(self):$/;" m class:Context +soft_device_placement adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def soft_device_placement(self, enable):$/;" m class:Context +soft_l1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^def soft_l1(z, rho, cost_only):$/;" f +soft_label_xentr_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def soft_label_xentr_ref(X, label):$/;" f member:TestCrossEntropyOps.test_soft_label_cross_entropy file: +soft_light adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def soft_light(image1, image2):$/;" f +soft_margin_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def soft_margin_loss(input, target, size_average=None, reduce=None, reduction='mean'):$/;" f +soft_pmap adpepsenv/lib/python3.8/site-packages/jax/api.py /^def soft_pmap(fun: Callable, axis_name: Optional[AxisName] = None, in_axes=0$/;" f +soft_pmap adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^soft_pmap = soft_pmap_p.bind$/;" v +soft_pmap_avals_to_results_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def soft_pmap_avals_to_results_handler(num_devices, chunk_size, out_avals):$/;" f +soft_pmap_aval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def soft_pmap_aval_to_result_handler(chunk_size, num_devices, aval):$/;" f +soft_pmap_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def soft_pmap_impl(fun: lu.WrappedFun, *args, axis_name, axis_size, in_axes, out_axes_thunk):$/;" f +soft_pmap_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^soft_pmap_p = core.MapPrimitive('soft_pmap')$/;" v +soft_pmap_rules adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^soft_pmap_rules: Dict[core.Primitive, Callable] = {}$/;" v +soft_sign adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^def soft_sign(x: Array) -> Array:$/;" f +sokalmichener adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def sokalmichener(u, v, w=None):$/;" f +sokalsneath adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def sokalsneath(u, v, w=None):$/;" f +SOLARIS_XHDTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^SOLARIS_XHDTYPE = b"X" # Solaris extended header$/;" v +solarize adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def solarize(image, threshold=128):$/;" f +solid_int_ellip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def solid_int_ellip(lambda1, mu, nu, n, p, h2, k2):$/;" f function:test_ellip_potential file: +solid_int_ellip2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def solid_int_ellip2(lambda1, mu, nu, n, p, h2, k2):$/;" f function:test_ellip_potential file: +solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def solout(t, y):$/;" f member:TestComplexSolout._run_solout_break_test file: +solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def solout(t, y):$/;" f member:TestComplexSolout._run_solout_test file: +solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def solout(t, y):$/;" f member:TestSolout._run_solout_after_initial_test file: +solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def solout(t, y):$/;" f member:TestSolout._run_solout_break_test file: +solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def solout(t, y):$/;" f member:TestSolout._run_solout_test file: +solve adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def solve(a, b):$/;" f +solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def solve(a, b, sym_pos=False, lower=False, overwrite_a=False, overwrite_b=False,$/;" f +solve adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^solve = linpkg.solve$/;" v +solve adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def solve(a, b):$/;" f +solve adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def solve(self, y=0., discontinuity=True, extrapolate=None):$/;" m class:PPoly +solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solve(a, b, sym_pos=False, lower=False, overwrite_a=False,$/;" f +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, v, tol=0):$/;" m class:asjacobian.Jac +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, f, tol=0):$/;" m class:Anderson +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, f, tol=0):$/;" m class:BroydenFirst +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, f, tol=0):$/;" m class:DiagBroyden +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, f, tol=0):$/;" m class:ExcitingMixing +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, f, tol=0):$/;" m class:LinearMixing +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, rhs, tol=0):$/;" m class:KrylovJacobian +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, v, tol=0):$/;" m class:Jacobian +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def solve(self, v, tol=0):$/;" m class:LowRankMatrix +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def solve(self):$/;" m class:TestSLSQP.test_nested_minimization.NestedProblem +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def solve(self, f, a, b, args=(),$/;" m class:TOMS748Solver +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def solve(self):$/;" m class:DifferentialEvolutionSolver +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def solve(r):$/;" f function:_get_solver file: +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def solve(r, sym_pos=False):$/;" f function:_get_solver file: +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^ def solve(r, sym_pos=sym_pos):$/;" f function:_get_solver file: +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def solve(self, trust_radius):$/;" m class:BaseQuadraticSubproblem +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^ def solve(self, trust_radius):$/;" m class:DoglegSubproblem +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^ def solve(self, tr_radius):$/;" m class:IterativeSubproblem +solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_ncg.py /^ def solve(self, trust_radius):$/;" m class:CGSteihaugSubproblem +solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^ def solve(b):$/;" f function:factorized file: +solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^solve = linalg_ops.matrix_solve$/;" v +solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def solve(self, rhs, adjoint=False, adjoint_arg=False, name="solve"):$/;" m class:LinearOperator +solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def solve(lin_op_a, lin_op_b, name=None):$/;" f +solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def solve(self, rhs, adjoint=False, adjoint_arg=False, name="solve"):$/;" m class:LinearOperatorBlockDiag +solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def solve(self, rhs, adjoint=False, adjoint_arg=False, name="solve"):$/;" m class:LinearOperatorBlockLowerTriangular +solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_solve as solve$/;" x +solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_solve as solve$/;" x +solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_solve as solve$/;" x +SolveCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class SolveCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +solveh_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solveh_banded(ab, b, overwrite_ab=False, overwrite_b=False, lower=False,$/;" f +SOLVERS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^SOLVERS = {'anderson': nonlin.anderson, 'diagbroyden': nonlin.diagbroyden,$/;" v +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = ''$/;" v class:ODECheckParameterUse +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = 'dop853'$/;" v class:TestDOP853CheckParameterUse +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = 'dopri5'$/;" v class:TestDOPRI5CheckParameterUse +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = 'lsoda'$/;" v class:TestLSODACheckParameterUse +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = 'vode'$/;" v class:TestVODECheckParameterUse +solver_name adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_name = 'zvode'$/;" v class:TestZVODECheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = False$/;" v class:ODECheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = False$/;" v class:TestDOP853CheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = False$/;" v class:TestDOPRI5CheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = True$/;" v class:TestLSODACheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = True$/;" v class:TestVODECheckParameterUse +solver_uses_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ solver_uses_jac = True$/;" v class:TestZVODECheckParameterUse +solvevec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def solvevec(self, rhs, adjoint=False, name="solve"):$/;" m class:LinearOperator +solvevec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def solvevec(self, rhs, adjoint=False, name="solve"):$/;" m class:LinearOperatorBlockDiag +solvevec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def solvevec(self, rhs, adjoint=False, name="solve"):$/;" m class:LinearOperatorBlockLowerTriangular +solve_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solve_banded(l_and_u, ab, b, overwrite_ab=False, overwrite_b=False,$/;" f +solve_bdf_system adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^def solve_bdf_system(fun, t_new, y_predict, c, psi, LU, solve_lu, scale, tol):$/;" f +solve_bvp adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def solve_bvp(fun, bc, x, y, p=None, S=None, fun_jac=None, bc_jac=None,$/;" f +solve_circulant adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solve_circulant(c, b, singular='raise', tol=None,$/;" f +solve_collocation_system adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^def solve_collocation_system(fun, t, y, h, Z0, scale, tol,$/;" f +solve_continuous_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def solve_continuous_are(a, b, q, r, e=None, s=None, balanced=True):$/;" f +solve_continuous_lyapunov adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def solve_continuous_lyapunov(a, q):$/;" f +solve_discrete_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def solve_discrete_are(a, b, q, r, e=None, s=None, balanced=True):$/;" f +solve_discrete_lyapunov adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def solve_discrete_lyapunov(a, q, method=None):$/;" f +solve_event_equation adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^def solve_event_equation(event, sol, t_old, t):$/;" f +solve_inv_lr_params adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^ def solve_inv_lr_params(self, half_life, quad_life):$/;" m class:HomotopyWeight +solve_ivp adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/ivp.py /^def solve_ivp(fun, t_span, y0, method='RK45', t_eval=None, dense_output=False,$/;" f +solve_lsq_trust_region adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def solve_lsq_trust_region(n, m, uf, s, V, Delta, initial_alpha=None,$/;" f +solve_lu adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def solve_lu(LU, b):$/;" f member:BDF.__init__ file: +solve_lu adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def solve_lu(LU, b):$/;" f member:Radau.__init__ file: +solve_lyapunov adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^solve_lyapunov = solve_continuous_lyapunov$/;" v +solve_newton adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def solve_newton(n, m, h, col_fun, bc, jac, y, p, B, bvp_tol, bc_tol):$/;" f +solve_shape_vars adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def solve_shape_vars(shape_spec: str, shape: Sequence[int]) -> Dict[str, int]:$/;" f member:ShapePolyTest.test_solve_shape_vars file: +solve_sylvester adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def solve_sylvester(a, b, q):$/;" f +solve_toeplitz adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solve_toeplitz(c_or_cr, b, check_finite=True):$/;" f +solve_triangular adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def solve_triangular(a, b, trans=0, lower=False, unit_diagonal=False,$/;" f +solve_triangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def solve_triangular(a, b, trans=0, lower=False, unit_diagonal=False,$/;" f +solve_trust_region_2d adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def solve_trust_region_2d(B, g, Delta):$/;" f +sol_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def sol_complex(t):$/;" f +sol_linear adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def sol_linear(t):$/;" f +sol_rational adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def sol_rational(t):$/;" f +SomeClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class SomeClass:$/;" c member:TestBinop.test_pow_array_object_dtype file: +SomeClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class SomeClass:$/;" c member:TestBinop.test_ufunc_override_normalize_signature file: +SomeError adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^ class SomeError(Exception):$/;" c member:TestRegression.test_lmdif_errmsg file: +sometimes_inf adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def sometimes_inf(x):$/;" f member:TestDifferentialEvolutionSolver.test_infinite_objective_function file: +sometrue adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^sometrue = any$/;" v +sometrue adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def sometrue(*args, **kwargs):$/;" f +sometrue adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^sometrue = logical_or.reduce$/;" v +SONARC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONARC = 0x0021$/;" v class:WAVE_FORMAT +SONICFOUNDRY_LOSSLESS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONICFOUNDRY_LOSSLESS = 0x1971$/;" v class:WAVE_FORMAT +SONY_ATRAC3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONY_ATRAC3 = 0x0272$/;" v class:WAVE_FORMAT +SONY_SCX adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONY_SCX = 0x0270$/;" v class:WAVE_FORMAT +SONY_SCY adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONY_SCY = 0x0271$/;" v class:WAVE_FORMAT +SONY_SPC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SONY_SPC = 0x0273$/;" v class:WAVE_FORMAT +sopgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sopgtr(char *uplo, int *n, s *ap, s *tau, s *q, int *ldq, s *work, int *info) nogil$/;" f +sopmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sopmtr(char *side, char *uplo, char *trans, int *m, int *n, s *ap, s *tau, s *c, int */;" f +sorbdb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorbdb(char *trans, char *signs, int *m, int *p, int *q, s *x11, int *ldx11, s *x12, i/;" f +sorcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, char *signs,/;" f +sorg2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorg2l(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sorg2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorg2r(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sorgbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgbr(char *vect, int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *lwork/;" f +sorghr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorghr(int *n, int *ilo, int *ihi, s *a, int *lda, s *tau, s *work, int *lwork, int *i/;" f +sorgl2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgl2(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sorglq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorglq(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *lwork, int *info)/;" f +sorgql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgql(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *lwork, int *info)/;" f +sorgqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgqr(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *lwork, int *info)/;" f +sorgr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgr2(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *info) nogil$/;" f +sorgrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgrq(int *m, int *n, int *k, s *a, int *lda, s *tau, s *work, int *lwork, int *info)/;" f +sorgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorgtr(char *uplo, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nog/;" f +sorm2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorm2l(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sorm2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorm2r(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormbr(char *vect, char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s /;" f +sormhr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormhr(char *side, char *trans, int *m, int *n, int *ilo, int *ihi, s *a, int *lda, s /;" f +sorml2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sorml2(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormlq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormlq(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormql(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormqr(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormr2(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormr3(char *side, char *trans, int *m, int *n, int *k, int *l, s *a, int *lda, s *tau/;" f +sormrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormrq(char *side, char *trans, int *m, int *n, int *k, s *a, int *lda, s *tau, s *c, /;" f +sormrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormrz(char *side, char *trans, int *m, int *n, int *k, int *l, s *a, int *lda, s *tau/;" f +sormtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sormtr(char *side, char *uplo, char *trans, int *m, int *n, s *a, int *lda, s *tau, s /;" f +sort adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def sort(self, *args, **kwargs):$/;" m class:BaseContainer +sort adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def sort(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +sort adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sort = np.sort$/;" v +sort adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sort(operand: Union[Array, Sequence[Array]], dimension: int = -1,$/;" f +sort adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def sort(a, axis: Optional[int] = -1, kind='quicksort', order=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def sort(a, axis=-1, kind=None, order=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def sort(self, axis=-1, kind=None, order=None,$/;" m class:MaskedArray +sort adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def sort(a, axis=-1, kind=None, order=None, endwith=True, fill_value=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def sort(self, key=None, reverse=False):$/;" m class:SequenceOfAndSetOfBase +sort adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def sort(x, y):$/;" f member:TestOrdQZ.test_cef file: +sort adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def sort(x, y):$/;" f member:TestOrdQZ.test_ref file: +sort adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def sort(self):$/;" m class:FileList +sort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^sort = gen_xla_ops.xla_sort$/;" v +sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def sort(a, axis=-1, kind='quicksort', order=None): # pylint: disable=missing-docstring$/;" f +sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^def sort(values, axis=-1, direction='ASCENDING', name=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def sort(self):$/;" m class:ListWrapper +sort adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def sort(g, self, dim, decending, out=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def sort(g, self, dim, decending, out=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sort(g, self, dim, decending, out=None):$/;" f +sort adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def sort(self, cmp=None, key=None, reverse=None):$/;" m class:ImmutableListMixin +Sorted adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def Sorted(list_of_items):$/;" f member:TestCase.assertDictEqual file: +sorted adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def sorted(self, wantdirs=False):$/;" m class:Manifest +sorted_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def sorted_(iterable, key=UNSPECIFIED, reverse=UNSPECIFIED):$/;" f +sorted_glob adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def sorted_glob(fileglob):$/;" f +sorted_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def sorted_indices(self):$/;" m class:_cs_matrix +sorted_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def sorted_indices(self):$/;" m class:SparseMatrix +sorted_key_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^def sorted_key_dict(unsorted_dict):$/;" f +sorted_key_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^def sorted_key_dict(unsorted_dict):$/;" f +sorted_samples adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sorted_samples(self): # Validated$/;" m class:SHGO +sorted_svd adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def sorted_svd(m, k, which='LM'):$/;" f +sorted_tuple adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^def sorted_tuple(x):$/;" f +sorted_unique_tuple adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^def sorted_unique_tuple(x):$/;" f +sorted_walk adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def sorted_walk(dir):$/;" f +sorter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def sorter(t):$/;" f member:Wheel.build file: +sortkey adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def sortkey(n):$/;" f member:netcdf_file._write_var_array file: +SortOrder adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^SortOrder = enum_type_wrapper.EnumTypeWrapper(_SORTORDER)$/;" v +sorttuple adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def sorttuple(x):$/;" f member:TestVoronoi._compare_qvoronoi file: +sortvarnames adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def sortvarnames(vars):$/;" f +sort_and_shuffle adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def sort_and_shuffle(self, net, sort_by_field=None,$/;" m class:_DatasetRandomReader +sort_best_candidate adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def sort_best_candidate($/;" m class:CandidateEvaluator +sort_by_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ sort_by_key = lambda k: k[0]$/;" f function:combine file: +sort_by_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ sort_by_key = lambda k: k[0]$/;" f function:_combine_named_parameters file: +sort_by_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ sort_by_key = lambda k: k[0]$/;" f function:generate_combinations_with_testcase_name file: +sort_cache_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sort_cache_result(self):$/;" m class:LMapCache +sort_complex adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def sort_complex(a):$/;" f +sort_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def sort_complex(a):$/;" f +sort_eligible_features adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def sort_eligible_features(features_list, chart_data):$/;" f +sort_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def sort_indices(self):$/;" m class:bsr_matrix +sort_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def sort_indices(self):$/;" m class:_cs_matrix +sort_key adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def sort_key(c):$/;" f member:PipProvider._sort_matches file: +sort_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^ def sort_key(input_index, a):$/;" f function:_einsum_v1_reduction file: +sort_key_val adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def sort_key_val(keys, values, dimension=-1):$/;" f +sort_key_val adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sort_key_val(keys: Array, values: Array, dimension: int = -1,$/;" f +sort_kinds adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ sort_kinds = ['quicksort', 'heapsort', 'stable']$/;" v class:TestMethods +sort_min_pool adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sort_min_pool(self):$/;" m class:SHGO +SORT_OPS_BY_EXEC_TIME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_EXEC_TIME = "exec_time"$/;" v +SORT_OPS_BY_LINE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_LINE = "line"$/;" v +SORT_OPS_BY_OP_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_OP_NAME = "node"$/;" v +SORT_OPS_BY_OP_TIME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_OP_TIME = "op_time"$/;" v +SORT_OPS_BY_OP_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_OP_TYPE = "op_type"$/;" v +SORT_OPS_BY_START_TIME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^SORT_OPS_BY_START_TIME = "start_time"$/;" v +sort_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sort_p = Primitive('sort')$/;" v +sort_path adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def sort_path(path):$/;" f function:group_locations file: +sort_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def sort_result(self):$/;" m class:SHGO +sort_tensors_and_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^def sort_tensors_and_ops(graph):$/;" f +SORT_TENSORS_BY_DUMP_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^SORT_TENSORS_BY_DUMP_SIZE = "dump_size"$/;" v +SORT_TENSORS_BY_OP_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^SORT_TENSORS_BY_OP_TYPE = "op_type"$/;" v +SORT_TENSORS_BY_TENSOR_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^SORT_TENSORS_BY_TENSOR_NAME = "tensor_name"$/;" v +SORT_TENSORS_BY_TIMESTAMP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^SORT_TENSORS_BY_TIMESTAMP = "timestamp"$/;" v +sort_vertices_of_regions adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def sort_vertices_of_regions(self):$/;" m class:SphericalVoronoi +sos2tf adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def sos2tf(sos):$/;" f +sos2zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def sos2zpk(sos):$/;" f +sosfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def sosfilt(sos, x, axis=-1, zi=None):$/;" f +sosfiltfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def sosfiltfilt(sos, x, axis=-1, padtype='odd', padlen=None):$/;" f +sosfilt_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def sosfilt_zi(sos):$/;" f +sosfreqz adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def sosfreqz(sos, worN=512, whole=False, fs=2*pi):$/;" f +SOUNDSPACE_MUSICOMPRESS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SOUNDSPACE_MUSICOMPRESS = 0x1500$/;" v class:WAVE_FORMAT +source adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def source(object, output=sys.stdout):$/;" f +SourceChangeWarning adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class SourceChangeWarning(Warning):$/;" c +sourcecodeform adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ sourcecodeform = 'fix'$/;" v +sourcecodeform adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ sourcecodeform = 'free'$/;" v +sourcecodeform adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^sourcecodeform = 'fix' # 'fix','free'$/;" v +SourceCodeInfo adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^SourceCodeInfo = _reflection.GeneratedProtocolMessageType('SourceCodeInfo', (_message.Message,),/;" v +SourceContext adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^SourceContext = _reflection.GeneratedProtocolMessageType('SourceContext', (_message.Message,), {$/;" v +SourceContext adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^class SourceContext(torch._C._jit_tree_views.SourceRangeFactory):$/;" c +SourceContext adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^class SourceContext(torch._C._jit_tree_views.SourceRangeFactory):$/;" c +SourceDistribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^class SourceDistribution(AbstractDistribution):$/;" c +SourceFile adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^SourceFile = _reflection.GeneratedProtocolMessageType('SourceFile', (_message.Message,), {$/;" v +SourceFileList adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def SourceFileList(self, run):$/;" m class:DebuggerV2EventMultiplexer +SourceLines adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def SourceLines(self, run, index):$/;" m class:DebuggerV2EventMultiplexer +sourcelines adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def sourcelines(self):$/;" m class:Frame +sources adpepsenv/lib/python3.8/site-packages/caffe2/core/nomnigraph/op_gen.py /^ sources = args.source_def$/;" v +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^ sources = [_path('src', 'assumed_shape', 'foo_free.f90'),$/;" v class:TestAssumedShapeSumExample +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_common.py /^ sources = [_path('src', 'common', 'block.f')]$/;" v class:TestCommonBlock +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^ sources = [_path('src', 'kind', 'foo.f90')]$/;" v class:TestKind +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_mixed.py /^ sources = [_path('src', 'mixed', 'foo.f'),$/;" v class:TestMixed +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ sources = [_path('src', 'parameter', 'constant_real.f90'),$/;" v class:TestParameters +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_regression.py /^ sources = [_path('src', 'regression', 'inout.f90')]$/;" v class:TestIntentInOut +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^ sources = [_path('src', 'size', 'foo.f90')]$/;" v class:TestSizeSumExample +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_string.py /^ sources = [_path('src', 'string', 'char.f90')]$/;" v class:TestString +sources adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ sources = None$/;" v class:F2PyTest +SourceTarget adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^SourceTarget = _reflection.GeneratedProtocolMessageType('SourceTarget', (_message.Message,), {$/;" v +SOURCE_DIST adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^SOURCE_DIST = 1$/;" v +SOURCE_DIST adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^SOURCE_DIST = 1$/;" v +source_extensions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ source_extensions = ('.tar.gz', '.tar.bz2', '.tar', '.zip', '.tgz', '.tbz')$/;" v class:Locator +source_files_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def source_files_iterator(self):$/;" m class:DebugEventsReader +SOURCE_FILE_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^SOURCE_FILE_BLOB_TAG_PREFIX = "source_file"$/;" v +source_file_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def source_file_list(self):$/;" m class:DebugDataReader +SOURCE_FILE_LIST_BLOB_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^SOURCE_FILE_LIST_BLOB_TAG = "source_file_list"$/;" v +source_file_list_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def source_file_list_run_tag_filter(run):$/;" f +source_file_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def source_file_run_tag_filter(run, index):$/;" f +source_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def source_lines(self, host_name, file_path):$/;" m class:DebugDataReader +SOURCE_LINE_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^SOURCE_LINE_HTML = u"""\\$/;" v +source_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def source_link(self):$/;" m class:Candidate +source_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def source_link(self):$/;" m class:ExtrasCandidate +source_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def source_link(self):$/;" m class:_InstallRequirementBackedCandidate +source_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ source_link = None$/;" v class:AlreadyInstalledCandidate +source_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ source_link = None$/;" v class:RequiresPythonCandidate +source_locations adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def source_locations(jaxpr: core.Jaxpr):$/;" f +source_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def source_url(self):$/;" m class:Distribution +SouthEastHatch adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class SouthEastHatch(HatchPatternBase):$/;" c +so_ext adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^so_ext = get_shared_lib_extension()$/;" v +so_lib_paths adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^so_lib_paths = [$/;" v +sp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^ import subprocess as sp$/;" I function:test_large_file_support file: +SP adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^import scipy.sparse as SP$/;" I +sp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^import scipy as sp$/;" I +sp adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^import scipy.sparse as sp$/;" I +sp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^import scipy.special as sp$/;" I +space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def space(self, s, loc, toks):$/;" m class:Parser +spaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^spaceCharacters = frozenset([$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/lint.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^spaceCharacters = frozenset([$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/lint.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^spaceCharacters = "".join(spaceCharacters)$/;" v +spaceCharactersBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^spaceCharactersBytes = frozenset([item.encode("ascii") for item in spaceCharacters])$/;" v +spaceCharactersBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^spaceCharactersBytes = frozenset([item.encode("ascii") for item in spaceCharacters])$/;" v +spacePreserveElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^ spacePreserveElements = frozenset(["pre", "textarea"] + list(rcdataElements))$/;" v class:Filter +spacePreserveElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^ spacePreserveElements = frozenset(["pre", "textarea"] + list(rcdataElements))$/;" v class:Filter +spacesAngleBrackets adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^spacesAngleBrackets = spaceCharactersBytes | frozenset([b">", b"<"])$/;" v +spacesAngleBrackets adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^spacesAngleBrackets = spaceCharactersBytes | frozenset([b">", b"<"])$/;" v +SPACES_REGEX adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^SPACES_REGEX = re.compile("[%s]+" % spaceCharacters)$/;" v +SPACES_REGEX adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^SPACES_REGEX = re.compile("[%s]+" % spaceCharacters)$/;" v +SpaceToBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^SpaceToBatch = tf_export("raw_ops.SpaceToBatch")(_ops.to_raw_op(space_to_batch))$/;" v +SpaceToBatchND adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^SpaceToBatchND = tf_export("raw_ops.SpaceToBatchND")(_ops.to_raw_op(space_to_batch_nd))$/;" v +SpaceToBatchNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SpaceToBatchNDOptions = 25$/;" v class:BuiltinOptions +SpaceToBatchNDOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SpaceToBatchNDOptions(object):$/;" c +SpaceToBatchNDOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SpaceToBatchNDOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SpaceToBatchNDOptions +SpaceToBatchNDOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SpaceToBatchNDOptionsEnd(builder): return builder.EndObject()$/;" f +SpaceToBatchNDOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SpaceToBatchNDOptionsStart(builder): builder.StartObject(0)$/;" f +SpaceToBatchNDOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SpaceToBatchNDOptionsT(object):$/;" c +SpaceToDepth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^SpaceToDepth = tf_export("raw_ops.SpaceToDepth")(_ops.to_raw_op(space_to_depth))$/;" v +SpaceToDepthOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SpaceToDepthOptions = 19$/;" v class:BuiltinOptions +SpaceToDepthOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SpaceToDepthOptions(object):$/;" c +SpaceToDepthOptionsAddBlockSize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SpaceToDepthOptionsAddBlockSize(builder, blockSize): builder.PrependInt32Slot(0, blockSize, /;" f +SpaceToDepthOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SpaceToDepthOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SpaceToDepthOptions +SpaceToDepthOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SpaceToDepthOptionsEnd(builder): return builder.EndObject()$/;" f +SpaceToDepthOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SpaceToDepthOptionsStart(builder): builder.StartObject(1)$/;" f +SpaceToDepthOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SpaceToDepthOptionsT(object):$/;" c +space_around adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def space_around(f):$/;" f +space_before_trailing_solidus adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ space_before_trailing_solidus = True$/;" v class:HTMLSerializer +space_before_trailing_solidus adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ space_before_trailing_solidus = True$/;" v class:HTMLSerializer +space_left adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def space_left(f):$/;" f +space_re adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^space_re = re.compile(r'\\s+$')$/;" v +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def space_to_batch( # pylint: disable=missing-docstring$/;" f +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_batch(input, paddings, block_size, name=None):$/;" f +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import space_to_batch_v2 as space_to_batch$/;" x +space_to_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_batch_eager_fallback(input, paddings, block_size, name, ctx):$/;" f +SPACE_TO_BATCH_ND adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPACE_TO_BATCH_ND = 38$/;" v class:BuiltinOperator +space_to_batch_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_batch_nd(input, block_shape, paddings, name=None):$/;" f +space_to_batch_nd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_batch_nd_eager_fallback(input, block_shape, paddings, name, ctx):$/;" f +space_to_batch_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def space_to_batch_v2(input, block_shape, paddings, name=None): # pylint: disable=redefined-bui/;" f +SPACE_TO_DEPTH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPACE_TO_DEPTH = 26$/;" v class:BuiltinOperator +space_to_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def space_to_depth(input, block_size, name=None, data_format="NHWC"): # pylint: disable=redefin/;" f +space_to_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_depth(input, block_size, data_format="NHWC", name=None):$/;" f +space_to_depth adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import space_to_depth_v2 as space_to_depth$/;" x +space_to_depth adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.array_ops import space_to_depth_v2 as space_to_depth$/;" x +space_to_depth_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def space_to_depth_eager_fallback(input, block_size, data_format, name, ctx):$/;" f +space_to_depth_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def space_to_depth_v2(input, block_size, data_format="NHWC", name=None): # pylint: disable=rede/;" f +spalde adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def spalde(x, tck):$/;" f +spalde adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def spalde(x, tck):$/;" f +SpanSelector adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class SpanSelector(_SelectorWidget):$/;" c +span_where adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def span_where(cls, x, ymin, ymax, where, **kwargs):$/;" m class:BrokenBarHCollection +sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def sparse(grad, m, lr, param, i):$/;" f member:TestMomentumSGD.test_sparse_momentum_sgd file: +sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^import scipy.sparse as sparse$/;" I +sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^ sparse = None$/;" v +sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^import scipy.sparse as sparse$/;" I +SPARSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPARSE = 1$/;" v class:LSHProjectionType +sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def sparse(self):$/;" m class:_SparseMetaData +sparse adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^sparse = _make_deprecate(sparse_)$/;" v +SparseAccumulatorApplyGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^SparseAccumulatorApplyGradient = tf_export("raw_ops.SparseAccumulatorApplyGradient")(_ops.to_raw/;" v +SparseAccumulatorTakeGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^SparseAccumulatorTakeGradient = tf_export("raw_ops.SparseAccumulatorTakeGradient")(_ops.to_raw_o/;" v +SparseAdam adpepsenv/lib/python3.8/site-packages/torch/optim/sparse_adam.py /^class SparseAdam(Optimizer):$/;" c +SparseAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseAdd = tf_export("raw_ops.SparseAdd")(_ops.to_raw_op(sparse_add))$/;" v +SparseAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseAddGrad = tf_export("raw_ops.SparseAddGrad")(_ops.to_raw_op(sparse_add_grad))$/;" v +SparseApplyAdadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyAdadelta = tf_export("raw_ops.SparseApplyAdadelta")(_ops.to_raw_op(sparse_apply_adade/;" v +SparseApplyAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyAdagrad = tf_export("raw_ops.SparseApplyAdagrad")(_ops.to_raw_op(sparse_apply_adagrad/;" v +SparseApplyAdagradDA adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyAdagradDA = tf_export("raw_ops.SparseApplyAdagradDA")(_ops.to_raw_op(sparse_apply_ada/;" v +SparseApplyAdagradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyAdagradV2 = tf_export("raw_ops.SparseApplyAdagradV2")(_ops.to_raw_op(sparse_apply_ada/;" v +SparseApplyCenteredRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyCenteredRMSProp = tf_export("raw_ops.SparseApplyCenteredRMSProp")(_ops.to_raw_op(spar/;" v +SparseApplyFtrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyFtrl = tf_export("raw_ops.SparseApplyFtrl")(_ops.to_raw_op(sparse_apply_ftrl))$/;" v +SparseApplyFtrlV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyFtrlV2 = tf_export("raw_ops.SparseApplyFtrlV2")(_ops.to_raw_op(sparse_apply_ftrl_v2))$/;" v +SparseApplyMomentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyMomentum = tf_export("raw_ops.SparseApplyMomentum")(_ops.to_raw_op(sparse_apply_momen/;" v +SparseApplyProximalAdagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyProximalAdagrad = tf_export("raw_ops.SparseApplyProximalAdagrad")(_ops.to_raw_op(spar/;" v +SparseApplyProximalGradientDescent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyProximalGradientDescent = tf_export("raw_ops.SparseApplyProximalGradientDescent")(_op/;" v +SparseApplyRMSProp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^SparseApplyRMSProp = tf_export("raw_ops.SparseApplyRMSProp")(_ops.to_raw_op(sparse_apply_rms_pro/;" v +SparseBincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseBincount = tf_export("raw_ops.SparseBincount")(_ops.to_raw_op(sparse_bincount))$/;" v +SparseCategoricalAccuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SparseCategoricalAccuracy(MeanMetricWrapper):$/;" c +SparseCategoricalCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class SparseCategoricalCrossentropy(LossFunctionWrapper):$/;" c +SparseCategoricalCrossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SparseCategoricalCrossentropy(MeanMetricWrapper):$/;" c +SparseConcat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseConcat = tf_export("raw_ops.SparseConcat")(_ops.to_raw_op(sparse_concat))$/;" v +SparseConditionalAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class SparseConditionalAccumulator(ConditionalAccumulatorBase):$/;" c +SparseConditionalAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^SparseConditionalAccumulator = tf_export("raw_ops.SparseConditionalAccumulator")(_ops.to_raw_op(/;" v +SparseCountSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^SparseCountSparseOutput = tf_export("raw_ops.SparseCountSparseOutput")(_ops.to_raw_op(sparse_cou/;" v +SparseCross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseCross = tf_export("raw_ops.SparseCross")(_ops.to_raw_op(sparse_cross))$/;" v +SparseCrossHashed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseCrossHashed = tf_export("raw_ops.SparseCrossHashed")(_ops.to_raw_op(sparse_cross_hashed))$/;" v +SparseCrossV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseCrossV2 = tf_export("raw_ops.SparseCrossV2")(_ops.to_raw_op(sparse_cross_v2))$/;" v +SparseDataParallelModelTest adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class SparseDataParallelModelTest(TestCase):$/;" c +SparseDataParallelModelTestWithSharedIndices adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class SparseDataParallelModelTestWithSharedIndices(TestCase):$/;" c +SparseDenseCwiseAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseDenseCwiseAdd = tf_export("raw_ops.SparseDenseCwiseAdd")(_ops.to_raw_op(sparse_dense_cwise/;" v +SparseDenseCwiseDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseDenseCwiseDiv = tf_export("raw_ops.SparseDenseCwiseDiv")(_ops.to_raw_op(sparse_dense_cwise/;" v +SparseDenseCwiseMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseDenseCwiseMul = tf_export("raw_ops.SparseDenseCwiseMul")(_ops.to_raw_op(sparse_dense_cwise/;" v +SparseDropoutWithReplacement adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^class SparseDropoutWithReplacement(ModelLayer):$/;" c +SparseDropoutWithReplacementTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_dropout_with_replacement_op_test.py /^class SparseDropoutWithReplacementTest(hu.HypothesisTestCase):$/;" c +SparseEfficiencyWarning adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^class SparseEfficiencyWarning(SparseWarning):$/;" c +SparseFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^class SparseFeature($/;" c +SparseFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^SparseFeature = parsing_config.SparseFeature$/;" v +SparseFeatureHash adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_feature_hash.py /^class SparseFeatureHash(ModelLayer):$/;" c +SparseFillEmptyRows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseFillEmptyRows = tf_export("raw_ops.SparseFillEmptyRows")(_ops.to_raw_op(sparse_fill_empty_/;" v +SparseFillEmptyRowsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseFillEmptyRowsGrad = tf_export("raw_ops.SparseFillEmptyRowsGrad")(_ops.to_raw_op(sparse_fil/;" v +SparseFormatWarning adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^class SparseFormatWarning(SparseWarning):$/;" c +SparseGradGenMeta adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^SparseGradGenMeta = namedtuple('SparseGradGenMeta', [$/;" v +SparseIndexVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SparseIndexVector(object):$/;" c +SparseIndexVectorCreator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparseIndexVectorCreator(unionType, table):$/;" f +SparseKerasTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class SparseKerasTensor(KerasTensor):$/;" c +SparseL1Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class SparseL1Norm(SparseLpNorm):$/;" c +SparseL2Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class SparseL2Norm(SparseLpNorm):$/;" c +SparseLookup adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^class SparseLookup(ModelLayer):$/;" c +SparseLpNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^class SparseLpNorm(Regularizer):$/;" c +SparseMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseMatMul = tf_export("raw_ops.SparseMatMul")(_ops.to_raw_op(sparse_mat_mul))$/;" v +SparseMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^class SparseMatrix(six.with_metaclass(abc.ABCMeta)):$/;" c +SparseMatrixAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixAdd = tf_export("raw_ops.SparseMatrixAdd")(_ops.to_raw_op(sparse_matrix_add))$/;" v +SparseMatrixMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixMatMul = tf_export("raw_ops.SparseMatrixMatMul")(_ops.to_raw_op(sparse_matrix_mat_mu/;" v +SparseMatrixMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixMul = tf_export("raw_ops.SparseMatrixMul")(_ops.to_raw_op(sparse_matrix_mul))$/;" v +SparseMatrixNNZ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixNNZ = tf_export("raw_ops.SparseMatrixNNZ")(_ops.to_raw_op(sparse_matrix_nnz))$/;" v +SparseMatrixOrderingAMD adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixOrderingAMD = tf_export("raw_ops.SparseMatrixOrderingAMD")(_ops.to_raw_op(sparse_mat/;" v +SparseMatrixSoftmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixSoftmax = tf_export("raw_ops.SparseMatrixSoftmax")(_ops.to_raw_op(sparse_matrix_soft/;" v +SparseMatrixSoftmaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixSoftmaxGrad = tf_export("raw_ops.SparseMatrixSoftmaxGrad")(_ops.to_raw_op(sparse_mat/;" v +SparseMatrixSparseCholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixSparseCholesky = tf_export("raw_ops.SparseMatrixSparseCholesky")(_ops.to_raw_op(spar/;" v +SparseMatrixSparseMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixSparseMatMul = tf_export("raw_ops.SparseMatrixSparseMatMul")(_ops.to_raw_op(sparse_m/;" v +SparseMatrixTranspose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixTranspose = tf_export("raw_ops.SparseMatrixTranspose")(_ops.to_raw_op(sparse_matrix_/;" v +SparseMatrixZeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseMatrixZeros = tf_export("raw_ops.SparseMatrixZeros")(_ops.to_raw_op(sparse_matrix_zeros))$/;" v +SparseMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class SparseMixin(object):$/;" c +SparseMixin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^class SparseMixin(object):$/;" c +SparseReduceMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReduceMax = tf_export("raw_ops.SparseReduceMax")(_ops.to_raw_op(sparse_reduce_max))$/;" v +SparseReduceMaxSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReduceMaxSparse = tf_export("raw_ops.SparseReduceMaxSparse")(_ops.to_raw_op(sparse_reduce_/;" v +SparseReduceSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReduceSum = tf_export("raw_ops.SparseReduceSum")(_ops.to_raw_op(sparse_reduce_sum))$/;" v +SparseReduceSumSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReduceSumSparse = tf_export("raw_ops.SparseReduceSumSparse")(_ops.to_raw_op(sparse_reduce_/;" v +SparseReorder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReorder = tf_export("raw_ops.SparseReorder")(_ops.to_raw_op(sparse_reorder))$/;" v +SparseReshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseReshape = tf_export("raw_ops.SparseReshape")(_ops.to_raw_op(sparse_reshape))$/;" v +SparseSegmentMean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentMean = tf_export("raw_ops.SparseSegmentMean")(_ops.to_raw_op(sparse_segment_mean))$/;" v +SparseSegmentMeanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentMeanGrad = tf_export("raw_ops.SparseSegmentMeanGrad")(_ops.to_raw_op(sparse_segment/;" v +SparseSegmentMeanWithNumSegments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentMeanWithNumSegments = tf_export("raw_ops.SparseSegmentMeanWithNumSegments")(_ops.to/;" v +SparseSegmentSqrtN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentSqrtN = tf_export("raw_ops.SparseSegmentSqrtN")(_ops.to_raw_op(sparse_segment_sqrt_/;" v +SparseSegmentSqrtNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentSqrtNGrad = tf_export("raw_ops.SparseSegmentSqrtNGrad")(_ops.to_raw_op(sparse_segme/;" v +SparseSegmentSqrtNWithNumSegments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentSqrtNWithNumSegments = tf_export("raw_ops.SparseSegmentSqrtNWithNumSegments")(_ops./;" v +SparseSegmentSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentSum = tf_export("raw_ops.SparseSegmentSum")(_ops.to_raw_op(sparse_segment_sum))$/;" v +SparseSegmentSumWithNumSegments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SparseSegmentSumWithNumSegments = tf_export("raw_ops.SparseSegmentSumWithNumSegments")(_ops.to_r/;" v +SparseSlice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSlice = tf_export("raw_ops.SparseSlice")(_ops.to_raw_op(sparse_slice))$/;" v +SparseSliceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSliceGrad = tf_export("raw_ops.SparseSliceGrad")(_ops.to_raw_op(sparse_slice_grad))$/;" v +SparseSoftmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSoftmax = tf_export("raw_ops.SparseSoftmax")(_ops.to_raw_op(sparse_softmax))$/;" v +SparseSoftmaxCrossEntropyWithLogits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^SparseSoftmaxCrossEntropyWithLogits = tf_export("raw_ops.SparseSoftmaxCrossEntropyWithLogits")(_/;" v +SparseSparseMaximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSparseMaximum = tf_export("raw_ops.SparseSparseMaximum")(_ops.to_raw_op(sparse_sparse_maxi/;" v +SparseSparseMinimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSparseMinimum = tf_export("raw_ops.SparseSparseMinimum")(_ops.to_raw_op(sparse_sparse_mini/;" v +SparseSplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseSplit = tf_export("raw_ops.SparseSplit")(_ops.to_raw_op(sparse_split))$/;" v +SparseTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^class SparseTensor(internal.NativeObject, composite_tensor.CompositeTensor):$/;" c +SparseTensorDenseAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseTensorDenseAdd = tf_export("raw_ops.SparseTensorDenseAdd")(_ops.to_raw_op(sparse_tensor_de/;" v +SparseTensorDenseMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseTensorDenseMatMul = tf_export("raw_ops.SparseTensorDenseMatMul")(_ops.to_raw_op(sparse_ten/;" v +SparseTensorSliceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class SparseTensorSliceDataset(DatasetSource):$/;" c +SparseTensorSliceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^SparseTensorSliceDataset = tf_export("raw_ops.SparseTensorSliceDataset")(_ops.to_raw_op(sparse_t/;" v +SparseTensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^class SparseTensorSpec(type_spec.BatchableTypeSpec):$/;" c +SparseTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _SparseTensorStructure as SparseTensorStructur/;" x +SparseTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _SparseTensorStructure as SparseTensorStructur/;" x +SparseTensorToCSRSparseMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^SparseTensorToCSRSparseMatrix = tf_export("raw_ops.SparseTensorToCSRSparseMatrix")(_ops.to_raw_o/;" v +SparseTensorValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^SparseTensorValue = collections.namedtuple("SparseTensorValue",$/;" v +SparseToDense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^SparseToDense = tf_export("raw_ops.SparseToDense")(_ops.to_raw_op(sparse_to_dense))$/;" v +SparseToDenseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SparseToDenseOptions = 50$/;" v class:BuiltinOptions +SparseToDenseOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SparseToDenseOptions(object):$/;" c +SparseToDenseOptionsAddValidateIndices adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparseToDenseOptionsAddValidateIndices(builder, validateIndices): builder.PrependBoolSlot(0,/;" f +SparseToDenseOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SparseToDenseOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SparseToDenseOptions +SparseToDenseOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparseToDenseOptionsEnd(builder): return builder.EndObject()$/;" f +SparseToDenseOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparseToDenseOptionsStart(builder): builder.StartObject(1)$/;" f +SparseToDenseOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SparseToDenseOptionsT(object):$/;" c +SparseTopKCategoricalAccuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SparseTopKCategoricalAccuracy(MeanMetricWrapper):$/;" c +SparseToSparseSetOperation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^SparseToSparseSetOperation = tf_export("raw_ops.SparseToSparseSetOperation")(_ops.to_raw_op(spar/;" v +SparseTransformer adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^import caffe2.python.SparseTransformer as SparseTransformer # type: ignore[import]$/;" I +SparseVector adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^SparseVector = _reflection.GeneratedProtocolMessageType('SparseVector', (_message.Message,), {$/;" v +SparseWarning adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^class SparseWarning(Warning):$/;" c +sparse_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def sparse_(tensor, sparsity, std=0.01):$/;" f +sparse_accumulator_apply_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_accumulator_apply_gradient(handle, local_step, gradient_indices, gradient_values, gra/;" f +sparse_accumulator_apply_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_accumulator_apply_gradient_eager_fallback(handle, local_step, gradient_indices, gradi/;" f +sparse_accumulator_take_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_accumulator_take_gradient(handle, num_required, dtype, name=None):$/;" f +sparse_accumulator_take_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_accumulator_take_gradient_eager_fallback(handle, num_required, dtype, name, ctx):$/;" f +sparse_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_add(a_indices, a_values, a_shape, b_indices, b_values, b_shape, thresh, name=None):$/;" f +sparse_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_add(a, b, threshold=None, thresh=None):$/;" f +sparse_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_add_eager_fallback(a_indices, a_values, a_shape, b_indices, b_values, b_shape, thresh/;" f +sparse_add_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_add_grad(backprop_val_grad, a_indices, b_indices, sum_indices, name=None):$/;" f +sparse_add_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_add_grad_eager_fallback(backprop_val_grad, a_indices, b_indices, sum_indices, name, c/;" f +sparse_add_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_add_v2(a, b, threshold=0):$/;" f +sparse_apply_adadelta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adadelta(var, accum, accum_update, lr, rho, epsilon, grad, indices, use_locking/;" f +sparse_apply_adadelta_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adadelta_eager_fallback(var, accum, accum_update, lr, rho, epsilon, grad, indic/;" f +sparse_apply_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad(var, accum, lr, grad, indices, use_locking=False, update_slots=True, na/;" f +sparse_apply_adagrad_da adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad_da(var, gradient_accumulator, gradient_squared_accumulator, grad, indic/;" f +sparse_apply_adagrad_da_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad_da_eager_fallback(var, gradient_accumulator, gradient_squared_accumulat/;" f +sparse_apply_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad_eager_fallback(var, accum, lr, grad, indices, use_locking, update_slots/;" f +sparse_apply_adagrad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad_v2(var, accum, lr, epsilon, grad, indices, use_locking=False, update_sl/;" f +sparse_apply_adagrad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_adagrad_v2_eager_fallback(var, accum, lr, epsilon, grad, indices, use_locking, /;" f +sparse_apply_centered_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_centered_rms_prop(var, mg, ms, mom, lr, rho, momentum, epsilon, grad, indices, /;" f +sparse_apply_centered_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_centered_rms_prop_eager_fallback(var, mg, ms, mom, lr, rho, momentum, epsilon, /;" f +sparse_apply_ftrl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_ftrl(var, accum, linear, grad, indices, lr, l1, l2, lr_power, use_locking=False/;" f +sparse_apply_ftrl_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_ftrl_eager_fallback(var, accum, linear, grad, indices, lr, l1, l2, lr_power, us/;" f +sparse_apply_ftrl_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_ftrl_v2(var, accum, linear, grad, indices, lr, l1, l2, l2_shrinkage, lr_power, /;" f +sparse_apply_ftrl_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_ftrl_v2_eager_fallback(var, accum, linear, grad, indices, lr, l1, l2, l2_shrink/;" f +sparse_apply_momentum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_momentum(var, accum, lr, grad, indices, momentum, use_locking=False, use_nester/;" f +sparse_apply_momentum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_momentum_eager_fallback(var, accum, lr, grad, indices, momentum, use_locking, u/;" f +sparse_apply_proximal_adagrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_proximal_adagrad(var, accum, lr, l1, l2, grad, indices, use_locking=False, name/;" f +sparse_apply_proximal_adagrad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_proximal_adagrad_eager_fallback(var, accum, lr, l1, l2, grad, indices, use_lock/;" f +sparse_apply_proximal_gradient_descent adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_proximal_gradient_descent(var, alpha, l1, l2, grad, indices, use_locking=False,/;" f +sparse_apply_proximal_gradient_descent_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_proximal_gradient_descent_eager_fallback(var, alpha, l1, l2, grad, indices, use/;" f +sparse_apply_rms_prop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_rms_prop(var, ms, mom, lr, rho, momentum, epsilon, grad, indices, use_locking=F/;" f +sparse_apply_rms_prop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^def sparse_apply_rms_prop_eager_fallback(var, ms, mom, lr, rho, momentum, epsilon, grad, indices/;" f +sparse_average_precision_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def sparse_average_precision_at_k(labels,$/;" f +sparse_bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def sparse_bincount(values,$/;" f +sparse_bincount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_bincount(indices, values, dense_shape, size, weights, binary_output=False, name=None)/;" f +sparse_bincount_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_bincount_eager_fallback(indices, values, dense_shape, size, weights, binary_output, n/;" f +sparse_calculate_best_feature_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_sparse_calculate_best_feat/;" x +sparse_categorical_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def sparse_categorical_accuracy(y_true, y_pred):$/;" f +sparse_categorical_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sparse_categorical_crossentropy(target, output, from_logits=False, axis=-1):$/;" f +sparse_categorical_crossentropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def sparse_categorical_crossentropy(y_true, y_pred, from_logits=False, axis=-1):$/;" f +sparse_cls adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def sparse_cls(request):$/;" f +sparse_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_concat(indices, values, shapes, concat_dim, name=None):$/;" f +sparse_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_concat(axis,$/;" f +sparse_concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_concat_eager_fallback(indices, values, shapes, concat_dim, name, ctx):$/;" f +sparse_concat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_concat_v2(axis, sp_inputs, expand_nonconcat_dims=False, name=None): # pylint: disabl/;" f +sparse_conditional_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_conditional_accumulator(dtype, shape, container="", shared_name="", reduction_type="M/;" f +sparse_conditional_accumulator_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def sparse_conditional_accumulator_eager_fallback(dtype, shape, container, shared_name, reductio/;" f +sparse_count_sparse_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def sparse_count_sparse_output(indices, values, dense_shape, weights, binary_output, minlength=-/;" f +sparse_count_sparse_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^def sparse_count_sparse_output_eager_fallback(indices, values, dense_shape, weights, binary_outp/;" f +sparse_cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross(indices, values, shapes, dense_inputs, hashed_output, num_buckets, hash_key, ou/;" f +sparse_cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_cross(inputs, name=None, separator=None):$/;" f +sparse_cross_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross_eager_fallback(indices, values, shapes, dense_inputs, hashed_output, num_bucket/;" f +sparse_cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross_hashed(indices, values, shapes, dense_inputs, num_buckets, strong_hash, salt, n/;" f +sparse_cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_cross_hashed(inputs, num_buckets=0, hash_key=None, name=None):$/;" f +sparse_cross_hashed_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross_hashed_eager_fallback(indices, values, shapes, dense_inputs, num_buckets, stron/;" f +sparse_cross_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross_v2(indices, values, shapes, dense_inputs, sep, name=None):$/;" f +sparse_cross_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_cross_v2_eager_fallback(indices, values, shapes, dense_inputs, sep, name, ctx):$/;" f +SPARSE_CSR adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPARSE_CSR = 1$/;" v class:DimensionType +sparse_dense_cwise_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_add(sp_indices, sp_values, sp_shape, dense, name=None):$/;" f +sparse_dense_cwise_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_dense_cwise_add(sp_t, dense_t):$/;" f +sparse_dense_cwise_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_add_eager_fallback(sp_indices, sp_values, sp_shape, dense, name, ctx):$/;" f +sparse_dense_cwise_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_div(sp_indices, sp_values, sp_shape, dense, name=None):$/;" f +sparse_dense_cwise_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_div_eager_fallback(sp_indices, sp_values, sp_shape, dense, name, ctx):$/;" f +sparse_dense_cwise_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_mul(sp_indices, sp_values, sp_shape, dense, name=None):$/;" f +sparse_dense_cwise_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_dense_cwise_mul_eager_fallback(sp_indices, sp_values, sp_shape, dense, name, ctx):$/;" f +sparse_dense_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_dense_matmul as sparse_dense_matmul$/;" x +sparse_dense_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_dense_matmul as sparse_dense_matmul$/;" x +sparse_dense_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_dense_matmul as sparse_dense_matmul$/;" x +sparse_distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def sparse_distance_matrix($/;" m class:KDTree +sparse_distance_matrix_consistency adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class sparse_distance_matrix_consistency:$/;" c +SPARSE_DONT_SHARD adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ SPARSE_DONT_SHARD = 'sparse_dont_shard'$/;" v class:Tags +sparse_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ sparse_dtype = np.dtype([('a', {'names':['ab'], 'formats':['f'],$/;" v class:TestStructuredDtypeSparseFields +sparse_embedding_aggregate_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def sparse_embedding_aggregate_slice(params,$/;" f +sparse_expand_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_expand_dims(sp_input, axis=None, name=None):$/;" f +sparse_eye adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_eye(num_rows,$/;" f +sparse_fill_empty_rows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_fill_empty_rows(indices, values, dense_shape, default_value, name=None):$/;" f +sparse_fill_empty_rows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_fill_empty_rows(sp_input, default_value, name=None):$/;" f +sparse_fill_empty_rows_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_fill_empty_rows_eager_fallback(indices, values, dense_shape, default_value, name, ctx/;" f +sparse_fill_empty_rows_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_fill_empty_rows_grad(reverse_index_map, grad_values, name=None):$/;" f +sparse_fill_empty_rows_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_fill_empty_rows_grad_eager_fallback(reverse_index_map, grad_values, name, ctx):$/;" f +sparse_formats adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^sparse_formats = ['csr','csc','coo','bsr','dia','lil','dok']$/;" v +sparse_lengths_mean_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def sparse_lengths_mean_ref(D, I, L):$/;" f +sparse_lengths_sum_8BitsRowwiseOp_cpu_reg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def sparse_lengths_sum_8BitsRowwiseOp_cpu_reg($/;" f member:TestSpecializedSegmentOps.test_sparse_lengths_sum_8BitsRowwiseOp_cpu file: +sparse_lengths_sum_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def sparse_lengths_sum_ref(D, I, L, normalize_by_lengths=False):$/;" f +sparse_lengths_sum_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def sparse_lengths_sum_ref(Tbl, Indices, Lengths):$/;" f member:TestSpecializedSegmentOps.test_sparse_lengths_sum_cpu file: +sparse_lengths_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def sparse_lengths_tensor(**kwargs):$/;" f +sparse_lengths_weightedsum_8BitsRowwiseOp_cpu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def sparse_lengths_weightedsum_8BitsRowwiseOp_cpu_ref($/;" f member:TestSpecializedSegmentOps.test_sparse_lengths_weightedsum_8BitsRowwiseOp_cpu file: +sparse_lengths_weightedsum_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def sparse_lengths_weightedsum_ref(Tbl, Weights, Indices, Lengths):$/;" f member:TestSpecializedSegmentOps.test_sparse_lengths_weightedsum_cpu file: +sparse_lengths_weighted_sum_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def sparse_lengths_weighted_sum_grad_ref($/;" f +sparse_lengths_weighted_sum_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def sparse_lengths_weighted_sum_ref(D, W, I, L):$/;" f +sparse_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def sparse_mask(a, mask_indices, name=None):$/;" f +sparse_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^sparse_matmul = deprecation.deprecated(None, "Use `tf.linalg.matmul` instead")($/;" v +sparse_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import sparse_mat_mul as sparse_matmul$/;" x +sparse_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import sparse_mat_mul as sparse_matmul$/;" x +sparse_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import sparse_mat_mul as sparse_matmul$/;" x +sparse_matrix_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_add(a, b, alpha, beta, name=None):$/;" f +sparse_matrix_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_add_eager_fallback(a, b, alpha, beta, name, ctx):$/;" f +sparse_matrix_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_mat_mul(a, b, transpose_a=False, transpose_b=False, adjoint_a=False, adjoint_b/;" f +sparse_matrix_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_mat_mul_eager_fallback(a, b, transpose_a, transpose_b, adjoint_a, adjoint_b, t/;" f +sparse_matrix_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_mul(a, b, name=None):$/;" f +sparse_matrix_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_mul_eager_fallback(a, b, name, ctx):$/;" f +sparse_matrix_nnz adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_nnz(sparse_matrix, name=None):$/;" f +sparse_matrix_nnz_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_nnz_eager_fallback(sparse_matrix, name, ctx):$/;" f +sparse_matrix_ordering_amd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_ordering_amd(input, name=None):$/;" f +sparse_matrix_ordering_amd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_ordering_amd_eager_fallback(input, name, ctx):$/;" f +sparse_matrix_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_softmax(logits, type, name=None):$/;" f +sparse_matrix_softmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_softmax_eager_fallback(logits, type, name, ctx):$/;" f +sparse_matrix_softmax_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_softmax_grad(softmax, grad_softmax, type, name=None):$/;" f +sparse_matrix_softmax_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_softmax_grad_eager_fallback(softmax, grad_softmax, type, name, ctx):$/;" f +sparse_matrix_sparse_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_sparse_cholesky(input, permutation, type, name=None):$/;" f +sparse_matrix_sparse_cholesky_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_sparse_cholesky_eager_fallback(input, permutation, type, name, ctx):$/;" f +sparse_matrix_sparse_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_sparse_mat_mul(a, b, type, transpose_a=False, transpose_b=False, adjoint_a=Fal/;" f +sparse_matrix_sparse_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_sparse_mat_mul_eager_fallback(a, b, type, transpose_a, transpose_b, adjoint_a,/;" f +sparse_matrix_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_transpose(input, type, conjugate=False, name=None):$/;" f +sparse_matrix_transpose_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_transpose_eager_fallback(input, type, conjugate, name, ctx):$/;" f +sparse_matrix_zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_zeros(dense_shape, type, name=None):$/;" f +sparse_matrix_zeros_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_matrix_zeros_eager_fallback(dense_shape, type, name, ctx):$/;" f +sparse_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_mat_mul(a, b, transpose_a=False, transpose_b=False, a_is_sparse=False, b_is_sparse=Fa/;" f +sparse_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_mat_mul_eager_fallback(a, b, transpose_a, transpose_b, a_is_sparse, b_is_sparse, name/;" f +sparse_maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_maximum(sp_a, sp_b, name=None):$/;" f +sparse_may_share_memory adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def sparse_may_share_memory(A, B):$/;" f +sparse_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_merge(sp_ids, sp_values, vocab_size, name=None,$/;" f +sparse_merge_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_merge_impl(sp_ids,$/;" f +sparse_minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_minimum(sp_a, sp_b, name=None):$/;" f +sparse_params adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^sparse_params = [pytest.param("COO"),$/;" v +sparse_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def sparse_placeholder(dtype, shape=None, name=None):$/;" f +sparse_precision_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def sparse_precision_at_k(labels,$/;" f +sparse_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def sparse_read(self, indices, name=None):$/;" m class:AutoCastVariable +sparse_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def sparse_read(self, indices, name=None):$/;" m class:BaseResourceVariable +sparse_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def sparse_read(self, indices, name=None):$/;" m class:Variable +sparse_reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_max(input_indices, input_values, input_shape, reduction_axes, keep_dims=False,/;" f +sparse_reduce_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_max(sp_input, axis=None, keepdims=None,$/;" f +sparse_reduce_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_max_eager_fallback(input_indices, input_values, input_shape, reduction_axes, k/;" f +sparse_reduce_max_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_max_sparse(input_indices, input_values, input_shape, reduction_axes, keep_dims/;" f +sparse_reduce_max_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_max_sparse(sp_input,$/;" f +sparse_reduce_max_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_max_sparse_eager_fallback(input_indices, input_values, input_shape, reduction_/;" f +sparse_reduce_max_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_max_v2($/;" f +sparse_reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_sum(input_indices, input_values, input_shape, reduction_axes, keep_dims=False,/;" f +sparse_reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_sum(sp_input, axis=None, keepdims=None,$/;" f +sparse_reduce_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_sum_eager_fallback(input_indices, input_values, input_shape, reduction_axes, k/;" f +sparse_reduce_sum_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_sum_sparse(input_indices, input_values, input_shape, reduction_axes, keep_dims/;" f +sparse_reduce_sum_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_sum_sparse(sp_input,$/;" f +sparse_reduce_sum_sparse_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reduce_sum_sparse_eager_fallback(input_indices, input_values, input_shape, reduction_/;" f +sparse_reduce_sum_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reduce_sum_v2($/;" f +sparse_reorder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reorder(input_indices, input_values, input_shape, name=None):$/;" f +sparse_reorder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reorder(sp_input, name=None):$/;" f +sparse_reorder_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reorder_eager_fallback(input_indices, input_values, input_shape, name, ctx):$/;" f +sparse_reset_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reset_shape(sp_input, new_shape=None):$/;" f +sparse_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reshape(input_indices, input_shape, new_shape, name=None):$/;" f +sparse_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_reshape(sp_input, shape, name=None):$/;" f +sparse_reshape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_reshape_eager_fallback(input_indices, input_shape, new_shape, name, ctx):$/;" f +sparse_retain adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_retain(sp_input, to_retain):$/;" f +sparse_segmented_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def sparse_segmented_tensor(min_dim=1, max_dim=4, dtype=np.float32,$/;" f +sparse_segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean(data, indices, segment_ids, name=None):$/;" f +sparse_segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_mean(data,$/;" f +sparse_segment_mean_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean_eager_fallback(data, indices, segment_ids, name, ctx):$/;" f +sparse_segment_mean_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean_grad(grad, indices, segment_ids, output_dim0, name=None):$/;" f +sparse_segment_mean_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean_grad_eager_fallback(grad, indices, segment_ids, output_dim0, name, ctx):$/;" f +sparse_segment_mean_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_mean_v2(data,$/;" f +sparse_segment_mean_with_num_segments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean_with_num_segments(data, indices, segment_ids, num_segments, name=None):$/;" f +sparse_segment_mean_with_num_segments_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_mean_with_num_segments_eager_fallback(data, indices, segment_ids, num_segment/;" f +sparse_segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n(data, indices, segment_ids, name=None):$/;" f +sparse_segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_sqrt_n(data,$/;" f +sparse_segment_sqrt_n_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n_eager_fallback(data, indices, segment_ids, name, ctx):$/;" f +sparse_segment_sqrt_n_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n_grad(grad, indices, segment_ids, output_dim0, name=None):$/;" f +sparse_segment_sqrt_n_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n_grad_eager_fallback(grad, indices, segment_ids, output_dim0, name, ctx/;" f +sparse_segment_sqrt_n_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_sqrt_n_v2(data,$/;" f +sparse_segment_sqrt_n_with_num_segments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n_with_num_segments(data, indices, segment_ids, num_segments, name=None)/;" f +sparse_segment_sqrt_n_with_num_segments_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sqrt_n_with_num_segments_eager_fallback(data, indices, segment_ids, num_segme/;" f +sparse_segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sum(data, indices, segment_ids, name=None):$/;" f +sparse_segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_sum(data,$/;" f +sparse_segment_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sum_eager_fallback(data, indices, segment_ids, name, ctx):$/;" f +sparse_segment_sum_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sparse_segment_sum_v2(data,$/;" f +sparse_segment_sum_with_num_segments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sum_with_num_segments(data, indices, segment_ids, num_segments, name=None):$/;" f +sparse_segment_sum_with_num_segments_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sparse_segment_sum_with_num_segments_eager_fallback(data, indices, segment_ids, num_segments/;" f +SPARSE_SHARDED adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ SPARSE_SHARDED = 'sparse_sharded'$/;" v class:Tags +sparse_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_slice(indices, values, shape, start, size, name=None):$/;" f +sparse_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_slice(sp_input, start, size, name=None):$/;" f +sparse_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_slice_eager_fallback(indices, values, shape, start, size, name, ctx):$/;" f +sparse_slice_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_slice_grad(backprop_val_grad, input_indices, input_start, output_indices, name=None):$/;" f +sparse_slice_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_slice_grad_eager_fallback(backprop_val_grad, input_indices, input_start, output_indic/;" f +sparse_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_softmax(sp_indices, sp_values, sp_shape, name=None):$/;" f +sparse_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_softmax(sp_input, name=None):$/;" f +sparse_softmax_cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def sparse_softmax_cross_entropy($/;" f +sparse_softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def sparse_softmax_cross_entropy_with_logits(features, labels, name=None):$/;" f +sparse_softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def sparse_softmax_cross_entropy_with_logits($/;" f +sparse_softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import sparse_softmax_cross_entropy_with_logits_v2 as sparse_s/;" x +sparse_softmax_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_ops import sparse_softmax_cross_entropy_with_logits_v2 as sparse_s/;" x +sparse_softmax_cross_entropy_with_logits_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def sparse_softmax_cross_entropy_with_logits_eager_fallback(features, labels, name, ctx):$/;" f +sparse_softmax_cross_entropy_with_logits_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def sparse_softmax_cross_entropy_with_logits_v2(labels, logits, name=None):$/;" f +sparse_softmax_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_softmax_eager_fallback(sp_indices, sp_values, sp_shape, name, ctx):$/;" f +sparse_sparse_maximum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_sparse_maximum(a_indices, a_values, a_shape, b_indices, b_values, b_shape, name=None)/;" f +sparse_sparse_maximum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_sparse_maximum_eager_fallback(a_indices, a_values, a_shape, b_indices, b_values, b_sh/;" f +sparse_sparse_minimum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_sparse_minimum(a_indices, a_values, a_shape, b_indices, b_values, b_shape, name=None)/;" f +sparse_sparse_minimum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_sparse_minimum_eager_fallback(a_indices, a_values, a_shape, b_indices, b_values, b_sh/;" f +sparse_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_split(split_dim, indices, values, shape, num_split, name=None):$/;" f +sparse_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_split(keyword_required=KeywordRequired(),$/;" f +sparse_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_split_eager_fallback(split_dim, indices, values, shape, num_split, name, ctx):$/;" f +sparse_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_split_v2(sp_input=None,$/;" f +sparse_tensor_dense_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_tensor_dense_add(a_indices, a_values, a_shape, b, name=None):$/;" f +sparse_tensor_dense_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_tensor_dense_add_eager_fallback(a_indices, a_values, a_shape, b, name, ctx):$/;" f +sparse_tensor_dense_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_tensor_dense_matmul(sp_a,$/;" f +sparse_tensor_dense_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_tensor_dense_mat_mul(a_indices, a_values, a_shape, b, adjoint_a=False, adjoint_b=Fals/;" f +sparse_tensor_dense_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_tensor_dense_mat_mul_eager_fallback(a_indices, a_values, a_shape, b, adjoint_a, adjoi/;" f +sparse_tensor_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.framework import sparse_tensor as sparse_tensor_lib$/;" x +sparse_tensor_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^from tensorflow.python.framework import sparse_tensor as sparse_tensor_lib$/;" x +sparse_tensor_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^from tensorflow.python.framework import sparse_tensor as sparse_tensor_lib$/;" x +sparse_tensor_slice_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def sparse_tensor_slice_dataset(indices, values, dense_shape, name=None):$/;" f +sparse_tensor_slice_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def sparse_tensor_slice_dataset_eager_fallback(indices, values, dense_shape, name, ctx):$/;" f +sparse_tensor_to_csr_sparse_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_tensor_to_csr_sparse_matrix(indices, values, dense_shape, name=None):$/;" f +sparse_tensor_to_csr_sparse_matrix_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^def sparse_tensor_to_csr_sparse_matrix_eager_fallback(indices, values, dense_shape, name, ctx):$/;" f +sparse_tensor_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_tensor_to_dense(sp_input,$/;" f +sparse_test_class adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def sparse_test_class(getset=True, slicing=True, slicing_assign=True,$/;" f +sparse_top_k_categorical_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def sparse_top_k_categorical_accuracy(y_true, y_pred, k=5):$/;" f +sparse_to_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def sparse_to_dense(I, X, D):$/;" f member:TestOperators.test_sparse_to_dense file: +SPARSE_TO_DENSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPARSE_TO_DENSE = 68$/;" v class:BuiltinOperator +sparse_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_to_dense(sparse_indices, output_shape, sparse_values, default_value, validate_indices/;" f +sparse_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_to_dense(sparse_indices,$/;" f +sparse_to_dense_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def sparse_to_dense_eager_fallback(sparse_indices, output_shape, sparse_values, default_value, v/;" f +sparse_to_dense_noshapeinfer adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def sparse_to_dense_noshapeinfer(I, X):$/;" f member:TestOperators.test_sparse_to_dense file: +sparse_to_indicator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_to_indicator(sp_input, vocab_size, name=None):$/;" f +sparse_to_sparse_set_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def sparse_to_sparse_set_operation(set1_indices, set1_values, set1_shape, set2_indices, set2_val/;" f +sparse_to_sparse_set_operation_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^def sparse_to_sparse_set_operation_eager_fallback(set1_indices, set1_values, set1_shape, set2_in/;" f +sparse_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def sparse_transpose(sp_input, perm=None, name=None):$/;" f +Sparsity adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Sparsity(self):$/;" m class:Tensor +SparsityParameters adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SparsityParameters(object):$/;" c +SparsityParametersAddBlockMap adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersAddBlockMap(builder, blockMap): builder.PrependUOffsetTRelativeSlot(1, fla/;" f +SparsityParametersAddDimMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersAddDimMetadata(builder, dimMetadata): builder.PrependUOffsetTRelativeSlot(/;" f +SparsityParametersAddTraversalOrder adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersAddTraversalOrder(builder, traversalOrder): builder.PrependUOffsetTRelativ/;" f +SparsityParametersBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SparsityParametersBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SparsityParameters +SparsityParametersEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersEnd(builder): return builder.EndObject()$/;" f +SparsityParametersStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersStart(builder): builder.StartObject(3)$/;" f +SparsityParametersStartBlockMapVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersStartBlockMapVector(builder, numElems): return builder.StartVector(4, numE/;" f +SparsityParametersStartDimMetadataVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersStartDimMetadataVector(builder, numElems): return builder.StartVector(4, n/;" f +SparsityParametersStartTraversalOrderVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SparsityParametersStartTraversalOrderVector(builder, numElems): return builder.StartVector(4/;" f +SparsityParametersT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SparsityParametersT(object):$/;" c +SpatialBN adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def SpatialBN(self, *args, **kwargs):$/;" m class:CNNModelHelper +SpatialDropout1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class SpatialDropout1D(Dropout):$/;" c +SpatialDropout2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class SpatialDropout2D(Dropout):$/;" c +SpatialDropout3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class SpatialDropout3D(Dropout):$/;" c +SpatialGN adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def SpatialGN(self, *args, **kwargs):$/;" m class:CNNModelHelper +SpatialSharding adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^SpatialSharding = Union[Tuple[int, ...],$/;" v +spatial_2d_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def spatial_2d_padding(x, padding=((1, 1), (1, 1)), data_format=None):$/;" f +spatial_3d_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def spatial_3d_padding(x, padding=((1, 1), (1, 1), (1, 1)), data_format=None):$/;" f +spatial_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def spatial_bn(model, blob_in, blob_out, dim_in,$/;" f +spatial_bn_multi_batch_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def spatial_bn_multi_batch_grad_ref($/;" f member:TestSpatialBN.test_spatial_bn_multi_batch_grad file: +spatial_bn_multi_batch_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def spatial_bn_multi_batch_ref($/;" f member:TestSpatialBN.test_spatial_bn_multi_batch file: +spatial_gn adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/normalization.py /^def spatial_gn(model, blob_in, blob_out, dim_in,$/;" f +spawn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def spawn(self, cmd, **kwargs):$/;" m class:CCompiler +spawn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def spawn(self, cmd, search_path=1, level=1):$/;" m class:Command +spawn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/spawn.py /^def spawn(cmd, search_path=1, verbose=0, dry_run=0, env=None):$/;" f +spawn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def spawn(self, cmd):$/;" m class:MSVCCompiler +spawn adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^def spawn(fn, args=(), nprocs=1, join=True, daemon=False, start_method='spawn'):$/;" f +SPAWN adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^ SPAWN = auto()$/;" v class:MultiProcess +spawn adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def spawn(cmd):$/;" f function:BuildExtension.build_extensions.win_wrap_single_compile file: +SpawnContext adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^class SpawnContext(ProcessContext):$/;" c +SpawnHelper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^class SpawnHelper(MultiProcessTestCase):$/;" c +SpawnPool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def SpawnPool(executor):$/;" f +spbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbcon(char *uplo, int *n, int *kd, s *ab, int *ldab, s *anorm, s *rcond, s *work, int/;" f +spbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbequ(char *uplo, int *n, int *kd, s *ab, int *ldab, s *s, s *scond, s *amax, int *in/;" f +spbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbrfs(char *uplo, int *n, int *kd, int *nrhs, s *ab, int *ldab, s *afb, int *ldafb, s/;" f +spbstf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbstf(char *uplo, int *n, int *kd, s *ab, int *ldab, int *info) nogil$/;" f +spbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbsv(char *uplo, int *n, int *kd, int *nrhs, s *ab, int *ldab, s *b, int *ldb, int *i/;" f +spbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbsvx(char *fact, char *uplo, int *n, int *kd, int *nrhs, s *ab, int *ldab, s *afb, i/;" f +spbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbtf2(char *uplo, int *n, int *kd, s *ab, int *ldab, int *info) nogil$/;" f +spbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbtrf(char *uplo, int *n, int *kd, s *ab, int *ldab, int *info) nogil$/;" f +spbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spbtrs(char *uplo, int *n, int *kd, int *nrhs, s *ab, int *ldab, s *b, int *ldb, int */;" f +spdiags adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def spdiags(data, diags, m, n, format=None):$/;" f +spearmanr adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def spearmanr(x, y=None, use_ties=True, axis=None, nan_policy='propagate'):$/;" f +spearmanr adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def spearmanr(a, b=None, axis=0, nan_policy='propagate'):$/;" f +SpearmanRConstantInputWarning adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class SpearmanRConstantInputWarning(RuntimeWarning):$/;" c +SpearmanrResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^SpearmanrResult = namedtuple('SpearmanrResult', ('correlation', 'pvalue'))$/;" v +SpearmanrResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^SpearmanrResult = namedtuple('SpearmanrResult', ('correlation', 'pvalue'))$/;" v +spec adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ spec = importlib.util.spec_from_file_location('torch_version', os.path.join(th_root, 'versio/;" v +spec adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^spec = importlib.util.find_spec('html.parser')$/;" v +spec adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^import scipy.special as spec$/;" I +spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def spec(self):$/;" m class:Variable.SaveSliceInfo +specgram adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def specgram(self, x, NFFT=None, Fs=None, Fc=None, detrend=None,$/;" m class:Axes +specgram adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def specgram(x, NFFT=None, Fs=None, detrend=None, window=None,$/;" f +specgram adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def specgram($/;" f +special adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^import scipy.special as special$/;" I +special adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^import scipy.special as special$/;" I +specialElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^specialElements = frozenset([$/;" v +specialElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^specialElements = frozenset([$/;" v +SpecialFileError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^class SpecialFileError(EnvironmentError):$/;" c +SpecialFunctionError adpepsenv/lib/python3.8/site-packages/scipy/special/sf_error.py /^class SpecialFunctionError(Exception):$/;" c +SpecialFunctionWarning adpepsenv/lib/python3.8/site-packages/scipy/special/sf_error.py /^class SpecialFunctionWarning(Warning):$/;" c +SpecializedType adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^SpecializedType = enum_type_wrapper.EnumTypeWrapper(_SPECIALIZEDTYPE)$/;" v +SpecializedType adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^SpecializedType = enum_type_wrapper.EnumTypeWrapper(_SPECIALIZEDTYPE)$/;" v +SPECIAL_BUILTINS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^SPECIAL_BUILTINS = {$/;" v +special_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^special_cases = defaultdict(dict,$/;" v +SPECIAL_FLAGS adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^SPECIAL_FLAGS = None$/;" v +SPECIAL_IMPLEMENTATIONS adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^SPECIAL_IMPLEMENTATIONS = {$/;" v +SPECIAL_KEYS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^SPECIAL_KEYS = {QtCore.Qt.Key_Control: 'control',$/;" v +special_ortho_group adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^special_ortho_group = special_ortho_group_gen()$/;" v +special_ortho_group_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class special_ortho_group_frozen(multi_rv_frozen):$/;" c +special_ortho_group_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class special_ortho_group_gen(multi_rv_generic):$/;" c +SpecificDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def SpecificDecoder(field_number, is_repeated, is_packed, key, new_default,$/;" f function:_SimpleDecoder file: +SpecificEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificEncoder(field_number, is_repeated, is_packed):$/;" f function:_FloatingPointEncoder file: +SpecificEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificEncoder(field_number, is_repeated, is_packed):$/;" f function:_ModifiedEncoder file: +SpecificEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificEncoder(field_number, is_repeated, is_packed):$/;" f function:_SimpleEncoder file: +SpecificEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificEncoder(field_number, is_repeated, is_packed):$/;" f function:_StructPackEncoder file: +SpecificityAtSensitivity adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SpecificityAtSensitivity(SensitivitySpecificityBase):$/;" c +specificity_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def specificity_across_replicas(_, values):$/;" f function:specificity_at_sensitivity file: +specificity_at_sensitivity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def specificity_at_sensitivity(labels,$/;" f +SPECIFICITY_AT_SENSITIVITY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/metric_keys.py /^ SPECIFICITY_AT_SENSITIVITY = 'specificity_at_sensitivity_%g'$/;" v class:MetricKeys +SpecificSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificSizer(field_number, is_repeated, is_packed):$/;" f function:_FixedSizer file: +SpecificSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificSizer(field_number, is_repeated, is_packed):$/;" f function:_ModifiedSizer file: +SpecificSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^ def SpecificSizer(field_number, is_repeated, is_packed):$/;" f function:_SimpleSizer file: +SPECIFIC_ERROR adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ SPECIFIC_ERROR = "too many {status_code} error responses"$/;" v class:ResponseError +SPECIFIC_ERROR adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ SPECIFIC_ERROR = "too many {status_code} error responses"$/;" v class:ResponseError +specifier adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ specifier = 'x86'$/;" v +specifier adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def specifier(self):$/;" m class:InstallRequirement +Specifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class Specifier(_IndividualSpecifier):$/;" c +Specifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class Specifier(_IndividualSpecifier):$/;" c +Specifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class Specifier(_IndividualSpecifier):$/;" c +SpecifierRequirement adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^class SpecifierRequirement(Requirement):$/;" c +SpecifierSet adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class SpecifierSet(BaseSpecifier):$/;" c +SpecifierSet adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class SpecifierSet(BaseSpecifier):$/;" c +SpecifierSet adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class SpecifierSet(BaseSpecifier):$/;" c +spectral adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{spectral}$/;" l +SpectralNorm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^class SpectralNorm:$/;" c +SpectralNormLoadStateDictPreHook adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^class SpectralNormLoadStateDictPreHook:$/;" c +SpectralNormStateDictHook adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^class SpectralNormStateDictHook:$/;" c +spectral_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^def spectral_norm(module: T_module,$/;" f +spectrogram adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def spectrogram(x, fs=1.0, window=('tukey', .25), nperseg=None, noverlap=None,$/;" f +spectrum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def spectrum(self):$/;" m class:_BaseLinearOperatorCirculant +spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def spec_from_value(value):$/;" f member:ConcreteFunction.pretty_printed_signature file: +spec_to_indices adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def spec_to_indices(shape: Tuple[int, ...],$/;" f +speed adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def speed(self):$/;" m class:Progress +SPEEX_VOICE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SPEEX_VOICE = 0xA109$/;" v class:WAVE_FORMAT +spence adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t spence(Dd_number_t x0) nogil$/;" f +spence adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def spence(z):$/;" f function:test_spence_circle file: +spence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def spence(x, name=None):$/;" f +Spence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^Spence = tf_export("raw_ops.Spence")(_ops.to_raw_op(spence))$/;" v +spence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def spence(x, name=None):$/;" f +spence_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^def spence_eager_fallback(x, name, ctx):$/;" f +speye adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/equality_constrained_sqp.py /^from scipy.sparse import eye as speye$/;" x +speye adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^from scipy.sparse.construct import eye as speye$/;" x +spftrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spftrf(char *transr, char *uplo, int *n, s *a, int *info) nogil$/;" f +spftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spftri(char *transr, char *uplo, int *n, s *a, int *info) nogil$/;" f +spftrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spftrs(char *transr, char *uplo, int *n, int *nrhs, s *a, s *b, int *ldb, int *info) n/;" f +SphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class SphereBivariateSpline(_BivariateSplineBase):$/;" c +sphere_decreasing adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^def sphere_decreasing(middle, pos):$/;" f +sphere_increasing adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^def sphere_increasing(middle, pos):$/;" f +sphere_intersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^def sphere_intersections(z, d, trust_radius,$/;" f +spherharm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def spherharm(l, m, theta, phi):$/;" f member:TestSystematic.test_spherharm file: +SphericalDerivativesTestCase adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class SphericalDerivativesTestCase:$/;" c +SphericalVoronoi adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^class SphericalVoronoi:$/;" c +spherical_in adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef number_t spherical_in(long n, number_t z, bint derivative=*) nogil$/;" f +spherical_in adpepsenv/lib/python3.8/site-packages/scipy/special/_spherical_bessel.py /^def spherical_in(n, z, derivative=False):$/;" f +spherical_jn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef number_t spherical_jn(long n, number_t z, bint derivative=*) nogil$/;" f +spherical_jn adpepsenv/lib/python3.8/site-packages/scipy/special/_spherical_bessel.py /^def spherical_jn(n, z, derivative=False):$/;" f +spherical_jn_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def spherical_jn_(n, x):$/;" f +spherical_kn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef number_t spherical_kn(long n, number_t z, bint derivative=*) nogil$/;" f +spherical_kn adpepsenv/lib/python3.8/site-packages/scipy/special/_spherical_bessel.py /^def spherical_kn(n, z, derivative=False):$/;" f +spherical_yn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef number_t spherical_yn(long n, number_t z, bint derivative=*) nogil$/;" f +spherical_yn adpepsenv/lib/python3.8/site-packages/scipy/special/_spherical_bessel.py /^def spherical_yn(n, z, derivative=False):$/;" f +spherical_yn_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def spherical_yn_(n, x):$/;" f +sph_harm adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex sph_harm(dl_number_t x0, dl_number_t x1, double x2, double x3) nogil$/;" f +sph_harm_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def sph_harm_(m, n, theta, phi):$/;" f +SpiderImageFile adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^class SpiderImageFile(ImageFile.ImageFile):$/;" c +spilu adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def spilu(A, drop_tol=None, fill_factor=None, drop_rule=None, permc_spec=None,$/;" f +spin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def spin(self):$/;" m class:InteractiveSpinner +spin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def spin(self):$/;" m class:NonInteractiveSpinner +spin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def spin(self):$/;" m class:SpinnerInterface +Spine adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^class Spine(mpatches.Patch):$/;" c +Spinner adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^class Spinner(Infinite):$/;" c +SpinnerInterface adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^class SpinnerInterface(object):$/;" c +splantider adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splantider(tck, n=1):$/;" f +splantider adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splantider(tck, n=1):$/;" f +splder adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splder(tck, n=1):$/;" f +splder adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splder(tck, n=1):$/;" f +splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splev(x, tck, der=0, ext=0):$/;" f +splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splev(x, tck, der=0, ext=0):$/;" f +splice adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def splice(seq, i, x):$/;" f function:sm3 file: +splin adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^import scipy.sparse.linalg as splin$/;" I +spline_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def spline_filter(input, order=3, output=numpy.float64, mode='mirror'):$/;" f +spline_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def spline_filter(Iin, lmbda=5.0):$/;" f +spline_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def spline_filter1d(input, order=3, axis=-1, output=numpy.float64,$/;" f +splint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splint(a, b, tck, full_output=0):$/;" f +splint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splint(a, b, tck, full_output=0):$/;" f +Split adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/split.py /^class Split(ModelLayer):$/;" c +split adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def split(self, data, lengths, indices=None):$/;" m class:LengthsTester +split adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def split(self, data, segment_ids, indices=None):$/;" m class:SegmentsTester +split adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def split(cls, s):$/;" m class:_timelex +split adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def split(l, parts):$/;" f +split adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def split(ary, indices_or_sections, axis: int = 0):$/;" f +split adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def split(key: jnp.ndarray, num: int = 2) -> jnp.ndarray:$/;" f +split adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def split(self, sep=None, maxsplit=None):$/;" m class:chararray +split adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def split(a, sep=None, maxsplit=None):$/;" f +split adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def split(cmd):$/;" m class:CommandLineParser +split adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def split(cmd):$/;" m class:PosixParser +split adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^ def split(cmd):$/;" m class:WindowsParser +split adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def split(ary, indices_or_sections, axis=0):$/;" f +split adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^split = re.compile(r"^%%([^:]*):[ \\t]*(.*)[ \\t]*$")$/;" v +split adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def split(self):$/;" m class:Image +split adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^split = re.compile(br"^([A-Za-z][^:]*):[ \\t]*(.*)[ \\t]*$")$/;" v +split adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def split(self, instring, maxsplit=_MAX_INT, includeSeparators=False):$/;" m class:ParserElement +split adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def split(self, instring, maxsplit=_MAX_INT, includeSeparators=False):$/;" m class:ParserElement +split adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def split(self, instring, maxsplit=_MAX_INT, includeSeparators=False):$/;" m class:ParserElement +split adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def split(self):$/;" m class:KDTree.innernode +split adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def split(self, d, split):$/;" m class:Rectangle +split adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def split(self, instring, maxsplit=_MAX_INT, includeSeparators=False):$/;" m class:ParserElement +split adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def split(cls, tensor, split_dimension, num_devices, input_shape=None):$/;" m class:Sharding +split adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def split(tensor,$/;" f +SPLIT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPLIT = 49$/;" v class:BuiltinOperator +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def split(value, num_or_size_splits, axis=0, num=None, name="split"):$/;" f +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def split(axis, value, num_split, name=None):$/;" f +Split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Split = tf_export("raw_ops.Split")(_ops.to_raw_op(split))$/;" v +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def split(ary, indices_or_sections, axis=0):$/;" f +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def split(self, count=1):$/;" m class:Generator +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def split(seed, num=2):$/;" f +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def split(self, value, lengths, name=None):$/;" m class:TensorArray +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def split(self, value, lengths, name=None):$/;" m class:_EagerTensorArray +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def split(self, value, lengths, name=None):$/;" m class:_GraphTensorArray +split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def split(self, value, lengths, name=None):$/;" m class:_GraphTensorArrayV2 +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_split as split$/;" x +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import strings_split_v1 as split$/;" x +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_split_v2 as split$/;" x +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import string_split_v2 as split$/;" x +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_split_v2 as split$/;" x +split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.ragged.ragged_string_ops import string_split_v2 as split$/;" x +split adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def split(tensor, split_size_or_sections, dim=0):$/;" f +split adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def split(g, self, split_size_or_sizes, dim, _outputs=None):$/;" f +split adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def split(g, self, split_size_or_sizes, dim, _outputs=None):$/;" f +split adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def split(self, split_size, dim=0):$/;" m class:Tensor +splitext adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def splitext(self):$/;" m class:Link +splitext adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def splitext(path):$/;" f +SplitID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class SplitID(univ.Sequence):$/;" c +SplitImportTest adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^class SplitImportTest(test_utils.TestCase):$/;" c +splitlines adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def splitlines(self, keepends=None):$/;" m class:chararray +splitlines adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def splitlines(a, keepends=None):$/;" f +SplitOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SplitOptions = 35$/;" v class:BuiltinOptions +SplitOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SplitOptions(object):$/;" c +SplitOptionsAddNumSplits adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitOptionsAddNumSplits(builder, numSplits): builder.PrependInt32Slot(0, numSplits, 0)$/;" f +SplitOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SplitOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SplitOptions +SplitOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitOptionsEnd(builder): return builder.EndObject()$/;" f +SplitOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitOptionsStart(builder): builder.StartObject(1)$/;" f +SplitOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SplitOptionsT(object):$/;" c +SplitPool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def SplitPool(executor, comm, root):$/;" f +SplitTypeWithDefault adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^SplitTypeWithDefault = enum_type_wrapper.EnumTypeWrapper(_SPLITTYPEWITHDEFAULT)$/;" v +splitUp adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^def splitUp(pred):$/;" f +splituser adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def splituser(host):$/;" f +SplitV adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^SplitV = tf_export("raw_ops.SplitV")(_ops.to_raw_op(split_v))$/;" v +SplitVOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SplitVOptions = 79$/;" v class:BuiltinOptions +SplitVOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SplitVOptions(object):$/;" c +SplitVOptionsAddNumSplits adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitVOptionsAddNumSplits(builder, numSplits): builder.PrependInt32Slot(0, numSplits, 0)$/;" f +SplitVOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SplitVOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SplitVOptions +SplitVOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitVOptionsEnd(builder): return builder.EndObject()$/;" f +SplitVOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SplitVOptionsStart(builder): builder.StartObject(1)$/;" f +SplitVOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SplitVOptionsT(object):$/;" c +splitx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def splitx(self, *args):$/;" m class:BboxBase +splity adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def splity(self, *args):$/;" m class:BboxBase +split_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ split_args = dict()$/;" v class:CommandSpec +split_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ split_args = dict(posix=False)$/;" v class:WindowsCommandSpec +split_arg_into_blocks adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def split_arg_into_blocks(block_dims, block_dims_fn, arg, axis=-1):$/;" f +split_auth_from_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def split_auth_from_netloc(netloc):$/;" f +split_auth_netloc_from_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def split_auth_netloc_from_url(url):$/;" f +split_bezier_intersecting_with_closedpath adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def split_bezier_intersecting_with_closedpath($/;" f +split_by_lengths_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def split_by_lengths_ref(data, lengths, num_output=num_output, axis=0):$/;" f member:TestConcatSplitOps.test_split_by_lengths file: +split_by_sparsity adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def split_by_sparsity(values):$/;" f +split_by_unquoted adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def split_by_unquoted(line, characters):$/;" f +split_code_at_show adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def split_code_at_show(text):$/;" f +split_compile_and_replicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def split_compile_and_replicate(computation,$/;" f +split_compile_and_shard adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def split_compile_and_shard(computation,$/;" f +split_data_line adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def split_data_line(line, dialect=None):$/;" f +split_de_casteljau adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def split_de_casteljau(beta, t):$/;" f +split_dict adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def split_dict(dct, names):$/;" f +split_dim adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def split_dim(self):$/;" m class:KDTree.innernode +split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def split_eager_fallback(axis, value, num_split, name, ctx):$/;" f +split_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def split_filename(self, filename, project_name):$/;" m class:Locator +split_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def split_filename(filename, project_name=None):$/;" f +split_first adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def split_first(s, delims):$/;" f +split_first adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def split_first(s, delims):$/;" f +split_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def split_fn(inp, num_shards, axis, name):$/;" f member:InfeedQueue.split_inputs_and_generate_enqueue_ops file: +split_generation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def split_generation(self):$/;" m class:Complex +split_hostlist adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def split_hostlist(hostlist):$/;" f function:expand_hostlist file: +split_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils.py /^def split_import(sc, node, alias_to_remove):$/;" f +split_inputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def split_inputs(ctx, features, labels, num_cores_per_batch=1):$/;" f +split_inputs_and_generate_enqueue_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def split_inputs_and_generate_enqueue_ops(self,$/;" m class:InfeedQueue +split_leading_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def split_leading_dir(path):$/;" f +split_line adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def split_line(line):$/;" f function:loadtxt file: +split_list adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def split_list(args, ns):$/;" f +split_merge adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def split_merge(predicate, xs):$/;" f +split_net adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def split_net(proto):$/;" f function:estimate_memory_usage file: +SPLIT_ON_WHITESPACE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^SPLIT_ON_WHITESPACE = "whitespace"$/;" v +split_path_inout adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def split_path_inout(path, inside, tolerance=0.01, reorder_inout=False):$/;" f +split_provision adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^def split_provision(value):$/;" f +split_quoted adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def split_quoted (s):$/;" f +split_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^ def split_ref(input, split=split_info):$/;" f member:TestFunctional.test_split file: +split_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^ def split_ref(input, split=split_info):$/;" f member:TestConcatSplitOps.test_split file: +split_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def split_ref(input, split=split_info):$/;" f member:TestConcatSplitOps.test_split file: +split_sections adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def split_sections(s):$/;" f +split_sections adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def split_sections(s):$/;" f +split_sequence_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def split_sequence_columns(feature_columns):$/;" f +split_sequence_columns_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def split_sequence_columns_v2(feature_columns):$/;" f +split_signature adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^def split_signature(sig):$/;" f +split_simplex_symmetry adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def split_simplex_symmetry(self, S, gen):$/;" m class:Complex +split_to_logical_devices adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def split_to_logical_devices($/;" f +split_training_and_validation_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def split_training_and_validation_data(x, y, sample_weights, validation_split):$/;" f +split_type_line adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def split_type_line(type_line):$/;" f +SPLIT_V adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SPLIT_V = 102$/;" v class:BuiltinOperator +split_v adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def split_v(value, size_splits, axis, num_split, name=None):$/;" f +split_v_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def split_v_eager_fallback(value, size_splits, axis, num_split, name, ctx):$/;" f +split_with_sizes adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def split_with_sizes(g, self, split_sizes, dim, _outputs=None):$/;" f +split_with_sizes adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def split_with_sizes(g, self, split_sizes, dim, _outputs=None):$/;" f +splprep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splprep(x, w=None, u=None, ub=None, ue=None, k=3, task=0, s=None, t=None,$/;" f +splprep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splprep(x, w=None, u=None, ub=None, ue=None, k=3, task=0, s=None, t=None,$/;" f +splrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def splrep(x, y, w=None, xb=None, xe=None, k=3, task=0, s=None, t=None,$/;" f +splrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def splrep(x, y, w=None, xb=None, xe=None, k=3, task=0, s=None, t=None,$/;" f +splu adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def splu(A, permc_spec=None, diag_pivot_thresh=None,$/;" f +SpLuInv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class SpLuInv(LinearOperator):$/;" c +spl_interp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def spl_interp(x, y, axis):$/;" f function:test_shapes file: +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^class spmatrix(object):$/;" c +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def spmatrix(cls, *args, **kwargs):$/;" m class:TestCSC +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def spmatrix(cls, *args, **kwargs):$/;" m class:TestCSR +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def spmatrix(self, D, sorted_indices=False, **kwargs):$/;" m class:_NonCanonicalMixin +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ spmatrix = bsr_matrix$/;" v class:TestBSR +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ spmatrix = coo_matrix$/;" v class:TestCOO +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ spmatrix = dia_matrix$/;" v class:TestDIA +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ spmatrix = dok_matrix$/;" v class:TestDOK +spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ spmatrix = lil_matrix$/;" v class:TestLIL +spmd_full_to_shard_shape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^spmd_full_to_shard_shape = gen_xla_ops.xla_spmd_full_to_shard_shape$/;" v +spmd_shard_to_full_shape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^spmd_shard_to_full_shape = gen_xla_ops.xla_spmd_shard_to_full_shape$/;" v +spnorm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^from scipy.sparse.linalg import norm as spnorm$/;" x +spocon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spocon(char *uplo, int *n, s *a, int *lda, s *anorm, s *rcond, s *work, int *iwork, in/;" f +spoequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spoequ(int *n, s *a, int *lda, s *s, s *scond, s *amax, int *info) nogil$/;" f +spoequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spoequb(int *n, s *a, int *lda, s *s, s *scond, s *amax, int *info) nogil$/;" f +SpooledTemporaryFile adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ SpooledTemporaryFile = None$/;" v +sporfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sporfs(char *uplo, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, s *b, int *ldb/;" f +sposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sposv(char *uplo, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, int *info) nogil$/;" f +sposvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sposvx(char *fact, char *uplo, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, ch/;" f +spotf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spotf2(char *uplo, int *n, s *a, int *lda, int *info) nogil$/;" f +spotrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spotrf(char *uplo, int *n, s *a, int *lda, int *info) nogil$/;" f +spotri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spotri(char *uplo, int *n, s *a, int *lda, int *info) nogil$/;" f +spotrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spotrs(char *uplo, int *n, int *nrhs, s *a, int *lda, s *b, int *ldb, int *info) nogil$/;" f +sppcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sppcon(char *uplo, int *n, s *ap, s *anorm, s *rcond, s *work, int *iwork, int *info) /;" f +sppequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sppequ(char *uplo, int *n, s *ap, s *s, s *scond, s *amax, int *info) nogil$/;" f +spprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spprfs(char *uplo, int *n, int *nrhs, s *ap, s *afp, s *b, int *ldb, s *x, int *ldx, s/;" f +sppsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sppsv(char *uplo, int *n, int *nrhs, s *ap, s *b, int *ldb, int *info) nogil$/;" f +sppsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sppsvx(char *fact, char *uplo, int *n, int *nrhs, s *ap, s *afp, char *equed, s *s, s /;" f +spptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spptrf(char *uplo, int *n, s *ap, int *info) nogil$/;" f +spptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spptri(char *uplo, int *n, s *ap, int *info) nogil$/;" f +spptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spptrs(char *uplo, int *n, int *nrhs, s *ap, s *b, int *ldb, int *info) nogil$/;" f +sprand adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^from scipy.sparse.construct import rand as sprand$/;" x +spring adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def spring():$/;" f +sprite adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ sprite = None$/;" v class:WhatIfToolPlugin +SpriteMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^SpriteMetadata = _reflection.GeneratedProtocolMessageType('SpriteMetadata', (_message.Message,),/;" v +SpriteMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^SpriteMetadata = _reflection.GeneratedProtocolMessageType('SpriteMetadata', (_message.Message,),/;" v +SPRITE_IMAGE_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^SPRITE_IMAGE_ROUTE = "\/sprite_image"$/;" v +sprite_thumbnail_dim_px adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ sprite_thumbnail_dim_px = 32$/;" v class:WhatIfToolPlugin +sproot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^def sproot(tck, mest=10):$/;" f +sproot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def sproot(tck, mest=10):$/;" f +sps adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^import scipy.sparse as sps$/;" I +sps adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^import scipy.sparse as sps$/;" I +spsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def spsolve(A, b, permc_spec=None, use_umfpack=True):$/;" f +spsolve_triangular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def spsolve_triangular(A, b, lower=True, overwrite_A=False, overwrite_b=False,$/;" f +spstf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spstf2(char *uplo, int *n, s *a, int *lda, int *piv, int *rank, s *tol, s *work, int */;" f +spstrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spstrf(char *uplo, int *n, s *a, int *lda, int *piv, int *rank, s *tol, s *work, int */;" f +sptcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sptcon(int *n, s *d, s *e, s *anorm, s *rcond, s *work, int *info) nogil$/;" f +spteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spteqr(char *compz, int *n, s *d, s *e, s *z, int *ldz, s *work, int *info) nogil$/;" f +sptrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sptrfs(int *n, int *nrhs, s *d, s *e, s *df, s *ef, s *b, int *ldb, s *x, int *ldx, s /;" f +sptsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sptsv(int *n, int *nrhs, s *d, s *e, s *b, int *ldb, int *info) nogil$/;" f +sptsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sptsvx(char *fact, int *n, int *nrhs, s *d, s *e, s *df, s *ef, s *b, int *ldb, s *x, /;" f +spttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spttrf(int *n, s *d, s *e, int *info) nogil$/;" f +spttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void spttrs(int *n, int *nrhs, s *d, s *e, s *b, int *ldb, int *info) nogil$/;" f +sptts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sptts2(int *n, int *nrhs, s *d, s *e, s *b, int *ldb) nogil$/;" f +spy adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def spy(self, Z, precision=0, marker=None, markersize=None,$/;" m class:Axes +spy adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def spy($/;" f +sp_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^from scipy import special, optimize, fft as sp_fft$/;" x +sp_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^from scipy import linalg, fft as sp_fft$/;" x +sp_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^from scipy import fft as sp_fft$/;" x +sp_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^from scipy import linalg, special, fft as sp_fft$/;" x +sp_sparse_cls adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def sp_sparse_cls(request):$/;" f +sqeuclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def sqeuclidean(u, v, w=None):$/;" f +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ SqlDataset = SqlDatasetV1$/;" v +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ SqlDataset = SqlDatasetV2$/;" v +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^SqlDataset = tf_export("raw_ops.SqlDataset")(_ops.to_raw_op(sql_dataset))$/;" v +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import SqlDatasetV1 as SqlDataset$/;" x +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import SqlDatasetV2 as SqlDataset$/;" x +SqlDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.readers import SqlDatasetV2 as SqlDataset$/;" x +SqlDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^class SqlDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +SqlDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^class SqlDatasetV2(dataset_ops.DatasetSource):$/;" c +sql_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sql_dataset(driver_name, data_source_name, query, output_types, output_shapes, name=None):$/;" f +sql_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def sql_dataset_eager_fallback(driver_name, data_source_name, query, output_types, output_shapes/;" f +SQPReport adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/report.py /^class SQPReport(ReportBase):$/;" c +sqrt adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sqrt = np.sqrt$/;" v +sqrt adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sqrt(x: Array) -> Array:$/;" f +sqrt adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^sqrt = _one_to_one_unop(np.sqrt, lax.sqrt, True)$/;" v +sqrt adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def sqrt(self, s, loc, toks):$/;" m class:Parser +sqrt adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def sqrt(x):$/;" f +sqrt adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^sqrt = _MaskedUnaryOperation(umath.sqrt, 0.0,$/;" v +SQRT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SQRT = 75$/;" v class:BuiltinOperator +sqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sqrt(x):$/;" f +sqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sqrt(x, name=None):$/;" f +Sqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sqrt = tf_export("raw_ops.Sqrt")(_ops.to_raw_op(sqrt))$/;" v +sqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def sqrt(x, name=None): # pylint: disable=redefined-builtin$/;" f +sqrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def sqrt(x):$/;" f +sqrt adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sqrt(g, self):$/;" f +SqrtGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SqrtGrad = tf_export("raw_ops.SqrtGrad")(_ops.to_raw_op(sqrt_grad))$/;" v +sqrtm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_sqrtm.py /^def sqrtm(A, disp=True, blocksize=64):$/;" f +sqrtm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^sqrtm = linalg_ops.matrix_square_root$/;" v +sqrtm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_square_root as sqrtm$/;" x +sqrtm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_square_root as sqrtm$/;" x +sqrtm adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_linalg_ops import matrix_square_root as sqrtm$/;" x +SqrtmError adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_sqrtm.py /^class SqrtmError(np.linalg.LinAlgError):$/;" c +SQRTN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SQRTN = 2$/;" v class:CombinerType +sqrt_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sqrt_eager_fallback(x, name, ctx):$/;" f +sqrt_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sqrt_grad(y, dy, name=None):$/;" f +sqrt_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sqrt_grad_eager_fallback(y, dy, name, ctx):$/;" f +sqrt_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def sqrt_op(X):$/;" f member:TestElementwiseOps.test_sqrt file: +sqrt_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sqrt_p = standard_unop(_float | _complex, 'sqrt')$/;" v +sqr_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def sqr_op(X):$/;" f member:TestElementwiseOps.test_sqr file: +square adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^square = np.square$/;" v +square adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def square(x: Array) -> Array:$/;" f +square adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def square(x):$/;" f +Square adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Square(_Base):$/;" c class:BoxStyle +square adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def square(t, duty=0.5):$/;" f +SQUARE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SQUARE = 92$/;" v class:BuiltinOperator +square adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def square(x):$/;" f +square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def square(x, name=None):$/;" f +Square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Square = tf_export("raw_ops.Square")(_ops.to_raw_op(square))$/;" v +square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def square(x):$/;" f +SquareCollection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^ class SquareCollection(mcollections.RegularPolyCollection):$/;" c function:test_regularpolycollection_scale file: +SquaredDifference adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^SquaredDifference = tf_export("raw_ops.SquaredDifference")(_ops.to_raw_op(squared_difference))$/;" v +SquaredDifferenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SquaredDifferenceOptions = 76$/;" v class:BuiltinOptions +SquaredDifferenceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SquaredDifferenceOptions(object):$/;" c +SquaredDifferenceOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SquaredDifferenceOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SquaredDifferenceOptions +SquaredDifferenceOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SquaredDifferenceOptionsEnd(builder): return builder.EndObject()$/;" f +SquaredDifferenceOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SquaredDifferenceOptionsStart(builder): builder.StartObject(0)$/;" f +SquaredDifferenceOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SquaredDifferenceOptionsT(object):$/;" c +SquaredHinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^class SquaredHinge(LossFunctionWrapper):$/;" c +SquaredHinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SquaredHinge(MeanMetricWrapper):$/;" c +SQUARED_DIFFERENCE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SQUARED_DIFFERENCE = 99$/;" v class:BuiltinOperator +squared_difference adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def squared_difference(x, y, name=None):$/;" f +squared_difference_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def squared_difference_eager_fallback(x, y, name, ctx):$/;" f +SQUARED_EUCLIDEAN_DISTANCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^SQUARED_EUCLIDEAN_DISTANCE = 'squared_euclidean'$/;" v +SQUARED_EUCLIDEAN_DISTANCE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ SQUARED_EUCLIDEAN_DISTANCE = clustering_ops.SQUARED_EUCLIDEAN_DISTANCE$/;" v class:KMeansClustering +squared_hinge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def squared_hinge(y_true, y_pred):$/;" f +SQUARED_LOSS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ SQUARED_LOSS = "squared_loss"$/;" v class:ARModel +squareform adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def squareform(X, force="no", checks=True):$/;" f +SquareLinearOperatorDerivedClassTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^class SquareLinearOperatorDerivedClassTest(LinearOperatorDerivedClassTest):$/;" c +SquareOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SquareOptions = 66$/;" v class:BuiltinOptions +SquareOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SquareOptions(object):$/;" c +SquareOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SquareOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SquareOptions +SquareOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SquareOptionsEnd(builder): return builder.EndObject()$/;" f +SquareOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SquareOptionsStart(builder): builder.StartObject(0)$/;" f +SquareOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SquareOptionsT(object):$/;" c +square_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def square_eager_fallback(x, name, ctx):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^squeeze = np.squeeze$/;" v +squeeze adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def squeeze(array: Array, dimensions: Tuple[int, ...]) -> Array:$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def squeeze(a, axis: Optional[Union[int, Tuple[int, ...]]] = None):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def squeeze(a, axis=None):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def squeeze(self):$/;" m class:TestRegression.test_squeeze_axis_handling.OldSqueeze +squeeze adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ squeeze = _arraymethod('squeeze')$/;" v class:MaskedArray +squeeze adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^squeeze = np.squeeze$/;" v +squeeze adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def squeeze(self, axis=None):$/;" m class:matrix +SQUEEZE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SQUEEZE = 43$/;" v class:BuiltinOperator +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def squeeze(x, axis):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def squeeze(input, axis=None, name=None, squeeze_dims=None):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def squeeze(input, axis=[], name=None):$/;" f +Squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Squeeze = tf_export("raw_ops.Squeeze")(_ops.to_raw_op(squeeze))$/;" v +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def squeeze(a, axis=None):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_squeeze_op.py /^def squeeze(input, axis=None, name=None): # pylint: disable=redefined-builtin$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import squeeze_v2 as squeeze$/;" x +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import squeeze_v2 as squeeze$/;" x +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import squeeze_v2 as squeeze$/;" x +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import squeeze_v2 as squeeze$/;" x +squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import squeeze_v2 as squeeze$/;" x +squeeze adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def squeeze(g, self, dim=None):$/;" f +squeeze adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def squeeze(g, self, dim=None):$/;" f +SqueezeDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SqueezeDims(self, j):$/;" m class:SqueezeOptions +SqueezeDimsAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SqueezeDimsAsNumpy(self):$/;" m class:SqueezeOptions +SqueezeDimsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SqueezeDimsIsNone(self):$/;" m class:SqueezeOptions +SqueezeDimsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SqueezeDimsLength(self):$/;" m class:SqueezeOptions +SqueezeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SqueezeOptions = 30$/;" v class:BuiltinOptions +SqueezeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SqueezeOptions(object):$/;" c +SqueezeOptionsAddSqueezeDims adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SqueezeOptionsAddSqueezeDims(builder, squeezeDims): builder.PrependUOffsetTRelativeSlot(0, f/;" f +SqueezeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SqueezeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SqueezeOptions +SqueezeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SqueezeOptionsEnd(builder): return builder.EndObject()$/;" f +SqueezeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SqueezeOptionsStart(builder): builder.StartObject(1)$/;" f +SqueezeOptionsStartSqueezeDimsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SqueezeOptionsStartSqueezeDimsVector(builder, numElems): return builder.StartVector(4, numEl/;" f +SqueezeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SqueezeOptionsT(object):$/;" c +squeeze_batch_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def squeeze_batch_dims(inp, op, inner_rank, name=None):$/;" f +squeeze_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ squeeze_dims = lambda: remove_squeezable_dimensions( # pylint: disable=g-long-lambda$/;" f function:squeeze_or_expand_dimensions file: +squeeze_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ squeeze_dims = lambda: confusion_matrix.remove_squeezable_dimensions( # pylint: disable=g/;" f function:squeeze_or_expand_dimensions file: +squeeze_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def squeeze_eager_fallback(input, axis, name, ctx):$/;" f +squeeze_left adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def squeeze_left(a):$/;" f member:rv_generic._argcheck_rvs file: +squeeze_or_expand_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def squeeze_or_expand_dimensions(y_pred, y_true=None, sample_weight=None):$/;" f +squeeze_or_expand_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^def squeeze_or_expand_dimensions(y_pred, y_true=None, sample_weight=None):$/;" f +squeeze_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^squeeze_p = standard_primitive(_squeeze_shape_rule, _squeeze_dtype_rule,$/;" v +squeeze_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def squeeze_ref(data, *args, **kw):$/;" f member:TestOperators.test_squeeze_expand_dims file: +squeeze_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def squeeze_v2(input, axis=None, name=None):$/;" f +SR1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^class SR1(FullHessianUpdateStrategy):$/;" c +srange adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def srange(s):$/;" f +srange adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def srange(s):$/;" f +srange adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def srange(s):$/;" f +srange adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def srange(s):$/;" f +src adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^src = partial($/;" v +src_dir adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/setup.py /^src_dir = join(dirname(path), '..', 'src')$/;" v +src_dir adpepsenv/lib/python3.8/site-packages/scipy/linalg/_cython_signature_generator.py /^ libname, src_dir, outfile = argv[1:]$/;" v +src_extensions adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ src_extensions = ['.for', '.ftn', '.f77', '.f', '.f90', '.f95', '.F', '.F90', '.FOR']$/;" v class:FCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ src_extensions = _c_extensions + _cpp_extensions$/;" v class:BCPPCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ src_extensions = None # list of strings$/;" v class:CCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ src_extensions = (_c_extensions + _cpp_extensions +$/;" v class:MSVCCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ src_extensions = (_c_extensions + _cpp_extensions +$/;" v class:MSVCCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ src_extensions = [".c",".C",".cc",".cxx",".cpp",".m"]$/;" v class:UnixCCompiler +src_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ src_extensions = (_c_extensions + _cpp_extensions +$/;" v class:MSVCCompiler +src_record_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ src_record_path = None # type: RecordPath$/;" v class:File +srot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void srot(int *n, s *sx, int *incx, s *sy, int *incy, s *c, s *s) nogil$/;" f +srotg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void srotg(s *sa, s *sb, s *c, s *s) nogil$/;" f +srotm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void srotm(int *n, s *sx, int *incx, s *sy, int *incy, s *sparam) nogil$/;" f +srotmg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void srotmg(s *sd1, s *sd2, s *sx1, s *sy1, s *sparam) nogil$/;" f +srscl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void srscl(int *n, s *sa, s *sx, int *incx) nogil$/;" f +SRVName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^class SRVName(char.IA5String):$/;" c +srvName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^srvName = rfc5280.AnotherName()$/;" v +ss2tf adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def ss2tf(A, B, C, D, input=0):$/;" f +ss2zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def ss2zpk(A, B, C, D, input=0):$/;" f +SSAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^SSAUPD_ERRORS = DSAUPD_ERRORS$/;" v +ssa_greedy_optimize adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def ssa_greedy_optimize(inputs, output, sizes, choose_fn=None, cost_fn='memory-removed'):$/;" f +ssa_name adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^ def ssa_name(name, versions):$/;" f function:_convert_to_ssa file: +ssa_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def ssa_name(name, version, version_cnt=None):$/;" f member:Caffe2Frontend._ssa_rewrite file: +ssa_name adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^ def ssa_name(name: str, versions: Dict[str, int]) -> int:$/;" f function:_convert_to_ssa file: +ssa_path_compute_cost adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^def ssa_path_compute_cost(ssa_path, inputs, output, size_dict):$/;" f +ssa_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def ssa_rewrite(cls, net, init_net, value_info):$/;" m class:Caffe2Frontend +ssa_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ssa_rewrite = Caffe2Frontend.ssa_rewrite$/;" v +ssa_to_linear adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def ssa_to_linear(ssa_path):$/;" f +ssbev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbev(char *jobz, char *uplo, int *n, int *kd, s *ab, int *ldab, s *w, s *z, int *ldz,/;" f +ssbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbevd(char *jobz, char *uplo, int *n, int *kd, s *ab, int *ldab, s *w, s *z, int *ldz/;" f +ssbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbevx(char *jobz, char *range, char *uplo, int *n, int *kd, s *ab, int *ldab, s *q, i/;" f +ssbgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbgst(char *vect, char *uplo, int *n, int *ka, int *kb, s *ab, int *ldab, s *bb, int /;" f +ssbgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbgv(char *jobz, char *uplo, int *n, int *ka, int *kb, s *ab, int *ldab, s *bb, int */;" f +ssbgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbgvd(char *jobz, char *uplo, int *n, int *ka, int *kb, s *ab, int *ldab, s *bb, int /;" f +ssbgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbgvx(char *jobz, char *range, char *uplo, int *n, int *ka, int *kb, s *ab, int *ldab/;" f +ssbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssbmv(char *uplo, int *n, int *k, s *alpha, s *a, int *lda, s *x, int *incx, s *beta, /;" f +ssbtrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssbtrd(char *vect, char *uplo, int *n, int *kd, s *ab, int *ldab, s *d, s *e, s *q, in/;" f +sscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sscal(int *n, s *sa, s *sx, int *incx) nogil$/;" f +SSEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^SSEUPD_ERRORS = DSEUPD_ERRORS.copy()$/;" v +ssf adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def ssf(self):$/;" m class:QN +ssfrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssfrk(char *transr, char *uplo, char *trans, int *n, int *k, s *alpha, s *a, int *lda,/;" f +SsGlue adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class SsGlue(Glue):$/;" c +SSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^class SSID(univ.OctetString):$/;" c +SSID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^class SSID(univ.OctetString):$/;" c +SSIDList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^class SSIDList(univ.SequenceOf):$/;" c +SSIDList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^class SSIDList(univ.SequenceOf):$/;" c +ssim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def ssim(img1,$/;" f +ssim_multiscale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def ssim_multiscale(img1,$/;" f +ssl adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ import _ssl as ssl$/;" I function:user_agent file: +ssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__init__.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/requests/__init__.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ ssl = None$/;" v +ssl adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ ssl = _SslDummy()$/;" v +SSLCipherSuite adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLCipherSuite = c_uint32$/;" v +SSLCipherSuite adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLCipherSuite = c_uint32$/;" v +SSLConnectionRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLConnectionRef = c_uint32$/;" v +SSLConnectionRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLConnectionRef = c_uint32$/;" v +SSLConnectionType adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLConnectionType = c_uint32$/;" v +SSLConnectionType adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLConnectionType = c_uint32$/;" v +SSLContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ class SSLContext(object): # Platform-specific: Python 2$/;" c +SSLContext adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^SSLContext = None$/;" v +SSLContext adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ class SSLContext(object): # Platform-specific: Python 2$/;" c +SSLContext adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^SSLContext = None$/;" v +SSLContextRef adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLContextRef = POINTER(c_void_p)$/;" v +SSLContextRef adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLContextRef = POINTER(c_void_p)$/;" v +SslCredentials adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^class SslCredentials:$/;" c +SSLError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class SSLError(ConnectionError):$/;" c +SSLError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class SSLError(HTTPError):$/;" c +SSLError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class SSLError(ConnectionError):$/;" c +SSLError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class SSLError(HTTPError):$/;" c +SSLProtocol adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLProtocol = c_uint32$/;" v +SSLProtocol adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLProtocol = c_uint32$/;" v +SSLProtocolSide adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLProtocolSide = c_uint32$/;" v +SSLProtocolSide adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLProtocolSide = c_uint32$/;" v +SSLReadFunc adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ SSLReadFunc = CFUNCTYPE(OSStatus, SSLConnectionRef, c_void_p, POINTER(c_size_t))$/;" v +SSLReadFunc adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ SSLReadFunc = CFUNCTYPE(OSStatus, SSLConnectionRef, c_void_p, POINTER(c_size_t))$/;" v +SSLSessionCache adpepsenv/lib/python3.8/site-packages/grpc/experimental/session_cache.py /^class SSLSessionCache(object):$/;" c +SSLSessionOption adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^SSLSessionOption = c_uint32$/;" v +SSLSessionOption adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^SSLSessionOption = c_uint32$/;" v +SSLTransport adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^class SSLTransport:$/;" c +SSLTransport adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^SSLTransport = None$/;" v +SSLWriteFunc adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ SSLWriteFunc = CFUNCTYPE($/;" v +SSLWriteFunc adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ SSLWriteFunc = CFUNCTYPE($/;" v +SSL_BLOCKSIZE adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^SSL_BLOCKSIZE = 16384$/;" v +ssl_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ssl_channel_credentials = grpc.ssl_channel_credentials$/;" v +ssl_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def ssl_channel_credentials(root_certificates=None,$/;" f +ssl_credentials adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def ssl_credentials(self):$/;" m class:SslCredentials +SSL_KEYWORDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^SSL_KEYWORDS = ($/;" v +SSL_KEYWORDS adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^SSL_KEYWORDS = ($/;" v +ssl_server_certificate_configuration adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def ssl_server_certificate_configuration(private_key_certificate_chain_pairs,$/;" f +ssl_server_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ssl_server_credentials = grpc.ssl_server_credentials$/;" v +ssl_server_credentials adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def ssl_server_credentials(private_key_certificate_chain_pairs,$/;" f +ssl_session_cache_lru adpepsenv/lib/python3.8/site-packages/grpc/experimental/session_cache.py /^def ssl_session_cache_lru(capacity):$/;" f +ssl_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ ssl_version = None$/;" v class:HTTPSConnection +ssl_version adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ ssl_version = None$/;" v class:HTTPSConnection +ssl_wrap_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def ssl_wrap_socket($/;" f +ssl_wrap_socket adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def ssl_wrap_socket($/;" f +SSL_WRITE_BLOCKSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^SSL_WRITE_BLOCKSIZE = 16384$/;" v +SSL_WRITE_BLOCKSIZE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^SSL_WRITE_BLOCKSIZE = 16384$/;" v +SSL_WRITE_BLOCKSIZE adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^SSL_WRITE_BLOCKSIZE = 16384$/;" v +SSL_WRITE_BLOCKSIZE adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^SSL_WRITE_BLOCKSIZE = 16384$/;" v +sspcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspcon(char *uplo, int *n, s *ap, int *ipiv, s *anorm, s *rcond, s *work, int *iwork, /;" f +sspev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspev(char *jobz, char *uplo, int *n, s *ap, s *w, s *z, int *ldz, s *work, int *info)/;" f +sspevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspevd(char *jobz, char *uplo, int *n, s *ap, s *w, s *z, int *ldz, s *work, int *lwor/;" f +sspevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspevx(char *jobz, char *range, char *uplo, int *n, s *ap, s *vl, s *vu, int *il, int /;" f +sspgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspgst(int *itype, char *uplo, int *n, s *ap, s *bp, int *info) nogil$/;" f +sspgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspgv(int *itype, char *jobz, char *uplo, int *n, s *ap, s *bp, s *w, s *z, int *ldz, /;" f +sspgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspgvd(int *itype, char *jobz, char *uplo, int *n, s *ap, s *bp, s *w, s *z, int *ldz,/;" f +sspgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspgvx(int *itype, char *jobz, char *range, char *uplo, int *n, s *ap, s *bp, s *vl, s/;" f +sspmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sspmv(char *uplo, int *n, s *alpha, s *ap, s *x, int *incx, s *beta, s *y, int *incy) /;" f +sspr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sspr(char *uplo, int *n, s *alpha, s *x, int *incx, s *ap) nogil$/;" f +sspr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sspr2(char *uplo, int *n, s *alpha, s *x, int *incx, s *y, int *incy, s *ap) nogil$/;" f +ssprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssprfs(char *uplo, int *n, int *nrhs, s *ap, s *afp, int *ipiv, s *b, int *ldb, s *x, /;" f +sspsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspsv(char *uplo, int *n, int *nrhs, s *ap, int *ipiv, s *b, int *ldb, int *info) nogi/;" f +sspsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sspsvx(char *fact, char *uplo, int *n, int *nrhs, s *ap, s *afp, int *ipiv, s *b, int /;" f +ssptrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssptrd(char *uplo, int *n, s *ap, s *d, s *e, s *tau, int *info) nogil$/;" f +ssptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssptrf(char *uplo, int *n, s *ap, int *ipiv, int *info) nogil$/;" f +ssptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssptri(char *uplo, int *n, s *ap, int *ipiv, s *work, int *info) nogil$/;" f +ssptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssptrs(char *uplo, int *n, int *nrhs, s *ap, int *ipiv, s *b, int *ldb, int *info) nog/;" f +sstebz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstebz(char *range, char *order, int *n, s *vl, s *vu, int *il, int *iu, s *abstol, s /;" f +sstedc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstedc(char *compz, int *n, s *d, s *e, s *z, int *ldz, s *work, int *lwork, int *iwor/;" f +sstegr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstegr(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, s /;" f +sstein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstein(int *n, s *d, s *e, int *m, s *w, int *iblock, int *isplit, s *z, int *ldz, s */;" f +sstemr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstemr(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, in/;" f +ssteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssteqr(char *compz, int *n, s *d, s *e, s *z, int *ldz, s *work, int *info) nogil$/;" f +ssterf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssterf(int *n, s *d, s *e, int *info) nogil$/;" f +sstev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstev(char *jobz, int *n, s *d, s *e, s *z, int *ldz, s *work, int *info) nogil$/;" f +sstevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstevd(char *jobz, int *n, s *d, s *e, s *z, int *ldz, s *work, int *lwork, int *iwork/;" f +sstevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstevr(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, s /;" f +sstevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void sstevx(char *jobz, char *range, int *n, s *d, s *e, s *vl, s *vu, int *il, int *iu, s /;" f +sswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void sswap(int *n, s *sx, int *incx, s *sy, int *incy) nogil$/;" f +ssycon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssycon(char *uplo, int *n, s *a, int *lda, int *ipiv, s *anorm, s *rcond, s *work, int/;" f +ssyconv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyconv(char *uplo, char *way, int *n, s *a, int *lda, int *ipiv, s *work, int *info) /;" f +ssyequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyequb(char *uplo, int *n, s *a, int *lda, s *s, s *scond, s *amax, s *work, int *inf/;" f +ssyev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyev(char *jobz, char *uplo, int *n, s *a, int *lda, s *w, s *work, int *lwork, int */;" f +ssyevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyevd(char *jobz, char *uplo, int *n, s *a, int *lda, s *w, s *work, int *lwork, int /;" f +ssyevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyevr(char *jobz, char *range, char *uplo, int *n, s *a, int *lda, s *vl, s *vu, int /;" f +ssyevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyevx(char *jobz, char *range, char *uplo, int *n, s *a, int *lda, s *vl, s *vu, int /;" f +ssygs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssygs2(int *itype, char *uplo, int *n, s *a, int *lda, s *b, int *ldb, int *info) nogi/;" f +ssygst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssygst(int *itype, char *uplo, int *n, s *a, int *lda, s *b, int *ldb, int *info) nogi/;" f +ssygv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssygv(int *itype, char *jobz, char *uplo, int *n, s *a, int *lda, s *b, int *ldb, s *w/;" f +ssygvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssygvd(int *itype, char *jobz, char *uplo, int *n, s *a, int *lda, s *b, int *ldb, s */;" f +ssygvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssygvx(int *itype, char *jobz, char *range, char *uplo, int *n, s *a, int *lda, s *b, /;" f +ssymm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssymm(char *side, char *uplo, int *m, int *n, s *alpha, s *a, int *lda, s *b, int *ldb/;" f +ssymv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssymv(char *uplo, int *n, s *alpha, s *a, int *lda, s *x, int *incx, s *beta, s *y, in/;" f +ssyr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssyr(char *uplo, int *n, s *alpha, s *x, int *incx, s *a, int *lda) nogil$/;" f +ssyr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssyr2(char *uplo, int *n, s *alpha, s *x, int *incx, s *y, int *incy, s *a, int *lda) /;" f +ssyr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssyr2k(char *uplo, char *trans, int *n, int *k, s *alpha, s *a, int *lda, s *b, int *l/;" f +ssyrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyrfs(char *uplo, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, int *ipiv, s */;" f +ssyrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ssyrk(char *uplo, char *trans, int *n, int *k, s *alpha, s *a, int *lda, s *beta, s *c/;" f +ssysv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssysv(char *uplo, int *n, int *nrhs, s *a, int *lda, int *ipiv, s *b, int *ldb, s *wor/;" f +ssysvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssysvx(char *fact, char *uplo, int *n, int *nrhs, s *a, int *lda, s *af, int *ldaf, in/;" f +ssyswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssyswapr(char *uplo, int *n, s *a, int *lda, int *i1, int *i2) nogil$/;" f +ssytd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytd2(char *uplo, int *n, s *a, int *lda, s *d, s *e, s *tau, int *info) nogil$/;" f +ssytf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytf2(char *uplo, int *n, s *a, int *lda, int *ipiv, int *info) nogil$/;" f +ssytrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytrd(char *uplo, int *n, s *a, int *lda, s *d, s *e, s *tau, s *work, int *lwork, in/;" f +ssytrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytrf(char *uplo, int *n, s *a, int *lda, int *ipiv, s *work, int *lwork, int *info) /;" f +ssytri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytri(char *uplo, int *n, s *a, int *lda, int *ipiv, s *work, int *info) nogil$/;" f +ssytri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytri2(char *uplo, int *n, s *a, int *lda, int *ipiv, s *work, int *lwork, int *info)/;" f +ssytri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytri2x(char *uplo, int *n, s *a, int *lda, int *ipiv, s *work, int *nb, int *info) n/;" f +ssytrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytrs(char *uplo, int *n, int *nrhs, s *a, int *lda, int *ipiv, s *b, int *ldb, int */;" f +ssytrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ssytrs2(char *uplo, int *n, int *nrhs, s *a, int *lda, int *ipiv, s *b, int *ldb, s *w/;" f +ss_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def ss_diff(x, a, b, period=None, _cache=_cache):$/;" f +st adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/funhash_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_funhash_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_contraction_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_pad_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/crf_viterbi_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/channel_shuffle_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_transpose_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/LRN_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/moment_sgd_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/operator_fallback_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/sigmoid_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/softmax_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep_test_util.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_concat_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_conv_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_add_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_sum_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fill_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_relu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sigmoid_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_squeeze_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl_test_util.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/alias_with_name_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/assert_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bucketize_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^from hypothesis import given, settings, strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/depthwise_3x3_conv_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_clipped_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_cpu_output_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^from hypothesis import given, settings, strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_fill_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_conv_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/im2col_col2im_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/key_split_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lars_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lpnorm_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^from hypothesis import strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_conv_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ngram_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^from hypothesis import strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_speed_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rmac_regions_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rms_norm_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/scale_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_gradient_checker_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^from hypothesis import strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_uniform_fill_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_multi_sample_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sample_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weight_scale_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/test/inference_lstm_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_permutation_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/concat_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dequantize_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_linear_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_fp16_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_rowwise_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/gather_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/group_norm_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_gen_quant_params_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/lstm_unit_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/pool_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/quantize_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/relu_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_3d_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/sigmoid_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/spatial_batch_norm_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/tanh_dnnlowp_op_test.py /^import hypothesis.strategies as st$/;" I +st adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^from hypothesis import assume, given, strategies as st$/;" x +st adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^from hypothesis import strategies as st$/;" x +st1 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^st1 = np.zeros((1,1), dtype)$/;" v +st2 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^st2 = np.empty((1,1), dtype=[(n, object) for n in ['one', 'two']])$/;" v +stack adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ stack = lambda y, *ys: (y if core.get_aval(y) is core.abstract_unit$/;" f function:scan file: +stack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def stack(arrays, axis: int =0, out=None):$/;" f +Stack adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class Stack:$/;" c +Stack adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^class Stack(collections.deque):$/;" c +stack adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def stack(arrays, axis=0, out=None):$/;" f +stack adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^stack = _fromnxfunction_seq('stack')$/;" v +Stack adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^class Stack(Progress):$/;" c +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/special_functions.py /^def stack(list_or_tensor, element_dtype=None, strict=True):$/;" f +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def stack(self):$/;" m class:_StateStack +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def stack(x, axis=0):$/;" f +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def stack(context=1):$/;" f +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def stack(values, axis=0, name="stack"):$/;" f +Stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^Stack = tf_export("raw_ops.Stack")(_ops.to_raw_op(_stack))$/;" v +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def stack(arrays, axis=0): # pylint: disable=missing-function-docstring$/;" f +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def stack(values, axis=0, name=None):$/;" f +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def stack(self, name=None):$/;" m class:TensorArray +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def stack(self, name=None):$/;" m class:_EagerTensorArray +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def stack(self, name=None):$/;" m class:_GraphTensorArray +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def stack(self, name=None):$/;" m class:_GraphTensorArrayV2 +stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def stack(context=1):$/;" f +stack adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^stack = _Stack$/;" v +stack adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def stack(g, tensor_list, dim):$/;" f +stack adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def stack(g, tensor_list, dim):$/;" f +stack1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def stack1(x, filters, blocks, stride1=2, name=None):$/;" f +stack2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def stack2(x, filters, blocks, stride1=2, name=None):$/;" f +stack3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^def stack3(x, filters, blocks, stride1=2, groups=32, name=None):$/;" f +StackClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackClose = tf_export("raw_ops.StackClose")(_ops.to_raw_op(stack_close))$/;" v +StackCloseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackCloseV2 = tf_export("raw_ops.StackCloseV2")(_ops.to_raw_op(stack_close_v2))$/;" v +stacked adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ stacked = np.block([[[rshft_0]]]*2)$/;" v class:TestMatrixPower +StackedRNNCells adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^class StackedRNNCells(Layer):$/;" c +stacked_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def stacked_input(self, index):$/;" m class:_PforInput +stacked_matmul adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def stacked_matmul(a, b):$/;" f +stacked_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^def stacked_rnn():$/;" f +StackError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class StackError(ValueError, UnpackException):$/;" c +StackFrames adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def StackFrames(self, run, stack_frame_ids):$/;" m class:DebuggerV2EventMultiplexer +StackFrameWithId adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^StackFrameWithId = _reflection.GeneratedProtocolMessageType('StackFrameWithId', (_message.Messag/;" v +stackplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ stackplot = _preprocess_data()(mstack.stackplot)$/;" v class:Axes +stackplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def stackplot($/;" f +stackplot adpepsenv/lib/python3.8/site-packages/matplotlib/stackplot.py /^def stackplot(axes, x, *args,$/;" f +StackPop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackPop = tf_export("raw_ops.StackPop")(_ops.to_raw_op(stack_pop))$/;" v +StackPopV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackPopV2 = tf_export("raw_ops.StackPopV2")(_ops.to_raw_op(stack_pop_v2))$/;" v +StackPush adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackPush = tf_export("raw_ops.StackPush")(_ops.to_raw_op(stack_push))$/;" v +StackPushV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackPushV2 = tf_export("raw_ops.StackPushV2")(_ops.to_raw_op(stack_push_v2))$/;" v +stacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^stacks = threading.local()$/;" v +StackSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^StackSummary = _tf_stack.StackSummary$/;" v +StackTraceFilter adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^class StackTraceFilter(StackTraceTransform):$/;" c +StackTraceMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class StackTraceMapper(tf_stack.StackTraceMapper):$/;" c +StackTraceMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^class StackTraceMapper(StackTraceTransform):$/;" c +StackTraceTransform adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^class StackTraceTransform(object):$/;" c +StackTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class StackTransform(Transform):$/;" c +StackV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StackV2 = tf_export("raw_ops.StackV2")(_ops.to_raw_op(stack_v2))$/;" v +stack_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def stack_arrays(arrays, defaults=None, usemask=True, asrecarray=False,$/;" f +stack_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_close(handle, name=None):$/;" f +stack_close_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_close_eager_fallback(handle, name, ctx):$/;" f +stack_close_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_close_v2(handle, name=None):$/;" f +stack_close_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_close_v2_eager_fallback(handle, name, ctx):$/;" f +stack_dynamic_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def stack_dynamic_partitions(data, partitions, num_partitions, name=None):$/;" f +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^ def stack_fn(x, kernel, activation, se_ratio):$/;" f function:MobileNetV3Large file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^ def stack_fn(x, kernel, activation, se_ratio):$/;" f function:MobileNetV3Small file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^ def stack_fn(x):$/;" f function:ResNet101 file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^ def stack_fn(x):$/;" f function:ResNet152 file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^ def stack_fn(x):$/;" f function:ResNet50 file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^ def stack_fn(x):$/;" f function:ResNet101V2 file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^ def stack_fn(x):$/;" f function:ResNet152V2 file: +stack_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet_v2.py /^ def stack_fn(x):$/;" f function:ResNet50V2 file: +STACK_FRAMES_BLOB_TAG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^STACK_FRAMES_BLOB_TAG_PREFIX = "stack_frames"$/;" v +stack_frames_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def stack_frames_iterator(self):$/;" m class:DebugEventsReader +stack_frames_run_tag_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def stack_frames_run_tag_filter(run, stack_frame_ids):$/;" f +stack_frame_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def stack_frame_ids(self):$/;" m class:Execution +stack_frame_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def stack_frame_ids(self):$/;" m class:GraphOpCreationDigest +stack_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def stack_inputs(self, stack_indices=None, tile_variants=False):$/;" m class:_PforInput +stack_opset9 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ from torch.onnx.symbolic_opset9 import stack as stack_opset9$/;" x function:stack file: +stack_pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_pop(handle, elem_type, name=None):$/;" f +stack_pop_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_pop_eager_fallback(handle, elem_type, name, ctx):$/;" f +stack_pop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_pop_v2(handle, elem_type, name=None):$/;" f +stack_pop_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_pop_v2_eager_fallback(handle, elem_type, name, ctx):$/;" f +stack_push adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_push(handle, elem, swap_memory=False, name=None):$/;" f +stack_push_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_push_eager_fallback(handle, elem, swap_memory, name, ctx):$/;" f +stack_push_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_push_v2(handle, elem, swap_memory=False, name=None):$/;" f +stack_push_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_push_v2_eager_fallback(handle, elem, swap_memory, name, ctx):$/;" f +stack_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_v2(max_size, elem_type, stack_name="", name=None):$/;" f +stack_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stack_v2_eager_fallback(max_size, elem_type, stack_name, name, ctx):$/;" f +stage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage(values, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +Stage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^Stage = tf_export("raw_ops.Stage")(_ops.to_raw_op(stage))$/;" v +StageClear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StageClear = tf_export("raw_ops.StageClear")(_ops.to_raw_op(stage_clear))$/;" v +StagePeek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StagePeek = tf_export("raw_ops.StagePeek")(_ops.to_raw_op(stage_peek))$/;" v +StageSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^StageSize = tf_export("raw_ops.StageSize")(_ops.to_raw_op(stage_size))$/;" v +stage_clear adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_clear(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +stage_clear_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_clear_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name, ctx/;" f +stage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_eager_fallback(values, capacity, memory_limit, container, shared_name, name, ctx):$/;" f +stage_lexpr adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def stage_lexpr(c, lexpr: Optional[LazyExpr], x):$/;" f +stage_peek adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_peek(index, dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=Non/;" f +stage_peek_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_peek_eager_fallback(index, dtypes, capacity, memory_limit, container, shared_name, nam/;" f +stage_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_size(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +stage_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def stage_size_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name, ctx)/;" f +StagingArea adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^class StagingArea(BaseStagingArea):$/;" c +StagingError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class StagingError(AutoGraphError):$/;" c +StagingJaxprTrace adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ class StagingJaxprTrace(JaxprTrace): pass$/;" c function:omnistaging_disabler file: +stale adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def stale(self):$/;" m class:Artist +stale adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def stale(self, val):$/;" m class:Artist +stale adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def stale(self):$/;" m class:WWWAuthenticate +stale adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def stale(self, value):$/;" m class:WWWAuthenticate +stale_possible_simple_keys adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def stale_possible_simple_keys(self):$/;" m class:Scanner +STANDALONE_CLIENT adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ STANDALONE_CLIENT = "standalone_client"$/;" v class:CoordinatorMode +standalone_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^def standalone_decorator(f):$/;" f +standalone_func adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def standalone_func(*a):$/;" f member:parameterized.param_as_standalone_func file: +standalone_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^ def standalone_wrapper(*args, **kwargs):$/;" f function:standalone_decorator file: +StandardInputStep adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^class StandardInputStep(Step):$/;" c +standardize adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def standardize(self, x):$/;" m class:ImageDataGenerator +standardize_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^def standardize_bounds(bounds, x0, meth):$/;" f +standardize_class_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_class_weights(class_weight, output_names):$/;" f +standardize_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^def standardize_constraints(constraints, x0, meth):$/;" f +standardize_input_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_input_data(data,$/;" f +standardize_sample_or_class_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_sample_or_class_weights(x_weight, output_names, weight_type):$/;" f +standardize_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_sample_weights(sample_weight, output_names):$/;" f +standardize_single_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_single_array(x, expected_shape=None):$/;" f +standardize_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def standardize_weights(y,$/;" f +StandardPsFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class StandardPsFonts(Fonts):$/;" c +StandardSingleLossStep adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^class StandardSingleLossStep(StandardInputStep):$/;" c +standard_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def standard_abstract_eval(prim, shape_rule, dtype_rule, *args, **kwargs):$/;" f +STANDARD_CRITICAL adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_CRITICAL = logging.CRITICAL$/;" v +STANDARD_DEBUG adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_DEBUG = logging.DEBUG$/;" v +standard_deviation adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def standard_deviation(input, labels=None, index=None):$/;" f +STANDARD_ERROR adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_ERROR = logging.ERROR$/;" v +standard_gru adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def standard_gru(inputs, init_h, kernel, recurrent_kernel, bias, mask,$/;" f +standard_gru_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def standard_gru_fn():$/;" f function:gru_with_backend_selection.gpu_gru_with_fallback file: +STANDARD_INFO adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_INFO = logging.INFO$/;" v +standard_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def standard_jvp(jvprules, primitive, primals, tangents, **params):$/;" f +standard_jvp2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def standard_jvp2(jvprules, primitive, primals, tangents, **params):$/;" f +standard_lstm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def standard_lstm(inputs, init_h, init_c, kernel, recurrent_kernel, bias,$/;" f +standard_naryop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^standard_naryop = partial(naryop, _input_dtype)$/;" v +STANDARD_OPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^STANDARD_OPTIONS = ConversionOptions($/;" v +STANDARD_OPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^STANDARD_OPTIONS = None # Forward definition.$/;" v +STANDARD_PREAMBLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^ STANDARD_PREAMBLE = PY3_PREAMBLE$/;" v +STANDARD_PREAMBLE_LEN adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^STANDARD_PREAMBLE_LEN = STANDARD_PREAMBLE.count('__future__')$/;" v +standard_primitive adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def standard_primitive(shape_rule, dtype_rule, name, translation_rule=None,$/;" f +STANDARD_PS_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^STANDARD_PS_OPS = ("Variable", "VariableV2", "AutoReloadVariable",$/;" v +standard_to_absl adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def standard_to_absl(level):$/;" f +STANDARD_TO_ABSL adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_TO_ABSL = dict((v, k) for (k, v) in ABSL_TO_STANDARD.items())$/;" v +standard_to_cpp adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def standard_to_cpp(level):$/;" f +standard_translate adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def standard_translate(name, c, *args, **kwargs):$/;" f +standard_unop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^standard_unop = partial(unop, _identity)$/;" v +STANDARD_WARNING adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^STANDARD_WARNING = logging.WARNING$/;" v +stardard_lstm_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def stardard_lstm_fn():$/;" f function:lstm_with_backend_selection.gpu_lstm_with_fallback file: +starmap adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def starmap(self, fn, iterable, timeout=None, chunksize=1, **kwargs):$/;" m class:MPIPoolExecutor +StarPolygonCollection adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class StarPolygonCollection(RegularPolyCollection):$/;" c +Stars adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class Stars(Shapes):$/;" c +start adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def start(self):$/;" m class:BatchFeeder +start adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def start(self):$/;" m class:GlobalWorkerCoordinator +start adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def start(self):$/;" m class:State +start adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def start(self):$/;" m class:Worker +START adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ START = 0$/;" v class:MachineState +start adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def start(self) -> None:$/;" m class:Server +start adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ async def start(self) -> None:$/;" m class:Server +start adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def start(self):$/;" m class:Server +start adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def start(self):$/;" m class:_ChannelReadyFuture +start adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def start(self):$/;" m class:_Server +start adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def start(self):$/;" m class:End +start adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def start(self):$/;" m class:_Server +start adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def start(self):$/;" m class:_ChannelReadyFuture +start adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def start(self):$/;" m class:Server +start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def start(self, tag, attrib={}, **extra):$/;" m class:XMLWriter +start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def start(cls):$/;" m class:WebAggApplication +start adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def start(self, interval=None):$/;" m class:TimerBase +START adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ START = 0$/;" v class:MachineState +start adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def start(self):$/;" m class:Progress +start adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def start(self):$/;" m class:Infinite +start adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def start(self):$/;" m class:Progress +start adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def start(self, f, a, b, args=()):$/;" m class:TOMS748Solver +start adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^ def start(self):$/;" m class:LocalDataIngester +start adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def start(arguments, timeout=datetime.timedelta(seconds=60)):$/;" f +start adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def start(args_string):$/;" f +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def start(self):$/;" m class:DispatchServer +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def start(self):$/;" m class:WorkerServer +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def start(self):$/;" m class:_run_std_server._FakeServer +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def start(self):$/;" m class:MultiProcessRunner +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def start(self):$/;" m class:MultiProcessCluster +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^def start():$/;" f +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def start(self):$/;" m class:TensorFlowTestCase._CheckedThread +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def start(self, workers=1, max_queue_size=10):$/;" m class:SequenceEnqueuer +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^def start(logdir, options=None):$/;" f +start adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def start(self):$/;" m class:Server +start adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/server/__init__.py /^from tensorflow.python.profiler.profiler_v2 import start_server as start$/;" x +start adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/server/__init__.py /^from tensorflow.python.profiler.profiler_v2 import start_server as start$/;" x +start adpepsenv/lib/python3.8/site-packages/torch/cuda/profiler.py /^def start():$/;" f +start adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ start = _callback_property("_start")$/;" v class:ContentRange +StartCancel adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^ def StartCancel(self):$/;" m class:RpcController +STARTED adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ STARTED = 'started'$/;" v class:_ServerStage +started adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ started = False$/;" v class:WebAggApplication +StartExecFailed adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^StartExecFailed = collections.namedtuple($/;" v +StartFailed adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^StartFailed = collections.namedtuple($/;" v +StartImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StartImmediate(i_know=False):$/;" f +starting adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def starting(self):$/;" m class:BaseReporter +starting_round adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/reporters.py /^ def starting_round(self, index):$/;" m class:BaseReporter +starting_wall_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def starting_wall_time(self):$/;" m class:DebugDataReader +starting_wall_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def starting_wall_time(self):$/;" m class:DebugEventsReader +StartLaunched adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^StartLaunched = collections.namedtuple("StartLaunched", ("info",))$/;" v +StartMint adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StartMint(root_folder=None, port=None):$/;" f +StartObject adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def StartObject(self, numfields):$/;" m class:Builder +StartReused adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^StartReused = collections.namedtuple("StartReused", ("info",))$/;" v +STARTSWITH adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ STARTSWITH = '1'$/;" v class:OListProcessor +startswith adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def startswith(self, prefix, start=0, end=None):$/;" m class:chararray +startswith adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def startswith(a, prefix, start=0, end=None):$/;" f +startTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def startTag(self, namespace, name, attrs):$/;" m class:TreeWalker +startTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def startTag(self, namespace, name, attrs):$/;" m class:TreeWalker +startTagA adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagA(self, token):$/;" m class:getPhases.InBodyPhase +startTagA adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagA(self, token):$/;" m class:getPhases.InBodyPhase +startTagAppletMarqueeObject adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagAppletMarqueeObject(self, token):$/;" m class:getPhases.InBodyPhase +startTagAppletMarqueeObject adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagAppletMarqueeObject(self, token):$/;" m class:getPhases.InBodyPhase +startTagBaseLinkCommand adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagBaseLinkCommand(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagBaseLinkCommand adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagBaseLinkCommand(self, token):$/;" m class:getPhases.InHeadPhase +startTagBaseLinkCommand adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagBaseLinkCommand(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagBaseLinkCommand adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagBaseLinkCommand(self, token):$/;" m class:getPhases.InHeadPhase +startTagBody adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagBody(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagBody adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagBody(self, token):$/;" m class:getPhases.InBodyPhase +startTagBody adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagBody(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagBody adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagBody(self, token):$/;" m class:getPhases.InBodyPhase +startTagButton adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagButton(self, token):$/;" m class:getPhases.InBodyPhase +startTagButton adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagButton(self, token):$/;" m class:getPhases.InBodyPhase +startTagCaption adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagCaption(self, token):$/;" m class:getPhases.InTablePhase +startTagCaption adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagCaption(self, token):$/;" m class:getPhases.InTablePhase +startTagCloseP adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagCloseP(self, token):$/;" m class:getPhases.InBodyPhase +startTagCloseP adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagCloseP(self, token):$/;" m class:getPhases.InBodyPhase +startTagCol adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagCol(self, token):$/;" m class:getPhases.InColumnGroupPhase +startTagCol adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagCol(self, token):$/;" m class:getPhases.InTablePhase +startTagCol adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagCol(self, token):$/;" m class:getPhases.InColumnGroupPhase +startTagCol adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagCol(self, token):$/;" m class:getPhases.InTablePhase +startTagColgroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagColgroup(self, token):$/;" m class:getPhases.InTablePhase +startTagColgroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagColgroup(self, token):$/;" m class:getPhases.InTablePhase +startTagForm adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagForm(self, token):$/;" m class:getPhases.InBodyPhase +startTagForm adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagForm(self, token):$/;" m class:getPhases.InTablePhase +startTagForm adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagForm(self, token):$/;" m class:getPhases.InBodyPhase +startTagForm adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagForm(self, token):$/;" m class:getPhases.InTablePhase +startTagFormatting adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFormatting(self, token):$/;" m class:getPhases.InBodyPhase +startTagFormatting adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFormatting(self, token):$/;" m class:getPhases.InBodyPhase +startTagFrame adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFrame(self, token):$/;" m class:getPhases.InFramesetPhase +startTagFrame adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFrame(self, token):$/;" m class:getPhases.InFramesetPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.InBodyPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.InFramesetPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.InBodyPhase +startTagFrameset adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFrameset(self, token):$/;" m class:getPhases.InFramesetPhase +startTagFromHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagFromHead(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagFromHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagFromHead(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterAfterBodyPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterAfterFramesetPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterBodyPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterFramesetPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.AfterHeadPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.BeforeHeadPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InBodyPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InCaptionPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InCellPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InColumnGroupPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InFramesetPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InHeadNoscriptPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InHeadPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InRowPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InSelectInTablePhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InSelectPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InTableBodyPhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([$/;" v class:getPhases.InTablePhase +startTagHandler adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ startTagHandler = _utils.MethodDispatcher([])$/;" v class:getPhases.TextPhase +startTagHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.InHeadPhase +startTagHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHead(self, token):$/;" m class:getPhases.InHeadPhase +startTagHeading adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHeading(self, token):$/;" m class:getPhases.InBodyPhase +startTagHeading adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHeading(self, token):$/;" m class:getPhases.InBodyPhase +startTagHeadNoscript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHeadNoscript(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagHeadNoscript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHeadNoscript(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagHr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHr(self, token):$/;" m class:getPhases.InBodyPhase +startTagHr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHr(self, token):$/;" m class:getPhases.InBodyPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterBodyPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.InHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.Phase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterBodyPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.InHeadPhase +startTagHtml adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagHtml(self, token):$/;" m class:getPhases.Phase +startTagIFrame adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagIFrame(self, token):$/;" m class:getPhases.InBodyPhase +startTagIFrame adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagIFrame(self, token):$/;" m class:getPhases.InBodyPhase +startTagImage adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagImage(self, token):$/;" m class:getPhases.InBodyPhase +startTagImage adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagImage(self, token):$/;" m class:getPhases.InBodyPhase +startTagImplyTbody adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagImplyTbody(self, token):$/;" m class:getPhases.InTablePhase +startTagImplyTbody adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagImplyTbody(self, token):$/;" m class:getPhases.InTablePhase +startTagInput adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InBodyPhase +startTagInput adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InSelectPhase +startTagInput adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InTablePhase +startTagInput adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InBodyPhase +startTagInput adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InSelectPhase +startTagInput adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagInput(self, token):$/;" m class:getPhases.InTablePhase +startTagIsIndex adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagIsIndex(self, token):$/;" m class:getPhases.InBodyPhase +startTagIsIndex adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagIsIndex(self, token):$/;" m class:getPhases.InBodyPhase +startTagListItem adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagListItem(self, token):$/;" m class:getPhases.InBodyPhase +startTagListItem adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagListItem(self, token):$/;" m class:getPhases.InBodyPhase +startTagMath adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagMath(self, token):$/;" m class:getPhases.InBodyPhase +startTagMath adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagMath(self, token):$/;" m class:getPhases.InBodyPhase +startTagMeta adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagMeta(self, token):$/;" m class:getPhases.InHeadPhase +startTagMeta adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagMeta(self, token):$/;" m class:getPhases.InHeadPhase +startTagMisplaced adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagMisplaced(self, token):$/;" m class:getPhases.InBodyPhase +startTagMisplaced adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagMisplaced(self, token):$/;" m class:getPhases.InBodyPhase +startTagNobr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNobr(self, token):$/;" m class:getPhases.InBodyPhase +startTagNobr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNobr(self, token):$/;" m class:getPhases.InBodyPhase +startTagNoFrames adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoFrames(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagNoframes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoframes(self, token):$/;" m class:getPhases.AfterFramesetPhase +startTagNoframes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoframes(self, token):$/;" m class:getPhases.InFramesetPhase +startTagNoFrames adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoFrames(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagNoframes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoframes(self, token):$/;" m class:getPhases.AfterFramesetPhase +startTagNoframes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoframes(self, token):$/;" m class:getPhases.InFramesetPhase +startTagNoFramesStyle adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoFramesStyle(self, token):$/;" m class:getPhases.InHeadPhase +startTagNoFramesStyle adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoFramesStyle(self, token):$/;" m class:getPhases.InHeadPhase +startTagNoscript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoscript(self, token):$/;" m class:getPhases.InBodyPhase +startTagNoscript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagNoscript(self, token):$/;" m class:getPhases.InHeadPhase +startTagNoscript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoscript(self, token):$/;" m class:getPhases.InBodyPhase +startTagNoscript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagNoscript(self, token):$/;" m class:getPhases.InHeadPhase +startTagOpt adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOpt(self, token):$/;" m class:getPhases.InBodyPhase +startTagOpt adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOpt(self, token):$/;" m class:getPhases.InBodyPhase +startTagOptgroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOptgroup(self, token):$/;" m class:getPhases.InSelectPhase +startTagOptgroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOptgroup(self, token):$/;" m class:getPhases.InSelectPhase +startTagOption adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOption(self, token):$/;" m class:getPhases.InSelectPhase +startTagOption adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOption(self, token):$/;" m class:getPhases.InSelectPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InCaptionPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InCellPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InColumnGroupPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InRowPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InSelectInTablePhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InSelectPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InTablePhase +startTagOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.TextPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterAfterBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterAfterFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.AfterHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.BeforeHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InCaptionPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InCellPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InColumnGroupPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InFramesetPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InHeadNoscriptPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InHeadPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InRowPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InSelectInTablePhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InSelectPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.InTablePhase +startTagOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagOther(self, token):$/;" m class:getPhases.TextPhase +startTagParamSource adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagParamSource(self, token):$/;" m class:getPhases.InBodyPhase +startTagParamSource adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagParamSource(self, token):$/;" m class:getPhases.InBodyPhase +startTagPlaintext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagPlaintext(self, token):$/;" m class:getPhases.InBodyPhase +startTagPlaintext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagPlaintext(self, token):$/;" m class:getPhases.InBodyPhase +startTagPreListing adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagPreListing(self, token):$/;" m class:getPhases.InBodyPhase +startTagPreListing adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagPreListing(self, token):$/;" m class:getPhases.InBodyPhase +startTagProcessInHead adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagProcessInHead(self, token):$/;" m class:getPhases.InBodyPhase +startTagProcessInHead adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagProcessInHead(self, token):$/;" m class:getPhases.InBodyPhase +startTagRawtext adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagRawtext(self, token):$/;" m class:getPhases.InBodyPhase +startTagRawtext adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagRawtext(self, token):$/;" m class:getPhases.InBodyPhase +startTagRowGroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagRowGroup(self, token):$/;" m class:getPhases.InTablePhase +startTagRowGroup adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagRowGroup(self, token):$/;" m class:getPhases.InTablePhase +startTagRpRt adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagRpRt(self, token):$/;" m class:getPhases.InBodyPhase +startTagRpRt adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagRpRt(self, token):$/;" m class:getPhases.InBodyPhase +startTagScript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagScript(self, token):$/;" m class:getPhases.InHeadPhase +startTagScript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagScript(self, token):$/;" m class:getPhases.InSelectPhase +startTagScript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagScript(self, token):$/;" m class:getPhases.InHeadPhase +startTagScript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagScript(self, token):$/;" m class:getPhases.InSelectPhase +startTagSelect adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagSelect(self, token):$/;" m class:getPhases.InBodyPhase +startTagSelect adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagSelect(self, token):$/;" m class:getPhases.InSelectPhase +startTagSelect adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagSelect(self, token):$/;" m class:getPhases.InBodyPhase +startTagSelect adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagSelect(self, token):$/;" m class:getPhases.InSelectPhase +startTagStyleScript adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagStyleScript(self, token):$/;" m class:getPhases.InTablePhase +startTagStyleScript adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagStyleScript(self, token):$/;" m class:getPhases.InTablePhase +startTagSvg adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagSvg(self, token):$/;" m class:getPhases.InBodyPhase +startTagSvg adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagSvg(self, token):$/;" m class:getPhases.InBodyPhase +startTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InBodyPhase +startTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InSelectInTablePhase +startTagTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InTablePhase +startTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InBodyPhase +startTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InSelectInTablePhase +startTagTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTable(self, token):$/;" m class:getPhases.InTablePhase +startTagTableCell adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableCell(self, token):$/;" m class:getPhases.InRowPhase +startTagTableCell adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableCell(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagTableCell adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableCell(self, token):$/;" m class:getPhases.InRowPhase +startTagTableCell adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableCell(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagTableElement adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableElement(self, token):$/;" m class:getPhases.InCaptionPhase +startTagTableElement adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableElement(self, token):$/;" m class:getPhases.InCaptionPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InCellPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InRowPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InCellPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InRowPhase +startTagTableOther adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTableOther(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagTextarea adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTextarea(self, token):$/;" m class:getPhases.InBodyPhase +startTagTextarea adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTextarea(self, token):$/;" m class:getPhases.InBodyPhase +startTagTitle adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTitle(self, token):$/;" m class:getPhases.InHeadPhase +startTagTitle adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTitle(self, token):$/;" m class:getPhases.InHeadPhase +startTagTr adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagTr(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagTr adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagTr(self, token):$/;" m class:getPhases.InTableBodyPhase +startTagVoidFormatting adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagVoidFormatting(self, token):$/;" m class:getPhases.InBodyPhase +startTagVoidFormatting adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagVoidFormatting(self, token):$/;" m class:getPhases.InBodyPhase +startTagXmp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def startTagXmp(self, token):$/;" m class:getPhases.InBodyPhase +startTagXmp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def startTagXmp(self, token):$/;" m class:getPhases.InBodyPhase +startTest adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def startTest(self, test):$/;" m class:_TextAndXMLTestResult +startTest adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def startTest(self, test):$/;" m class:TextTestResult +startTestRun adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def startTestRun(self):$/;" m class:_TextAndXMLTestResult +StartTimedOut adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^StartTimedOut = collections.namedtuple("StartTimedOut", ("pid",))$/;" v +StartVector adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def StartVector(self, elemSize, numElems, alignment):$/;" m class:Builder +start_cancel adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ def start_cancel(self):$/;" m class:CancellationManager +start_connect adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def start_connect(self):$/;" m class:Timeout +start_connect adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def start_connect(self):$/;" m class:Timeout +start_dispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def start_dispatcher(self):$/;" m class:TestCluster +start_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def start_event_loop(self, timeout=0):$/;" m class:FigureCanvasQT +start_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def start_event_loop(self, timeout=0):$/;" m class:_FigureCanvasWxBase +start_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def start_event_loop(self, timeout=0):$/;" m class:FigureCanvasBase +start_file_streaming adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def start_file_streaming(self, filename, headers, total_content_length):$/;" m class:MultiPartParser +start_filter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def start_filter(self):$/;" m class:RendererAgg +start_filter adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def start_filter(self):$/;" m class:RendererBase +start_group adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def start_group(self, s, loc, toks):$/;" m class:Parser +start_grpc_stub_if_necessary adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def start_grpc_stub_if_necessary(self):$/;" m class:ProfilePlugin +start_in_process_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def start_in_process_as(self, as_task_type, as_task_id):$/;" m class:MultiProcessRunner +start_logging_to_file adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def start_logging_to_file(self, program_name=None, log_dir=None):$/;" m class:ABSLHandler +start_logging_to_file adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def start_logging_to_file(self, program_name=None, log_dir=None):$/;" m class:PythonHandler +start_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def start_loop(self):$/;" m class:LooperThread +start_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def start_loop(self):$/;" m class:SVStepCounterThread +start_pan adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def start_pan(self, x, y, button):$/;" m class:_AxesBase +start_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def start_pan(self, x, y, button):$/;" m class:GeoAxes +start_pan adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def start_pan(self, x, y, button):$/;" m class:PolarAxes +start_processes adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^def start_processes(fn, args=(), nprocs=1, join=True, daemon=False, start_method='spawn'):$/;" f +start_profiler_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^def start_profiler_server(port):$/;" f +start_queue_runners adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^def start_queue_runners(sess=None, coord=None, daemon=True, start=True,$/;" f +start_queue_runners adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def start_queue_runners(self, sess, queue_runners=None):$/;" m class:Supervisor +start_rasterizing adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_mixed.py /^ def start_rasterizing(self):$/;" m class:MixedModeRenderer +start_rasterizing adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def start_rasterizing(self):$/;" m class:RendererBase +start_response adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def start_response(status, response_headers, exc_info=None):$/;" f member:WSGIRequestHandler.run_wsgi file: +start_response adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def start_response(status, headers, exc_info=None):$/;" f function:run_wsgi_app file: +start_response_proxy adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def start_response_proxy(status, headers, exc_info=None):$/;" f member:SecurityValidatorMiddleware.__call__ file: +start_server adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^def start_server(port: int):$/;" f +start_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/utils.py /^def start_server(cluster_resolver, protocol):$/;" f +start_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^def start_server(port):$/;" f +start_server_on_separate_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^def start_server_on_separate_thread(dump_to_filesystem=True,$/;" f +start_single_process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def start_single_process(self,$/;" m class:MultiProcessRunner +start_standard_services adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def start_standard_services(self, sess):$/;" m class:Supervisor +start_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def start_step(self):$/;" m class:Context +start_subtrace adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def start_subtrace(self):$/;" m class:Scope +start_task adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def start_task(self, task_type, task_id):$/;" m class:MultiProcessCluster +start_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def start_time(self):$/;" m class:Run +start_tracing adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler_client.py /^def start_tracing(service_addr,$/;" f +start_tracing_body adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def start_tracing_body(self):$/;" m class:_BodyTracer +start_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def start_trajectory(self, xg, yg):$/;" m class:DomainMap +start_uploading adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def start_uploading(self):$/;" m class:TensorBoardUploader +start_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def start_workers(self):$/;" m class:TestCluster +start_worker_watchdog adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^def start_worker_watchdog(session,$/;" f +start_writing adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def start_writing(self):$/;" m class:PdfParser +stash adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def stash(self, path):$/;" m class:StashedUninstallPathSet +stashedHTML2text adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def stashedHTML2text(text, md, strip_entities=True):$/;" f +StashedUninstallPathSet adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^class StashedUninstallPathSet(object):$/;" c +stash_to_string adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def stash_to_string(self, text):$/;" m class:MarkdownInHTMLPostprocessor +stash_to_string adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def stash_to_string(self, text):$/;" m class:RawHtmlPostprocessor +Stat adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^class Stat:$/;" c +stat adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def stat(self, filename):$/;" m class:LocalFileSystem +stat adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def stat(self, filename):$/;" m class:S3FileSystem +stat adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def stat(filename):$/;" f +stat adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def stat(filename):$/;" f +Stat adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import stat as Stat$/;" x +Stat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import stat as Stat$/;" x +stat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import stat_v2 as stat$/;" x +stat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import stat_v2 as stat$/;" x +stat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import stat_v2 as stat$/;" x +statbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def statbar(self):$/;" m class:NavigationToolbar2Wx +StatData adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^StatData = namedtuple("StatData", ["length"])$/;" v +State adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^class State():$/;" c +state adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def state(self):$/;" m class:CharSetProber +state adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def state(self):$/;" m class:HebrewProber +State adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^State = Any # internal State$/;" v +State adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^class State(list):$/;" c +State adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ class State:$/;" c class:Parser +state adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^state = bit_gen.state$/;" v +state adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def state(self):$/;" m class:CharSetProber +state adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def state(self):$/;" m class:HebrewProber +state adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def state(self):$/;" m class:Resolution +State adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^State = collections.namedtuple("State", "mapping criteria")$/;" v +state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def state(self):$/;" m class:_RandomGenerator +state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def state(self):$/;" m class:Generator +state adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def state(self):$/;" m class:Client +State adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class State(object):$/;" c +StateConfig adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ StateConfig = namedtuple($/;" v class:BeamSearchForwardOnly +stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def stateful(self):$/;" m class:Layer +stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def stateful(self, value):$/;" m class:Layer +stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def stateful(self):$/;" m class:Layer +stateful adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def stateful(self, value):$/;" m class:Layer +StatefulPartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^StatefulPartitionedCall = tf_export("raw_ops.StatefulPartitionedCall")(_ops.to_raw_op(stateful_p/;" v +StatefulRandomBinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulRandomBinomial = tf_export("raw_ops.StatefulRandomBinomial")(_ops.to_raw_op(stateful_ran/;" v +StatefulSessionAvailable adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^def StatefulSessionAvailable():$/;" f +StatefulStandardNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulStandardNormal = tf_export("raw_ops.StatefulStandardNormal")(_ops.to_raw_op(stateful_sta/;" v +StatefulStandardNormalV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulStandardNormalV2 = tf_export("raw_ops.StatefulStandardNormalV2")(_ops.to_raw_op(stateful/;" v +StatefulTruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulTruncatedNormal = tf_export("raw_ops.StatefulTruncatedNormal")(_ops.to_raw_op(stateful_t/;" v +StatefulUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulUniform = tf_export("raw_ops.StatefulUniform")(_ops.to_raw_op(stateful_uniform))$/;" v +StatefulUniformFullInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulUniformFullInt = tf_export("raw_ops.StatefulUniformFullInt")(_ops.to_raw_op(stateful_uni/;" v +StatefulUniformInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^StatefulUniformInt = tf_export("raw_ops.StatefulUniformInt")(_ops.to_raw_op(stateful_uniform_int/;" v +stateful_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def stateful_ops(self):$/;" m class:_EagerDefinedFunction +stateful_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def stateful_ops(self):$/;" m class:_DefinedFunction +stateful_partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateful_partitioned_call(args, Tout, f, config="", config_proto="", executor_type="", name=/;" f +stateful_partitioned_call_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateful_partitioned_call_eager_fallback(args, Tout, f, config, config_proto, executor_type,/;" f +stateful_random_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_random_binomial(resource, algorithm, shape, counts, probs, dtype=_dtypes.int64, nam/;" f +stateful_random_binomial_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_random_binomial_eager_fallback(resource, algorithm, shape, counts, probs, dtype, na/;" f +stateful_standard_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_standard_normal(resource, shape, dtype=_dtypes.float32, name=None):$/;" f +stateful_standard_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_standard_normal_eager_fallback(resource, shape, dtype, name, ctx):$/;" f +stateful_standard_normal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_standard_normal_v2(resource, algorithm, shape, dtype=_dtypes.float32, name=None):$/;" f +stateful_standard_normal_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_standard_normal_v2_eager_fallback(resource, algorithm, shape, dtype, name, ctx):$/;" f +stateful_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_truncated_normal(resource, algorithm, shape, dtype=_dtypes.float32, name=None):$/;" f +stateful_truncated_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_truncated_normal_eager_fallback(resource, algorithm, shape, dtype, name, ctx):$/;" f +stateful_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform(resource, algorithm, shape, dtype=_dtypes.float32, name=None):$/;" f +stateful_uniform_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform_eager_fallback(resource, algorithm, shape, dtype, name, ctx):$/;" f +stateful_uniform_full_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform_full_int(resource, algorithm, shape, dtype=_dtypes.uint64, name=None):$/;" f +stateful_uniform_full_int_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform_full_int_eager_fallback(resource, algorithm, shape, dtype, name, ctx):$/;" f +stateful_uniform_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform_int(resource, algorithm, shape, minval, maxval, name=None):$/;" f +stateful_uniform_int_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^def stateful_uniform_int_eager_fallback(resource, algorithm, shape, minval, maxval, name, ctx):$/;" f +StatelessCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^StatelessCase = tf_export("raw_ops.StatelessCase")(_ops.to_raw_op(stateless_case))$/;" v +StatelessIf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^StatelessIf = tf_export("raw_ops.StatelessIf")(_ops.to_raw_op(stateless_if))$/;" v +StatelessMultinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessMultinomial = tf_export("raw_ops.StatelessMultinomial")(_ops.to_raw_op(stateless_multin/;" v +StatelessParameterizedTruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessParameterizedTruncatedNormal = tf_export("raw_ops.StatelessParameterizedTruncatedNormal/;" v +StatelessRandomBinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomBinomial = tf_export("raw_ops.StatelessRandomBinomial")(_ops.to_raw_op(stateless_/;" v +StatelessRandomGammaV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomGammaV2 = tf_export("raw_ops.StatelessRandomGammaV2")(_ops.to_raw_op(stateless_ra/;" v +StatelessRandomGetKeyCounterAlg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessRandomGetKeyCounterAlg = tf_export("raw_ops.StatelessRandomGetKeyCounterAlg")(_ops.to_r/;" v +StatelessRandomNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomNormal = tf_export("raw_ops.StatelessRandomNormal")(_ops.to_raw_op(stateless_rand/;" v +StatelessRandomNormalV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessRandomNormalV2 = tf_export("raw_ops.StatelessRandomNormalV2")(_ops.to_raw_op(stateless_/;" v +StatelessRandomPoisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomPoisson = tf_export("raw_ops.StatelessRandomPoisson")(_ops.to_raw_op(stateless_ra/;" v +StatelessRandomUniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomUniform = tf_export("raw_ops.StatelessRandomUniform")(_ops.to_raw_op(stateless_ra/;" v +StatelessRandomUniformFullInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomUniformFullInt = tf_export("raw_ops.StatelessRandomUniformFullInt")(_ops.to_raw_o/;" v +StatelessRandomUniformFullIntV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessRandomUniformFullIntV2 = tf_export("raw_ops.StatelessRandomUniformFullIntV2")(_ops.to_r/;" v +StatelessRandomUniformInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessRandomUniformInt = tf_export("raw_ops.StatelessRandomUniformInt")(_ops.to_raw_op(statel/;" v +StatelessRandomUniformIntV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessRandomUniformIntV2 = tf_export("raw_ops.StatelessRandomUniformIntV2")(_ops.to_raw_op(st/;" v +StatelessRandomUniformV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessRandomUniformV2 = tf_export("raw_ops.StatelessRandomUniformV2")(_ops.to_raw_op(stateles/;" v +StatelessSampleDistortedBoundingBox adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^StatelessSampleDistortedBoundingBox = tf_export("raw_ops.StatelessSampleDistortedBoundingBox")(_/;" v +StatelessTruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^StatelessTruncatedNormal = tf_export("raw_ops.StatelessTruncatedNormal")(_ops.to_raw_op(stateles/;" v +StatelessTruncatedNormalV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^StatelessTruncatedNormalV2 = tf_export("raw_ops.StatelessTruncatedNormalV2")(_ops.to_raw_op(stat/;" v +StatelessWhile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^StatelessWhile = tf_export("raw_ops.StatelessWhile")(_ops.to_raw_op(stateless_while))$/;" v +stateless_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_binomial as stateless_bi/;" x +stateless_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_binomial as stateless_bi/;" x +stateless_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_binomial as stateless_bi/;" x +stateless_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_case(branch_index, input, Tout, branches, output_shapes=[], name=None):$/;" f +stateless_case_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_case_eager_fallback(branch_index, input, Tout, branches, output_shapes, name, ctx)/;" f +stateless_categorical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_categorical(logits,$/;" f +stateless_fold_in adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import fold_in as stateless_fold_in$/;" x +stateless_fold_in adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import fold_in as stateless_fold_in$/;" x +stateless_fold_in adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import fold_in as stateless_fold_in$/;" x +stateless_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_gamma as stateless_gamma$/;" x +stateless_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_gamma as stateless_gamma$/;" x +stateless_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_gamma as stateless_gamma$/;" x +stateless_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_if(cond, input, Tout, then_branch, else_branch, output_shapes=[], name=None):$/;" f +stateless_if_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_if_eager_fallback(cond, input, Tout, then_branch, else_branch, output_shapes, name/;" f +stateless_multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_multinomial(logits, num_samples, seed, output_dtype=_dtypes.int64, name=None):$/;" f +stateless_multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_multinomial(logits,$/;" f +stateless_multinomial_categorical_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_multinomial_categorical_impl(logits, num_samples, dtype, seed):$/;" f +stateless_multinomial_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_multinomial_eager_fallback(logits, num_samples, seed, output_dtype, name, ctx):$/;" f +stateless_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_normal as stateless_norm/;" x +stateless_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_normal as stateless_norm/;" x +stateless_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_normal as stateless_norm/;" x +stateless_parameterized_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_parameterized_truncated_normal(shape, seed, means, stddevs, minvals, maxvals, name/;" f +stateless_parameterized_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_parameterized_truncated_normal(shape,$/;" f +stateless_parameterized_truncated_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_parameterized_truncated_normal_eager_fallback(shape, seed, means, stddevs, minvals/;" f +stateless_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_poisson as stateless_poi/;" x +stateless_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_poisson as stateless_poi/;" x +stateless_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_poisson as stateless_poi/;" x +stateless_random_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_binomial(shape, seed, counts, probs, dtype=_dtypes.int64, name=None):$/;" f +stateless_random_binomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_random_binomial(shape,$/;" f +stateless_random_binomial_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_binomial_eager_fallback(shape, seed, counts, probs, dtype, name, ctx):$/;" f +stateless_random_brightness adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_brightness(image, max_delta, seed):$/;" f +stateless_random_contrast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_contrast(image, lower, upper, seed):$/;" f +stateless_random_crop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def stateless_random_crop(value, size, seed, name=None):$/;" f +stateless_random_flip_left_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_flip_left_right(image, seed):$/;" f +stateless_random_flip_up_down adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_flip_up_down(image, seed):$/;" f +stateless_random_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_random_gamma(shape,$/;" f +stateless_random_gamma_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_gamma_v2(shape, seed, alpha, name=None):$/;" f +stateless_random_gamma_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_gamma_v2_eager_fallback(shape, seed, alpha, name, ctx):$/;" f +stateless_random_get_key_counter_alg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_get_key_counter_alg(seed, name=None):$/;" f +stateless_random_get_key_counter_alg_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_get_key_counter_alg_eager_fallback(seed, name, ctx):$/;" f +stateless_random_hue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_hue(image, max_delta, seed):$/;" f +stateless_random_jpeg_quality adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_jpeg_quality(image,$/;" f +stateless_random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_normal(shape, seed, dtype=_dtypes.float32, name=None):$/;" f +stateless_random_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_random_normal(shape,$/;" f +stateless_random_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_normal_eager_fallback(shape, seed, dtype, name, ctx):$/;" f +stateless_random_normal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_normal_v2(shape, key, counter, alg, dtype=_dtypes.float32, name=None):$/;" f +stateless_random_normal_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_normal_v2_eager_fallback(shape, key, counter, alg, dtype, name, ctx):$/;" f +stateless_random_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_poisson(shape, seed, lam, dtype, name=None):$/;" f +stateless_random_poisson adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_random_poisson(shape,$/;" f +stateless_random_poisson_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_poisson_eager_fallback(shape, seed, lam, dtype, name, ctx):$/;" f +stateless_random_saturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_random_saturation(image, lower, upper, seed=None):$/;" f +stateless_random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform(shape, seed, dtype=_dtypes.float32, name=None):$/;" f +stateless_random_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_random_uniform(shape,$/;" f +stateless_random_uniform_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform_eager_fallback(shape, seed, dtype, name, ctx):$/;" f +stateless_random_uniform_full_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform_full_int(shape, seed, dtype=_dtypes.uint64, name=None):$/;" f +stateless_random_uniform_full_int_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform_full_int_eager_fallback(shape, seed, dtype, name, ctx):$/;" f +stateless_random_uniform_full_int_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_full_int_v2(shape, key, counter, alg, dtype=_dtypes.uint64, name=No/;" f +stateless_random_uniform_full_int_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_full_int_v2_eager_fallback(shape, key, counter, alg, dtype, name, c/;" f +stateless_random_uniform_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform_int(shape, seed, minval, maxval, name=None):$/;" f +stateless_random_uniform_int_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_random_uniform_int_eager_fallback(shape, seed, minval, maxval, name, ctx):$/;" f +stateless_random_uniform_int_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_int_v2(shape, key, counter, alg, minval, maxval, name=None):$/;" f +stateless_random_uniform_int_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_int_v2_eager_fallback(shape, key, counter, alg, minval, maxval, nam/;" f +stateless_random_uniform_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_v2(shape, key, counter, alg, dtype=_dtypes.float32, name=None):$/;" f +stateless_random_uniform_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_random_uniform_v2_eager_fallback(shape, key, counter, alg, dtype, name, ctx):$/;" f +stateless_sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def stateless_sample_distorted_bounding_box(image_size, bounding_boxes, min_object_covered, seed/;" f +stateless_sample_distorted_bounding_box adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def stateless_sample_distorted_bounding_box(image_size,$/;" f +stateless_sample_distorted_bounding_box_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^def stateless_sample_distorted_bounding_box_eager_fallback(image_size, bounding_boxes, min_objec/;" f +stateless_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import split as stateless_split$/;" x +stateless_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import split as stateless_split$/;" x +stateless_split adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/experimental/__init__.py /^from tensorflow.python.ops.stateless_random_ops import split as stateless_split$/;" x +stateless_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_truncated_normal(shape, seed, dtype=_dtypes.float32, name=None):$/;" f +stateless_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^def stateless_truncated_normal(shape,$/;" f +stateless_truncated_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^def stateless_truncated_normal_eager_fallback(shape, seed, dtype, name, ctx):$/;" f +stateless_truncated_normal_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_truncated_normal_v2(shape, key, counter, alg, dtype=_dtypes.float32, name=None):$/;" f +stateless_truncated_normal_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^def stateless_truncated_normal_v2_eager_fallback(shape, key, counter, alg, dtype, name, ctx):$/;" f +stateless_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_uniform as stateless_uni/;" x +stateless_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_uniform as stateless_uni/;" x +stateless_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.stateless_random_ops import stateless_random_uniform as stateless_uni/;" x +stateless_while adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_while(input, cond, body, output_shapes=[], parallel_iterations=10, name=None):$/;" f +stateless_while_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def stateless_while_eager_fallback(input, cond, body, output_shapes, parallel_iterations, name, /;" f +StateManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class StateManager(object):$/;" c +statement adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def statement(f):$/;" f +states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def states(self):$/;" m class:RNN +states adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def states(self, states):$/;" m class:RNN +StateSpace adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class StateSpace(LinearTimeInvariant):$/;" c +StateSpaceContinuous adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class StateSpaceContinuous(StateSpace, lti):$/;" c +StateSpaceDiscrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class StateSpaceDiscrete(StateSpace, dlti):$/;" c +StateVariableSpecification adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^StateVariableSpecification = _reflection.GeneratedProtocolMessageType('StateVariableSpecificatio/;" v +state_addr adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^state_addr = bit_gen.cffi.state_address$/;" v +state_dict adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def state_dict(self):$/;" m class:GradScaler +state_dict adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def state_dict(self, destination=None, prefix="", keep_vars=False):$/;" m class:_RemoteModule +state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def state_dict(self, destination: T_destination, prefix: str = ..., keep_vars: bool = ...) -/;" m class:Module +state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def state_dict(self, destination=None, prefix='', keep_vars=False):$/;" m class:Module +state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def state_dict(self, prefix: str = ..., keep_vars: bool = ...) -> Dict[str, Tensor]:$/;" m class:Module +state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def state_dict(self):$/;" m class:LambdaLR +state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def state_dict(self):$/;" m class:MultiplicativeLR +state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def state_dict(self):$/;" m class:ReduceLROnPlateau +state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def state_dict(self):$/;" m class:_LRScheduler +state_dict adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def state_dict(self):$/;" m class:Optimizer +STATE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ STATE_PREFIX = "model_state"$/;" v class:State +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def state_size(self):$/;" m class:TFLiteLSTMCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def state_size(self):$/;" m class:TfLiteRNNCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def state_size(self):$/;" m class:_RNNCellForTest +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:BasicLSTMCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:BasicRNNCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:GRUCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:LSTMCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:MultiRNNCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def state_size(self):$/;" m class:RNNCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def state_size(self):$/;" m class:DeviceWrapperBase +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def state_size(self):$/;" m class:DropoutWrapperBase +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def state_size(self):$/;" m class:ResidualWrapperBase +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def state_size(self):$/;" m class:AbstractRNNCell +state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def state_size(self):$/;" m class:StackedRNNCells +state_to_dictionary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^def state_to_dictionary(state_tuple):$/;" f +STATE_TUPLE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ STATE_TUPLE = "start_tuple"$/;" v class:State +STATE_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^STATE_TYPE = SEED_TYPE$/;" v +state_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def state_updates(self):$/;" m class:Model +static adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def static():$/;" m class:test_setattr_cm.A +Static adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^class Static(NoValue):$/;" c +STATIC adpepsenv/lib/python3.8/site-packages/torch/quantization/quant_type.py /^ STATIC = 1$/;" v class:QuantType +StaticArg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^class StaticArg(NamedTuple):$/;" c +StaticHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class StaticHashTable(InitializableLookupTableBase):$/;" c +StaticHashTable adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/__init__.py /^from tensorflow.python.ops.lookup_ops import StaticHashTableV1 as StaticHashTable$/;" x +StaticHashTableV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class StaticHashTableV1(StaticHashTable):$/;" c +StaticModule adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^class StaticModule:$/;" c +StaticRegexFullMatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StaticRegexFullMatch = tf_export("raw_ops.StaticRegexFullMatch")(_ops.to_raw_op(static_regex_ful/;" v +StaticRegexReplace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StaticRegexReplace = tf_export("raw_ops.StaticRegexReplace")(_ops.to_raw_op(static_regex_replace/;" v +StaticVocabularyTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class StaticVocabularyTable(LookupInterface):$/;" c +StaticVocabularyTable adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/__init__.py /^from tensorflow.python.ops.lookup_ops import StaticVocabularyTableV1 as StaticVocabularyTable$/;" x +StaticVocabularyTableV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class StaticVocabularyTableV1(StaticVocabularyTable):$/;" c +static_async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def static_async_add(to, x, y, z):$/;" m class:AsyncExecutionClass +static_bidirectional_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def static_bidirectional_rnn(cell_fw,$/;" f +static_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ static_condition = lambda actual_rank, given_rank: actual_rank == given_rank$/;" f function:assert_rank file: +static_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ static_condition = lambda actual_rank, given_rank: actual_rank >= given_rank$/;" f function:assert_rank_at_least file: +static_file_route adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def static_file_route(self, request):$/;" m class:ProfilePlugin +static_grad_indices_ref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_indices_ref = None$/;" v class:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref.MyFunc +static_grad_ptr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_ptr = None$/;" v class:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref.MyFunc +static_grad_ptr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_ptr = None$/;" v class:DistAutogradTest.test_no_grad_copy.MyFunc +static_grad_ptr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_ptr = None$/;" v class:DistAutogradTest.test_no_grad_copy.MyFuncSingleGrad +static_grad_ptr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_ptr = None$/;" v class:DistAutogradTest.test_no_grad_copy_sparse.MyFunc +static_grad_ptr adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_ptr = None$/;" v class:DistAutogradTest.test_no_grad_copy_sparse.NonContGradFunc +static_grad_values_ref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ static_grad_values_ref = None$/;" v class:DistAutogradTest.test_grad_copy_sparse_indices_extra_ref.MyFunc +static_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def static_head(self):$/;" m class:SequentialHeadWrapper +static_lib_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ static_lib_extension = ".lib"$/;" v class:CompaqVisualFCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ static_lib_extension = ".a" # or .lib$/;" v class:FCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ static_lib_extension = '.lib'$/;" v class:BCPPCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ static_lib_extension = None$/;" v class:CCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ static_lib_extension = ".a"$/;" v class:CygwinCCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ static_lib_extension = '.lib'$/;" v class:MSVCCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ static_lib_extension = '.lib'$/;" v class:MSVCCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ static_lib_extension = ".a"$/;" v class:UnixCCompiler +static_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ static_lib_extension = '.lib'$/;" v class:MSVCCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ static_lib_format = "%s%s"$/;" v class:CompaqVisualFCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ static_lib_format = "lib%s%s" # or %s%s$/;" v class:FCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ static_lib_format = shared_lib_format = '%s%s'$/;" v class:BCPPCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ static_lib_format = None # format string$/;" v class:CCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ static_lib_format = "lib%s%s"$/;" v class:CygwinCCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ static_lib_format = shared_lib_format = '%s%s'$/;" v class:MSVCCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ static_lib_format = shared_lib_format = '%s%s'$/;" v class:MSVCCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ static_lib_format = shared_lib_format = dylib_lib_format = "lib%s%s"$/;" v class:UnixCCompiler +static_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ static_lib_format = shared_lib_format = '%s%s'$/;" v class:MSVCCompiler +static_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def static_nrows(self):$/;" m class:RowPartition +static_nvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def static_nvals(self):$/;" m class:RowPartition +STATIC_QUANT_MODULE_MAPPINGS adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^STATIC_QUANT_MODULE_MAPPINGS = {$/;" v +static_regex_full_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def static_regex_full_match(input, pattern, name=None):$/;" f +static_regex_full_match_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def static_regex_full_match_eager_fallback(input, pattern, name, ctx):$/;" f +static_regex_replace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def static_regex_replace(input, pattern, rewrite, replace_global=True, name=None):$/;" f +static_regex_replace_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def static_regex_replace_eager_fallback(input, pattern, rewrite, replace_global, name, ctx):$/;" f +static_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def static_rnn(cell,$/;" f +static_state_saving_rnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def static_state_saving_rnn(cell,$/;" f +static_tensor_inputs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ static_tensor_inputs = sum(arg['type'] != 'TensorList' and value_is_tensor_type(arg) for/;" v +static_uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def static_uniform_row_length(self):$/;" m class:RowPartition +STATIC_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^STATIC_VALUE = 'static_value'$/;" v +Statistics adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^Statistics = collections.namedtuple($/;" v +statistic_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def statistic_type(self):$/;" m class:OpStats +statistic_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def statistic_type(self, statistic_type):$/;" m class:OpStats +StatReloaderLoop adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^class StatReloaderLoop(ReloaderLoop):$/;" c +stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^import scipy.stats as stats$/;" I +stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^import scipy.stats as stats$/;" I +stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def stats(self, *args, **kwds):$/;" m class:rv_generic +stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def stats(self, moments='mv'):$/;" m class:rv_frozen +stats adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def stats(self, inclusive: bool = False) -> Tuple[FunctionCount, ...]:$/;" m class:CallgrindStats +StatsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^StatsAggregator = StatsAggregatorV1$/;" v +StatsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.stats_aggregator import StatsAggregatorV1 as StatsA/;" x +StatsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.stats_aggregator import StatsAggregatorV2 as StatsA/;" x +StatsAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.stats_aggregator import StatsAggregatorV2 as StatsA/;" x +StatsAggregatorHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^StatsAggregatorHandle = tf_export("raw_ops.StatsAggregatorHandle")(_ops.to_raw_op(stats_aggregat/;" v +StatsAggregatorHandleV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^StatsAggregatorHandleV2 = tf_export("raw_ops.StatsAggregatorHandleV2")(_ops.to_raw_op(stats_aggr/;" v +StatsAggregatorSetSummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^StatsAggregatorSetSummaryWriter = tf_export("raw_ops.StatsAggregatorSetSummaryWriter")(_ops.to_r/;" v +StatsAggregatorSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^StatsAggregatorSummary = tf_export("raw_ops.StatsAggregatorSummary")(_ops.to_raw_op(stats_aggreg/;" v +StatsAggregatorV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^class StatsAggregatorV1(object):$/;" c +StatsAggregatorV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^class StatsAggregatorV2(object):$/;" c +StatsDatasetTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^class StatsDatasetTestBase(test_base.DatasetTestBase):$/;" c +StatsOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_options.py /^class StatsOptions(options.OptionsBase):$/;" c +stats_aggregator_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_handle(container="", shared_name="", name=None):$/;" f +stats_aggregator_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_handle_eager_fallback(container, shared_name, name, ctx):$/;" f +stats_aggregator_handle_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_handle_v2(container="", shared_name="", name=None):$/;" f +stats_aggregator_handle_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_handle_v2_eager_fallback(container, shared_name, name, ctx):$/;" f +stats_aggregator_set_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_set_summary_writer(stats_aggregator, summary, name=None):$/;" f +stats_aggregator_set_summary_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_set_summary_writer_eager_fallback(stats_aggregator, summary, name, ctx):$/;" f +stats_aggregator_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_summary(iterator, name=None):$/;" f +stats_aggregator_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def stats_aggregator_summary_eager_fallback(iterator, name, ctx):$/;" f +stats_linregress adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ linregress as stats_linregress,$/;" x +stats_LinregressResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ LinregressResult as stats_LinregressResult,$/;" x +stats_siegelslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ siegelslopes as stats_siegelslopes$/;" x +stats_theilslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ theilslopes as stats_theilslopes,$/;" x +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def status(self):$/;" m class:_Response +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def status(self):$/;" m class:_Response +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def status(self):$/;" m class:_CombinedResponse +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def status(self):$/;" m class:_Response +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^ def status(self):$/;" m class:Response +status adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^ def status(self):$/;" m class:Response +Status adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class Status(six.with_metaclass(abc.ABCMeta)):$/;" c +Status adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Status [$/;" c +Status adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^Status = enum_type_wrapper.EnumTypeWrapper(_STATUS)$/;" v +Status adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^class Status(enum.Enum):$/;" c +status adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def status(self):$/;" m class:BaseResponse +status adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def status(self, value):$/;" m class:BaseResponse +statusbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def statusbar(self):$/;" m class:FigureFrameWx +statusbar adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def statusbar(self):$/;" m class:FigureManagerBase +StatusbarBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class StatusbarBase:$/;" c +StatusbarGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class StatusbarGTK3(StatusbarBase, Gtk.Statusbar):$/;" c +StatusbarQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class StatusbarQt(StatusbarBase, QtWidgets.QLabel):$/;" c +StatusbarTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class StatusbarTk(StatusbarBase, tk.Frame):$/;" c +StatusbarWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class StatusbarWx(StatusbarBase, wx.StatusBar):$/;" c +StatusBarWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class StatusBarWx(wx.StatusBar):$/;" c +StatusCode adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^StatusCode = grpc.StatusCode$/;" v +StatusCode adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class StatusCode(enum.Enum):$/;" c +StatusCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class StatusCode(univ.Enumerated):$/;" c +StatusCodeList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class StatusCodeList(univ.SequenceOf):$/;" c +StatusProto adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^StatusProto = _reflection.GeneratedProtocolMessageType('StatusProto', (_message.Message,), dict($/;" v +StatusResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class StatusResponse(univ.Choice):$/;" c +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ status_code = 400$/;" v class:InvalidGrantError +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ status_code = 400$/;" v class:OAuth2Error +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ status_code = 401$/;" v class:InvalidClientError +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ status_code = 401$/;" v class:InvalidTokenError +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ status_code = 403$/;" v class:InsufficientScopeError +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ status_code = 401$/;" v class:ConsentRequired +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ status_code = 401$/;" v class:InteractionRequired +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ status_code = 401$/;" v class:InvalidTokenError +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ status_code = 401$/;" v class:LoginRequired +status_code adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/exceptions.py /^ status_code = 403$/;" v class:InsufficientScopeError +status_code adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def status_code(self):$/;" m class:TokenRequestDenied +status_code adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def status_code(self):$/;" m class:BaseResponse +status_code adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def status_code(self, code):$/;" m class:BaseResponse +STATUS_CODE_TO_CYGRPC_STATUS_CODE adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^STATUS_CODE_TO_CYGRPC_STATUS_CODE = {$/;" v +STATUS_FAILURE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^STATUS_FAILURE = 2$/;" v +STATUS_RUNNING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^STATUS_RUNNING = 3$/;" v +STATUS_SUCCESS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^STATUS_SUCCESS = 1$/;" v +STATUS_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^STATUS_UNKNOWN = 0$/;" v +stat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def stat_v2(path):$/;" f +StaxTest adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/stax_test.py /^class StaxTest(tf_test_util.JaxToTfTestCase):$/;" c +stbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stbcon(char *norm, char *uplo, char *diag, int *n, int *kd, s *ab, int *ldab, s *rcond/;" f +stbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void stbmv(char *uplo, char *trans, char *diag, int *n, int *k, s *a, int *lda, s *x, int */;" f +stbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stbrfs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, s *ab, int *ld/;" f +stbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void stbsv(char *uplo, char *trans, char *diag, int *n, int *k, s *a, int *lda, s *x, int */;" f +stbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stbtrs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, s *ab, int *ld/;" f +std adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def std(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +std adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def std(a, axis=None, dtype=None, out=None, ddof=0, keepdims=np._NoValue):$/;" f +std adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def std(self, axis=None, dtype=None, out=None, ddof=0,$/;" m class:MaskedArray +std adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^std = _frommethod('std')$/;" v +std adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def std(self, axis=None, dtype=None, out=None, ddof=0):$/;" m class:matrix +std adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def std(self):$/;" m class:rv_frozen +std adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def std(self, *args, **kwds):$/;" m class:rv_generic +std adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def std(x, axis=None, keepdims=False):$/;" f +std adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def std(a, axis=None, keepdims=None): # pylint: disable=missing-function-docstring$/;" f +std adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def std(g, input, *args):$/;" f +stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def stddev(self, name="stddev"):$/;" m class:Distribution +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def stddev(self):$/;" m class:ContinuousBernoulli +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def stddev(self):$/;" m class:Distribution +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def stddev(self):$/;" m class:Exponential +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def stddev(self):$/;" m class:Gumbel +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def stddev(self):$/;" m class:Laplace +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def stddev(self):$/;" m class:Normal +stddev adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def stddev(self):$/;" m class:Uniform +STDERR adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^STDERR = -12$/;" v +stde_median adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def stde_median(data, axis=None):$/;" f +stdfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ stdfuncs = [np.cumsum, np.cumprod]$/;" v class:TestNanFunctions_CumSumProd +stdfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ stdfuncs = [np.mean, np.var, np.std]$/;" v class:TestNanFunctions_MeanVarStd +stdfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ stdfuncs = [np.min, np.max]$/;" v class:TestNanFunctions_MinMax +stdfuncs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ stdfuncs = [np.sum, np.prod]$/;" v class:TestNanFunctions_SumProd +stdio adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^cimport libc.stdio as stdio$/;" I +stdio adpepsenv/lib/python3.8/site-packages/numpy/__init__.pxd /^cimport libc.stdio as stdio$/;" I +stdlib_pkgs adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^stdlib_pkgs = {"python", "wsgiref", "argparse"}$/;" v +STDOUT adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^STDOUT = -11$/;" v +stdtr adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double stdtr(double x0, double x1) nogil$/;" f +stdtridf adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double stdtridf(double x0, double x1) nogil$/;" f +stdtrit adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double stdtrit(double x0, double x1) nogil$/;" f +stDump adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^stSpam, stHam, stDump = 0, 1, 2$/;" v +Std_dev adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^Std_dev = namedtuple('Std_dev', ('statistic', 'minmax'))$/;" v +std_iglob adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^from glob import iglob as std_iglob$/;" x +stem adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def stem(self, *args, linefmt=None, markerfmt=None, basefmt=None, bottom=0,$/;" m class:Axes +stem adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def stem($/;" f +StemContainer adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^class StemContainer(Container):$/;" c +step adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def step($/;" m class:Seq2SeqModelCaffe2 +Step adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^Step = int$/;" v +step adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def step(self, x, y, *args, where='pre', data=None, **kwargs):$/;" m class:Axes +step adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def step(x, y, *args, where='pre', data=None, **kwargs):$/;" f +step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def step(self):$/;" m class:OdeSolver +step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def step(self, *args):$/;" m class:lsoda +step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def step(self, *args):$/;" m class:vode +step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def step(self, f, jac, y0, t0, t1, f_params, jac_params):$/;" m class:IntegratorBase +step adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def step(self, x0=None, t=None, n=None):$/;" m class:dlti +step adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def step(self, X0=None, T=None, N=None):$/;" m class:lti +step adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def step(system, X0=None, T=None, N=None):$/;" f +step adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def step(self):$/;" m class:BlobSequenceDatum +step adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def step(self):$/;" m class:ScalarDatum +step adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def step(self):$/;" m class:TensorDatum +Step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^class Step(object):$/;" c +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step():$/;" f member:DistributionTestBase._test_minimize_loss_eager file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step():$/;" f member:DistributionTestBase._test_minimize_loss_graph file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step(c):$/;" f member:OneDeviceDistributionTestBase._test_collective_comms_gradients file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step(c):$/;" f member:OneDeviceDistributionTestBase._test_collective_comms_gradient_tape file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step(c):$/;" f member:TwoDeviceDistributionTestBase._test_collective_comms_gradients file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def step(c):$/;" f member:TwoDeviceDistributionTestBase._test_collective_comms_gradient_tape file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def step(inputs, states):$/;" f member:ConvRNN2D.call file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def step(inputs, states):$/;" f member:RNN.call file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def step(cell_inputs, cell_states):$/;" f member:GRU.call file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def step(inputs, states):$/;" f member:LSTM.call file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def step(cell_inputs, cell_states):$/;" f function:standard_gru file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def step(cell_inputs, cell_states):$/;" f function:standard_lstm file: +step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def step(x, _):$/;" f member:TimeDistributed.call file: +step adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def step(self, optimizer, *args, **kwargs):$/;" m class:GradScaler +step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/functional_adagrad.py /^ def step(self, gradients: List[Optional[Tensor]]):$/;" m class:_FunctionalAdagrad +step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def step(self, autograd_ctx_id):$/;" m class:_LocalOptimizer +step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def step(self, autograd_ctx_id: int) -> None:$/;" m class:_ScriptLocalOptimizerInterface +step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def step(self, autograd_ctx_id: int):$/;" m class:_ScriptLocalOptimizer +step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def step(self, context_id):$/;" m class:DistributedOptimizer +step adpepsenv/lib/python3.8/site-packages/torch/optim/adadelta.py /^ def step(self, closure=None):$/;" m class:Adadelta +step adpepsenv/lib/python3.8/site-packages/torch/optim/adagrad.py /^ def step(self, closure=None):$/;" m class:Adagrad +step adpepsenv/lib/python3.8/site-packages/torch/optim/adam.py /^ def step(self, closure=None):$/;" m class:Adam +step adpepsenv/lib/python3.8/site-packages/torch/optim/adamax.py /^ def step(self, closure=None):$/;" m class:Adamax +step adpepsenv/lib/python3.8/site-packages/torch/optim/adamw.py /^ def step(self, closure=None):$/;" m class:AdamW +step adpepsenv/lib/python3.8/site-packages/torch/optim/asgd.py /^ def step(self, closure=None):$/;" m class:ASGD +step adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def step(self, closure):$/;" m class:LBFGS +step adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def step(self, epoch=None):$/;" m class:CosineAnnealingWarmRestarts +step adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def step(self, epoch=None):$/;" m class:_LRScheduler +step adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def step(self, metrics, epoch=None):$/;" m class:ReduceLROnPlateau +step adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def step(self, closure):$/;" m class:Optimizer +step adpepsenv/lib/python3.8/site-packages/torch/optim/rmsprop.py /^ def step(self, closure=None):$/;" m class:RMSprop +step adpepsenv/lib/python3.8/site-packages/torch/optim/rprop.py /^ def step(self, closure=None):$/;" m class:Rprop +step adpepsenv/lib/python3.8/site-packages/torch/optim/sgd.py /^ def step(self, closure=None):$/;" m class:SGD +step adpepsenv/lib/python3.8/site-packages/torch/optim/sparse_adam.py /^ def step(self, closure=None):$/;" m class:SparseAdam +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adadelta.py /^ def step(self, closure=None):$/;" m class:Adadelta +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adam.py /^ def step(self, closure=None):$/;" m class:Adam +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamax.py /^ def step(self, closure=None):$/;" m class:Adamax +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamw.py /^ def step(self, closure=None):$/;" m class:AdamW +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/asgd.py /^ def step(self, closure=None):$/;" m class:ASGD +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rmsprop.py /^ def step(self, closure=None):$/;" m class:RMSprop +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rprop.py /^ def step(self, closure=None):$/;" m class:Rprop +step adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/sgd.py /^ def step(self, closure=None):$/;" m class:SGD +step adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def step(self, closure=None):$/;" m class:FailingOptimizer +step adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def step(self, closure=None):$/;" m class:OptimizerFailingOnConstructor +step2 adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def step2(system, X0=None, T=None, N=None, **kwargs):$/;" f +StepContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ class StepContext(object):$/;" c class:_MonitoredSession +StepCounterHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class StepCounterHook(session_run_hook.SessionRunHook):$/;" c +StepLR adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class StepLR(_LRScheduler):$/;" c +STEPPED adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ STEPPED = 2$/;" v class:OptState +Steps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Steps(self):$/;" m class:Plan +steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def steps(self):$/;" m class:DataHandler +StepStats adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^StepStats = _reflection.GeneratedProtocolMessageType('StepStats', (_message.Message,), {$/;" v +StepStats adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^StepStats = _reflection.GeneratedProtocolMessageType('StepStats', (_message.Message,), {$/;" v +StepStatsAnalysis adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^class StepStatsAnalysis(collections.namedtuple($/;" c +StepSummary adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^StepSummary = _reflection.GeneratedProtocolMessageType('StepSummary', (_message.Message,), {$/;" v +steps_per_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def steps_per_run(self):$/;" m class:TPUStrategyV1 +StepTraceContext adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^class StepTraceContext(TraceContext):$/;" c +step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def step_fn(ctx, inputs):$/;" f member:StandardSingleLossStep.__call__ file: +step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def step_fn(inputs):$/;" f function:TestDistributionStrategyWithKerasModels.test_correctness_of_add_loss_with_merge_call.train_step file: +step_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def step_fn(ctx, inputs):$/;" f member:Estimator._actual_train_model_distributed file: +step_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def step_fn(ctx, inputs):$/;" f member:Estimator._call_model_fn_eval_distributed file: +step_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def step_function(model, iterator):$/;" f member:Model.make_predict_function file: +step_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def step_function(model, iterator):$/;" f member:Model.make_test_function file: +step_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def step_function(model, iterator):$/;" f member:Model.make_train_function file: +step_increment adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def step_increment(self):$/;" m class:DataHandler +STEP_LOOKUP_MAP adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^STEP_LOOKUP_MAP = {'default': lambda x, y: (x, y),$/;" v +step_lr adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def step_lr(iter, lr_scale):$/;" f member:TestLearningRate.test_composite_learning_rate_op file: +step_size adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def step_size(self):$/;" m class:OdeSolver +step_size_to_bound adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def step_size_to_bound(x, s, lb, ub):$/;" f +stfsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stfsm(char *transr, char *side, char *uplo, char *trans, char *diag, int *m, int *n, s/;" f +stft adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def stft(x, fs=1.0, window='hann', nperseg=256, noverlap=None, nfft=None,$/;" f +stft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def stft(signals, frame_length, frame_step, fft_length=None,$/;" f +stft adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def stft(input: Tensor, n_fft: int, hop_length: Optional[int] = None,$/;" f +stft adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def stft(self, n_fft: int, hop_length: Optional[int] = None,$/;" m class:Tensor +stftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stftri(char *transr, char *uplo, char *diag, int *n, s *a, int *info) nogil$/;" f +stfttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stfttp(char *transr, char *uplo, int *n, s *arf, s *ap, int *info) nogil$/;" f +stfttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stfttr(char *transr, char *uplo, int *n, s *arf, s *a, int *lda, int *info) nogil$/;" f +stgevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgevc(char *side, char *howmny, bint *select, int *n, s *s, int *lds, s *p, int *ldp,/;" f +stgex2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgex2(bint *wantq, bint *wantz, int *n, s *a, int *lda, s *b, int *ldb, s *q, int *ld/;" f +stgexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgexc(bint *wantq, bint *wantz, int *n, s *a, int *lda, s *b, int *ldb, s *q, int *ld/;" f +stgsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgsen(int *ijob, bint *wantq, bint *wantz, bint *select, int *n, s *a, int *lda, s *b/;" f +stgsja adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgsja(char *jobu, char *jobv, char *jobq, int *m, int *p, int *n, int *k, int *l, s */;" f +stgsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgsna(char *job, char *howmny, bint *select, int *n, s *a, int *lda, s *b, int *ldb, /;" f +stgsy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgsy2(char *trans, int *ijob, int *m, int *n, s *a, int *lda, s *b, int *ldb, s *c, i/;" f +stgsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stgsyl(char *trans, int *ijob, int *m, int *n, s *a, int *lda, s *b, int *ldb, s *c, i/;" f +stHam adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^stSpam, stHam, stDump = 0, 1, 2$/;" v +StickBreakingTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class StickBreakingTransform(Transform):$/;" c +sticky_attribute_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def sticky_attribute_assignment(trackable, name, value):$/;" f +sticky_edges adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def sticky_edges(self):$/;" m class:Artist +stiff adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stiff = False$/;" v class:ODE +stiff adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stiff = True$/;" v class:CoupledDecay +stim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def stim(self, request, fstims, iscomplex, sides, len_x, NFFT_density,$/;" m class:TestSpectral +stimeset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def stimeset(self, hour, minute, second):$/;" m class:_iterinfo +stirling_series adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/loggamma.py /^def stirling_series(N):$/;" f +stitch_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def stitch_values(values_and_indices_list):$/;" f +STIXFontConstants adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class STIXFontConstants(FontConstantsBase):$/;" c +StixFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class StixFonts(UnicodeFonts):$/;" c +STIXSansFontConstants adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class STIXSansFontConstants(FontConstantsBase):$/;" c +StixSansFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class StixSansFonts(StixFonts):$/;" c +stix_virtual_fonts adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^stix_virtual_fonts = {$/;" v +StmtBuilder adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class StmtBuilder(Builder):$/;" c +StmtInferrer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^class StmtInferrer(gast.NodeVisitor):$/;" c +STMT_DEFINITELY_RETURNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^STMT_DEFINITELY_RETURNS = 'STMT_DEFINITELY_RETURNS'$/;" v +stn adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^def stn(s, length, encoding, errors):$/;" f +stnd adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^stnd = {1: 'st', 2: 'nd', 3: 'rd', 4: 'th', 5: 'th',$/;" v +stnp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^from hypothesis.extra import numpy as stnp$/;" x +StochasticGradientDescentParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^StochasticGradientDescentParameters = _reflection.GeneratedProtocolMessageType('StochasticGradie/;" v +StochasticGradientDescentParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class StochasticGradientDescentParameters(_OptimizationParameters):$/;" c +stone adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^stone = 14 * pound$/;" v +stop adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def stop(self):$/;" m class:BatchFeeder +stop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def stop(self):$/;" m class:Operations +stop adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def stop(self):$/;" m class:GlobalWorkerCoordinator +stop adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def stop(self):$/;" m class:State +stop adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def stop(self, grace: Optional[float]) -> None:$/;" m class:Server +stop adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ async def stop(self, grace: Optional[float]) -> None:$/;" m class:Server +stop adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def stop(self, grace):$/;" m class:Server +stop adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def stop(self, grace):$/;" m class:_Server +stop adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def stop(self, grace):$/;" m class:End +stop adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def stop(self, grace):$/;" m class:_Server +stop adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def stop(self, grace):$/;" m class:Server +stop adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def stop(self):$/;" m class:_OutfeedReceiverData +stop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def stop(x):$/;" f function:stop_gradient file: +stop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def stop(self):$/;" m class:TimerBase +STOP adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ STOP = code_type(0) # 1 vertex$/;" v class:Path +stop adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def stop(self):$/;" m class:Progress +stop adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def stop(self):$/;" m class:_AsyncWriterThread +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def stop(self):$/;" m class:MultiProcessCluster +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^def stop():$/;" f +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def stop(self, timeout=None):$/;" m class:SequenceEnqueuer +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^def stop(save=True):$/;" f +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def stop(self):$/;" m class:_TPUPollingThread +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def stop(self):$/;" m class:WatchdogManager +stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def stop(self,$/;" m class:Supervisor +stop adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def stop(self):$/;" m class:_OpQueueContext +STOP adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ STOP = -2$/;" v class:_SIGNAL +stop adpepsenv/lib/python3.8/site-packages/torch/cuda/profiler.py /^def stop():$/;" f +stop adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ stop = _callback_property("_stop")$/;" v class:ContentRange +StopAtStepHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class StopAtStepHook(session_run_hook.SessionRunHook):$/;" c +StopGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^StopGradient = tf_export("raw_ops.StopGradient")(_ops.to_raw_op(stop_gradient))$/;" v +StopImmediate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StopImmediate():$/;" f +stopOn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def stopOn(self, ender):$/;" m class:_MultipleMatch +stopOn adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def stopOn(self, ender):$/;" m class:_MultipleMatch +STOPPED adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ STOPPED = 'stopped'$/;" v class:_ServerStage +stopping_criteria adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def stopping_criteria(self):$/;" m class:SHGO +stopping_criterion adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/conjugate_gradient.py /^ def stopping_criterion(i, state):$/;" f function:conjugate_gradient file: +STOPPING_SIGNAL adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ STOPPING_SIGNAL = True$/;" v class:_StopSignals +stopTest adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def stopTest(self, test):$/;" m class:_TextAndXMLTestResult +stopTestRun adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def stopTestRun(self):$/;" m class:_TextAndXMLTestResult +stop_after_attempt adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def stop_after_attempt(self, previous_attempt_number, delay_since_first_attempt_ms):$/;" m class:Retrying +stop_after_delay adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def stop_after_delay(self, previous_attempt_number, delay_since_first_attempt_ms):$/;" m class:Retrying +stop_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def stop_blob(self):$/;" m class:NetBuilder +stop_coordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def stop_coordinator(self, worker_name):$/;" m class:GlobalWorkerCoordinator +stop_criteria adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def stop_criteria(state, x, last_iteration_failed, tr_radius,$/;" f function:_minimize_trustregion_constr file: +stop_criteria adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def stop_criteria(state, x, last_iteration_failed,$/;" f function:_minimize_trustregion_constr file: +stop_criteria adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def stop_criteria(self, state, z, last_iteration_failed,$/;" m class:BarrierSubproblem +stop_dispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def stop_dispatcher(self):$/;" m class:TestCluster +stop_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def stop_event_loop(self, event=None):$/;" m class:FigureCanvasQT +stop_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def stop_event_loop(self, event=None):$/;" m class:_FigureCanvasWxBase +stop_event_loop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def stop_event_loop(self):$/;" m class:FigureCanvasBase +stop_filter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def stop_filter(self, post_processing):$/;" m class:RendererAgg +stop_filter adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def stop_filter(self, filter_func):$/;" m class:RendererBase +stop_gradient adpeps/utils/ctmtensors.py /^ def stop_gradient(self, only_boundaries=True):$/;" m class:CTMTensors +stop_gradient adpeps/utils/tlist.py /^ def stop_gradient(self):$/;" m class:TList +stop_gradient adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def stop_gradient(x):$/;" f +stop_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def stop_gradient(variables):$/;" f +stop_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def stop_gradient(input, name=None):$/;" f +stop_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def stop_gradient_eager_fallback(input, name, ctx):$/;" f +stop_gradient_p adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^stop_gradient_p = Primitive('stop_gradient')$/;" v +stop_guard adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def stop_guard(self, has_stopped_blob=None, name=None):$/;" m class:Operations +stop_hook adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def stop_hook(times) -> bool:$/;" f member:Timer.adaptive_autorange file: +stop_hook adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def stop_hook(times) -> bool:$/;" f member:Timer.blocked_autorange file: +stop_if adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def stop_if(self, blob):$/;" m class:NetBuilder +stop_if adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def stop_if(self, blob):$/;" m class:Operations +stop_if_higher_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def stop_if_higher_hook(estimator,$/;" f +stop_if_lower_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def stop_if_lower_hook(estimator,$/;" f +stop_if_no_decrease_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def stop_if_no_decrease_hook(estimator,$/;" f +stop_if_no_increase_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def stop_if_no_increase_hook(estimator,$/;" f +stop_if_no_metric_improvement_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def stop_if_no_metric_improvement_fn():$/;" f function:_stop_if_no_metric_improvement_hook file: +stop_if_threshold_crossed_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def stop_if_threshold_crossed_fn():$/;" f function:_stop_if_threshold_crossed_hook file: +stop_iteration adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def stop_iteration(self):$/;" m class:LOBPCG +stop_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def stop_loop(self):$/;" m class:LooperThread +stop_on_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def stop_on_exception(self):$/;" m class:Coordinator +stop_on_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def stop_on_exception(self):$/;" m class:Supervisor +stop_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def stop_op_fn(var):$/;" f member:_MultiWorkerEarlyStoppingHook.begin file: +stop_outfeed_receiver adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def stop_outfeed_receiver():$/;" f +stop_rasterizing adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_mixed.py /^ def stop_rasterizing(self):$/;" m class:MixedModeRenderer +stop_rasterizing adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def stop_rasterizing(self):$/;" m class:RendererBase +stop_recording adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def stop_recording(self):$/;" m class:GradientTape +stop_recording adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def stop_recording():$/;" f +stop_requested adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def stop_requested(self):$/;" m class:SessionRunContext +stop_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def stop_server(self, grace=1.0):$/;" m class:EventListenerBaseServicer +stop_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stop_t = 0.5$/;" v class:CoupledDecay +stop_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stop_t = 1 + 0.09$/;" v class:SimpleOscillator +stop_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stop_t = 1$/;" v class:ODE +stop_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stop_t = 1.23*pi$/;" v class:ComplexExp +stop_t adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ stop_t = 20$/;" v class:Pi +stop_typing adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def stop_typing(self):$/;" m class:TextBox +stop_worker_watchdog adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^def stop_worker_watchdog():$/;" f +storage adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def storage(self):$/;" m class:HBFile +Storage adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^class Storage(object):$/;" c +Storage adpepsenv/lib/python3.8/site-packages/torch/types.py /^class Storage(object):$/;" c +storage adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def storage(self):$/;" m class:_HasStorage +StorageWeakRef adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^class StorageWeakRef(object):$/;" c +storage_classes adpepsenv/lib/python3.8/site-packages/torch/_storage_docs.py /^storage_classes = [$/;" v +storage_from_cache adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^def storage_from_cache(cls, key):$/;" f +STORAGE_KEY_SEPARATOR adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^STORAGE_KEY_SEPARATOR = ','$/;" v +storage_name adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ storage_name = 'Cuda{0}StorageBase'.format(t)$/;" v +storage_to_tensor_type adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def storage_to_tensor_type(storage):$/;" f +store adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def store(self, val):$/;" m class:Store +Store adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^class Store(object):$/;" c +store adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def store(self, html):$/;" m class:HtmlStash +StoreArrayPrepareWrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class StoreArrayPrepareWrap(np.ndarray):$/;" c member:TestSpecialMethods.test_wrap_and_prepare_out file: +StoreException adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^class StoreException(Exception): pass$/;" c +StoreOpsTests adpepsenv/lib/python3.8/site-packages/caffe2/distributed/store_ops_test_util.py /^class StoreOpsTests(object):$/;" c +STORE_EVERYTHING_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^STORE_EVERYTHING_SIZE_GUIDANCE = {$/;" v +STORE_EVERYTHING_SIZE_GUIDANCE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^STORE_EVERYTHING_SIZE_GUIDANCE = {$/;" v +store_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ store_f = lambda t, name, _: _store_many_sparse(t, shared_name=name)$/;" f function:_store_sparse_tensors._maybe_store file: +store_residual adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def store_residual(r, rvec):$/;" f member:TestGMRES.test_callback file: +store_seed adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def store_seed(self, seed, sequence_seed=True):$/;" m class:LayerModelHelper +store_tag adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def store_tag(self, tag, attrs, left_index, right_index):$/;" m class:HtmlStash +StormOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class StormOptimizer(Optimizer):$/;" c +stpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpcon(char *norm, char *uplo, char *diag, int *n, s *ap, s *rcond, s *work, int *iwor/;" f +stpmqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpmqrt(char *side, char *trans, int *m, int *n, int *k, int *l, int *nb, s *v, int *l/;" f +stpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void stpmv(char *uplo, char *trans, char *diag, int *n, s *ap, s *x, int *incx) nogil$/;" f +stpqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpqrt(int *m, int *n, int *l, int *nb, s *a, int *lda, s *b, int *ldb, s *t, int *ldt/;" f +stpqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpqrt2(int *m, int *n, int *l, s *a, int *lda, s *b, int *ldb, s *t, int *ldt, int *i/;" f +stprfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stprfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +stprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stprfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, s *ap, s *b, int *ldb, /;" f +stpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void stpsv(char *uplo, char *trans, char *diag, int *n, s *ap, s *x, int *incx) nogil$/;" f +stptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stptri(char *uplo, char *diag, int *n, s *ap, int *info) nogil$/;" f +stptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stptrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, s *ap, s *b, int *ldb, /;" f +stpttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpttf(char *transr, char *uplo, int *n, s *ap, s *arf, int *info) nogil$/;" f +stpttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stpttr(char *uplo, int *n, s *ap, s *a, int *lda, int *info) nogil$/;" f +str adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ str = '<c16'$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +str adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def str(self):$/;" m class:TokenGenerator +str adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ str = str$/;" v +str adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^ str = unicode$/;" v +str adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ str = str$/;" v +str adpepsenv/lib/python3.8/site-packages/requests/compat.py /^ str = unicode$/;" v +Str adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Str = functools.partial(gast.Constant, kind=None) # pylint:disable=invalid-name$/;" v +Str adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^ Str = gast.Str$/;" v +str2bool adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def str2bool(s):$/;" f +str2bool adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def str2bool(value):$/;" f +str2bool adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^def str2bool(v):$/;" f +str2octs adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ str2octs = lambda x: x$/;" f +str2octs adpepsenv/lib/python3.8/site-packages/pyasn1/compat/octets.py /^ str2octs = lambda x: x.encode('iso-8859-1')$/;" f +strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^strategies = [$/;" v +strategies_for_embedding_models adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def strategies_for_embedding_models():$/;" f +strategies_for_stateful_embedding_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^def strategies_for_stateful_embedding_model():$/;" f +strategies_minus_default_and_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^strategies_minus_default_and_tpu = [$/;" v +strategies_minus_default_minus_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^strategies_minus_default_minus_tpu = [$/;" v +strategies_minus_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^strategies_minus_tpu = [$/;" v +strategies_minus_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^strategies_minus_tpu = [$/;" v +strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def strategy(self):$/;" m class:NamedDistribution +strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def strategy(self):$/;" m class:ClusterCoordinator +strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def strategy(self):$/;" m class:ReplicaContextBase +Strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class Strategy(StrategyBase):$/;" c +Strategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from tensorflow.python.distribute.distribute_lib import StrategyV1 as Strategy$/;" x +StrategyBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class StrategyBase(object):$/;" c +StrategyChain adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^class StrategyChain(object):$/;" c +StrategyExtended adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from tensorflow.python.distribute.distribute_lib import StrategyExtendedV1 as StrategyExtended$/;" x +StrategyExtended adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from tensorflow.python.distribute.distribute_lib import StrategyExtendedV2 as StrategyExtended$/;" x +StrategyExtended adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from tensorflow.python.distribute.distribute_lib import StrategyExtendedV2 as StrategyExtended$/;" x +StrategyExtendedV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class StrategyExtendedV1(StrategyExtendedV2):$/;" c +StrategyExtendedV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class StrategyExtendedV2(object):$/;" c +StrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class StrategyV1(StrategyBase):$/;" c +strategy_and_optimizer_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def strategy_and_optimizer_combinations():$/;" f +strategy_combinations_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/optimizer_combinations.py /^from tensorflow.python.distribute import strategy_combinations as strategy_combinations_base$/;" x +strategy_minus_tpu_and_input_config_combinations_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def strategy_minus_tpu_and_input_config_combinations_eager():$/;" f +strategy_minus_tpu_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def strategy_minus_tpu_combinations():$/;" f +strategy_minus_tpu_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def strategy_minus_tpu_combinations():$/;" f +strategy_supports_loss_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^def strategy_supports_loss_scaling():$/;" f +StrCategoryConverter adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^class StrCategoryConverter(units.ConversionInterface):$/;" c +StrCategoryFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^class StrCategoryFormatter(ticker.Formatter):$/;" c +StrCategoryLocator adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^class StrCategoryLocator(ticker.Locator):$/;" c +strchar adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^strchar = 'U'$/;" v +strcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strcon(char *norm, char *uplo, char *diag, int *n, s *a, int *lda, s *rcond, s *work, /;" f +StrConverter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^class StrConverter(units.ConversionInterface):$/;" c +Stream adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class Stream:$/;" c +stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def stream(self, amt=2 ** 16, decode_content=None):$/;" m class:HTTPResponse +Stream adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^class Stream(torch._C._CudaStreamBase):$/;" c +stream adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def stream(stream):$/;" f +stream adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def stream(self, amt=2 ** 16, decode_content=None):$/;" m class:HTTPResponse +stream adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def stream(self):$/;" m class:BaseRequest +stream adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def stream(self):$/;" m class:ResponseStreamMixin +StreamBlobData adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^ def StreamBlobData(self, request, context):$/;" m class:TensorBoardExporterServiceServicer +StreamBlobDataRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamBlobDataRequest = _reflection.GeneratedProtocolMessageType('StreamBlobDataRequest', (_mess/;" v +StreamBlobDataResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamBlobDataResponse = _reflection.GeneratedProtocolMessageType('StreamBlobDataResponse', (_me/;" v +StreamConsumedError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class StreamConsumedError(RequestException, TypeError):$/;" c +StreamConsumedError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class StreamConsumedError(RequestException, TypeError):$/;" c +StreamEndEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class StreamEndEvent(Event):$/;" c +StreamEndToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class StreamEndToken(Token):$/;" c +StreamError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class StreamError(TarError):$/;" c +StreamExperimentData adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^ def StreamExperimentData(self, request, context):$/;" m class:TensorBoardExporterServiceServicer +StreamExperimentDataRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamExperimentDataRequest = _reflection.GeneratedProtocolMessageType('StreamExperimentDataRequ/;" v +StreamExperimentDataResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamExperimentDataResponse = _reflection.GeneratedProtocolMessageType('StreamExperimentDataRes/;" v +StreamExperiments adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^ def StreamExperiments(self, request, context):$/;" m class:TensorBoardExporterServiceServicer +StreamExperimentsRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamExperimentsRequest = _reflection.GeneratedProtocolMessageType('StreamExperimentsRequest', /;" v +StreamExperimentsResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^StreamExperimentsResponse = _reflection.GeneratedProtocolMessageType('StreamExperimentsResponse'/;" v +StreamingFilesDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^def StreamingFilesDataset(files,$/;" f +streaming_op adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def streaming_op($/;" f +streaming_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def streaming_restore(status, session=None):$/;" f +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:And +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:Each +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:Forward +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:MatchFirst +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:Or +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:ParseElementEnhance +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:ParseExpression +streamline adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def streamline(self):$/;" m class:ParserElement +streamline adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:Forward +streamline adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParseElementEnhance +streamline adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParseExpression +streamline adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParserElement +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:And +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:Each +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:Forward +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:MatchFirst +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:Or +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:ParseElementEnhance +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:ParseExpression +streamline adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def streamline(self):$/;" m class:ParserElement +streamline adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:Forward +streamline adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParseElementEnhance +streamline adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParseExpression +streamline adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def streamline( self ):$/;" m class:ParserElement +StreamMask adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class StreamMask:$/;" c +StreamOnlyMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/request.py /^class StreamOnlyMixin(object):$/;" c +streamplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ streamplot = _preprocess_data($/;" v class:Axes +streamplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def streamplot($/;" f +streamplot adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def streamplot(axes, x, y, u, v, density=1, linewidth=None, color=None,$/;" f +StreamplotSet adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class StreamplotSet:$/;" c +StreamReader adpepsenv/lib/python3.8/site-packages/idna/codec.py /^class StreamReader(Codec, codecs.StreamReader):$/;" c +StreamReader adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^class StreamReader(Codec, codecs.StreamReader):$/;" c +StreamReader adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^class StreamReader(Codec, codecs.StreamReader):$/;" c +StreamReader adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^class StreamReader(Codec, codecs.StreamReader):$/;" c +streams adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^import scipy.io.matlab.streams as streams$/;" I +StreamStartEvent adpepsenv/lib/python3.8/site-packages/yaml/events.py /^class StreamStartEvent(Event):$/;" c +StreamStartToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class StreamStartToken(Token):$/;" c +StreamStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class StreamStreamCall(Generic[RequestType, ResponseType],$/;" c +StreamStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class StreamStreamCall(_StreamRequestMixin, _StreamResponseMixin, Call,$/;" c +StreamStreamCallResponseIterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class StreamStreamCallResponseIterator(_StreamCallResponseIterator,$/;" c +StreamStreamClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class StreamStreamClientInterceptor(ClientInterceptor, metaclass=ABCMeta):$/;" c +StreamStreamClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class StreamStreamClientInterceptor(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^class StreamStreamMultiCallable(abc.ABC):$/;" c +StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class StreamStreamMultiCallable(_BaseMultiCallable,$/;" c +StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class StreamStreamMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class StreamStreamMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class StreamUnaryCall(Generic[RequestType, ResponseType],$/;" c +StreamUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class StreamUnaryCall(_StreamRequestMixin, _UnaryResponseMixin, Call,$/;" c +StreamUnaryClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class StreamUnaryClientInterceptor(ClientInterceptor, metaclass=ABCMeta):$/;" c +StreamUnaryClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class StreamUnaryClientInterceptor(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^class StreamUnaryMultiCallable(abc.ABC):$/;" c +StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class StreamUnaryMultiCallable(_BaseMultiCallable,$/;" c +StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class StreamUnaryMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class StreamUnaryMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +StreamWrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^class StreamWrapper(StringIO):$/;" c +StreamWrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^class StreamWrapper(object):$/;" c +StreamWriter adpepsenv/lib/python3.8/site-packages/idna/codec.py /^class StreamWriter(Codec, codecs.StreamWriter):$/;" c +StreamWriter adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^class StreamWriter(Codec, codecs.StreamWriter):$/;" c +StreamWriter adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/x_user_defined.py /^class StreamWriter(Codec, codecs.StreamWriter):$/;" c +StreamWriter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/x_user_defined.py /^class StreamWriter(Codec, codecs.StreamWriter):$/;" c +stream_decode_response_unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def stream_decode_response_unicode(iterator, r):$/;" f +stream_decode_response_unicode adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def stream_decode_response_unicode(iterator, r):$/;" f +stream_encode_multipart adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^def stream_encode_multipart($/;" f +stream_stderr adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def stream_stderr(self, processes, print_only_first=False):$/;" m class:MultiWorkerMultiProcessTest +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def stream_stream($/;" m class:Channel +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def stream_stream($/;" m class:Channel +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def stream_stream(self, group, method):$/;" m class:_GenericStub +STREAM_STREAM adpepsenv/lib/python3.8/site-packages/grpc/framework/common/cardinality.py /^ STREAM_STREAM = 'request-streaming\/response-streaming'$/;" v class:Cardinality +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def stream_stream(self, group, method):$/;" m class:GenericStub +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def stream_stream(self,$/;" m class:Channel +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def stream_stream(self,$/;" m class:_Channel +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^def stream_stream($/;" f +stream_stream adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def stream_stream(self,$/;" m class:Channel +stream_stream_event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def stream_stream_event(behavior):$/;" f +stream_stream_inline adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def stream_stream_inline(behavior):$/;" f +stream_stream_rpc_method_handler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def stream_stream_rpc_method_handler(behavior,$/;" f +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def stream_unary($/;" m class:Channel +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def stream_unary($/;" m class:Channel +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def stream_unary(self, group, method):$/;" m class:_GenericStub +STREAM_UNARY adpepsenv/lib/python3.8/site-packages/grpc/framework/common/cardinality.py /^ STREAM_UNARY = 'request-streaming\/response-unary'$/;" v class:Cardinality +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def stream_unary(self, group, method):$/;" m class:GenericStub +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def stream_unary(self,$/;" m class:Channel +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def stream_unary(self,$/;" m class:_Channel +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^def stream_unary($/;" f +stream_unary adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def stream_unary(self,$/;" m class:Channel +stream_unary_event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def stream_unary_event(behavior):$/;" f +stream_unary_inline adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def stream_unary_inline(behavior):$/;" f +stream_unary_rpc_method_handler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def stream_unary_rpc_method_handler(behavior,$/;" f +StreetAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class StreetAddress(PDSParameter):$/;" c +StreetAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class StreetAddress(PDSParameter):$/;" c +StreetAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class StreetAddress(PDSParameter):$/;" c +street_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^street_address = univ.Integer(17)$/;" v +street_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^street_address = univ.Integer(17)$/;" v +street_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^street_address = univ.Integer(17)$/;" v +stretch_dict adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^stretch_dict = {$/;" v +strevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strevc(char *side, char *howmny, bint *select, int *n, s *t, int *ldt, s *vl, int *ldv/;" f +strexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strexc(char *compq, int *n, s *t, int *ldt, s *q, int *ldq, int *ifst, int *ilst, s *w/;" f +strictConstraints adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ strictConstraints = False$/;" v class:ConstructedAsn1Type +strictf77 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ strictf77 = 0$/;" v +strictf77 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ strictf77 = 1$/;" v +strictf77 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^strictf77 = 1 # Ignore `!' comments unless line[0]=='!'$/;" v +StrictVersion adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^class StrictVersion (Version):$/;" c +strict_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def strict_bounds(lb, ub, keep_feasible, n_vars):$/;" f +strict_mode adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ strict_mode = False$/;" v class:ConfigMetadataHandler +StridedSlice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^StridedSlice = tf_export("raw_ops.StridedSlice")(_ops.to_raw_op(strided_slice))$/;" v +StridedSliceAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^StridedSliceAssign = tf_export("raw_ops.StridedSliceAssign")(_ops.to_raw_op(strided_slice_assign/;" v +StridedSliceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^StridedSliceGrad = tf_export("raw_ops.StridedSliceGrad")(_ops.to_raw_op(strided_slice_grad))$/;" v +StridedSliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ StridedSliceOptions = 32$/;" v class:BuiltinOptions +StridedSliceOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class StridedSliceOptions(object):$/;" c +StridedSliceOptionsAddBeginMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsAddBeginMask(builder, beginMask): builder.PrependInt32Slot(0, beginMask, /;" f +StridedSliceOptionsAddEllipsisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsAddEllipsisMask(builder, ellipsisMask): builder.PrependInt32Slot(2, ellip/;" f +StridedSliceOptionsAddEndMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsAddEndMask(builder, endMask): builder.PrependInt32Slot(1, endMask, 0)$/;" f +StridedSliceOptionsAddNewAxisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsAddNewAxisMask(builder, newAxisMask): builder.PrependInt32Slot(3, newAxis/;" f +StridedSliceOptionsAddShrinkAxisMask adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsAddShrinkAxisMask(builder, shrinkAxisMask): builder.PrependInt32Slot(4, s/;" f +StridedSliceOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StridedSliceOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:StridedSliceOptions +StridedSliceOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsEnd(builder): return builder.EndObject()$/;" f +StridedSliceOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def StridedSliceOptionsStart(builder): builder.StartObject(5)$/;" f +StridedSliceOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class StridedSliceOptionsT(object):$/;" c +STRIDED_SLICE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ STRIDED_SLICE = 45$/;" v class:BuiltinOperator +strided_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def strided_slice(input_,$/;" f +strided_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice(input, begin, end, strides, begin_mask=0, end_mask=0, ellipsis_mask=0, new_axi/;" f +strided_slice_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice_assign(ref, begin, end, strides, value, begin_mask=0, end_mask=0, ellipsis_mas/;" f +strided_slice_assign_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice_assign_eager_fallback(ref, begin, end, strides, value, begin_mask, end_mask, e/;" f +strided_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice_eager_fallback(input, begin, end, strides, begin_mask, end_mask, ellipsis_mask/;" f +strided_slice_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice_grad(shape, begin, end, strides, dy, begin_mask=0, end_mask=0, ellipsis_mask=0/;" f +strided_slice_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def strided_slice_grad_eager_fallback(shape, begin, end, strides, dy, begin_mask, end_mask, elli/;" f +StrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideH(self):$/;" m class:Conv2DOptions +StrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideH(self):$/;" m class:DepthwiseConv2DOptions +StrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideH(self):$/;" m class:Pool2DOptions +StrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideH(self):$/;" m class:TransposeConvOptions +strides adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def strides(self):$/;" m class:_ctypes +strides adpepsenv/lib/python3.8/site-packages/numpy/__init__.cython-30.pxd /^ cdef inline npy_intp *strides(self) nogil:$/;" m class:numpy +strides adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def strides(self):$/;" m class:ConvLSTM2D +StridesIn adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesIn(self, j):$/;" m class:PocketFftDescriptor +StridesInAsNumpy adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesInAsNumpy(self):$/;" m class:PocketFftDescriptor +StridesInIsNone adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesInIsNone(self):$/;" m class:PocketFftDescriptor +StridesInLength adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesInLength(self):$/;" m class:PocketFftDescriptor +StridesOut adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesOut(self, j):$/;" m class:PocketFftDescriptor +StridesOutAsNumpy adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesOutAsNumpy(self):$/;" m class:PocketFftDescriptor +StridesOutIsNone adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesOutIsNone(self):$/;" m class:PocketFftDescriptor +StridesOutLength adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def StridesOutLength(self):$/;" m class:PocketFftDescriptor +strides_as adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def strides_as(self, obj):$/;" m class:_ctypes +StrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideW(self):$/;" m class:Conv2DOptions +StrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideW(self):$/;" m class:DepthwiseConv2DOptions +StrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideW(self):$/;" m class:Pool2DOptions +StrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def StrideW(self):$/;" m class:TransposeConvOptions +stride_repeat adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def stride_repeat(x, n, axis=0):$/;" f +stride_windows adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def stride_windows(x, n, noverlap=None, axis=0):$/;" f +String adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def String(self, off):$/;" m class:Table +string adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^string = DType(types_pb2.DT_STRING)$/;" v +STRING adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^STRING = dtypes.string$/;" v +STRING adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ STRING = 5$/;" v class:TensorType +STRING adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^STRING = 5$/;" v +string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^string = DType(types_pb2.DT_STRING)$/;" v +StringAttribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^class StringAttribute(Attribute):$/;" c +StringBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ from __pypy__.builders import BytesBuilder as StringBuilder$/;" x +StringByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def StringByteSize(field_number, string):$/;" f +StringConverter adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^class StringConverter:$/;" c +StringConverterTestCase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class StringConverterTestCase:$/;" c +StringDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def StringDecoder(field_number, is_repeated, is_packed, key, new_default,$/;" f +StringEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def StringEncoder(field_number, is_repeated, is_packed):$/;" f +StringEnd adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class StringEnd(_PositionToken):$/;" c +stringEnd adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^stringEnd = StringEnd().setName("stringEnd")$/;" v +StringEnd adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class StringEnd(_PositionToken):$/;" c +stringEnd adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^stringEnd = StringEnd().setName("stringEnd")$/;" v +StringEnd adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class StringEnd(_PositionToken):$/;" c +stringEnd adpepsenv/lib/python3.8/site-packages/pyparsing.py /^stringEnd = StringEnd().setName("stringEnd")$/;" v +StringEnd adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class StringEnd(_PositionToken):$/;" c +stringEnd adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^stringEnd = StringEnd().setName("stringEnd")$/;" v +StringFormat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringFormat = tf_export("raw_ops.StringFormat")(_ops.to_raw_op(string_format))$/;" v +StringGauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class StringGauge(Metric):$/;" c +StringGaugeCell adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^class StringGaugeCell(object):$/;" c +StringifyBlobName adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StringifyBlobName(name):$/;" f +StringifyNetName adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StringifyNetName(name):$/;" f +StringifyProto adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def StringifyProto(obj):$/;" f +stringify_and_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def stringify_and_join(path_elements):$/;" f function:flatten_with_joined_string_paths file: +StringIO adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ from io import BytesIO as StringIO$/;" x +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ class StringIO(object):$/;" c +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ from io import BytesIO as StringIO$/;" x +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/torch/_six.py /^StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ StringIO = BytesIO = StringIO.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ StringIO = io.StringIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/wheel/util.py /^ StringIO = io.BytesIO$/;" v +StringIO adpepsenv/lib/python3.8/site-packages/wheel/util.py /^ StringIO = io.StringIO$/;" v +StringJoin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringJoin = tf_export("raw_ops.StringJoin")(_ops.to_raw_op(string_join))$/;" v +StringLength adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringLength = tf_export("raw_ops.StringLength")(_ops.to_raw_op(string_length))$/;" v +StringListAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^StringListAttr = tf_export("raw_ops.StringListAttr")(_ops.to_raw_op(string_list_attr))$/;" v +StringLookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup.py /^class StringLookup(index_lookup.IndexLookup):$/;" c +StringLookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup_v1.py /^class StringLookup(string_lookup.StringLookup, index_lookup_v1.IndexLookup):$/;" c +StringLookupV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.string_lookup_v1 import StringLookup as Stri/;" x +StringLookupV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ StringLookupV1 = StringLookup$/;" v +StringLookupV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.string_lookup import StringLookup as StringL/;" x +StringLookupV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ StringLookupV2 = StringLookup$/;" v +StringLower adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringLower = tf_export("raw_ops.StringLower")(_ops.to_raw_op(string_lower))$/;" v +StringMap adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^StringMap = _reflection.GeneratedProtocolMessageType('StringMap', (_message.Message,), {$/;" v +StringNGrams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringNGrams = tf_export("raw_ops.StringNGrams")(_ops.to_raw_op(string_n_grams))$/;" v +StringSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def StringSizer(field_number, is_repeated, is_packed):$/;" f +StringSplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringSplit = tf_export("raw_ops.StringSplit")(_ops.to_raw_op(string_split))$/;" v +StringSplitV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringSplitV2 = tf_export("raw_ops.StringSplitV2")(_ops.to_raw_op(string_split_v2))$/;" v +StringStart adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class StringStart(_PositionToken):$/;" c +stringStart adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^stringStart = StringStart().setName("stringStart")$/;" v +StringStart adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class StringStart(_PositionToken):$/;" c +stringStart adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^stringStart = StringStart().setName("stringStart")$/;" v +StringStart adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class StringStart(_PositionToken):$/;" c +stringStart adpepsenv/lib/python3.8/site-packages/pyparsing.py /^stringStart = StringStart().setName("stringStart")$/;" v +StringStart adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class StringStart(_PositionToken):$/;" c +stringStart adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^stringStart = StringStart().setName("stringStart")$/;" v +StringStrip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringStrip = tf_export("raw_ops.StringStrip")(_ops.to_raw_op(string_strip))$/;" v +strings_split_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def strings_split_v1(input=None, sep=None, maxsplit=-1, # pylint: disable=redefined-builtin$/;" f +StringTable adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^class StringTable(defaultdict):$/;" c +StringToHashBucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringToHashBucket = tf_export("raw_ops.StringToHashBucket")(_ops.to_raw_op(string_to_hash_bucke/;" v +StringToHashBucketFast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringToHashBucketFast = tf_export("raw_ops.StringToHashBucketFast")(_ops.to_raw_op(string_to_ha/;" v +StringToHashBucketStrong adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringToHashBucketStrong = tf_export("raw_ops.StringToHashBucketStrong")(_ops.to_raw_op(string_t/;" v +StringToNumber adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^StringToNumber = tf_export("raw_ops.StringToNumber")(_ops.to_raw_op(string_to_number))$/;" v +StringUpper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^StringUpper = tf_export("raw_ops.StringUpper")(_ops.to_raw_op(string_upper))$/;" v +StringValue adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^StringValue = _reflection.GeneratedProtocolMessageType('StringValue', (_message.Message,), {$/;" v +string_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^string_ = np_export.np_export_constant(__name__, 'string_', np.string_)$/;" v +string_bytes_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def string_bytes_split(input, name=None): # pylint: disable=redefined-builtin$/;" f +STRING_CHUNK adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^STRING_CHUNK = re.compile(r'([\\s\\w\\.{}()*+#:;,\/?!~`@$%^&=|<>\\[\\]-]+)')$/;" v +string_classes adpepsenv/lib/python3.8/site-packages/torch/_six.py /^string_classes = (str, bytes)$/;" v +string_ends_with_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def string_ends_with_ref(strings):$/;" f member:TestStringOps.test_string_ends_with file: +string_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_format(inputs, template="%s", placeholder="%s", summarize=3, name=None):$/;" f +string_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def string_format(template, inputs, placeholder="{}", summarize=3, name=None):$/;" f +string_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_format(template, inputs, placeholder="{}", summarize=3, name=None):$/;" f +string_format_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_format_eager_fallback(inputs, template, placeholder, summarize, name, ctx):$/;" f +string_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def string_handle(self, name=None):$/;" m class:Iterator +string_input_producer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def string_input_producer(string_tensor,$/;" f +string_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_join(inputs, separator="", name=None):$/;" f +string_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_join(inputs, separator="", name=None):$/;" f +string_join_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_join_eager_fallback(inputs, separator, name, ctx):$/;" f +string_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_length(input, unit="BYTE", name=None):$/;" f +string_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_length(input, name=None, unit="BYTE"):$/;" f +string_length_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_length_eager_fallback(input, unit, name, ctx):$/;" f +string_length_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_length_v2(input, unit="BYTE", name=None):$/;" f +string_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def string_list_attr(a, b, name=None):$/;" f +string_list_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def string_list_attr_eager_fallback(a, b, name, ctx):$/;" f +string_lower adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_lower(input, encoding="", name=None):$/;" f +string_lower_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_lower_eager_fallback(input, encoding, name, ctx):$/;" f +string_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def string_merge(self, node_def):$/;" m class:_UserDeviceSpec +string_n_grams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_n_grams(data, data_splits, separator, ngram_widths, left_pad, right_pad, pad_width, p/;" f +string_n_grams_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_n_grams_eager_fallback(data, data_splits, separator, ngram_widths, left_pad, right_pa/;" f +string_prefix_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def string_prefix_ref(strings):$/;" f member:TestStringOps.test_string_prefix file: +string_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^string_ref = DType(types_pb2.DT_STRING_REF)$/;" v +string_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^string_ref = DType(types_pb2.DT_STRING_REF)$/;" v +string_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def string_repr(self, obj, limit=70):$/;" m class:DebugReprGenerator +string_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_split(input, delimiter, skip_empty=True, name=None):$/;" f +string_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def string_split(source, sep=None, skip_empty=True, delimiter=None,$/;" f +string_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_split(source, sep=None, skip_empty=True, delimiter=None): # pylint: disable=invalid-/;" f +string_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_split_eager_fallback(input, delimiter, skip_empty, name, ctx):$/;" f +string_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_split_v2(input, sep, maxsplit=-1, name=None):$/;" f +string_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def string_split_v2(input, sep=None, maxsplit=-1, name=None): # pylint: disable=redefined-built/;" f +string_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_split_v2(source, sep=None, maxsplit=-1):$/;" f +string_split_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_split_v2_eager_fallback(input, sep, maxsplit, name, ctx):$/;" f +string_starts_with_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def string_starts_with_ref(strings):$/;" f member:TestStringOps.test_string_starts_with file: +string_strip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_strip(input, name=None):$/;" f +string_strip_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_strip_eager_fallback(input, name, ctx):$/;" f +string_suffix_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def string_suffix_ref(strings):$/;" f member:TestStringOps.test_string_suffix file: +string_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def string_test(actual, expected):$/;" f +string_to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket(string_tensor, num_buckets, name=None):$/;" f +string_to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_to_hash_bucket(input, num_buckets, name=None):$/;" f +string_to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket_v1 as string_to_hash_bucket$/;" x +string_to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket_v1 as string_to_hash_bucket$/;" x +string_to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket_v1 as string_to_hash_bucket$/;" x +string_to_hash_bucket_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket_eager_fallback(string_tensor, num_buckets, name, ctx):$/;" f +string_to_hash_bucket_fast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket_fast(input, num_buckets, name=None):$/;" f +string_to_hash_bucket_fast_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket_fast_eager_fallback(input, num_buckets, name, ctx):$/;" f +string_to_hash_bucket_strong adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket_strong(input, num_buckets, key, name=None):$/;" f +string_to_hash_bucket_strong_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_to_hash_bucket_strong_eager_fallback(input, num_buckets, key, name, ctx):$/;" f +string_to_hash_bucket_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_to_hash_bucket_v1($/;" f +string_to_longdouble_inaccurate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^string_to_longdouble_inaccurate = (_o != np.longdouble(repr(_o)))$/;" v +string_to_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def string_to_number(string_tensor, out_type=_dtypes.float32, name=None):$/;" f +string_to_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_to_number(input, out_type=dtypes.float32, name=None):$/;" f +string_to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number_v1 as string_to_number$/;" x +string_to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number_v1 as string_to_number$/;" x +string_to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number_v1 as string_to_number$/;" x +string_to_number_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^def string_to_number_eager_fallback(string_tensor, out_type, name, ctx):$/;" f +string_to_number_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def string_to_number_v1($/;" f +string_to_scopes adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def string_to_scopes(scopes):$/;" f +string_to_standard adpepsenv/lib/python3.8/site-packages/absl/logging/converter.py /^def string_to_standard(level):$/;" f +string_types adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ string_types = (bytes, str)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ string_types = (str, unicode)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ string_types = basestring,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/six.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ string_types = (str, unicode)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ string_types = (str,)$/;" v +string_types adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ string_types = basestring,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ string_types = basestring,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ string_types = str,$/;" v +string_types adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ string_types = basestring,$/;" v +string_upper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_upper(input, encoding="", name=None):$/;" f +string_upper_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def string_upper_eager_fallback(input, encoding, name, ctx):$/;" f +string_width_height adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def string_width_height(self, s):$/;" m class:AFM +strip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def strip(self, chars=None):$/;" m class:chararray +strip adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def strip(a, chars=None):$/;" f +strip adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_strip as strip$/;" x +strip adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_strip as strip$/;" x +strip adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_strip as strip$/;" x +strip adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def strip(stats: Tuple[FunctionCount, ...]) -> Tuple[FunctionCount, ...]:$/;" f member:CallgrindStats.as_standardized file: +strip adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^ def strip(x):$/;" f function:iter_sys_path file: +stripast adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^stripast = re.compile(r"\\n\\s*\\*?")$/;" v +stripBlobName adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def stripBlobName(param):$/;" f +STRIPBYTECOUNTS adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^STRIPBYTECOUNTS = 279$/;" v +stripcomma adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def stripcomma(s):$/;" f +stripHTMLTags adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def stripHTMLTags(s, l, tokens):$/;" m class:pyparsing_common +stripHTMLTags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def stripHTMLTags(s, l, tokens):$/;" m class:pyparsing_common +stripHTMLTags adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def stripHTMLTags(s, l, tokens):$/;" m class:pyparsing_common +stripHTMLTags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def stripHTMLTags(s, l, tokens):$/;" m class:pyparsing_common +STRIPOFFSETS adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^STRIPOFFSETS = 273$/;" v +stripped_op_list_for_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def stripped_op_list_for_graph(graph_def):$/;" f +strip_graph_default_valued_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def strip_graph_default_valued_attrs(meta_graph_def):$/;" f +strip_marker adpepsenv/lib/python3.8/site-packages/setuptools/installer.py /^def strip_marker(req):$/;" f +strip_math adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def strip_math(s):$/;" f +strip_module adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def strip_module(filename):$/;" f +strip_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def strip_name_scope(name, export_scope):$/;" f +strip_non_url_bits adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def strip_non_url_bits(self, fragment):$/;" m class:LinkifyFilter +strip_per adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ strip_per = lambda x: float((b'%' in x.lower() and x.split()[0]) or$/;" f member:TestFromTxt.test_invalid_converter file: +strip_rand adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ strip_rand = lambda x: float((b'r' in x.lower() and x.split()[-1]) or$/;" f member:TestFromTxt.test_invalid_converter file: +strip_unused adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/strip_unused_lib.py /^def strip_unused(input_graph_def, input_node_names, output_node_names,$/;" f +strip_unused_from_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/strip_unused_lib.py /^def strip_unused_from_files(input_graph, input_binary, output_graph,$/;" f +strip_weak_type adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def strip_weak_type(self) -> 'AbstractValue':$/;" m class:AbstractValue +strip_weak_type adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def strip_weak_type(self) -> 'ConcreteArray':$/;" m class:ConcreteArray +strip_weak_type adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def strip_weak_type(self) -> 'UnshapedArray':$/;" m class:UnshapedArray +strip_weak_type adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def strip_weak_type(self):$/;" m class:ShapedArray +strip_whitespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ strip_whitespace = False$/;" v class:HTMLSerializer +strip_whitespace adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ strip_whitespace = False$/;" v class:HTMLSerializer +StrMethodFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class StrMethodFormatter(Formatter):$/;" c +strmm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void strmm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, s *alpha, s *a/;" f +strmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void strmv(char *uplo, char *trans, char *diag, int *n, s *a, int *lda, s *x, int *incx) no/;" f +stroke adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def stroke(self):$/;" m class:GraphicsContextPdf +Stroke adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^class Stroke(AbstractPathEffect):$/;" c +strongconnect adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def strongconnect(node):$/;" f member:Sequencer.strong_connections file: +StrongHashSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class StrongHashSpec(HasherSpec):$/;" c +strong_connections adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def strong_connections(self):$/;" m class:Sequencer +STRONG_EM2_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^STRONG_EM2_RE = r'(_)\\1{2}(.+?)\\1{2}(.*?)\\1'$/;" v +STRONG_EM3_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^STRONG_EM3_RE = r'(\\*)\\1(?!\\1)([^*]+?)\\1(?!\\1)(.+?)\\1{3}'$/;" v +STRONG_EM_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^STRONG_EM_RE = r'(_)\\1(?!\\1)([^_]+?)\\1(?!\\1)(.+?)\\1{3}'$/;" v +STRONG_EM_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^STRONG_EM_RE = r'(\\*)\\1{2}(.+?)\\1{2}(.*?)\\1'$/;" v +STRONG_HASHES adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^STRONG_HASHES = ['sha256', 'sha384', 'sha512']$/;" v +STRONG_RE adpepsenv/lib/python3.8/site-packages/markdown/extensions/legacy_em.py /^STRONG_RE = r'(_{2})(.+?)\\1'$/;" v +STRONG_RE adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^STRONG_RE = r'(\\*{2})(.+?)\\1'$/;" v +strptime adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def strptime(s, fmt=None):$/;" f +strptime adpepsenv/lib/python3.8/site-packages/pyasn1/compat/dateandtime.py /^ def strptime(text, dateFormat):$/;" f +strrepr adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def strrepr(x): return repr(x) if isinstance(x, str) else str(x)$/;" f function:_make_str_method file: +strrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strrfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, s *a, int *lda, s *b, i/;" f +strsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strsen(char *job, char *compq, bint *select, int *n, s *t, int *ldt, s *q, int *ldq, s/;" f +strseq adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^def strseq(object, convert, join=joinseq):$/;" f +strsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void strsm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, s *alpha, s *a/;" f +strsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strsna(char *job, char *howmny, bint *select, int *n, s *t, int *ldt, s *vl, int *ldvl/;" f +strsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void strsv(char *uplo, char *trans, char *diag, int *n, s *a, int *lda, s *x, int *incx) no/;" f +strsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strsyl(char *trana, char *tranb, int *isgn, int *m, int *n, s *a, int *lda, s *b, int /;" f +strti2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strti2(char *uplo, char *diag, int *n, s *a, int *lda, int *info) nogil$/;" f +strtobool adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def strtobool (val):$/;" f +strtri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strtri(char *uplo, char *diag, int *n, s *a, int *lda, int *info) nogil$/;" f +strtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strtrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, s *a, int *lda, s *b, i/;" f +strttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strttf(char *transr, char *uplo, int *n, s *a, int *lda, s *arf, int *info) nogil$/;" f +strttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void strttp(char *uplo, int *n, s *a, int *lda, s *ap, int *info) nogil$/;" f +struct adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^struct = [[0, 2, ["node4", "node3"], "node5"],$/;" v +Struct adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class Struct(Field):$/;" c +Struct adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class Struct(object):$/;" c +Struct adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^Struct = _reflection.GeneratedProtocolMessageType('Struct', (_message.Message,), {$/;" v +Struct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class Struct(ctypes.Structure):$/;" c member:TestFromCTypes.test_union_packed file: +Struct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class Struct(ctypes.Structure):$/;" c member:TestFromCTypes.test_union_with_struct_packed file: +Struct adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ class Struct(Structure):$/;" c member:TestAsArray.test_struct_array_pointer file: +StructIsNotInlineError adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^class StructIsNotInlineError(RuntimeError):$/;" c +StructTest1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTest1(StructTestFunction):$/;" c +StructTest2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTest2(StructTestFunction):$/;" c +StructTest3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTest3(StructTestFunction):$/;" c +StructTest4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTest4(StructTestFunction):$/;" c +StructTest5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTest5(StructTestFunction):$/;" c +StructTestFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTestFunction(object):$/;" c +StructTestInfeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTestInfeasible(StructTestFunction):$/;" c +StructTestLJ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTestLJ(StructTestFunction):$/;" c +StructTestTable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class StructTestTable(StructTestFunction):$/;" c +structure adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def structure(n):$/;" f function:test_num_jac_sparse file: +structure adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def structure(self):$/;" m class:HBFile +structure adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def structure(self, n):$/;" m class:TestApproxDerivativeSparse +Structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.framework.type_spec import TypeSpec as Structure$/;" x +Structure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.framework.type_spec import TypeSpec as Structure$/;" x +StructureCoder adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class StructureCoder(object):$/;" c +structured adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def structured(*dts):$/;" f member:TestRecFunctions.test_structured_to_unstructured file: +structuredDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def structuredDataset(self, dataset_structure, shape=None,$/;" m class:DatasetTestBase +structuredElement adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def structuredElement(self, element_structure, shape=None,$/;" m class:DatasetTestBase +StructuredFunctionWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class StructuredFunctionWrapper(object):$/;" c +StructuredTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^class StructuredTensor(composite_tensor.CompositeTensor):$/;" c +StructuredTensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^class StructuredTensorSpec(type_spec.BatchableTypeSpec):$/;" c +StructuredValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^StructuredValue = _reflection.GeneratedProtocolMessageType('StructuredValue', (_message.Message,/;" v +StructuredValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^StructuredValue = _reflection.GeneratedProtocolMessageType('StructuredValue', (_message.Message,/;" v +StructuredVoidFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class StructuredVoidFormat:$/;" c +structured_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def structured_input_signature(self):$/;" m class:ConcreteFunction +structured_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def structured_outputs(self):$/;" m class:ConcreteFunction +structured_to_unstructured adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def structured_to_unstructured(arr, dtype=None, copy=False, casting='unsafe'):$/;" f +struct_bool_decl adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ struct_bool_decl = "<b"$/;" v +struct_bool_decl adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ struct_bool_decl = "?"$/;" v +struct_bool_decl adpepsenv/lib/python3.8/site-packages/flatbuffers/compat.py /^ struct_bool_decl = "?"$/;" v +STRUCT_DICT adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^STRUCT_DICT = {}$/;" v +struct_ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ import numpy.core._struct_ufunc_tests as struct_ufunc$/;" I member:TestUfunc.test_struct_ufunc file: +struve adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double struve(double x0, double x1) nogil$/;" f +str_compare adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^def str_compare(a, b, encoding="utf8"):$/;" f +str_format adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def str_format(x):$/;" f +str_len adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def str_len(a):$/;" f +str_or_unicode adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def str_or_unicode(value):$/;" f +str_short adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def str_short(self) -> str:$/;" m class:ConcreteArray +str_short adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def str_short(self) -> str:$/;" m class:UnshapedArray +str_short adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def str_short(self): return '*'$/;" m class:AbstractUnit +str_short adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def str_short(self): return 'Tok'$/;" m class:AbstractToken +str_short adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def str_short(self):$/;" m class:ShapedArray +str_to_display adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^def str_to_display(data, desc=None):$/;" f +str_to_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^str_to_float = np.vectorize(convert)$/;" v +stSpam adpepsenv/lib/python3.8/site-packages/pyasn1_modules/pem.py /^stSpam, stHam, stDump = 0, 1, 2$/;" v +stub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def stub(*args, **kwargs):$/;" f member:RaggedTensor._overloaded_operator file: +StubImageFile adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^class StubImageFile(ImageFile):$/;" c +StubOptions adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^class StubOptions(object):$/;" c +StubOutForTesting adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^class StubOutForTesting(object):$/;" c +StubOutForTesting adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^StubOutForTesting = _googletest.StubOutForTesting # pylint: disable=invalid-name$/;" v +StubResourceHandleOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^StubResourceHandleOp = tf_export("raw_ops.StubResourceHandleOp")(_ops.to_raw_op(stub_resource_ha/;" v +StubRpcAgent adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class StubRpcAgent:$/;" c +STUBS adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^STUBS = """\\$/;" v +stub_options adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^def stub_options(host=None,$/;" f +stub_resource_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def stub_resource_handle_op(container="", shared_name="", name=None):$/;" f +stub_resource_handle_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def stub_resource_handle_op_eager_fallback(container, shared_name, name, ctx):$/;" f +StudentT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^class StudentT(distribution.Distribution):$/;" c +StudentT adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^class StudentT(Distribution):$/;" c +StudentTWithAbsDfSoftplusScale adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^class StudentTWithAbsDfSoftplusScale(StudentT):$/;" c +STX adpepsenv/lib/python3.8/site-packages/markdown/util.py /^STX = '\\u0002' # Use STX ("Start of text") for start-of-placeholder$/;" v +Style adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^Style = AnsiStyle()$/;" v +style adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def style(self, style=None, on_stderr=False):$/;" m class:WinTerm +STYLES_CSS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^STYLES_CSS_ROUTE = '\/styles.css'$/;" v +STYLE_BLACKLIST adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^STYLE_BLACKLIST = {$/;" v +STYLE_EXTENSION adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^STYLE_EXTENSION = 'mplstyle'$/;" v +STYLE_FILE_PATTERN adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^STYLE_FILE_PATTERN = re.compile(r'([\\S]+).%s$' % STYLE_EXTENSION)$/;" v +STYLE_INCLUDE adpepsenv/lib/python3.8/site-packages/matplotlib/_animation_data.py /^STYLE_INCLUDE = """$/;" v +style_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ style_src = csp_property("style-src")$/;" v class:ContentSecurityPolicy +style_src_attr adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ style_src_attr = csp_property("style-src-attr")$/;" v class:ContentSecurityPolicy +style_src_elem adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ style_src_elem = csp_property("style-src-elem")$/;" v class:ContentSecurityPolicy +stzrzf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void stzrzf(int *m, int *n, s *a, int *lda, s *tau, s *work, int *lwork, int *info) nogil$/;" f +ST_INVALID adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^ST_INVALID = 0$/;" v +ST_INVALID adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^ST_INVALID = 0$/;" v +st_ncon adpeps/tensor/contractions.py /^from .ncon import ncon as st_ncon$/;" x +st_sub_arr adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^st_sub_arr = array([np.sqrt(2),np.exp(1),np.pi]).reshape(1,3)$/;" v +ST_TENSOR_LIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^ST_TENSOR_LIST = 1$/;" v +ST_TENSOR_LIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^ST_TENSOR_LIST = 1$/;" v +SU adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +SU adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +sub adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def sub(cls, harness):$/;" m class:Jax2TfLimitation +sub adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sub = np.subtract$/;" v +sub adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^sub = partial(tree_multimap, lambda x, y: np.subtract(x, y, dtype=_dtype(x)))$/;" v +sub adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def sub(x: Array, y: Array) -> Array:$/;" f +sub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ class sub(np.ndarray): pass$/;" c member:TestArrayRepr.test_subclass file: +sub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ class sub(np.ndarray):$/;" c member:TestArrayRepr.test_0d_object_subclass file: +sub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ class sub(np.ndarray):$/;" c member:TestArrayRepr.test_object_subclass file: +Sub adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Sub(np.ndarray):$/;" c member:TestMethods.test_dot_matmul_out file: +Sub adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ class Sub(type(np.ma.masked)): pass$/;" c member:TestMaskedConstant.test_subclass file: +sub adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def sub(self, repl):$/;" m class:Regex +sub adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def sub(self, repl):$/;" m class:Regex +sub adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def sub(self, s):$/;" m class:MacroExpander +sub adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def sub(self, s):$/;" m class:MacroExpander +sub adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^sub = _broadcasting_binary_op(math_ops.sub)$/;" v +SUB adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SUB = 41$/;" v class:BuiltinOperator +sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sub(x, y, name=None):$/;" f +Sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sub = tf_export("raw_ops.Sub")(_ops.to_raw_op(sub))$/;" v +sub adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def sub(g, self, other, alpha=None):$/;" f +sub1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sub1 = 0.2$/;" v class:ComputerModernFontConstants +sub1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sub1 = 0.3$/;" v class:FontConstantsBase +sub2 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sub2 = 0.3$/;" v class:ComputerModernFontConstants +sub2 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sub2 = 0.5$/;" v class:FontConstantsBase +sub2 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sub2 = 0.6$/;" v class:STIXFontConstants +subarray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def subarray(dt, shape):$/;" f member:TestRecFunctions.test_structured_to_unstructured file: +SubArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class SubArray(np.ndarray):$/;" c +subarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^subarray = SubArray$/;" v +SubArrayFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class SubArrayFormat:$/;" c +SubArrayLike adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ class SubArrayLike(ArrayLike):$/;" c member:TestNDArrayOperatorsMixin.test_subclass file: +SUBAUTHORITY_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^SUBAUTHORITY_PAT = (u"^(?:(.*)@)?(%s|%s|%s)(?::([0-9]{0,5}))?$") % ($/;" v +SUBAUTHORITY_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^SUBAUTHORITY_PAT = (u"^(?:(.*)@)?(%s|%s|%s)(?::([0-9]{0,5}))?$") % ($/;" v +SUBAUTHORITY_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^SUBAUTHORITY_RE = re.compile(SUBAUTHORITY_PAT, re.UNICODE | re.DOTALL)$/;" v +SUBAUTHORITY_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^SUBAUTHORITY_RE = re.compile(SUBAUTHORITY_PAT, re.UNICODE | re.DOTALL)$/;" v +Subclass adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ class Subclass(schema.List):$/;" c member:TestDB.testListSubclassClone file: +Subclass adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ class Subclass(schema.ListWithEvicted):$/;" c member:TestDB.testListWithEvictedSubclassClone file: +Subclass adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ class Subclass(schema.Struct):$/;" c member:TestDB.testStructSubclassClone file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class SubClass(np.ndarray):$/;" c member:TestIndexing.test_nonbaseclass_values file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class SubClass(np.ndarray):$/;" c member:TestSubclasses.test_basic file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class SubClass(np.ndarray):$/;" c member:TestSubclasses.test_fancy_on_read_only file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class SubClass(np.ndarray):$/;" c member:TestSubclasses.test_finalize_gets_full_info file: +subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class subclass(np.ndarray):$/;" c member:TestFlags.test_writeable_any_base file: +Subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class Subclass(np.ndarray):$/;" c member:TestRegression.test_subclass_int_tuple_assignment file: +Subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class Subclass(np.ndarray):$/;" c member:TestRegression.test_ufunc_no_unnecessary_views file: +subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class subclass(np.ndarray):$/;" c member:TestAverage.test_subclasses file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class SubClass(np.ndarray):$/;" c member:TestDelete.test_subclass file: +SubClass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ class SubClass(np.ndarray):$/;" c member:TestInsert.test_subclass file: +SubclassedModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^class SubclassedModel(keras.Model):$/;" c +SUBCOMMAND_FLAG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_FLAG = "_uploader__subcommand"$/;" v +SUBCOMMAND_KEY_AUTH adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_AUTH = "AUTH"$/;" v +SUBCOMMAND_KEY_DELETE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_DELETE = "DELETE"$/;" v +SUBCOMMAND_KEY_EXPORT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_EXPORT = "EXPORT"$/;" v +SUBCOMMAND_KEY_LIST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_LIST = "LIST"$/;" v +SUBCOMMAND_KEY_UPDATE_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_UPDATE_METADATA = "UPDATEMETADATA"$/;" v +SUBCOMMAND_KEY_UPLOAD adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/flags_parser.py /^SUBCOMMAND_KEY_UPLOAD = "UPLOAD"$/;" v +subdate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ class subdate(datetime):$/;" c function:test_subclass file: +subdirectory_fragment adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def subdirectory_fragment(self):$/;" m class:Link +Subdomain adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class Subdomain(RuleFactory):$/;" c +subdrop adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ subdrop = 0.2$/;" v class:ComputerModernFontConstants +subdrop adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ subdrop = 0.4$/;" v class:FontConstantsBase +SubFunctionThatThrowsCustomError adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^def SubFunctionThatThrowsCustomError():$/;" f +Subgraph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Subgraph(self):$/;" m class:CallOptions +SubGraph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SubGraph(object):$/;" c +SubGraphAddInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphAddInputs(builder, inputs): builder.PrependUOffsetTRelativeSlot(1, flatbuffers.numbe/;" f +SubGraphAddName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphAddName(builder, name): builder.PrependUOffsetTRelativeSlot(4, flatbuffers.number_ty/;" f +SubGraphAddOperators adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphAddOperators(builder, operators): builder.PrependUOffsetTRelativeSlot(3, flatbuffers/;" f +SubGraphAddOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphAddOutputs(builder, outputs): builder.PrependUOffsetTRelativeSlot(2, flatbuffers.num/;" f +SubGraphAddTensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphAddTensors(builder, tensors): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.num/;" f +SubGraphBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SubGraphBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SubGraph +SubGraphEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphEnd(builder): return builder.EndObject()$/;" f +Subgraphs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Subgraphs(self, j):$/;" m class:Model +SubgraphsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SubgraphsIsNone(self):$/;" m class:Model +SubgraphsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SubgraphsLength(self):$/;" m class:Model +SubGraphStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphStart(builder): builder.StartObject(5)$/;" f +SubGraphStartInputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphStartInputsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +SubGraphStartOperatorsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphStartOperatorsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +SubGraphStartOutputsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphStartOutputsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +SubGraphStartTensorsVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubGraphStartTensorsVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +SubGraphT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SubGraphT(object):$/;" c +subgridspec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def subgridspec(self, nrows, ncols, **kwargs):$/;" m class:SubplotSpec +SUBIFD adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^SUBIFD = 330$/;" v +subjaxprs adpepsenv/lib/python3.8/site-packages/jax/core.py /^def subjaxprs(jaxpr: Jaxpr) -> Iterator[Jaxpr]:$/;" f +SubjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class SubjectAltName(GeneralNames):$/;" c +SubjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SubjectAltName(GeneralNames):$/;" c +SubjectAltName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SubjectAltName(GeneralNames):$/;" c +SubjectAltNameWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class SubjectAltNameWarning(SecurityWarning):$/;" c +SubjectAltNameWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class SubjectAltNameWarning(SecurityWarning):$/;" c +SubjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class SubjectDirectoryAttributes(univ.SequenceOf):$/;" c +SubjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SubjectDirectoryAttributes(univ.SequenceOf):$/;" c +SubjectDirectoryAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SubjectDirectoryAttributes(univ.SequenceOf):$/;" c +SubjectInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SubjectInfoAccessSyntax(univ.SequenceOf):$/;" c +SubjectInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SubjectInfoAccessSyntax(univ.SequenceOf):$/;" c +SubjectInfoAccessSyntax adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^SubjectInfoAccessSyntax = rfc5280.SubjectInfoAccessSyntax$/;" v +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class SubjectKeyIdentifier(KeyIdentifier):$/;" c +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^SubjectKeyIdentifier = rfc5652.SubjectKeyIdentifier$/;" v +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SubjectKeyIdentifier(KeyIdentifier):$/;" c +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class SubjectKeyIdentifier(univ.OctetString):$/;" c +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^SubjectKeyIdentifier = rfc5652.SubjectKeyIdentifier$/;" v +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SubjectKeyIdentifier(KeyIdentifier):$/;" c +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class SubjectKeyIdentifier(univ.OctetString):$/;" c +SubjectKeyIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^SubjectKeyIdentifier = rfc5652.SubjectKeyIdentifier$/;" v +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class SubjectPublicKeyInfo(univ.Sequence):$/;" c +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2986.py /^SubjectPublicKeyInfo = rfc5280.SubjectPublicKeyInfo$/;" v +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class SubjectPublicKeyInfo(univ.Sequence):$/;" c +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class SubjectPublicKeyInfo(univ.Sequence):$/;" c +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^SubjectPublicKeyInfo = rfc5280.SubjectPublicKeyInfo$/;" v +SubjectPublicKeyInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^SubjectPublicKeyInfo = rfc5280.SubjectPublicKeyInfo$/;" v +Sublevel adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Sublevel(int): pass$/;" c +sublift adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def sublift(self, tracer):$/;" m class:Trace +sublift adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def sublift(self, val):$/;" m class:CallbackTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def sublift(self, val: DoublingTracer):$/;" m class:DoublingTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def sublift(self, val: TensorFlowTracer) -> TensorFlowTracer:$/;" m class:TensorFlowTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def sublift(self, val):$/;" m class:JetTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def sublift(self, val):$/;" m class:JVPTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def sublift(self, val):$/;" m class:BatchTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def sublift(self, val):$/;" m class:MaskTrace +sublift adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def sublift(self, val) -> 'JaxprTracer':$/;" m class:JaxprTrace +SubLocalType adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ SubLocalType = Union[InfiniteTypes, int, str]$/;" v +SubMaskedArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class SubMaskedArray(MaskedArray):$/;" c +submit adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def submit(self, fn, *args, **kwargs):$/;" m class:_LoggingPool +submit adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def submit(self, fn, *args, **kwargs):$/;" m class:MPIPoolExecutor +submit adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def submit(self, fn, *args, **kwargs):$/;" m class:Executor +submodel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def submodel(embedding, word_ids):$/;" f member:DistributionStrategySiameseEmbeddingModelCorrectnessTest.get_model file: +SubModelForFusion adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class SubModelForFusion(nn.Module):$/;" c +SubModelWithoutFusion adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class SubModelWithoutFusion(nn.Module):$/;" c +submodules adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def submodules(self):$/;" m class:Module +Submount adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class Submount(RuleFactory):$/;" c +subnets adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def subnets(self, prefixlen_diff=1, new_prefix=None):$/;" m class:_BaseNetwork +subnet_of adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def subnet_of(self, other):$/;" m class:_BaseNetwork +SubOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SubOptions = 28$/;" v class:BuiltinOptions +SubOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SubOptions(object):$/;" c +SubOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8S/;" f +SubOptionsAddPotScaleInt16 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubOptionsAddPotScaleInt16(builder, potScaleInt16): builder.PrependBoolSlot(1, potScaleInt16/;" f +SubOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SubOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SubOptions +SubOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubOptionsEnd(builder): return builder.EndObject()$/;" f +SubOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SubOptionsStart(builder): builder.StartObject(2)$/;" f +SubOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SubOptionsT(object):$/;" c +SUBPACKAGE_NAMESPACES adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^SUBPACKAGE_NAMESPACES = [ESTIMATOR_API_NAME]$/;" v +Subplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^Subplot = subplot_class_factory(Axes) # Provided for backward compatibility.$/;" v +subplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplot(*args, **kwargs):$/;" f +Subplot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^Subplot = maxes.subplot_class_factory(Axes)$/;" v +subplot2grid adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplot2grid(shape, loc, rowspan=1, colspan=1, fig=None, **kwargs):$/;" f +SubplotBase adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^class SubplotBase:$/;" c +SubplotDivider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class SubplotDivider(Divider):$/;" c +SubplotHost adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid/parasite_axes.py /^SubplotHost = subplot_class_factory(HostAxes)$/;" v +SubplotHost adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^SubplotHost = subplot_class_factory(HostAxes)$/;" v +SubplotHost adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/parasite_axes.py /^SubplotHost = subplot_class_factory(HostAxes)$/;" v +SubplotHost adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/__init__.py /^SubplotHost = subplot_class_factory(HostAxes)$/;" v +SubplotParams adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^class SubplotParams:$/;" c +subplots adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def subplots(self, nrows=1, ncols=1, sharex=False, sharey=False,$/;" m class:Figure +subplots adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def subplots(self, *, sharex=False, sharey=False, squeeze=True,$/;" m class:GridSpecBase +subplots adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplots(nrows=1, ncols=1, sharex=False, sharey=False, squeeze=True,$/;" f +SubplotSpec adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^class SubplotSpec:$/;" c +subplots_adjust adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def subplots_adjust(self, left=None, bottom=None, right=None, top=None,$/;" m class:Figure +subplots_adjust adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplots_adjust($/;" f +SubplotTool adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class SubplotTool(Widget):$/;" c +SubplotToolQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class SubplotToolQt(UiSubplotTool):$/;" c +SubplotZero adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^SubplotZero = maxes.subplot_class_factory(AxesZero)$/;" v +subplot_class_factory adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^def subplot_class_factory(axes_class=None):$/;" f +subplot_mosaic adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def subplot_mosaic(self, layout, *, subplot_kw=None, gridspec_kw=None,$/;" m class:Figure +subplot_mosaic adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplot_mosaic(layout, *, subplot_kw=None, gridspec_kw=None,$/;" f +subplot_tool adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def subplot_tool(targetfig=None):$/;" f +subproblem_factory adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trlib/__init__.py /^ def subproblem_factory(x, fun, jac, hess, hessp):$/;" f function:get_trlib_quadratic_subproblem file: +SubProcessError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class SubProcessError(PipError):$/;" c +SubprocessMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class SubprocessMixin(object):$/;" c +SubprocessTimeoutError adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class SubprocessTimeoutError(RuntimeError):$/;" c +subprocess_creation_flags adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ subprocess_creation_flags = CREATE_NO_WINDOW = 0x08000000$/;" v +subprocess_logger adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^subprocess_logger = getLogger('pip.subprocessor')$/;" v +subprocess_main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def subprocess_main(args):$/;" f +subprocess_runner adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def subprocess_runner(self, runner):$/;" m class:Pep517HookCaller +subproc_env adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^def subproc_env(d):$/;" f +subroutinepattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^subroutinepattern = re.compile(beforethisafter % ($/;" v +subs adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def subs(self, subs):$/;" m class:LogLocator +subscribe adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def subscribe(self, callback, try_to_connect=None):$/;" m class:Channel +subscribe adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def subscribe(self, callback, try_to_connect=None):$/;" m class:Channel +subscribe adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def subscribe(self, callback, try_to_connect=False):$/;" m class:_Channel +subscribe adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def subscribe(self, callback, try_to_connect=False):$/;" m class:Channel +subscribe adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def subscribe(self, callback, existing=True):$/;" m class:WorkingSet +subscribe adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def subscribe(self, callback, existing=True):$/;" m class:WorkingSet +subscribe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def subscribe(tensors, side_effects):$/;" f +subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def subscript(x, i):$/;" f member:TestScalarIndexing.test_invalid_newaxis file: +subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def subscript(x, i):$/;" f member:TestZeroRank.test_invalid_newaxis file: +Subscription adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^class Subscription(six.with_metaclass(abc.ABCMeta)):$/;" c +SubsequentHeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class SubsequentHeaderError(HeaderError):$/;" c +SubsequentMessage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class SubsequentMessage(univ.Integer):$/;" c +SubsequentMessage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class SubsequentMessage(univ.Integer):$/;" c +subset adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def subset(self, x):$/;" m class:DisjointSet +Subset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class Subset(Dataset[T_co]):$/;" c +SubsetRandomSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class SubsetRandomSampler(Sampler[int]):$/;" c +subsets adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def subsets(self):$/;" m class:DisjointSet +subspace_angles adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^def subspace_angles(A, B):$/;" f +Substeps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Substeps(self):$/;" m class:ExecutionStep +substep_edge adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^ def substep_edge(start, end):$/;" f function:_draw_steps file: +SubstituteTagInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SubstituteTagInlineProcessor(SimpleTagInlineProcessor):$/;" c +SubstituteTagPattern adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class SubstituteTagPattern(SimpleTagPattern): # pragma: no cover$/;" c +SubstituteTextPattern adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^class SubstituteTextPattern(HtmlInlineProcessor):$/;" c +Substitution adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^class Substitution:$/;" c +substitutions adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^substitutions = {$/;" v +substr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def substr(input, pos, len, unit="BYTE", name=None):$/;" f +Substr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^Substr = tf_export("raw_ops.Substr")(_ops.to_raw_op(substr))$/;" v +substr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def substr(input, pos, len, name=None, unit="BYTE"):$/;" f +substr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import substr_deprecated as substr$/;" x +substr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import substr_deprecated as substr$/;" x +substr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.string_ops import substr_deprecated as substr$/;" x +substr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import substr_v2 as substr$/;" x +substr adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import substr_v2 as substr$/;" x +substract_gs_energy adpeps/ipeps/ipeps.py /^ def substract_gs_energy(self):$/;" m class:iPEPS_exci +substrateCollector adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def substrateCollector(asn1Object, substrate, length):$/;" m class:AbstractSimpleDecoder +substrateFun adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ substrateFun = lambda a, b, c: (a, b[:c])$/;" f member:Decoder.__call__ file: +SubstrateUnderrunError adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class SubstrateUnderrunError(PyAsn1Error):$/;" c +SubstringFilter adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class SubstringFilter(univ.Sequence):$/;" c +substr_deprecated adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def substr_deprecated(input, pos, len, name=None, unit="BYTE"):$/;" f +substr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def substr_eager_fallback(input, pos, len, unit, name, ctx):$/;" f +substr_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def substr_v2(input, pos, len, unit="BYTE", name=None):$/;" f +subst_axis_names adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def subst_axis_names(jaxpr, axis_subst: Dict[AxisName, Tuple[AxisName]]):$/;" f +subst_eqn_axis_names adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def subst_eqn_axis_names(eqn, axis_subst: Dict[AxisName, Tuple[AxisName]]):$/;" f +subst_vars adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^def subst_vars(target, source, d):$/;" f +subst_vars adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def subst_vars (s, local_vars):$/;" f +subsuper adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def subsuper(self, s, loc, toks):$/;" m class:Parser +SubSuperCluster adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class SubSuperCluster(Hlist):$/;" c +SUBS_TREE adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ SUBS_TREE = False$/;" v +SUBS_TREE adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ SUBS_TREE = True$/;" v +subTest adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def subTest(self, msg=_subtest_msg_sentinel, **params):$/;" m class:TestCase +subtract adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^subtract = _one_to_one_binop(np.subtract, lax.sub)$/;" v +subtract adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^subtract = _MaskedBinaryOperation(umath.subtract)$/;" v +subtract adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def subtract(image1, image2, scale=1.0, offset=0):$/;" f +Subtract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class Subtract(_Merge):$/;" c +subtract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^def subtract(inputs, **kwargs):$/;" f +subtract adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def subtract(x, y, name=None):$/;" f +subtract adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def subtract(x1, x2):$/;" f +subtract adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def subtract(a, b):$/;" f +subtract_modulo adpepsenv/lib/python3.8/site-packages/PIL/ImageChops.py /^def subtract_modulo(image1, image2):$/;" f +subtype adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def subtype(self, **kwargs):$/;" m class:ConstructedAsn1Type +subtype adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def subtype(self, value=noValue, **kwargs):$/;" m class:SimpleAsn1Type +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Asn1Type +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection($/;" v class:Choice +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Any +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:BitString +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Enumerated +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Integer +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:ObjectIdentifier +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:OctetString +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Real +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Sequence +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:SequenceOf +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:Set +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = constraint.ConstraintsIntersection()$/;" v class:SetOf +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = Integer.subtypeSpec + constraint.SingleValueConstraint(0, 1)$/;" v class:Boolean +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ subtypeSpec = OctetString.subtypeSpec + constraint.SingleValueConstraint(octets.str2octs('')/;" v class:Null +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Counter +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Gauge +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:TimeTicks +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueSizeConstraint($/;" v class:IpAddress +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Counter32 +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Counter64 +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Gauge32 +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Integer +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Integer32 +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:TimeTicks +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:Unsigned32 +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueSizeConstraint($/;" v class:OctetString +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ subtypeSpec = univ.OctetString.subtypeSpec + constraint.ValueSizeConstraint($/;" v class:IpAddress +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint($/;" v class:MessageID +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.IA5String.subtypeSpec + constraint.ValueSizeConstraint(1, ub_emailaddress/;" v class:Pkcs9email +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.NumericString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_numeric_/;" v class:NumericUserIdentifier +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.NumericString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_x121_add/;" v class:X121Address +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_common/;" v class:CommonName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_organi/;" v class:OrganizationalUnitName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_organi/;" v class:OrganizationName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_pds_na/;" v class:PDSName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_termin/;" v class:TerminalIdentifier +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.PrintableString.subtypeSpec + constraint.ValueSizeConstraint(2, 2)$/;" v class:X520countryName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.TeletexString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_common_n/;" v class:TeletexCommonName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.TeletexString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_organiza/;" v class:TeletexOrganizationalUnitName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = char.TeletexString.subtypeSpec + constraint.ValueSizeConstraint(1, ub_organiza/;" v class:TeletexOrganizationName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueRangeConstraint(0, MAX)$/;" v class:BaseDistance +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueSizeConstraint(0, MAX)$/;" v class:CRLNumber +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueSizeConstraint(0, MAX)$/;" v class:SkipCerts +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = univ.Integer.subtypeSpec + constraint.ValueSizeConstraint(0, ub_integer_option/;" v class:TerminalType +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ subtypeSpec = univ.ObjectIdentifier.subtypeSpec + constraint.SingleValueConstraint(id_qt_cps/;" v class:PolicyQualifierId +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^ subtypeSpec=constraint.ValueSizeConstraint(1, MAX)$/;" v class:SSIDList +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^ subtypeSpec = constraint.ValueSizeConstraint(1, MAX)$/;" v class:SRVName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^ subtypeSpec=constraint.ValueSizeConstraint(1, MAX)$/;" v class:AuthorityClearanceConstraints +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ subtypeSpec = constraint.SingleValueConstraint(1024, 2048, 3072, 7680, 15360)$/;" v class:DSAKeySize +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^ subtypeSpec=constraint.ValueSizeConstraint(1, MAX)$/;" v class:EC_SMimeCaps +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ subtypeSpec = ($/;" v class:HeaderFieldName +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^ subtypeSpec = constraint.ValueSizeConstraint(1, MAX)$/;" v class:HeaderFields +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^ subtypeSpec = constraint.ValueSizeConstraint(1, ub_naiRealm_length)$/;" v class:NAIRealm +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^ subtypeSpec=constraint.ValueSizeConstraint(1, MAX)$/;" v class:AuthenticationContexts +subtypeSpec adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^ subtypeSpec = constraint.ValueSizeConstraint(0, MAX)$/;" v class:EncodingParameters +subvals adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def subvals(lst, replace):$/;" f +Subversion adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^class Subversion(VersionControl):$/;" c +sub_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class sub_array(np.ndarray):$/;" c class:TestKeepdims +sub_commands adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ sub_commands = [('config_cc', lambda *args: True),$/;" v class:build +sub_commands adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install.py /^ sub_commands = old_install.sub_commands + [$/;" v class:install +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ sub_commands = [('build_sphinx', has_sphinx)]$/;" v class:upload_docs +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ sub_commands = []$/;" v class:Command +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ sub_commands = [('build_py', has_pure_modules),$/;" v class:build +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ sub_commands = [('install_lib', has_lib),$/;" v class:install +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ sub_commands = [('check', lambda self: True)]$/;" v class:register +sub_commands adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ sub_commands = [('check', checking_metadata)]$/;" v class:sdist +sub_delims adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^sub_delims = r"""(?: ! | \\$ | & | ' | \\( | \\) |$/;" v +SUB_DELIM_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^SUB_DELIM_CHARS = set("!$&'()*+,;=")$/;" v +SUB_DELIM_CHARS adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^SUB_DELIM_CHARS = set("!$&'()*+,;=")$/;" v +sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def sub_eager_fallback(x, y, name, ctx):$/;" f +sub_generate_cell adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def sub_generate_cell(self, C_i, gen):$/;" m class:Complex +sub_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^sub_p = standard_naryop([_num, _num], 'sub')$/;" v +SUCCESS adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ SUCCESS = 'success'$/;" v class:TempFileCleanup +success adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ success = lambda res: (res, None)$/;" f function:_try_tf_conv file: +SUCCESS adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/status_codes.py /^SUCCESS = 0$/;" v +success adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ success = None # success==1 if integrator was called successfully$/;" v class:IntegratorBase +SUCCESS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ SUCCESS, HEURISTIC_USED, FAILURE = (0, 1, 2)$/;" v class:TraceableObject +SUCCESS adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ SUCCESS: int = 0$/;" v class:cudaStatus +successful adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def successful(self):$/;" m class:ode +SUCCESS_COUNT adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^SUCCESS_COUNT = 0$/;" v +sufficient_statistics adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sufficient_statistics(x, axes, shift=None, keep_dims=None, name=None,$/;" f +sufficient_statistics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sufficient_statistics_v2 as sufficient_statistics$/;" x +sufficient_statistics adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import sufficient_statistics_v2 as sufficient_statistics$/;" x +sufficient_statistics_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def sufficient_statistics_v2(x, axes, shift=None, keepdims=False, name=None):$/;" f +suffix adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^ suffix = "__avx2_fma"$/;" v +suffix adpepsenv/lib/python3.8/site-packages/jax/core.py /^ suffix = ''$/;" v class:DropVar +suffix adpepsenv/lib/python3.8/site-packages/jax/core.py /^ suffix = ''$/;" v class:UnitVar +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ suffix = ".f90"$/;" v class:TestF90ReturnCharacter +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ suffix = ".f90"$/;" v class:TestF90ReturnComplex +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ suffix = ".f90"$/;" v class:TestF90ReturnInteger +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ suffix = ".f90"$/;" v class:TestF90ReturnLogical +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ suffix = ".f90"$/;" v class:TestF90ReturnReal +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ suffix = ".pyf"$/;" v class:TestCReturnReal +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ suffix = ".pyf"$/;" v class:TestCallstatement +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ suffix = ".pyf"$/;" v class:TestMultiline +suffix adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ suffix = '.f'$/;" v class:F2PyTest +suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def suffix(self, node, max_lines=None, semicolon=False, comment=False,$/;" m class:BaseVisitor +suffix adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ suffix = "%(downloaded)s %(download_speed)s %(pretty_eta)s"$/;" v class:BaseDownloadProgressBar +suffix adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ suffix = "%(downloaded)s %(download_speed)s"$/;" v class:DownloadProgressSpinner +suffix adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ suffix = "%(percent)d%%"$/;" v class:BlueEmojiBar +suffix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ suffix = '%(index)d\/%(max)d'$/;" v class:Bar +suffix adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ suffix = '%(percent)d%%'$/;" v class:ChargingBar +suggest adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def suggest(self, s):$/;" m class:VersionScheme +suggested adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def suggested(self):$/;" m class:BuildError +suggested_f90_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ suggested_f90_compiler = 'gnu95'$/;" v class:GnuFCompiler +suggested_f90_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ suggested_f90_compiler = None$/;" v class:FCompiler +suitable_for adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def suitable_for(self, values, method=None):$/;" m class:Rule +suite adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^def suite():$/;" f +suite adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^def suite():$/;" f +sum adpeps/utils/tlist.py /^ def sum(self):$/;" m class:TList +Sum adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Sum(self, *args, **kwargs):$/;" m class:CNNModelHelper +sum adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/algebra.py /^def sum(model, blob_in, blob_out, **kwargs):$/;" f +sum adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^sum = np.sum$/;" v +sum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def sum(x, axes):$/;" f function:_einsum file: +sum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def sum(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +sum adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def sum(a, axis=None, dtype=None, out=None, keepdims=np._NoValue,$/;" f +sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def sum(self, axis=None, dtype=None, out=None):$/;" m class:TestKeepdims.sub_array +sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def sum(self, axis, out):$/;" m class:TestNumPyFunctions.test_sum_forwarding_implementation.MyArray +sum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def sum(self, axis=None, dtype=None, out=None, keepdims=np._NoValue):$/;" m class:MaskedArray +sum adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^sum = _frommethod('sum')$/;" v +sum adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def sum(self, axis=None, dtype=None, out=None):$/;" m class:matrix +sum adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def sum(input, labels=None, index=None):$/;" f +sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def sum(self, axis=None, dtype=None, out=None):$/;" m class:spmatrix +sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def sum(self, axis=None, dtype=None, out=None):$/;" m class:_cs_matrix +sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def sum(self, axis=None, dtype=None, out=None):$/;" m class:dia_matrix +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SUM = 0$/;" v class:CombinerType +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SUM = 74$/;" v class:BuiltinOperator +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/reduce_util.py /^ SUM = "SUM"$/;" v class:ReduceOp +sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def sum(x, axis=None, keepdims=False):$/;" f +Sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class Sum(Reduce):$/;" c +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ SUM = 'sum'$/;" v class:Reduction +Sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Sum = tf_export("raw_ops.Sum")(_ops.to_raw_op(_sum))$/;" v +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ SUM = "weighted_sum"$/;" v class:Reduction +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^ SUM = 'sum'$/;" v class:ReductionV2 +sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def sum(a, axis=None, dtype=None, keepdims=None): # pylint: disable=redefined-builtin$/;" f +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ SUM = 1$/;" v class:VariableAggregation +SUM adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ SUM = 1$/;" v class:VariableAggregationV2 +SUM adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^SUM = 0 # ncclRedOp_t$/;" v +sum adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^sum = _reduce_with_dtype('ReduceSum', 'sum')$/;" v +sum adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^def sum(input: Tensor, dim: DimOrDims = None,$/;" f +SumElements adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^class SumElements(Benchmark):$/;" c +SUMMARIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ SUMMARIES = "summaries"$/;" v class:GraphKeys +summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def summaries(self):$/;" m class:_SummaryHook +summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def summaries(self):$/;" m class:_SummaryHook +summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def summaries(self):$/;" m class:FakeSummaryWriter +summarize adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def summarize(source_info: Optional[Traceback], num_frames=1) -> str:$/;" f +summarize adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def summarize(self):$/;" m class:UploadStats +summarize adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def summarize(self, highlight=None):$/;" m class:CLIConfig +summarize_address_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def summarize_address_range(first, last):$/;" f +Summary adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^Summary = _reflection.GeneratedProtocolMessageType('Summary', (_message.Message,), {$/;" v +summary adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^def summary():$/;" f +Summary adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^Summary = _reflection.GeneratedProtocolMessageType('Summary', (_message.Message,), {$/;" v +summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def summary(self, line_length=None, positions=None, print_fn=None):$/;" m class:Model +summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^from tensorflow.python.ops import summary_ops_v2 as summary$/;" x +SummaryDescription adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^SummaryDescription = _reflection.GeneratedProtocolMessageType('SummaryDescription', (_message.Me/;" v +SummaryDescription adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^SummaryDescription = _reflection.GeneratedProtocolMessageType('SummaryDescription', (_message.Me/;" v +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def SummaryMetadata(self, tag):$/;" m class:EventAccumulator +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def SummaryMetadata(self, run, tag):$/;" m class:EventMultiplexer +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def SummaryMetadata(self, tag):$/;" m class:EventAccumulator +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def SummaryMetadata(self, run, tag):$/;" m class:EventMultiplexer +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^SummaryMetadata = _reflection.GeneratedProtocolMessageType('SummaryMetadata', (_message.Message,/;" v +SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^SummaryMetadata = _reflection.GeneratedProtocolMessageType('SummaryMetadata', (_message.Message,/;" v +SummarySaverHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class SummarySaverHook(session_run_hook.SessionRunHook):$/;" c +SummaryToEventTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^class SummaryToEventTransformer(object):$/;" c +SummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^SummaryWriter = tf_export("raw_ops.SummaryWriter")(_ops.to_raw_op(summary_writer))$/;" v +SummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^class SummaryWriter(object):$/;" c +SummaryWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/summary_io.py /^class SummaryWriter(_FileWriter):$/;" c +SummaryWriter adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^class SummaryWriter(object):$/;" c +SummaryWriterCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/summary_io.py /^from tensorflow.python.summary.writer.writer_cache import FileWriterCache as SummaryWriterCache$/;" x +summary_computed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def summary_computed(self, sess, summary, global_step=None):$/;" m class:Supervisor +SUMMARY_HTML adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^SUMMARY_HTML = u"""\\$/;" v +summary_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^def summary_iterator(path):$/;" f +SUMMARY_MATCHER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ SUMMARY_MATCHER = re.compile('.{1,2047}')$/;" v class:Metadata +SUMMARY_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ SUMMARY_OP = "summary_op"$/;" v class:GraphKeys +summary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def summary_op(self):$/;" m class:Scaffold +summary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def summary_op(self):$/;" m class:Supervisor +summary_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^from tensorflow.python.ops import summary_ops_v2 as summary_ops$/;" x +summary_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def summary_scope(name, default_name="summary", values=None):$/;" f +summary_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_op_util.py /^def summary_scope(name, family=None, default_name=None, values=None):$/;" f +SUMMARY_TYPES adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^SUMMARY_TYPES = {$/;" v +SUMMARY_TYPE_TO_FIELD adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^SUMMARY_TYPE_TO_FIELD = {$/;" v +summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def summary_writer(shared_name="", container="", name=None):$/;" f +summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def summary_writer(self):$/;" m class:Supervisor +summary_writer_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def summary_writer_eager_fallback(shared_name, container, name, ctx):$/;" f +summary_writer_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def summary_writer_function(name, tensor, function, family=None):$/;" f +summary_writer_initializer_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def summary_writer_initializer_op():$/;" f +summation adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def summation(lambda1, mu1, nu1, lambda2, mu2, nu2, h2, k2):$/;" f function:test_ellip_potential file: +summer adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def summer():$/;" f +sumN adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def sumN(*dev_indices):$/;" f function:_AllReduce file: +SumOverBatchSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SumOverBatchSize(Reduce):$/;" c +SumOverBatchSizeMetricWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class SumOverBatchSizeMetricWrapper(SumOverBatchSize):$/;" c +SumPool adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^SumPool = _pooling_layer(lax.add, 0.)$/;" v +sumsq adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def sumsq(a, b):$/;" f +SumSqrElements adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^class SumSqrElements(Benchmark):$/;" c +sumsqr_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def sumsqr_op(X):$/;" f member:TestReductionOps.test_elementwise_sqrsum file: +SUM_BY_NONZERO_WEIGHTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ SUM_BY_NONZERO_WEIGHTS = "weighted_sum_by_nonzero_weights"$/;" v class:Reduction +sum_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def sum_duplicates(self):$/;" m class:bsr_matrix +sum_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def sum_duplicates(self):$/;" m class:_cs_matrix +sum_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def sum_duplicates(self):$/;" m class:coo_matrix +sum_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^def sum_grad(grad_out, outputs, inputs):$/;" f +sum_labels adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def sum_labels(input, labels=None, index=None):$/;" f +sum_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def sum_op(X):$/;" f member:TestReductionOps.test_elementwise_int_sum file: +sum_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def sum_op(X):$/;" f member:TestReductionOps.test_elementwise_sum file: +sum_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def sum_op_ref(*args):$/;" f member:TestUtilityOps.test_sum file: +SUM_OVER_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ SUM_OVER_BATCH_SIZE = 'sum_over_batch_size'$/;" v class:Reduction +SUM_OVER_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ SUM_OVER_BATCH_SIZE = "weighted_sum_over_batch_size"$/;" v class:Reduction +SUM_OVER_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^ SUM_OVER_BATCH_SIZE = 'sum_over_batch_size'$/;" v class:ReductionV2 +SUM_OVER_NONZERO_WEIGHTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ SUM_OVER_NONZERO_WEIGHTS = SUM_BY_NONZERO_WEIGHTS$/;" v class:Reduction +sum_repeats adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def sum_repeats(operand, names, counts, keep_names):$/;" f function:_einsum file: +sum_to_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def sum_to_0d(x):$/;" f member:TestApplyAlongAxis.test_0d_array file: +sum_uniques adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def sum_uniques(operand, names, uniques):$/;" f function:_einsum file: +SUNDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +SunFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^class SunFCompiler(FCompiler):$/;" c +SunImageFile adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^class SunImageFile(ImageFile.ImageFile):$/;" c +SunOSCPUInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class SunOSCPUInfo(CPUInfoBase):$/;" c +sup1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sup1 = 0.45$/;" v class:ComputerModernFontConstants +sup1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sup1 = 0.7$/;" v class:FontConstantsBase +sup1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sup1 = 0.8$/;" v class:STIXFontConstants +sup1 adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ sup1 = 0.8$/;" v class:STIXSansFontConstants +SUPER adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^SUPER = 0 # Deprecated.$/;" v +supernet adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def supernet(self, prefixlen_diff=1, new_prefix=None):$/;" m class:_BaseNetwork +supernet_of adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def supernet_of(self, other):$/;" m class:_BaseNetwork +superTags adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def superTags(self):$/;" m class:TagSet +SupervisedInputReceiver adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^class SupervisedInputReceiver($/;" c +SUPERVISED_EVAL_METHOD_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^SUPERVISED_EVAL_METHOD_NAME = "tensorflow\/supervised\/eval"$/;" v +supervised_eval_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def supervised_eval_signature_def($/;" f +supervised_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def supervised_input_receiver_fn():$/;" f function:build_raw_supervised_input_receiver_fn file: +SUPERVISED_TRAIN_METHOD_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_constants.py /^SUPERVISED_TRAIN_METHOD_NAME = "tensorflow\/supervised\/training"$/;" v +supervised_train_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def supervised_train_signature_def($/;" f +Supervisor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^class Supervisor(object):$/;" c +super_in_original_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def super_in_original_context(f, args, caller_fn_scope):$/;" f +super_len adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def super_len(o):$/;" f +super_len adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def super_len(o):$/;" f +support adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def support(self):$/;" m class:rv_frozen +support adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def support(self, *args, **kwargs):$/;" m class:rv_generic +support adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ support = constraints.boolean$/;" v class:Bernoulli +support adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ support = constraints.unit_interval$/;" v class:Beta +support adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def support(self):$/;" m class:Binomial +support adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def support(self):$/;" m class:Categorical +support adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ support = constraints.real$/;" v class:Cauchy +support adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ support = constraints.unit_interval$/;" v class:ContinuousBernoulli +support adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ support = constraints.simplex$/;" v class:Dirichlet +support adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def support(self):$/;" m class:Distribution +support adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ support = None$/;" v class:Distribution +support adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ support = constraints.positive$/;" v class:Exponential +support adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ support = constraints.positive$/;" v class:FisherSnedecor +support adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ support = constraints.positive$/;" v class:Gamma +support adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ support = constraints.nonnegative_integer$/;" v class:Geometric +support adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ support = constraints.real$/;" v class:Gumbel +support adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ support = constraints.positive$/;" v class:HalfCauchy +support adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ support = constraints.positive$/;" v class:HalfNormal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def support(self):$/;" m class:Independent +support adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ support = constraints.real$/;" v class:Laplace +support adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ support = constraints.simplex$/;" v class:LogisticNormal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ support = constraints.positive$/;" v class:LogNormal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ support = constraints.real$/;" v class:LowRankMultivariateNormal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def support(self):$/;" m class:MixtureSameFamily +support adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def support(self):$/;" m class:Multinomial +support adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ support = constraints.real$/;" v class:MultivariateNormal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ support = constraints.nonnegative_integer$/;" v class:NegativeBinomial +support adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ support = constraints.real$/;" v class:Normal +support adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ support = constraints.simplex$/;" v class:OneHotCategorical +support adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def support(self):$/;" m class:Pareto +support adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ support = constraints.nonnegative_integer$/;" v class:Poisson +support adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ support = constraints.real$/;" v class:LogitRelaxedBernoulli +support adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ support = constraints.unit_interval$/;" v class:RelaxedBernoulli +support adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ support = constraints.real$/;" v class:ExpRelaxedCategorical +support adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ support = constraints.simplex$/;" v class:RelaxedOneHotCategorical +support adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ support = constraints.real$/;" v class:StudentT +support adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def support(self):$/;" m class:TransformedDistribution +support adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def support(self):$/;" m class:Uniform +support adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ support = constraints.real$/;" v class:VonMises +support adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ support = constraints.positive$/;" v class:Weibull +supportCompactZero adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportCompactZero = False$/;" v class:IntegerEncoder +supportConstructedForm adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ supportConstructedForm = True$/;" v class:BitStringDecoder +supportConstructedForm adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ supportConstructedForm = True$/;" v class:OctetStringDecoder +supportConstructedForm adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^ supportConstructedForm = False$/;" v class:BitStringDecoder +supportConstructedForm adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^ supportConstructedForm = False$/;" v class:OctetStringDecoder +supported adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def supported(self, dtypes):$/;" m class:_LazyDtypes +SUPPORTED adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ SUPPORTED = False$/;" v +SUPPORTED adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ SUPPORTED = True$/;" v +supported adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^ def supported(self, tags):$/;" m class:Wheel +SupportedOp adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/tensorboard/ops_util.py /^class SupportedOp(collections.namedtuple("SupportedOp", ["op"])):$/;" c +SUPPORTED_BUILTINS adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^SUPPORTED_BUILTINS = (abs, float, int, len, print, range, enumerate, zip, map,$/;" v +supported_compressions adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ supported_compressions = OrderedDict([$/;" v class:bdist_wheel +supported_dtypes adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def supported_dtypes():$/;" f +supported_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^supported_dtypes = [np.bool_, np.byte, np.ubyte, np.short, np.ushort, np.intc,$/;" v +supported_engines adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ supported_engines = _SupportedQEnginesProp()$/;" v class:QuantizedEngine +SUPPORTED_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^SUPPORTED_EXTENSIONS = ZIP_EXTENSIONS + TAR_EXTENSIONS$/;" v +supported_formats adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ supported_formats = ['png', 'jpeg', 'ppm', 'tiff', 'sgi', 'bmp',$/;" v class:FFMpegFileWriter +supported_formats adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ supported_formats = ['png', 'jpeg', 'ppm', 'tiff', 'sgi', 'bmp',$/;" v class:ImageMagickFileWriter +supported_formats adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ supported_formats = ['png', 'jpeg', 'tiff', 'svg']$/;" v class:HTMLWriter +supported_numpy_dtypes adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def supported_numpy_dtypes():$/;" f +SUPPORTED_OPERATORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^SUPPORTED_OPERATORS = [$/;" v +SUPPORTED_OPTIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^SUPPORTED_OPTIONS = [$/;" v +SUPPORTED_OPTIONS_REQ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^SUPPORTED_OPTIONS_REQ = [$/;" v +SUPPORTED_OPTIONS_REQ_DEST adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^SUPPORTED_OPTIONS_REQ_DEST = [str(o().dest) for o in SUPPORTED_OPTIONS_REQ]$/;" v +supported_precision_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def supported_precision_modes():$/;" m class:TrtPrecisionMode +supported_qengines adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^supported_qengines = torch.backends.quantized.supported_engines$/;" v +SUPPORTED_TYPES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^SUPPORTED_TYPES = (REGTYPE, AREGTYPE, LNKTYPE,$/;" v +SUPPORTED_UI_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/ui_factory.py /^SUPPORTED_UI_TYPES = ["curses", "readline"]$/;" v +supportIndefLength adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ supportIndefLength = True$/;" v class:Decoder +supportIndefLength adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^ supportIndefLength = False$/;" v class:Decoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = 0$/;" v class:RealEncoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = False$/;" v class:BooleanEncoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = False$/;" v class:IntegerEncoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = False$/;" v class:NullEncoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = False$/;" v class:ObjectIdentifierEncoder +supportIndefLenMode adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ supportIndefLenMode = True$/;" v class:AbstractItemEncoder +supports adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def supports(o, factory_methods):$/;" f +SupportsIndex adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class SupportsIndex(Protocol):$/;" c +SupportsIndex adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ SupportsIndex = typing.SupportsIndex$/;" v +SupportsOpenEnums adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^def SupportsOpenEnums(field_descriptor):$/;" f +supports_binary adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ supports_binary = True$/;" v class:WebAggApplication.WebSocket +supports_blit adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ supports_blit = False$/;" v class:FigureCanvasWebAggCore +supports_blit adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def supports_blit(cls):$/;" m class:FigureCanvasBase +supports_chunked_reads adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def supports_chunked_reads(self):$/;" m class:HTTPResponse +supports_chunked_reads adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def supports_chunked_reads(self):$/;" m class:HTTPResponse +supports_default_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/default_gradient.py /^def supports_default_grad(t):$/;" f +supports_device adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def supports_device(cls, device_str):$/;" m class:Caffe2Backend +supports_device adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^supports_device = Caffe2Backend.supports_device # noqa$/;" v +supports_dtype adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def supports_dtype(self, dtype, device_type):$/;" m class:OpInfo +supports_feature adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils.py /^def supports_feature(feature):$/;" f +supports_lone_surrogates adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ supports_lone_surrogates = False$/;" v +supports_masking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def supports_masking(self):$/;" m class:Layer +supports_masking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def supports_masking(self, value):$/;" m class:Layer +supports_run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_run_relax = 1$/;" v class:vode +supports_run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_run_relax = 1$/;" v class:zvode +supports_run_relax adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_run_relax = None$/;" v class:IntegratorBase +supports_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def supports_serialize(self):$/;" m class:ReaderBase +supports_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_solout = False$/;" v class:IntegratorBase +supports_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_solout = True$/;" v class:dopri5 +supports_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_step = 1$/;" v class:vode +supports_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_step = 1$/;" v class:zvode +supports_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ supports_step = None$/;" v class:IntegratorBase +support_8bit adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def support_8bit(self):$/;" m class:SparseLookup +support_index_min adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^ def support_index_min(self, tags):$/;" m class:Wheel +support_set adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def support_set(self):$/;" m class:QN +suppress adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def suppress(tokens):$/;" f member:Type1Font._transformer file: +suppress adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class suppress(object):$/;" c +suppress adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def suppress(self):$/;" m class:ParserElement +suppress adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def suppress(self):$/;" m class:Suppress +Suppress adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Suppress(TokenConverter):$/;" c +suppress adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def suppress( self ):$/;" m class:ParserElement +suppress adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def suppress( self ):$/;" m class:Suppress +Suppress adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Suppress(TokenConverter):$/;" c +suppress adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def suppress(self):$/;" m class:ParserElement +suppress adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def suppress(self):$/;" m class:Suppress +Suppress adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Suppress(TokenConverter):$/;" c +suppress adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def suppress( self ):$/;" m class:ParserElement +suppress adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def suppress( self ):$/;" m class:Suppress +Suppress adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Suppress(TokenConverter):$/;" c +suppressed_cache_errors adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^def suppressed_cache_errors():$/;" f +suppression_loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def suppression_loop_body(boxes, iou_threshold, output_size, idx):$/;" f function:non_max_suppression_padded_v2 file: +suppress_copy_mask_on_assignment adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^suppress_copy_mask_on_assignment = suppress_warnings()$/;" v +suppress_warnings adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^class suppress_warnings:$/;" c +suppress_warnings adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def suppress_warnings(fn):$/;" f +suptitle adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def suptitle(self, t, **kwargs):$/;" m class:Figure +suptitle adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def suptitle(t, **kwargs):$/;" f +sup_complex adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^sup_complex = suppress_warnings()$/;" v +sup_sparse_efficiency adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^sup_sparse_efficiency = suppress_warnings()$/;" v +SURE_NO adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ SURE_NO = 0.01$/;" v class:CharDistributionAnalysis +SURE_NO adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ SURE_NO = 0.01$/;" v class:CharDistributionAnalysis +SURE_YES adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ SURE_YES = 0.99$/;" v class:CharDistributionAnalysis +SURE_YES adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ SURE_YES = 0.99$/;" v class:CharDistributionAnalysis +surface_topo_ref adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def surface_topo_ref(self): # Validated$/;" m class:SHGO +surrogatePairToCodepoint adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^def surrogatePairToCodepoint(data):$/;" f +surrogatePairToCodepoint adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^def surrogatePairToCodepoint(data):$/;" f +survey_foot adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^survey_foot = 1200.0 \/ 3937$/;" v +survey_mile adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^survey_mile = 5280 * survey_foot$/;" v +survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def survival_function(self, value, name="survival_function"):$/;" m class:Distribution +SvceAuthInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class SvceAuthInfo(univ.Sequence):$/;" c +SvceAuthInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class SvceAuthInfo(univ.Sequence):$/;" c +svd adpeps/tensor/ops.py /^def svd(m, n, mode, cutoff=1e-12, use_iter=False):$/;" f +svd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def svd(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +svd adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd(x, full_matrices=True, compute_uv=True):$/;" f +svd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def svd(a, full_matrices=True, compute_uv=True):$/;" f +svd adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def svd(a, full_matrices=True, compute_uv=True, overwrite_a=False,$/;" f +svd adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^svd = linpkg.svd$/;" v +svd adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def svd(a, full_matrices=True, compute_uv=True, hermitian=False):$/;" f +svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^def svd(a, full_matrices=True, compute_uv=True, overwrite_a=False,$/;" f +svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def svd(A, eps_or_k, rand=True):$/;" f +svd adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def svd(a, max_iter, epsilon, precision_config=None):$/;" f +svd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def svd(input, compute_uv=True, full_matrices=False, name=None):$/;" f +Svd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^Svd = tf_export("raw_ops.Svd")(_ops.to_raw_op(svd))$/;" v +svd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^svd = linalg_ops.svd$/;" v +svd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def svd(tensor, full_matrices=False, compute_uv=True, name=None):$/;" f +SVDBaseTests adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class SVDBaseTests:$/;" c +SVDCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class SVDCases(LinalgSquareTestCase, LinalgGeneralizedSquareTestCase):$/;" c +SVDF adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SVDF = 27$/;" v class:BuiltinOperator +SVDFOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SVDFOptions = 6$/;" v class:BuiltinOptions +SVDFOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SVDFOptions(object):$/;" c +SVDFOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SVDFOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInputs): builder.PrependBo/;" f +SVDFOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SVDFOptionsAddFusedActivationFunction(builder, fusedActivationFunction): builder.PrependInt8/;" f +SVDFOptionsAddRank adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SVDFOptionsAddRank(builder, rank): builder.PrependInt32Slot(0, rank, 0)$/;" f +SVDFOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def SVDFOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:SVDFOptions +SVDFOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SVDFOptionsEnd(builder): return builder.EndObject()$/;" f +SVDFOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def SVDFOptionsStart(builder): builder.StartObject(3)$/;" f +SVDFOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class SVDFOptionsT(object):$/;" c +SVDHermitianCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class SVDHermitianCases(HermitianTestCase, HermitianGeneralizedTestCase):$/;" c +svds adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def svds(A, k=6, ncv=None, tol=0, which='LM', v0=None,$/;" f +svdvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^def svdvals(a, overwrite_a=False, check_finite=True):$/;" f +svd_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd_abstract_eval(operand, full_matrices, compute_uv):$/;" f +svd_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd_batching_rule(batched_args, batch_dims, full_matrices, compute_uv):$/;" f +svd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def svd_eager_fallback(input, compute_uv, full_matrices, name, ctx):$/;" f +svd_estimate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def svd_estimate(u, s, vh):$/;" f +svd_factorization_projections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^def svd_factorization_projections(A, m, n, orth_tol, max_refin, tol):$/;" f +svd_fix_sign adpeps/tensor/config.py /^svd_fix_sign = False$/;" v +svd_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd_impl(operand, full_matrices, compute_uv):$/;" f +svd_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd_jvp_rule(primals, tangents, full_matrices, compute_uv):$/;" f +svd_lowrank adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^def svd_lowrank(A, q=6, niter=2, M=None):$/;" f +svd_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^svd_p = Primitive('svd')$/;" v +svd_reduce adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def svd_reduce(self, max_rank, to_retain=None):$/;" m class:LowRankMatrix +svd_test_input_check adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def svd_test_input_check():$/;" f +svd_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def svd_translation_rule(c, operand, full_matrices, compute_uv):$/;" f +svgProlog adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^svgProlog = """\\$/;" v +svg_allow_local_href adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^svg_allow_local_href = frozenset(($/;" v +svg_allow_local_href adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^svg_allow_local_href = frozenset(($/;" v +svg_attr_val_allows_ref adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^svg_attr_val_allows_ref = frozenset(($/;" v +svg_attr_val_allows_ref adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^svg_attr_val_allows_ref = frozenset(($/;" v +SVStepCounterThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^class SVStepCounterThread(coordinator.LooperThread):$/;" c +SVSummaryThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^class SVSummaryThread(coordinator.LooperThread):$/;" c +SVTimerCheckpointThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^class SVTimerCheckpointThread(coordinator.LooperThread):$/;" c +svx adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ svx = np.linalg.solve(G, b)$/;" v +SWALLOW_DATA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ SWALLOW_DATA = 2$/;" v class:GzipDecoderState +SWALLOW_DATA adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ SWALLOW_DATA = 2$/;" v class:GzipDecoderState +SWALR adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^class SWALR(_LRScheduler):$/;" c +swap32 adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^def swap32(x):$/;" f +swapaxes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def swapaxes(a, axis1: int, axis2: int):$/;" f +swapaxes adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def swapaxes(a, axis1, axis2):$/;" f +swapaxes adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ swapaxes = _arraymethod('swapaxes')$/;" v class:MaskedArray +swapaxes adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^swapaxes = _frommethod('swapaxes')$/;" v +swapaxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def swapaxes(a, axis1, axis2): # pylint: disable=missing-docstring$/;" f +swapaxes_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ swapaxes_ = lambda t: swapaxes(np_utils.tensor_to_ndarray(t), axis, -1).data$/;" f function:take_along_axis file: +swapcase adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def swapcase(self):$/;" m class:chararray +swapcase adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def swapcase(a):$/;" f +swapped_code adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/byteordercodes.py /^swapped_code = sys_is_le and '>' or '<'$/;" v +swap_batch_timestep adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def swap_batch_timestep(input_t):$/;" f function:rnn file: +swap_byteorder adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def swap_byteorder(arr):$/;" f +swap_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^def swap_class(cls, v2_cls, v1_cls, use_v2):$/;" f +swap_concat_relu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def swap_concat_relu(net, ignore_op_with_output=None):$/;" f +swap_first_concat_relu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/utils.py /^def swap_first_concat_relu(net, ignore_op_with_output=None):$/;" f +swap_if_landscape adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def swap_if_landscape(self, shape):$/;" m class:_Orientation +swap_memory adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def swap_memory(self):$/;" m class:WhileContext +swap_module adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def swap_module(mod, mapping):$/;" f +sweep_poly adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def sweep_poly(t, poly, phi=0):$/;" f +swig_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def swig_sources(self, sources, extensions=None):$/;" m class:build_ext +swig_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def swig_sources(self, sources, extension):$/;" m class:build_src +swig_sources adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def swig_sources(self, sources, extension):$/;" m class:build_ext +swirl_velocity_field adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def swirl_velocity_field():$/;" f +swish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def swish(X):$/;" f member:TestElementwiseOps.test_swish file: +swish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def swish(X):$/;" f member:TestElementwiseOps.test_swish_gradient_inplace file: +swish adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^swish = silu$/;" v +swish adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def swish(x):$/;" f +swish adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def swish(features):$/;" f +swish_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def swish_gradient(X, Y, dY):$/;" f member:TestElementwiseOps.test_swish_gradient_inplace file: +Switch adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def Switch(name, *conditions):$/;" f +switch adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def switch(index, branches: Sequence[Callable], operand):$/;" f +switch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def switch(self, dest, url, rev_options):$/;" m class:Bazaar +switch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def switch(self, dest, url, rev_options):$/;" m class:Git +switch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def switch(self, dest, url, rev_options):$/;" m class:Mercurial +switch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def switch(self, dest, url, rev_options):$/;" m class:Subversion +switch adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def switch(self, dest, url, rev_options):$/;" m class:VersionControl +switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def switch(condition, then_expression, else_expression):$/;" f +switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def switch(data, pred, dtype=None, name=None):$/;" f +switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def switch(data, pred, name=None):$/;" f +Switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^Switch = tf_export("raw_ops.Switch")(_ops.to_raw_op(switch))$/;" v +SwitchNot adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def SwitchNot(name, *conditions):$/;" f +SwitchWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^SwitchWorkspace = C.switch_workspace$/;" v +switch_backend adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def switch_backend(newbackend):$/;" f +switch_backends adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def switch_backends(self, FigureCanvasClass):$/;" m class:FigureCanvasBase +switch_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def switch_case(branch_index,$/;" f +switch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def switch_eager_fallback(data, pred, name, ctx):$/;" f +switch_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def switch_map(self):$/;" m class:_GradLoopState +switch_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def switch_orientation(self):$/;" m class:EventCollection +switch_to_thread_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def switch_to_thread_local(self):$/;" m class:Graph +swmr_mode adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def swmr_mode(self):$/;" m class:File +swmr_mode adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def swmr_mode(self, value):$/;" m class:File +swmr_support adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ swmr_support = True$/;" v +swmr_support adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^swmr_support = False$/;" v +sxs adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def sxs(self):$/;" m class:RegistryInfo +SYCOM_ACM_SYC008 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SYCOM_ACM_SYC008 = 0x0174$/;" v class:WAVE_FORMAT +SYCOM_ACM_SYC701_CELP54 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SYCOM_ACM_SYC701_CELP54 = 0x0176$/;" v class:WAVE_FORMAT +SYCOM_ACM_SYC701_CELP68 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SYCOM_ACM_SYC701_CELP68 = 0x0177$/;" v class:WAVE_FORMAT +SYCOM_ACM_SYC701_G726L adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SYCOM_ACM_SYC701_G726L = 0x0175$/;" v class:WAVE_FORMAT +sym adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ sym = 'No'$/;" v +sym2def adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def sym2def(symbol):$/;" f +SYMBOL adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ SYMBOL = 253$/;" v class:CharacterCategory +symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def symbol(self, s, loc, toks):$/;" m class:Parser +symbol adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def symbol(self):$/;" m class:PercentFormatter +symbol adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def symbol(self, symbol):$/;" m class:PercentFormatter +SYMBOL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ SYMBOL = 253$/;" v class:CharacterCategory +Symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^class Symbol(collections.namedtuple('Symbol', ['name'])):$/;" c +Symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^class Symbol(Tensor):$/;" c +SymbolAlreadyExposedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^class SymbolAlreadyExposedError(Exception):$/;" c +SymbolDatabase adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^class SymbolDatabase(message_factory.MessageFactory):$/;" c +SymbolExposedTwiceError adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^class SymbolExposedTwiceError(Exception):$/;" c +symbolic adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^ def symbolic(graph, x, y):$/;" m class:MyFunction +symbolic adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic(g, *args):$/;" f function:_one_hidden_rnn file: +symbolic adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic(g, self, dim=None, keepdim=None):$/;" f function:_reduce_op_symbolic file: +SymbolicGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^SymbolicGradient = tf_export("raw_ops.SymbolicGradient")(_ops.to_raw_op(symbolic_gradient))$/;" v +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^ def symbolic_fn(*args, **kwargs):$/;" f function:_block_list_in_opset file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^ def symbolic_fn(g, input, kernel_size, stride, padding, ceil_mode, count_include_pad, diviso/;" f function:_avg_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^ def symbolic_fn(g, input, kernel_size, stride, padding, dilation, ceil_mode):$/;" f function:_max_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^ def symbolic_fn(g, input, output_size, *args):$/;" f function:_interpolate file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ def symbolic_fn(g, input, kernel_size, stride, padding, ceil_mode, count_include_pad, diviso/;" f function:_avg_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ def symbolic_fn(g, input, output_size, *args):$/;" f function:_interpolate file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^ def symbolic_fn(g, input, output_size, *args):$/;" f function:_interpolate file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic_fn(g, input, kernel_size, stride, padding, ceil_mode, count_include_pad, diviso/;" f function:_avg_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic_fn(g, input, kernel_size, stride, padding, dilation, ceil_mode):$/;" f function:_max_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic_fn(g, input, output_size):$/;" f function:_adaptive_pool file: +symbolic_fn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def symbolic_fn(g, input, output_size, *args):$/;" f function:_interpolate file: +symbolic_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def symbolic_gradient(input, Tout, f, name=None):$/;" f +symbolic_gradient_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def symbolic_gradient_eager_fallback(input, Tout, f, name, ctx):$/;" f +symbolic_learning_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def symbolic_learning_phase():$/;" f +symbolic_tensor_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def symbolic_tensor_id(self, graph_id, op_name, output_slot):$/;" m class:DebugDataReader +symbolic_trace adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^def symbolic_trace(root : torch.nn.Module) -> GraphModule:$/;" f +SymbolRenamer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^class SymbolRenamer(gast.NodeTransformer):$/;" c +SYMBOL_G729_A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ SYMBOL_G729_A = 0xA103$/;" v class:WAVE_FORMAT +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def symbol_prefix(self):$/;" m class:openblas_info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = ''$/;" v class:blas64__opt_info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = ''$/;" v class:blas_ilp64_plain_opt_info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = ''$/;" v class:lapack64__opt_info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = ''$/;" v class:lapack_ilp64_plain_opt_info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = ''$/;" v class:openblas64__info +symbol_prefix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_prefix = None$/;" v class:_ilp64_opt_info_mixin +symbol_renames adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/all_renames_v2.py /^symbol_renames = renames_v2.renames$/;" v +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def symbol_suffix(self):$/;" m class:openblas_info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = ''$/;" v class:blas_ilp64_plain_opt_info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = ''$/;" v class:lapack_ilp64_plain_opt_info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = '64_'$/;" v class:blas64__opt_info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = '64_'$/;" v class:lapack64__opt_info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = '64_'$/;" v class:openblas64__info +symbol_suffix adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ symbol_suffix = None$/;" v class:_ilp64_opt_info_mixin +symeig adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def symeig(A, largest=False, eigenvectors=True):$/;" f +symlink_exception adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^symlink_exception = (AttributeError, NotImplementedError)$/;" v +SymLogNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class SymLogNorm(Normalize):$/;" c +SYMMETRIC adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ SYMMETRIC = 1$/;" v class:MirrorPadMode +SymmetricalLogLocator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class SymmetricalLogLocator(Locator):$/;" c +SymmetricalLogScale adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class SymmetricalLogScale(ScaleBase):$/;" c +SymmetricalLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def SymmetricalLogTransform(self):$/;" m class:SymmetricalLogScale +SymmetricalLogTransform adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^class SymmetricalLogTransform(Transform):$/;" c +SymmetricKeyPackage adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class SymmetricKeyPackage(univ.Sequence):$/;" c +SymmetricKeys adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class SymmetricKeys(univ.SequenceOf):$/;" c +SymmetricParams adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^class SymmetricParams:$/;" c +SymmetricTest adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class SymmetricTest(with_metaclass(SymmetricTestMeta, test_utils.TestCase)):$/;" c +SymmetricTestMeta adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^class SymmetricTestMeta(type):$/;" c +symmetric_difference adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def symmetric_difference(self, other):$/;" m class:OrderedSet +symmetric_difference_update adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def symmetric_difference_update(self, other):$/;" m class:OrderedSet +symmetric_test_generator adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def symmetric_test_generator(filepath):$/;" f member:SymmetricTestMeta.__new__ file: +symmetrize adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def symmetrize(x): return (x + _H(x)) \/ 2$/;" f +symmetry adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def symmetry(self):$/;" m class:MMFile +SYMMETRY_GENERAL adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ SYMMETRY_GENERAL = 'general'$/;" v class:MMFile +SYMMETRY_HERMITIAN adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ SYMMETRY_HERMITIAN = 'hermitian'$/;" v class:MMFile +SYMMETRY_SKEW_SYMMETRIC adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ SYMMETRY_SKEW_SYMMETRIC = 'skew-symmetric'$/;" v class:MMFile +SYMMETRY_SYMMETRIC adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ SYMMETRY_SYMMETRIC = 'symmetric'$/;" v class:MMFile +SYMMETRY_VALUES adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ SYMMETRY_VALUES = (SYMMETRY_GENERAL, SYMMETRY_SYMMETRIC,$/;" v class:MMFile +symm_iterator adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def symm_iterator():$/;" f member:MMFile._get_symmetry file: +symptoms adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def symptoms(self):$/;" m class:Client +sympy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_expn_asy.py /^ sympy = MissingModule("sympy")$/;" v +sympy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^ sympy = MissingModule('sympy')$/;" v +sympy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^ sympy = MissingModule('sympy')$/;" v +symrand adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def symrand(dim_or_eigv):$/;" f +SYMTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^SYMTYPE = b"2" # symbolic link$/;" v +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset12.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_help adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^import torch.onnx.symbolic_helper as sym_help$/;" I +sym_opset9 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset7.py /^import torch.onnx.symbolic_opset9 as sym_opset9$/;" I +sym_opset9 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^import torch.onnx.symbolic_opset9 as sym_opset9$/;" I +sym_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^import torch.onnx.symbolic_registry as sym_registry$/;" I +sym_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ import torch.onnx.symbolic_registry as sym_registry$/;" I function:_run_symbolic_function file: +sym_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ import torch.onnx.symbolic_registry as sym_registry$/;" I function:register_custom_op_symbolic file: +sym_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^ import torch.onnx.symbolic_registry as sym_registry$/;" I function:_find_symbolic_in_registry file: +sync adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ sync = flush$/;" v class:netcdf_file +SYNC adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^SYNC = 0$/;" v +sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_registry.py /^def sync():$/;" f +SYNC adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ SYNC = "sync"$/;" v class:RPCExecMode +sync adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def sync(cls, wait_for=None, timeout=10):$/;" m class:Barrier +SyncBatchNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class SyncBatchNorm(_BatchNorm):$/;" c +SyncBatchNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/_functions.py /^class SyncBatchNorm(Function):$/;" c +SyncBatchNormalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^class SyncBatchNormalization(normalization.BatchNormalizationBase):$/;" c +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def synchronization(self):$/;" m class:AggregatingVariable +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def synchronization(self):$/;" m class:DistributedVariable +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def synchronization(self):$/;" m class:AutoCastVariable +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def synchronization(self):$/;" m class:BaseResourceVariable +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def synchronization(self):$/;" m class:RefVariable +synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def synchronization(self):$/;" m class:Variable +synchronize adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def synchronize(self, store_handler, value, comm_rank=None):$/;" m class:TestCase +Synchronize adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def Synchronize(model, timeout_sec=_DEFAULT_BARRIER_NET_TIMEOUT_SEC):$/;" f +synchronize adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def synchronize(self):$/;" m class:Event +synchronize adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def synchronize(self):$/;" m class:Stream +synchronize adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def synchronize(device: _device_t = None) -> None:$/;" f +synchronized adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^def synchronized(func):$/;" f +synchronized adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^def synchronized(wrapped):$/;" f +synchronize_runs adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^ def synchronize_runs(self):$/;" m class:LogdirLoader +SyncOnReadVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class SyncOnReadVariable(DistributedVariable):$/;" c +SyncReplicasOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^class SyncReplicasOptimizer(optimizer.Optimizer):$/;" c +sync_batch_norm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^from ._functions import SyncBatchNorm as sync_batch_norm$/;" x +sync_counter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ sync_counter = 0$/;" v class:TestCase +sync_executors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def sync_executors(self):$/;" m class:Context +sync_id_token adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^from google.oauth2 import id_token as sync_id_token$/;" x +syntactic_help adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ syntactic_help = ' '.join((number_article, number_name))$/;" v class:FloatParser +syntactic_help adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ syntactic_help = ' '.join((number_article, number_name))$/;" v class:IntegerParser +syntactic_help adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ syntactic_help = ''$/;" v class:ArgumentParser +Syntax adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^Syntax = enum_type_wrapper.EnumTypeWrapper(_SYNTAX)$/;" v +SYNTAX_PROTO2 adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^SYNTAX_PROTO2 = 0$/;" v +SYNTAX_PROTO3 adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^SYNTAX_PROTO3 = 1$/;" v +SYNTAX_VALIDATORS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ SYNTAX_VALIDATORS = {$/;" v class:Metadata +synthesize_ivjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def synthesize_ivjp(eqn, unknown_primals, primals_in, primals_out, cts_in):$/;" f +sys1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def sys1(x, t):$/;" f function:test_odeint_bad_shapes file: +sys1d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def sys1d(x, t):$/;" f function:test_odeint_errors file: +sys2d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def sys2d(x, t):$/;" f function:test_odeint_errors file: +sys2d_bad_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def sys2d_bad_jac(x, t):$/;" f function:test_odeint_errors file: +sys3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def sys3(t, w, omega, k, zfinal):$/;" f function:test_args file: +sys3_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def sys3_jac(t, w, omega, k, zfinal):$/;" f function:test_args file: +sys3_x0decreasing adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def sys3_x0decreasing(t, w, omega, k, zfinal):$/;" f function:test_args file: +sys3_y0increasing adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def sys3_y0increasing(t, w, omega, k, zfinal):$/;" f function:test_args file: +sys3_zfinal adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^ def sys3_zfinal(t, w, omega, k, zfinal):$/;" f function:test_args file: +sysconfig_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^from tensorflow.python.platform import sysconfig as sysconfig_lib$/;" x +sysconfig_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.platform import sysconfig as sysconfig_lib$/;" x +system adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ system = 'darwin'$/;" v +system adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ system = 'win32'$/;" v +system adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ system = 'win32'$/;" v +system adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ system = 'darwin'$/;" v +system adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ system = 'win32'$/;" v +system adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ system = 'darwin'$/;" v +system adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ system = 'win32'$/;" v +SystemEnv adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^SystemEnv = namedtuple('SystemEnv', [$/;" v +systemId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ systemId = property(_getSystemId, _setSystemId)$/;" v class:getETreeBuilder.DocumentType +systemId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ systemId = property(_getSystemId, _setSystemId)$/;" v class:getETreeBuilder.DocumentType +SystemInfo adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^class SystemInfo:$/;" c +SystemTimeWarning adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class SystemTimeWarning(SecurityWarning):$/;" c +SystemTimeWarning adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class SystemTimeWarning(SecurityWarning):$/;" c +system_exceptions adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^system_exceptions = (SystemExit, KeyboardInterrupt)$/;" v +system_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class system_info:$/;" c +system_message adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def system_message(self, level, message, *children, **kwargs):$/;" m class:SilentReporter +system_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^system_version = tuple(sys.version_info)[:3]$/;" v +system_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^system_version = tuple(sys.version_info)[:3]$/;" v +system_version adpepsenv/lib/python3.8/site-packages/pyparsing.py /^system_version = tuple(sys.version_info)[:3]$/;" v +system_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^system_version = tuple(sys.version_info)[:3]$/;" v +sys_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def sys_exception():$/;" f +sys_executable adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^sys_executable = CommandSpec._sys_executable()$/;" v +sys_is_le adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/byteordercodes.py /^sys_is_le = sys.byteorder == 'little'$/;" v +sys_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^ def sys_jac(y, p, y_middle, f, f_middle, bc0):$/;" f function:prepare_sys file: +SYS_LITTLE_ENDIAN adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^SYS_LITTLE_ENDIAN = sys.byteorder == 'little'$/;" v +sys_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def sys_tags(**kwargs):$/;" f +sys_tags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def sys_tags():$/;" f +sys_tags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def sys_tags():$/;" f +sys_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def sys_tags(**kwargs):$/;" f +sz adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^def sz(s, o):$/;" f +SZ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/gen_fftw_ref.py /^SZ = [2, 3, 4, 8, 12, 15, 16, 17, 32, 64, 128, 256, 512, 1024]$/;" v +S_ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class S_(object):$/;" c +s_ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^s_ = S_()$/;" v +s_ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^s_ = IndexExpression(maketuple=False)$/;" v +S_IFBLK adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFBLK = 0o060000 # block device$/;" v +S_IFCHR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFCHR = 0o020000 # character device$/;" v +S_IFDIR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFDIR = 0o040000 # directory$/;" v +S_IFIFO adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFIFO = 0o010000 # fifo$/;" v +S_IFLNK adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFLNK = 0o120000 # symbolic link$/;" v +S_IFREG adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^S_IFREG = 0o100000 # regular file$/;" v +s_maxage adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ s_maxage = cache_property("s-maxage", None, None)$/;" v class:ResponseCacheControl +s_qr adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^from scipy.linalg import qr as s_qr$/;" x +T adpepsenv/lib/python3.8/site-packages/jax/api.py /^T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^T = TypeVar('T')$/;" v +t adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def t(key, df, shape=(), dtype=dtypes.float_):$/;" f +T adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class T(object):$/;" c member:TestRegression.test_2d__array__shape file: +T adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class T:$/;" c member:TestRegression.test_bad_array_interface file: +t adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def t(expect, func, n, m):$/;" f member:TestUfunc.test_reduce_zero_axis file: +T adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ T = property(fget=lambda self: self.transpose())$/;" v class:MaskedArray +T adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def T(self):$/;" m class:matrix +T adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^ T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^T = np.array([$/;" v +t adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ t = _augknt(np.linspace(x[0], x[-1], 7), k)$/;" v class:TestLSQ +t adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ t = time.time()$/;" v +T adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ T = property(transpose)$/;" v class:LinearOperator +T adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def T(self):$/;" m class:MatrixPowerOperator +T adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def T(self):$/;" m class:ProductOperator +T adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def T(self):$/;" m class:MatrixProductOperator +t adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^t = t_gen(name='t')$/;" v +T adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def T(self): # pylint: disable=invalid-name$/;" m class:ndarray +T adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^T = TypeVar("T", bound="Module")$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^T = TypeVar('T', bound='Module')$/;" v +t adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def t(g, self):$/;" f +T adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^T = TypeVar("T")$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^T = TypeVar('T')$/;" v +T adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^T = typing.TypeVar('T') # Any type.$/;" v +T0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T0 = [1]$/;" v +T0 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T0 = [1]$/;" v +t0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def t0(self):$/;" m class:DebugDumpDir +T1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T1 = [0, 1]$/;" v +T1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T1 = [0, 1]$/;" v +t1 adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^t1 = timeit(numbacall, number=1000)$/;" v +t1f adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_type1font.py /^import matplotlib.type1font as t1f$/;" I +T1_0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ T1_0 = 0$/;" v class:TestStudentTest +T1_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ T1_1 = -1.7320508075$/;" v class:TestStudentTest +T1_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ T1_2 = -3.464102$/;" v class:TestStudentTest +T2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T2 = [-1, 0, 2]$/;" v +T2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T2 = [-1, 0, 2]$/;" v +t2 adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending.py /^t2 = timeit(numpycall, number=1000)$/;" v +T2_0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ T2_0 = 1.732051$/;" v class:TestStudentTest +T3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T3 = [0, -3, 0, 4]$/;" v +T3 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T3 = [0, -3, 0, 4]$/;" v +T4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T4 = [1, 0, -8, 0, 8]$/;" v +T4 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T4 = [1, 0, -8, 0, 8]$/;" v +T5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T5 = [0, 5, 0, -20, 0, 16]$/;" v +T5 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T5 = [0, 5, 0, -20, 0, 16]$/;" v +T6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T6 = [-1, 0, 18, 0, -48, 0, 32]$/;" v +T6 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T6 = [-1, 0, 18, 0, -48, 0, 32]$/;" v +T61String adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class T61String(TeletexString):$/;" c +T7 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T7 = [0, -7, 0, 56, 0, -112, 0, 64]$/;" v +T7 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T7 = [0, -7, 0, 56, 0, -112, 0, 64]$/;" v +T8 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T8 = [1, 0, -32, 0, 160, 0, -256, 0, 128]$/;" v +T8 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T8 = [1, 0, -32, 0, 160, 0, -256, 0, 128]$/;" v +T9 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^T9 = [0, 9, 0, -120, 0, 432, 0, -576, 0, 256]$/;" v +T9 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^T9 = [0, 9, 0, -120, 0, 432, 0, -576, 0, 256]$/;" v +tabchar adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^tabchar = 4 * ' '$/;" v +TabCompletionRegistry adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^class TabCompletionRegistry(object):$/;" c +Table adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^class Table(object):$/;" c +table adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ table = mtable.table$/;" v class:Axes +table adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def table($/;" f +Table adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^class Table(Artist):$/;" c +table adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^def table(ax,$/;" f +table adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def table(self, sort_by=None, row_limit=100, header=None, top_level_events_only=False):$/;" m class:EventList +table adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def table(self, sort_by=None, row_limit=100, header=None, top_level_events_only=False):$/;" m class:profile +Table adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^class Table(object):$/;" c +TABLEAU_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^TABLEAU_COLORS = ($/;" v +TABLEAU_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^TABLEAU_COLORS = OrderedDict($/;" v +TableConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class TableConfig($/;" c +TableConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class TableConfig(object):$/;" c +TableDescriptionParser adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def TableDescriptionParser(table_description, depth=0):$/;" m class:DataTable +TableExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^class TableExtension(Extension):$/;" c +TableHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^class TableHandler(object):$/;" c +TableInitializerBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class TableInitializerBase(trackable_base.Trackable):$/;" c +tableInsertModeElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^tableInsertModeElements = frozenset([$/;" v +tableInsertModeElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^tableInsertModeElements = frozenset([$/;" v +tableName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ tableName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +tableName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ tableName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +tableName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ tableName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +tableName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ tableName = delimitedList(ident, ".", combine=True).setParseAction(upcaseTokens)$/;" v +tableNameList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ tableNameList = Group(delimitedList(tableName)).setName("tables")$/;" v +tableNameList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ tableNameList = Group(delimitedList(tableName)).setName("tables")$/;" v +tableNameList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ tableNameList = Group(delimitedList(tableName)).setName("tables")$/;" v +tableNameList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ tableNameList = Group(delimitedList(tableName)).setName("tables")$/;" v +TableProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^class TableProcessor(BlockProcessor):$/;" c +tables adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ tables = None$/;" v +tables_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def tables_initializer(name="init_all_tables"):$/;" f +TableVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^TableVariable = TypeVar("TableVariable", sharded_variable.ShardedVariable,$/;" v +TABLE_INITIALIZERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ TABLE_INITIALIZERS = "table_initializer"$/;" v class:GraphKeys +table_shards adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def table_shards(self):$/;" m class:_ShardedMutableDenseHashTable +table_to_config_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def table_to_config_dict(self):$/;" m class:TPUEmbedding +table_to_features_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def table_to_features_dict(self):$/;" m class:TPUEmbedding +tabulate adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def tabulate(rows):$/;" f +tab_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def tab_name(self):$/;" m class:FrontendMetadata +tag adpeps/utils/empty_tensor.py /^ tag = None$/;" v class:EmptyT +TAG adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ TAG = 'ol'$/;" v class:OListProcessor +TAG adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ TAG = 'ul'$/;" v class:UListProcessor +Tag adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^class Tag(object):$/;" c +Tag adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^class Tag(object):$/;" c +Tag adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^class Tag(object):$/;" c +Tag adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^class Tag(object):$/;" c +Tag adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^class Tag(object):$/;" c +TagBytes adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def TagBytes(field_number, wire_type):$/;" f +TagByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def TagByteSize(field_number):$/;" f +tagCategoryExplicit adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagCategoryExplicit = 0x02$/;" v +tagCategoryImplicit adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagCategoryImplicit = 0x01$/;" v +tagCategoryUntagged adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagCategoryUntagged = 0x04$/;" v +tagClass adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def tagClass(self):$/;" m class:Tag +tagClassApplication adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagClassApplication = 0x40$/;" v +tagClassContext adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagClassContext = 0x80$/;" v +tagClassPrivate adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagClassPrivate = 0xC0$/;" v +tagClassUniversal adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagClassUniversal = 0x00$/;" v +TagContext adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^class TagContext(object):$/;" c +tagdata adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ tagdata = property(lambda self: self._tagdata)$/;" v class:ImageFileDirectory_v1 +tagExplicitly adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def tagExplicitly(self, superTag):$/;" m class:TagSet +tagFormat adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def tagFormat(self):$/;" m class:Tag +tagFormatConstructed adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagFormatConstructed = 0x20$/;" v +tagFormatSimple adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^tagFormatSimple = 0x00$/;" v +TaggedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class TaggedAttribute(univ.Sequence):$/;" c +TaggedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class TaggedAttribute(univ.Sequence):$/;" c +TaggedCertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class TaggedCertificationRequest(univ.Sequence):$/;" c +TaggedCertificationRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class TaggedCertificationRequest(univ.Sequence):$/;" c +TaggedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class TaggedContentInfo(univ.Sequence):$/;" c +TaggedContentInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class TaggedContentInfo(univ.Sequence):$/;" c +TaggedRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^class TaggedRequest(univ.Choice):$/;" c +TaggedRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^class TaggedRequest(univ.Choice):$/;" c +TaggedRunMetadata adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^TaggedRunMetadata = _reflection.GeneratedProtocolMessageType('TaggedRunMetadata', (_message.Mess/;" v +TaggedRunMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^TaggedRunMetadata = _reflection.GeneratedProtocolMessageType('TaggedRunMetadata', (_message.Mess/;" v +taggedtuple adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def taggedtuple(name, fields) -> Callable[..., Any]:$/;" f +tagged_version adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def tagged_version(self):$/;" m class:InfoCommon +tagId adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def tagId(self):$/;" m class:Tag +tagImplicitly adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def tagImplicitly(self, superTag):$/;" m class:TagSet +TagInfo adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^class TagInfo(namedtuple("_TagInfo", "value name type length enum")):$/;" c +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^tagMap = {$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^tagMap = {$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^tagMap = decoder.tagMap.copy()$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^tagMap = encoder.tagMap.copy()$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^tagMap = decoder.tagMap.copy()$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^tagMap = encoder.tagMap.copy()$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^tagMap = {$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^tagMap = {$/;" v +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def tagMap(self):$/;" m class:Asn1Type +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def tagMap(self):$/;" m class:NamedTypes +TagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^class TagMap(object):$/;" c +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def tagMap(self):$/;" m class:Any +tagMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def tagMap(self):$/;" m class:Choice +tagMapUnique adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def tagMapUnique(self):$/;" m class:NamedTypes +tagNameState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def tagNameState(self):$/;" m class:HTMLTokenizer +tagNameState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def tagNameState(self):$/;" m class:HTMLTokenizer +tagOpenState adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def tagOpenState(self):$/;" m class:HTMLTokenizer +tagOpenState adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def tagOpenState(self):$/;" m class:HTMLTokenizer +Tags adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^class Tags(object):$/;" c +TAGS adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^ from PIL.TiffTags import TAGS_V2 as TAGS$/;" x function:test_imsave_pil_kwargs_tiff file: +TAGS adpepsenv/lib/python3.8/site-packages/PIL/ExifTags.py /^TAGS = {$/;" v +TAGS adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^TAGS = {$/;" v +tags adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ tags = property(lambda self: self._tags_v1)$/;" v class:ImageFileDirectory_v1 +Tags adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ Tags = {273, 288, 324, 519, 520, 521}$/;" v class:AppendingTiffWriter +TAGS adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^TAGS = {$/;" v +tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def tags(self):$/;" m class:Wheel +tags adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def tags(self):$/;" m class:InfoCommon +tags adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def tags(self):$/;" m class:Wheel +Tags adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Tags(self):$/;" m class:EventAccumulator +Tags adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def Tags(self):$/;" m class:EventAccumulator +tags adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def tags(self):$/;" m class:RunTagFilter +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^ tagSet = tag.initTagSet($/;" v class:EndOfOctets +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ tagSet = tag.TagSet()$/;" v class:Asn1Type +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:BMPString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:GeneralString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:GraphicString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:IA5String +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:NumericString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:PrintableString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:TeletexString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:UniversalString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:UTF8String +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:VideotexString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ tagSet = AbstractCharacterString.tagSet.tagImplicitly($/;" v class:VisibleString +TagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^class TagSet(object):$/;" c +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:BitString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Boolean +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Enumerated +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Integer +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Null +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:ObjectIdentifier +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:OctetString +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Real +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Sequence +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:SequenceOf +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:Set +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.initTagSet($/;" v class:SetOf +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.TagSet() # untagged$/;" v class:Any +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ tagSet = tag.TagSet() # untagged$/;" v class:Choice +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ tagSet = char.GraphicString.tagSet.tagImplicitly($/;" v class:ObjectDescriptor +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ tagSet = char.VisibleString.tagSet.tagImplicitly($/;" v class:GeneralizedTime +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ tagSet = char.VisibleString.tagSet.tagImplicitly($/;" v class:UTCTime +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Counter +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Gauge +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:TimeTicks +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ tagSet = univ.OctetString.tagSet.tagImplicitly($/;" v class:IpAddress +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^ tagSet = univ.OctetString.tagSet.tagImplicitly($/;" v class:Opaque +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ tagSet = _RequestBase.tagSet.tagImplicitly($/;" v class:GetNextRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ tagSet = _RequestBase.tagSet.tagImplicitly($/;" v class:GetRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ tagSet = _RequestBase.tagSet.tagImplicitly($/;" v class:GetResponsePDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^ tagSet = _RequestBase.tagSet.tagImplicitly($/;" v class:SetRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Counter32 +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Counter64 +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Gauge32 +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:TimeTicks +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.Integer.tagSet.tagImplicitly($/;" v class:Unsigned32 +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.OctetString.tagSet.tagImplicitly($/;" v class:IpAddress +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^ tagSet = univ.OctetString.tagSet.tagImplicitly($/;" v class:Opaque +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:GetBulkRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:GetNextRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:GetRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:InformRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:ReportPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:ResponsePDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:SetRequestPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^ tagSet = PDU.tagSet.tagImplicitly($/;" v class:SNMPv2TrapPDU +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:AbandonRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:AddRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:AddResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:BindRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:BindResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:CompareRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:CompareResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:DelRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:DelResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ExtendedRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ExtendedResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ModifyDNRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ModifyDNResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ModifyRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:ModifyResponse +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:SearchRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:SearchResultDone +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:SearchResultEntry +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:SearchResultReference +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^ tagSet = univ.Sequence.tagSet.tagImplicitly($/;" v class:UnbindRequest +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ tagSet = univ.Choice.tagSet.tagExplicitly($/;" v class:AdministrationDomainName +tagSet adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ tagSet = univ.Choice.tagSet.tagExplicitly($/;" v class:CountryName +tags_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def tags_impl(self, ctx, experiment):$/;" m class:NpmiPlugin +tags_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def tags_impl(self, ctx, experiment):$/;" m class:PrCurvesPlugin +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def tags_route(self, request):$/;" m class:DistributionsPlugin +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def tags_route(self, request):$/;" m class:HistogramsPlugin +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def tags_route(self, request):$/;" m class:PrCurvesPlugin +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def tags_route(self, request):$/;" m class:ScalarsPlugin +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def tags_route(self, request):$/;" m class:TextPlugin +TAGS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^TAGS_ROUTE = "\/tags"$/;" v +tags_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def tags_route(self, request):$/;" m class:TextV2Plugin +TAGS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^TAGS_ROUTE = "\/tags"$/;" v +TAGS_V2 adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^TAGS_V2 = {$/;" v +TagToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class TagToken(Token):$/;" c +tagTokenTypes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^tagTokenTypes = frozenset([tokenTypes["StartTag"], tokenTypes["EndTag"],$/;" v +tagTokenTypes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^tagTokenTypes = frozenset([tokenTypes["StartTag"], tokenTypes["EndTag"],$/;" v +tag_build adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ tag_build = None$/;" v class:InfoCommon +tag_date adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ tag_date = None$/;" v class:InfoCommon +TAG_FIELDS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^TAG_FIELDS = list(SUMMARY_TYPE_TO_FIELD.values())$/;" v +TAG_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/markdown/util.py /^TAG_PLACEHOLDER = STX + "hzzhzkh:%s" + ETX$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_regexp adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^tag_regexp = re.compile("{([^}]*)}(.*)")$/;" v +tag_sharding_attribute_for_dequeued_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^def tag_sharding_attribute_for_dequeued_tensors(dequeues, dims):$/;" f +tag_svn_revision adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def tag_svn_revision(self):$/;" m class:egg_info +tag_svn_revision adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def tag_svn_revision(self, value):$/;" m class:egg_info +TAG_TYPE_BITS adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^TAG_TYPE_BITS = 3 # Number of bits used to hold type info in a proto tag.$/;" v +TAG_TYPE_MASK adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^TAG_TYPE_MASK = (1 << TAG_TYPE_BITS) - 1 # 0x7$/;" v +TAIL_LIMIT adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ TAIL_LIMIT = 1.e8$/;" v class:VisitingDistribution +take adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def take(a, indices, axis: Optional[int] = None, out=None, mode=None):$/;" f +take adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def take(a, indices, axis=None, out=None, mode='raise'):$/;" f +take adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def take(self, indices, axis=None, out=None, mode='raise'):$/;" m class:MaskedArray +take adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def take(a, indices, axis=None, out=None, mode='raise'):$/;" f +take adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def take(self, count):$/;" m class:DatasetV1 +take adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def take(self, count):$/;" m class:DatasetV2 +take adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def take(a, indices, axis=None, out=None, mode='clip'):$/;" f +take adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def take(g, self, index):$/;" f +take adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def take(self, n):$/;" m class:Fuzzer +TakeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class TakeDataset(UnaryUnchangedStructureDataset):$/;" c +TakeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^TakeDataset = tf_export("raw_ops.TakeDataset")(_ops.to_raw_op(take_dataset))$/;" v +TakeManySparseFromTensorsMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^TakeManySparseFromTensorsMap = tf_export("raw_ops.TakeManySparseFromTensorsMap")(_ops.to_raw_op(/;" v +takewhile adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def takewhile(self, condition, advance=True):$/;" m class:TokenGenerator +TakeWhileDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^TakeWhileDataset = tf_export("raw_ops.TakeWhileDataset")(_ops.to_raw_op(take_while_dataset))$/;" v +take_along_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def take_along_axis(arr, indices, axis: Optional[int]):$/;" f +take_along_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def take_along_axis(arr, indices, axis):$/;" f +take_along_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def take_along_axis(arr, indices, axis): # pylint: disable=missing-docstring$/;" f +take_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def take_dataset(input_dataset, count, output_types, output_shapes, name=None):$/;" f +take_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def take_dataset_eager_fallback(input_dataset, count, output_types, output_shapes, name, ctx):$/;" f +take_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def take_grad(self, num_required, name=None):$/;" m class:ConditionalAccumulator +take_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def take_grad(self, num_required, name=None):$/;" m class:SparseConditionalAccumulator +take_indexed_slices_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def take_indexed_slices_grad(self, num_required, name=None):$/;" m class:SparseConditionalAccumulator +take_many adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def take_many(self,$/;" m class:Barrier +take_many_sparse_from_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def take_many_sparse_from_tensors_map(sparse_handles, dtype, container="", shared_name="", name=/;" f +take_many_sparse_from_tensors_map_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^def take_many_sparse_from_tensors_map_eager_fallback(sparse_handles, dtype, container, shared_na/;" f +take_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def take_step(self, x):$/;" m class:AdaptiveStepsize +take_while adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/take_while_ops.py /^def take_while(predicate):$/;" f +take_while_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def take_while_dataset(input_dataset, other_arguments, predicate, output_types, output_shapes, n/;" f +take_while_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def take_while_dataset_eager_fallback(input_dataset, other_arguments, predicate, output_types, o/;" f +take_while_predicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def take_while_predicate(unused_loop_vars, extra_cond):$/;" f function:_tf_dataset_for_stmt file: +take_while_predicate adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def take_while_predicate(unused_loop_vars, unused_state, extra_cond):$/;" f function:_dataset_for_stmt_with_extra_test file: +TAMPApexUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPApexUpdate(univ.Sequence):$/;" c +TAMPApexUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPApexUpdateConfirm(univ.Sequence):$/;" c +TAMPCommunityUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPCommunityUpdate(univ.Sequence):$/;" c +TAMPCommunityUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPCommunityUpdateConfirm(univ.Sequence):$/;" c +TAMPError adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPError(univ.Sequence):$/;" c +TAMPMsgRef adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPMsgRef(univ.Sequence):$/;" c +TAMPSequenceNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPSequenceNumber(univ.Sequence):$/;" c +TAMPSequenceNumbers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPSequenceNumbers(univ.SequenceOf):$/;" c +TAMPStatusQuery adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPStatusQuery(univ.Sequence):$/;" c +TAMPStatusResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPStatusResponse(univ.Sequence):$/;" c +TAMPUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPUpdate(univ.Sequence):$/;" c +TAMPUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPUpdateConfirm(univ.Sequence):$/;" c +TAMPVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TAMPVersion(univ.Integer):$/;" c +tamp_apex_update adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_apex_update = rfc5652.ContentInfo()$/;" v +tamp_apex_update_confirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_apex_update_confirm = rfc5652.ContentInfo()$/;" v +tamp_community_update adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_community_update = rfc5652.ContentInfo()$/;" v +tamp_community_update_confirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_community_update_confirm = rfc5652.ContentInfo()$/;" v +tamp_error adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_error = rfc5652.ContentInfo()$/;" v +tamp_sequence_number_adjust adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_sequence_number_adjust = rfc5652.ContentInfo()$/;" v +tamp_sequence_number_adjust_confirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_sequence_number_adjust_confirm = rfc5652.ContentInfo()$/;" v +tamp_status_query adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_status_query = rfc5652.ContentInfo()$/;" v +tamp_status_response adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_status_response = rfc5652.ContentInfo()$/;" v +tamp_update adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_update = rfc5652.ContentInfo()$/;" v +tamp_update_confirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^tamp_update_confirm = rfc5652.ContentInfo()$/;" v +tan adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def tan(cls, harness):$/;" m class:Jax2TfLimitation +tan adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^tan = np.tan$/;" v +tan adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def tan(x: Array) -> Array:$/;" f +tan adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^tan = _one_to_one_unop(np.tan, lax.tan, True)$/;" v +tan adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^tan = _MaskedUnaryOperation(umath.tan, 0.0,$/;" v +tan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tan(x, name=None):$/;" f +Tan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Tan = tf_export("raw_ops.Tan")(_ops.to_raw_op(tan))$/;" v +tan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def tan(x):$/;" f +tan adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def tan(g, self):$/;" f +tandg adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double tandg(double x0) nogil$/;" f +TangentInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop_util.py /^class TangentInfo($/;" c +tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/nonlinearity.py /^def tanh(model, blob_in, blob_out, use_cudnn=False, order="NCHW", **kwargs):$/;" f +tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/rnn_cell_test_util.py /^def tanh(x):$/;" f +tanh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def tanh(cls, harness):$/;" m class:Jax2TfLimitation +Tanh adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^Tanh = elementwise(jnp.tanh)$/;" v +tanh adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^tanh = np.tanh$/;" v +tanh adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def tanh(x: Array) -> Array:$/;" f +tanh adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^tanh = _one_to_one_unop(np.tanh, lax.tanh, True)$/;" v +tanh adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^tanh = _MaskedUnaryOperation(umath.tanh)$/;" v +tanh adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^tanh = _unary_op(math_ops.tanh)$/;" v +TANH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TANH = 28$/;" v class:BuiltinOperator +TANH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TANH = 4$/;" v class:ActivationFunctionType +tanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^def tanh(x):$/;" f +tanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def tanh(x):$/;" f +tanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tanh(x, name=None):$/;" f +Tanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Tanh = tf_export("raw_ops.Tanh")(_ops.to_raw_op(tanh))$/;" v +tanh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def tanh(x):$/;" f +tanh adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def tanh(input):$/;" f +Tanh adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Tanh(Module):$/;" c +tanh adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def tanh(g, self):$/;" f +TanhGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^TanhGrad = tf_export("raw_ops.TanhGrad")(_ops.to_raw_op(tanh_grad))$/;" v +tanhm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def tanhm(A):$/;" f +tanhshrink adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def tanhshrink(input):$/;" f +Tanhshrink adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Tanhshrink(Module):$/;" c +TanhTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class TanhTransform(Transform):$/;" c +tanh_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tanh_eager_fallback(x, name, ctx):$/;" f +tanh_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tanh_grad(y, dy, name=None):$/;" f +tanh_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tanh_grad_eager_fallback(y, dy, name, ctx):$/;" f +tanh_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^tanh_p = standard_unop(_float | _complex, 'tanh')$/;" v +tanm adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def tanm(A):$/;" f +tan_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def tan_eager_fallback(x, name, ctx):$/;" f +tan_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^tan_p = standard_unop(_float | _complex, 'tan',$/;" v +tan_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def tan_translation_rule(x):$/;" f +Tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^class Tape(object):$/;" c +tape_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^tape_cache = {}$/;" v +tape_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def tape_grad_fn(*result_grads):$/;" f function:_graph_mode_decorator file: +tape_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^from tensorflow.python.eager import tape as tape_lib$/;" x +TapFunctionException adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^TapFunctionException = CallbackException # For backwards compatibility$/;" v +TarError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class TarError(Exception):$/;" c +TarFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class TarFile(object):$/;" c +Target adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class Target(univ.Choice):$/;" c +Target adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class Target(univ.Choice):$/;" c +target adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ target = lambda x: 1$/;" f member:TestSLSQP.test_gh9640 file: +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def target(self):$/;" m class:TestCluster +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def target(self):$/;" m class:DispatchServer +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def target(self):$/;" m class:TfMethodTarget +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def target(self):$/;" m class:_TrainingTarget +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def target(self):$/;" m class:_DenseReadResourceVariableProcessor +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def target(self):$/;" m class:_DenseResourceVariableProcessor +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def target(self):$/;" m class:_OptimizableVariable +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def target(self):$/;" m class:_RefVariableProcessor +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def target(self):$/;" m class:_TensorProcessor +target adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def target(self):$/;" m class:Server +Target adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^Target = Union[Callable[..., Any], str]$/;" v +TargetCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class TargetCert(univ.Sequence):$/;" c +TargetCert adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class TargetCert(univ.Sequence):$/;" c +TargetHardwareIdentifiers adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class TargetHardwareIdentifiers(univ.SequenceOf):$/;" c +TargetIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TargetIdentifier(univ.Choice):$/;" c +TargetPython adpepsenv/lib/python3.8/site-packages/pip/_internal/models/target_python.py /^class TargetPython(object):$/;" c +Targets adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class Targets(univ.SequenceOf):$/;" c +Targets adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class Targets(univ.SequenceOf):$/;" c +targets adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def targets(self):$/;" m class:_FetchHandler +TargetSpec adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TargetSpec(object):$/;" c +target_blank adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/callbacks.py /^def target_blank(attrs, new=False):$/;" f +target_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def target_class(self):$/;" m class:TfMethodTarget +target_cpu adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def target_cpu(self):$/;" m class:PlatformInfo +target_dir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def target_dir(self, hidex86=False, x64=False):$/;" m class:PlatformInfo +target_is_x86 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def target_is_x86(self):$/;" m class:PlatformInfo +target_opset_version adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ target_opset_version = 9$/;" v class:Caffe2Frontend +target_python adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def target_python(self):$/;" m class:PackageFinder +TARGET_R adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^TARGET_R = re.compile(r"Target: ([a-zA-Z0-9_\\-]*)")$/;" v +TARGET_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^TARGET_RE = re.compile(r"^(\/[^?#]*)(?:\\?([^#]*))?(?:#.*)?$")$/;" v +TARGET_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^TARGET_RE = re.compile(r"^(\/[^?#]*)(?:\\?([^#]*))?(?:#.*)?$")$/;" v +tarinfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ tarinfo = TarInfo # The default TarInfo class to use.$/;" v class:TarFile +TarInfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class TarInfo(object):$/;" c +TarIO adpepsenv/lib/python3.8/site-packages/PIL/TarIO.py /^class TarIO(ContainerIO.ContainerIO):$/;" c +TarIter adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class TarIter(object):$/;" c +taropen adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def taropen(cls, name, mode="r", fileobj=None, **kwargs):$/;" m class:TarFile +TAR_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^TAR_EXTENSIONS = ('.tar.gz', '.tgz', '.tar') # type: Tuple[str, ...]$/;" v +Task adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class Task(object):$/;" c +task adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def task(self):$/;" m class:DeviceSpecV2 +task adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def task(self, task):$/;" m class:DeviceSpecV1 +Task adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class Task(nn.Module):$/;" c +TaskDeviceFilters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^TaskDeviceFilters = _reflection.GeneratedProtocolMessageType('TaskDeviceFilters', (_message.Mess/;" v +TaskGroup adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class TaskGroup(object):$/;" c +TaskLevelStatusMessage adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def TaskLevelStatusMessage(msg):$/;" f +TaskOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class TaskOutput(object):$/;" c +TaskOutputList adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class TaskOutputList(object):$/;" c +tasks adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def tasks(self):$/;" m class:TaskGroup +TaskSpec adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^class TaskSpec:$/;" c +tasks_by_node adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def tasks_by_node(self, node_remap=None):$/;" m class:TaskGroup +TaskType adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^class TaskType(object):$/;" c +task_address adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def task_address(self, job_name, task_index):$/;" m class:ClusterSpec +task_exit adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_exit(self):$/;" m class:Operations +task_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def task_function(start_events, finish_events):$/;" f member:MultiProcessCluster.__init__ file: +task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_group(self):$/;" m class:Operations +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self):$/;" m class:ClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self):$/;" m class:SimpleClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self):$/;" m class:UnionClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:ClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:SimpleClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:UnionClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def task_id(self):$/;" m class:GCEClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:GCEClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def task_id(self):$/;" m class:SageMakerClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:SageMakerClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def task_id(self):$/;" m class:TFConfigClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def task_id(self, task_id):$/;" m class:TFConfigClusterResolver +task_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def task_id(self):$/;" m class:_WorkerContext +task_id adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def task_id(self):$/;" m class:RunConfig +task_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def task_indices(self, job_name):$/;" m class:ClusterSpec +task_init adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_init(self):$/;" m class:Operations +task_instance_exit adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_instance_exit(self):$/;" m class:Operations +task_instance_init adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_instance_init(self):$/;" m class:Operations +TASK_INSTANCE_SETUP adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ TASK_INSTANCE_SETUP = 'task_instance_setup'$/;" v class:Task +task_ordinal_at_coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def task_ordinal_at_coordinates(self, device_coordinates):$/;" m class:Topology +task_parameters adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def task_parameters(self):$/;" m class:DistributedTest._DistTestBase.test_ddp_uneven_inputs.UnusedParamModule +task_reporter adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def task_reporter(self, interval_ms=1000, name=None):$/;" m class:Operations +TASK_SETUP adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ TASK_SETUP = 'task_setup'$/;" v class:Task +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self):$/;" m class:ClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self):$/;" m class:SimpleClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self):$/;" m class:UnionClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:ClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:SimpleClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:UnionClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def task_type(self):$/;" m class:GCEClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:GCEClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def task_type(self):$/;" m class:SageMakerClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:SageMakerClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def task_type(self):$/;" m class:TFConfigClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def task_type(self, task_type):$/;" m class:TFConfigClusterResolver +task_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def task_type(self):$/;" m class:_WorkerContext +task_type adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def task_type(self):$/;" m class:RunConfig +taylor adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def taylor(M, nbar=4, sll=30, norm=True, sym=True):$/;" f +taylor_series_at_1 adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/loggamma.py /^def taylor_series_at_1(N):$/;" f +ta_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def ta_wrapper(gn):$/;" f member:DatasetTestBase.getNext file: +tb adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^import caffe2.contrib.tensorboard.tensorboard_exporter as tb$/;" I +tb adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^import caffe2.contrib.tensorboard.tensorboard as tb$/;" I +TBContext adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^class TBContext(object):$/;" c +TBLoader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^class TBLoader(object):$/;" c +TBPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^class TBPlugin(object):$/;" c +TBSCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TBSCertificate(univ.Sequence):$/;" c +TBSCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TBSCertificate(univ.Sequence):$/;" c +TBSCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TBSCertificate(univ.Sequence):$/;" c +TBSCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^TBSCertificate = rfc5280.TBSCertificate$/;" v +TBSCertificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^TBSCertificate = rfc5280.TBSCertificate$/;" v +TBSCertificateChangeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TBSCertificateChangeInfo(univ.Sequence):$/;" c +TBSCertificateRevocationList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class TBSCertificateRevocationList(univ.Sequence):$/;" c +TBSCertList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TBSCertList(univ.Sequence):$/;" c +TBSCertList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TBSCertList(univ.Sequence):$/;" c +TBSCertList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TBSCertList(univ.Sequence):$/;" c +TBSRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class TBSRequest(univ.Sequence):$/;" c +TBSRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^class TBSRequest(univ.Sequence):$/;" c +tb_context adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def tb_context(self):$/;" m class:Context +tb_exporter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^import caffe2.contrib.tensorboard.tensorboard_exporter as tb_exporter$/;" I +tb_exporter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^import caffe2.contrib.tensorboard.tensorboard_exporter as tb_exporter$/;" I +tck adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def tck(self):$/;" m class:BSpline +TCP adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ TCP = "tcp"$/;" v class:Backend +tdes_Wrap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^tdes_Wrap = AlgorithmIdentifier()$/;" v +tearDown adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ def tearDown(self):$/;" m class:TestFileStoreHandlerOp +tearDown adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^ def tearDown(self):$/;" m class:TestRedisStoreHandlerOp +tearDown adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def tearDown(self):$/;" m class:TestDBFileReader +tearDown adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def tearDown(self):$/;" m class:CopyOpsTest +tearDown adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^ def tearDown(self):$/;" m class:TestCase +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def tearDown(self):$/;" m class:TestCase +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def tearDown(self):$/;" m class:BaseAttrs +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def tearDown(self):$/;" m class:BaseAttrs +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def tearDown(self):$/;" m class:BaseTest +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def tearDown(self):$/;" m class:BaseDataset +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def tearDown(self):$/;" m class:BaseDataset +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:BaseGroup +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:BaseMapping +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:TestAdditionalMappingFuncs +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:TestCopy +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:TestExternalLinks +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def tearDown(self):$/;" m class:TestVisit +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def tearDown(self):$/;" m class:TestScalarSliceRules +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def tearDown(self):$/;" m class:BaseSlicing +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def tearDown(self):$/;" m class:TestSimpleSlicing +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def tearDown(self):$/;" m class:IndexingTestCase +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def tearDown(self):$/;" m class:SlicingTestCase +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def tearDown(self):$/;" m class:TestEigerHighLevel +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def tearDown(self):$/;" m class:TestExcaliburHighLevel +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def tearDown(self):$/;" m class:TestPercivalHighLevel +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def tearDown(self):$/;" m class:TestEigerLowLevel +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def tearDown(self):$/;" m class:TestExcaliburLowLevel +tearDown adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def tearDown(self):$/;" m class:TestPercivalLowLevel +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def teardown(self):$/;" m class:TestPrintOptions +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def teardown(self):$/;" m class:_DeprecationTestCase +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def teardown(self):$/;" m class:TestMemmap +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def teardown(self):$/;" m class:TestIO +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def teardown(self):$/;" m class:TestAllclose +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def teardown(self):$/;" m class:_FilterInvalids +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def teardown(self):$/;" m class:TestCabs +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def teardown(self):$/;" m class:TestCpow +teardown adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^ def teardown(self):$/;" m class:CommaDecimalPointLocale +teardown adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def teardown(self):$/;" m class:TestSystemInfoReading +teardown adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^ def teardown(self):$/;" m class:TestF2cmapOption +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def teardown(self):$/;" m class:TestHistogram +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def teardown(self):$/;" m class:TestLoadTxt +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestDataSourceAbspath +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestDataSourceExists +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestDataSourceOpen +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestOpenFunc +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestRepositoryAbspath +teardown adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def teardown(self):$/;" m class:TestRepositoryExists +teardown adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def teardown(self):$/;" m class:TestMaskedArrayArithmetic +teardown adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def teardown(self):$/;" m class:TestUfuncs +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def tearDown(self):$/;" m class:TestCase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def tearDown(self):$/;" m class:AutoGraphTestCase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def tearDown(self):$/;" m class:DatasetSerializationTestBase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback_test_lib.py /^ def tearDown(self):$/;" m class:DumpingCallbackTestBase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def tearDown(self):$/;" m class:SessionDebugTestBase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def tearDown(self):$/;" m class:IndependentWorkerTestBase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def tearDown(self):$/;" m class:TensorFlowTestCase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ def tearDown(self):$/;" m class:TestCase +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierEvaluateTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierTrainTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNLogitFnTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNModelFnTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorEvaluateTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorTrainTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def tearDown(self):$/;" m class:BaseDNNWarmStartingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierEvaluationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierIntegrationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierTrainingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorEvaluationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorIntegrationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorTrainingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def tearDown(self):$/;" m class:BaseLinearWarmStartingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierEvaluateTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNClassifierTrainTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNLogitFnTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNModelFnTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorEvaluateTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNRegressorTrainTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseDNNWarmStartingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierEvaluationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierIntegrationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearClassifierTrainingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorEvaluationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorIntegrationTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorPartitionerTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorPredictTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearRegressorTrainingTest +tearDown adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def tearDown(self):$/;" m class:BaseLinearWarmStartingTest +tearDown adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def tearDown(self):$/;" m class:MultiProcessTestCase +tearDown adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def tearDown(self):$/;" m class:TestDistBackend +tearDown adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def tearDown(self):$/;" m class:JitTestCase +tearDownClass adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def tearDownClass(cls):$/;" m class:TestCase +tearDownClass adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def tearDownClass(cls):$/;" m class:CleanupTestCase +tearDownClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def tearDownClass(cls):$/;" m class:SessionDebugTestBase +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def teardown_method(self):$/;" m class:TestMMIOArray +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def teardown_method(self):$/;" m class:TestMMIOCoordinate +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def teardown_method(self):$/;" m class:TestMMIOReadLargeIntegers +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def teardown_method(self):$/;" m class:TestMMIOSparseCSR +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def teardown_method(self):$/;" m class:TestDifferentialEvolutionSolver +teardown_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def teardown_method(self):$/;" m class:TestInt32Overflow +teardown_module adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def teardown_module():$/;" f +teardown_module adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def teardown_module():$/;" f +tebi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^tebi = 2**40$/;" v +TelephoneNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class TelephoneNumber(char.IA5String):$/;" c +TelephoneNumberRange adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class TelephoneNumberRange(univ.Sequence):$/;" c +TeletexCommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexCommonName(char.TeletexString):$/;" c +TeletexCommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexCommonName(char.TeletexString):$/;" c +TeletexCommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexCommonName(char.TeletexString):$/;" c +TeletexDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexDomainDefinedAttribute(univ.Sequence):$/;" c +TeletexDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexDomainDefinedAttribute(univ.Sequence):$/;" c +TeletexDomainDefinedAttribute adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexDomainDefinedAttribute(univ.Sequence):$/;" c +TeletexDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexDomainDefinedAttributes(univ.SequenceOf):$/;" c +TeletexDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexDomainDefinedAttributes(univ.SequenceOf):$/;" c +TeletexDomainDefinedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexDomainDefinedAttributes(univ.SequenceOf):$/;" c +TeletexOrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexOrganizationalUnitName(char.TeletexString):$/;" c +TeletexOrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexOrganizationalUnitName(char.TeletexString):$/;" c +TeletexOrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexOrganizationalUnitName(char.TeletexString):$/;" c +TeletexOrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexOrganizationalUnitNames(univ.SequenceOf):$/;" c +TeletexOrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexOrganizationalUnitNames(univ.SequenceOf):$/;" c +TeletexOrganizationalUnitNames adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexOrganizationalUnitNames(univ.SequenceOf):$/;" c +TeletexOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexOrganizationName(char.TeletexString):$/;" c +TeletexOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexOrganizationName(char.TeletexString):$/;" c +TeletexOrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexOrganizationName(char.TeletexString):$/;" c +TeletexPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TeletexPersonalName(univ.Set):$/;" c +TeletexPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TeletexPersonalName(univ.Set):$/;" c +TeletexPersonalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TeletexPersonalName(univ.Set):$/;" c +TeletexString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class TeletexString(AbstractCharacterString):$/;" c +TeletexStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class TeletexStringDecoder(OctetStringDecoder):$/;" c +teletex_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^teletex_common_name = univ.Integer(2)$/;" v +teletex_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^teletex_common_name = univ.Integer(2)$/;" v +teletex_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^teletex_common_name = univ.Integer(2)$/;" v +teletex_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^teletex_domain_defined_attributes = univ.Integer(6)$/;" v +teletex_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^teletex_domain_defined_attributes = univ.Integer(6)$/;" v +teletex_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^teletex_domain_defined_attributes = univ.Integer(6)$/;" v +teletex_organizational_unit_names adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^teletex_organizational_unit_names = univ.Integer(5)$/;" v +teletex_organizational_unit_names adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^teletex_organizational_unit_names = univ.Integer(5)$/;" v +teletex_organizational_unit_names adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^teletex_organizational_unit_names = univ.Integer(5)$/;" v +teletex_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^teletex_organization_name = univ.Integer(3)$/;" v +teletex_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^teletex_organization_name = univ.Integer(3)$/;" v +teletex_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^teletex_organization_name = univ.Integer(3)$/;" v +teletex_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^teletex_personal_name = univ.Integer(4)$/;" v +teletex_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^teletex_personal_name = univ.Integer(4)$/;" v +teletex_personal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^teletex_personal_name = univ.Integer(4)$/;" v +tell adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def tell(self):$/;" m class:ContainerIO +tell adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ def tell(self):$/;" m class:DcxImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def tell(self):$/;" m class:FliImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def tell(self):$/;" m class:GifImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def tell(self):$/;" m class:Image +tell adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def tell(self):$/;" m class:ImImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ def tell(self):$/;" m class:MicImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def tell(self):$/;" m class:MpoImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def tell(self):$/;" m class:PngImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ def tell(self):$/;" m class:PsdImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def tell(self):$/;" m class:SpiderImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def tell(self):$/;" m class:AppendingTiffWriter +tell adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def tell(self):$/;" m class:TiffImageFile +tell adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def tell(self):$/;" m class:WebPImageFile +tell adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def tell(self):$/;" m class:LazyZipOverHTTP +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def tell(self):$/;" m class:ExFileObject +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def tell(self):$/;" m class:_BZ2Proxy +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def tell(self):$/;" m class:_FileInFile +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def tell(self):$/;" m class:_Stream +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def tell(self):$/;" m class:BufferedStream +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def tell(self):$/;" m class:Unpacker +tell adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def tell(self):$/;" m class:HTTPResponse +tell adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def tell(self):$/;" m class:BufferedStream +tell adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def tell(self):$/;" m class:FileIO +tell adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def tell(self):$/;" m class:HTTPResponse +tell adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def tell(self):$/;" m class:ResponseStream +tell adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def tell(self):$/;" m class:FileWrapper +tell adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def tell(self):$/;" m class:LimitedStream +TELUM_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ TELUM_AUDIO = 0x0280$/;" v class:WAVE_FORMAT +TELUM_IA_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ TELUM_IA_AUDIO = 0x0281$/;" v class:WAVE_FORMAT +temp adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ temp = reshape(arange(10000), (100, 100))$/;" v +Temp1Info adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^class Temp1Info(_system_info):$/;" c +Temp2Info adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^class Temp2Info(_system_info):$/;" c +tempdir adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^tempdir = None$/;" v +tempdir adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def tempdir(*args, **kwargs):$/;" f +tempdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def tempdir():$/;" f +tempdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/dirtools.py /^def tempdir():$/;" f +tempdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^def tempdir():$/;" f +tempdir adpepsenv/lib/python3.8/site-packages/scipy/_lib/_tmpdirs.py /^def tempdir():$/;" f +TempDirectory adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^class TempDirectory(object):$/;" c +TempDirectoryTypeRegistry adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^class TempDirectoryTypeRegistry(object):$/;" c +TempDirRegistry adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ TempDirectoryTypeRegistry as TempDirRegistry$/;" x +tempdir_kinds adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^tempdir_kinds = enum($/;" v +tempdir_registry adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^def tempdir_registry():$/;" f +temperature adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def temperature(self):$/;" m class:RelaxedBernoulli +temperature adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def temperature(self):$/;" m class:RelaxedOneHotCategorical +TempFileCleanup adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class TempFileCleanup(enum.Enum):$/;" c +tempfile_cleanup adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ tempfile_cleanup = TempFileCleanup.ALWAYS # type: TempFileCleanup # pytype: disable=annotati/;" v class:TestCase +tempfunc adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def tempfunc(shape, mi, yvals, func):$/;" f function:ppcc_max file: +TEMPLATE adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^TEMPLATE = """$/;" v +template adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ template = textwrap.dedent(r"""$/;" v class:ScriptWriter +template adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ template = "MANIFEST.in"$/;" v class:manifest_maker +Template adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^class Template(trackable.Trackable):$/;" c +TEMPLATE adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^TEMPLATE = u"""\\$/;" v +templates_path docs/source/conf.py /^templates_path = ['_templates']$/;" v +template_name_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^template_name_re = re.compile(r'\\A\\s*(\\w[\\w\\d]*)\\s*\\Z')$/;" v +template_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^template_re = re.compile(r"<\\s*(\\w[\\w\\d]*)\\s*>")$/;" v +template_sources adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def template_sources(self, sources, extension):$/;" m class:build_src +TempModulePatch adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ class TempModulePatch(runpy._TempModule):$/;" c function:import_main file: +temporal_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def temporal_padding(x, padding=(1, 1)):$/;" f +TemporarilyUnavailableError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class TemporarilyUnavailableError(OAuth2Error):$/;" c +TemporaryDirectory adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^class TemporaryDirectory:$/;" c +TemporaryDirectory adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^class TemporaryDirectory:$/;" c +TemporaryDirectory adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^class TemporaryDirectory:$/;" c +TemporaryDirectory adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^class TemporaryDirectory:$/;" c +TemporaryDirectory adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^ class TemporaryDirectory:$/;" c +TemporaryFile adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ TemporaryFile = tempfile.NamedTemporaryFile$/;" v +TemporaryFileName adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def TemporaryFileName():$/;" f +TemporaryVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^TemporaryVariable = tf_export("raw_ops.TemporaryVariable")(_ops.to_raw_op(temporary_variable))$/;" v +temporary_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def temporary_variable(shape, dtype, var_name="", name=None):$/;" f +temporary_variable_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def temporary_variable_eager_fallback(shape, dtype, var_name, name, ctx):$/;" f +temppath adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def temppath(*args, **kwargs):$/;" f +temp_file_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def temp_file_name():$/;" f +temp_ranges adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def temp_ranges(*args):$/;" f function:dblquad file: +temp_style adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def temp_style(style_name, settings=None):$/;" f +temp_workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def temp_workspace(name=b"temp_ws"):$/;" f +tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def tensor(min_dim=1,$/;" f +tensor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def tensor(self, tensor_index):$/;" m class:Interpreter +Tensor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Tensor(object):$/;" c +tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ def tensor():$/;" f function:get_on_read_saveable file: +tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^ def tensor():$/;" f function:get_on_write_saveable file: +Tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class Tensor(internal.NativeObject, core_tf_types.Tensor):$/;" c +tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def tensor(self):$/;" m class:SaveSpec +Tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^class Tensor(object):$/;" c +Tensor adpepsenv/lib/python3.8/site-packages/torch/fft/__init__.py /^Tensor = torch.Tensor$/;" v +Tensor adpepsenv/lib/python3.8/site-packages/torch/functional.py /^Tensor = torch.Tensor$/;" v +Tensor adpepsenv/lib/python3.8/site-packages/torch/linalg/__init__.py /^Tensor = torch.Tensor$/;" v +Tensor adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^Tensor = torch.Tensor$/;" v +tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def tensor(g, data, dtype=None, device=None, requires_grad=False):$/;" f +Tensor adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^class Tensor(torch._C._TensorBase):$/;" c +tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def tensor(draw, shapes=None, elements=None, qparams=None):$/;" f +tensor1d adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def tensor1d(min_len=1, max_len=64, dtype=np.float32, elements=None):$/;" f +tensor2list adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def tensor2list(onnx_tensor):$/;" f member:Caffe2Backend._create_tensor_filling_op file: +TensorAddBuffer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddBuffer(builder, buffer): builder.PrependUint32Slot(2, buffer, 0)$/;" f +TensorAddIsVariable adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddIsVariable(builder, isVariable): builder.PrependBoolSlot(5, isVariable, 0)$/;" f +TensorAddName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddName(builder, name): builder.PrependUOffsetTRelativeSlot(3, flatbuffers.number_type/;" f +TensorAddQuantization adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddQuantization(builder, quantization): builder.PrependUOffsetTRelativeSlot(4, flatbuf/;" f +TensorAddShape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddShape(builder, shape): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.number_ty/;" f +TensorAddShapeSignature adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddShapeSignature(builder, shapeSignature): builder.PrependUOffsetTRelativeSlot(7, fla/;" f +TensorAddSparsity adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddSparsity(builder, sparsity): builder.PrependUOffsetTRelativeSlot(6, flatbuffers.num/;" f +TensorAddType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorAddType(builder, type): builder.PrependInt8Slot(1, type, 0)$/;" f +TensorArray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArray = tf_export("raw_ops.TensorArray")(_ops.to_raw_op(tensor_array))$/;" v +TensorArray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^class TensorArray(object):$/;" c +TensorArrayClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayClose = tf_export("raw_ops.TensorArrayClose")(_ops.to_raw_op(tensor_array_close))$/;" v +TensorArrayCloseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayCloseV2 = tf_export("raw_ops.TensorArrayCloseV2")(_ops.to_raw_op(tensor_array_close_v/;" v +TensorArrayCloseV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayCloseV3 = tf_export("raw_ops.TensorArrayCloseV3")(_ops.to_raw_op(tensor_array_close_v/;" v +TensorArrayConcat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayConcat = tf_export("raw_ops.TensorArrayConcat")(_ops.to_raw_op(tensor_array_concat))$/;" v +TensorArrayConcatV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayConcatV2 = tf_export("raw_ops.TensorArrayConcatV2")(_ops.to_raw_op(tensor_array_conca/;" v +TensorArrayConcatV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayConcatV3 = tf_export("raw_ops.TensorArrayConcatV3")(_ops.to_raw_op(tensor_array_conca/;" v +TensorArrayGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGather = tf_export("raw_ops.TensorArrayGather")(_ops.to_raw_op(tensor_array_gather))$/;" v +TensorArrayGatherV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGatherV2 = tf_export("raw_ops.TensorArrayGatherV2")(_ops.to_raw_op(tensor_array_gathe/;" v +TensorArrayGatherV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGatherV3 = tf_export("raw_ops.TensorArrayGatherV3")(_ops.to_raw_op(tensor_array_gathe/;" v +TensorArrayGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGrad = tf_export("raw_ops.TensorArrayGrad")(_ops.to_raw_op(tensor_array_grad))$/;" v +TensorArrayGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGradV2 = tf_export("raw_ops.TensorArrayGradV2")(_ops.to_raw_op(tensor_array_grad_v2))$/;" v +TensorArrayGradV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGradV3 = tf_export("raw_ops.TensorArrayGradV3")(_ops.to_raw_op(tensor_array_grad_v3))$/;" v +TensorArrayGradWithShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayGradWithShape = tf_export("raw_ops.TensorArrayGradWithShape")(_ops.to_raw_op(tensor_a/;" v +TensorArrayPack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayPack = tf_export("raw_ops.TensorArrayPack")(_ops.to_raw_op(tensor_array_pack))$/;" v +TensorArrayRead adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayRead = tf_export("raw_ops.TensorArrayRead")(_ops.to_raw_op(tensor_array_read))$/;" v +TensorArrayReadV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayReadV2 = tf_export("raw_ops.TensorArrayReadV2")(_ops.to_raw_op(tensor_array_read_v2))$/;" v +TensorArrayReadV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayReadV3 = tf_export("raw_ops.TensorArrayReadV3")(_ops.to_raw_op(tensor_array_read_v3))$/;" v +TensorArrayScatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayScatter = tf_export("raw_ops.TensorArrayScatter")(_ops.to_raw_op(tensor_array_scatter/;" v +TensorArrayScatterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayScatterV2 = tf_export("raw_ops.TensorArrayScatterV2")(_ops.to_raw_op(tensor_array_sca/;" v +TensorArrayScatterV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayScatterV3 = tf_export("raw_ops.TensorArrayScatterV3")(_ops.to_raw_op(tensor_array_sca/;" v +TensorArraySize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySize = tf_export("raw_ops.TensorArraySize")(_ops.to_raw_op(tensor_array_size))$/;" v +TensorArraySizeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySizeV2 = tf_export("raw_ops.TensorArraySizeV2")(_ops.to_raw_op(tensor_array_size_v2))$/;" v +TensorArraySizeV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySizeV3 = tf_export("raw_ops.TensorArraySizeV3")(_ops.to_raw_op(tensor_array_size_v3))$/;" v +TensorArraySpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^class TensorArraySpec(type_spec.TypeSpec):$/;" c +TensorArraySplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySplit = tf_export("raw_ops.TensorArraySplit")(_ops.to_raw_op(tensor_array_split))$/;" v +TensorArraySplitV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySplitV2 = tf_export("raw_ops.TensorArraySplitV2")(_ops.to_raw_op(tensor_array_split_v/;" v +TensorArraySplitV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArraySplitV3 = tf_export("raw_ops.TensorArraySplitV3")(_ops.to_raw_op(tensor_array_split_v/;" v +TensorArrayStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _TensorArrayStructure as TensorArrayStructure$/;" x +TensorArrayStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _TensorArrayStructure as TensorArrayStructure$/;" x +TensorArrayUnpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayUnpack = tf_export("raw_ops.TensorArrayUnpack")(_ops.to_raw_op(tensor_array_unpack))$/;" v +TensorArrayV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayV2 = tf_export("raw_ops.TensorArrayV2")(_ops.to_raw_op(tensor_array_v2))$/;" v +TensorArrayV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayV3 = tf_export("raw_ops.TensorArrayV3")(_ops.to_raw_op(tensor_array_v3))$/;" v +TensorArrayWrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayWrite = tf_export("raw_ops.TensorArrayWrite")(_ops.to_raw_op(tensor_array_write))$/;" v +TensorArrayWriteV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayWriteV2 = tf_export("raw_ops.TensorArrayWriteV2")(_ops.to_raw_op(tensor_array_write_v/;" v +TensorArrayWriteV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^TensorArrayWriteV3 = tf_export("raw_ops.TensorArrayWriteV3")(_ops.to_raw_op(tensor_array_write_v/;" v +TensorBoard adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class TensorBoard(object):$/;" c +TensorBoard adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class TensorBoard(Callback, version_utils.TensorBoardVersionSelector):$/;" c +TensorBoard adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^class TensorBoard(callbacks.TensorBoard):$/;" c +TensorBoardDebugHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^class TensorBoardDebugHook(GrpcDebugHook):$/;" c +TensorBoardDebugWrapperSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^class TensorBoardDebugWrapperSession(GrpcDebugWrapperSession):$/;" c +TensorBoardExporter adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^class TensorBoardExporter(object):$/;" c +TensorBoardExporterServiceServicer adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^class TensorBoardExporterServiceServicer(object):$/;" c +TensorBoardExporterServiceStub adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^class TensorBoardExporterServiceStub(object):$/;" c +TensorboardExporterTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^class TensorboardExporterTest(unittest.TestCase):$/;" c +TensorBoardInfo adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^TensorBoardInfo = collections.namedtuple($/;" v +TensorBoardPortInUseError adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class TensorBoardPortInUseError(TensorBoardServerException):$/;" c +TensorBoardServer adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class TensorBoardServer(object):$/;" c +TensorBoardServerException adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class TensorBoardServerException(Exception):$/;" c +TensorBoardSubcommand adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class TensorBoardSubcommand(object):$/;" c +TensorboardTest adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^class TensorboardTest(unittest.TestCase):$/;" c +TensorBoardUploader adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class TensorBoardUploader(object):$/;" c +TensorBoardVersionSelector adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^class TensorBoardVersionSelector(object):$/;" c +TensorBoardWriterServiceServicer adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^class TensorBoardWriterServiceServicer(object):$/;" c +TensorBoardWriterServiceStub adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^class TensorBoardWriterServiceStub(object):$/;" c +TensorBoardWSGI adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^class TensorBoardWSGI(object):$/;" c +TensorBoardWSGIApp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def TensorBoardWSGIApp($/;" f +TENSORBOARD_CREDENTIALS_FILEPATH_PARTS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^TENSORBOARD_CREDENTIALS_FILEPATH_PARTS = [$/;" v +tensorboard_dot_compat_dot_proto_dot_allocation__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from tensorboard.compat.proto import allocation_description_pb2 as tensorboard_dot_compat_dot_pr/;" x +tensorboard_dot_compat_dot_proto_dot_allocation__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from tensorboard.compat.proto import allocation_description_pb2 as tensorboard_dot_compat_dot_pr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from tensorboard.compat.proto import attr_value_pb2 as tensorboard_dot_compat_dot_proto_dot_attr/;" x +tensorboard_dot_compat_dot_proto_dot_cluster__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import cluster_pb2 as tensorboard_dot_compat_dot_proto_dot_cluster/;" x +tensorboard_dot_compat_dot_proto_dot_cost__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import cost_graph_pb2 as tensorboard_dot_compat_dot_proto_dot_cost/;" x +tensorboard_dot_compat_dot_proto_dot_debug__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import debug_pb2 as tensorboard_dot_compat_dot_proto_dot_debug__pb/;" x +tensorboard_dot_compat_dot_proto_dot_function__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from tensorboard.compat.proto import function_pb2 as tensorboard_dot_compat_dot_proto_dot_functi/;" x +tensorboard_dot_compat_dot_proto_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import graph_pb2 as tensorboard_dot_compat_dot_proto_dot_graph__pb/;" x +tensorboard_dot_compat_dot_proto_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import graph_pb2 as tensorboard_dot_compat_dot_proto_dot_graph__pb/;" x +tensorboard_dot_compat_dot_proto_dot_node__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from tensorboard.compat.proto import node_def_pb2 as tensorboard_dot_compat_dot_proto_dot_node__/;" x +tensorboard_dot_compat_dot_proto_dot_node__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from tensorboard.compat.proto import node_def_pb2 as tensorboard_dot_compat_dot_proto_dot_node__/;" x +tensorboard_dot_compat_dot_proto_dot_op__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from tensorboard.compat.proto import op_def_pb2 as tensorboard_dot_compat_dot_proto_dot_op__def_/;" x +tensorboard_dot_compat_dot_proto_dot_op__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import op_def_pb2 as tensorboard_dot_compat_dot_proto_dot_op__def_/;" x +tensorboard_dot_compat_dot_proto_dot_resource__handle__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from tensorboard.compat.proto import resource_handle_pb2 as tensorboard_dot_compat_dot_proto_dot/;" x +tensorboard_dot_compat_dot_proto_dot_rewriter__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import rewriter_config_pb2 as tensorboard_dot_compat_dot_proto_dot/;" x +tensorboard_dot_compat_dot_proto_dot_saved__object__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import saved_object_graph_pb2 as tensorboard_dot_compat_dot_proto_/;" x +tensorboard_dot_compat_dot_proto_dot_saver__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import saver_pb2 as tensorboard_dot_compat_dot_proto_dot_saver__pb/;" x +tensorboard_dot_compat_dot_proto_dot_step__stats__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from tensorboard.compat.proto import step_stats_pb2 as tensorboard_dot_compat_dot_proto_dot_step/;" x +tensorboard_dot_compat_dot_proto_dot_step__stats__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from tensorboard.compat.proto import step_stats_pb2 as tensorboard_dot_compat_dot_proto_dot_step/;" x +tensorboard_dot_compat_dot_proto_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import struct_pb2 as tensorboard_dot_compat_dot_proto_dot_struct__/;" x +tensorboard_dot_compat_dot_proto_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import struct_pb2 as tensorboard_dot_compat_dot_proto_dot_struct__/;" x +tensorboard_dot_compat_dot_proto_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^from tensorboard.compat.proto import summary_pb2 as tensorboard_dot_compat_dot_proto_dot_summary/;" x +tensorboard_dot_compat_dot_proto_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from tensorboard.compat.proto import summary_pb2 as tensorboard_dot_compat_dot_proto_dot_summary/;" x +tensorboard_dot_compat_dot_proto_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from tensorboard.compat.proto import summary_pb2 as tensorboard_dot_compat_dot_proto_dot_summary/;" x +tensorboard_dot_compat_dot_proto_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from tensorboard.compat.proto import summary_pb2 as tensorboard_dot_compat_dot_proto_dot_summary/;" x +tensorboard_dot_compat_dot_proto_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from tensorboard.compat.proto import summary_pb2 as tensorboard_dot_compat_dot_proto_dot_summary/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from tensorboard.compat.proto import tensor_description_pb2 as tensorboard_dot_compat_dot_proto_/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from tensorboard.compat.proto import tensor_pb2 as tensorboard_dot_compat_dot_proto_dot_tensor__/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from tensorboard.compat.proto import tensor_pb2 as tensorboard_dot_compat_dot_proto_dot_tensor__/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^from tensorboard.compat.proto import tensor_pb2 as tensorboard_dot_compat_dot_proto_dot_tensor__/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from tensorboard.compat.proto import tensor_pb2 as tensorboard_dot_compat_dot_proto_dot_tensor__/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from tensorboard.compat.proto import tensor_pb2 as tensorboard_dot_compat_dot_proto_dot_tensor__/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from tensorboard.compat.proto import tensor_shape_pb2 as tensorboard_dot_compat_dot_proto_dot_te/;" x +tensorboard_dot_compat_dot_proto_dot_trackable__object__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import trackable_object_graph_pb2 as tensorboard_dot_compat_dot_pr/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from tensorboard.compat.proto import types_pb2 as tensorboard_dot_compat_dot_proto_dot_types__pb/;" x +tensorboard_dot_compat_dot_proto_dot_variable__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import variable_pb2 as tensorboard_dot_compat_dot_proto_dot_variab/;" x +tensorboard_dot_compat_dot_proto_dot_verifier__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from tensorboard.compat.proto import verifier_config_pb2 as tensorboard_dot_compat_dot_proto_dot/;" x +tensorboard_dot_compat_dot_proto_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from tensorboard.compat.proto import versions_pb2 as tensorboard_dot_compat_dot_proto_dot_versio/;" x +tensorboard_dot_compat_dot_proto_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from tensorboard.compat.proto import versions_pb2 as tensorboard_dot_compat_dot_proto_dot_versio/;" x +tensorboard_dot_plugins_dot_hparams_dot_api__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from tensorboard.plugins.hparams import api_pb2 as tensorboard_dot_plugins_dot_hparams_dot_api__/;" x +tensorboard_dot_plugins_dot_hparams_dot_api__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from tensorboard.plugins.hparams import api_pb2 as tensorboard_dot_plugins_dot_hparams_dot_api__/;" x +tensorboard_dot_uploader_dot_proto_dot_blob__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from tensorboard.uploader.proto import blob_pb2 as tensorboard_dot_uploader_dot_proto_dot_blob__/;" x +tensorboard_dot_uploader_dot_proto_dot_blob__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from tensorboard.uploader.proto import blob_pb2 as tensorboard_dot_uploader_dot_proto_dot_blob__/;" x +tensorboard_dot_uploader_dot_proto_dot_experiment__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from tensorboard.uploader.proto import experiment_pb2 as tensorboard_dot_uploader_dot_proto_dot_/;" x +tensorboard_dot_uploader_dot_proto_dot_experiment__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from tensorboard.uploader.proto import experiment_pb2 as tensorboard_dot_uploader_dot_proto_dot_/;" x +tensorboard_dot_uploader_dot_proto_dot_export__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^from tensorboard.uploader.proto import export_service_pb2 as tensorboard_dot_uploader_dot_proto_/;" x +tensorboard_dot_uploader_dot_proto_dot_scalar__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from tensorboard.uploader.proto import scalar_pb2 as tensorboard_dot_uploader_dot_proto_dot_scal/;" x +tensorboard_dot_uploader_dot_proto_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from tensorboard.uploader.proto import tensor_pb2 as tensorboard_dot_uploader_dot_proto_dot_tens/;" x +tensorboard_dot_uploader_dot_proto_dot_write__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^from tensorboard.uploader.proto import write_service_pb2 as tensorboard_dot_uploader_dot_proto_d/;" x +tensorboard_events adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def tensorboard_events(c2_dir, tf_dir):$/;" f +tensorboard_graphs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def tensorboard_graphs(c2_netdef, tf_dir):$/;" f +TensorBoundShape adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorBoundShape = _reflection.GeneratedProtocolMessageType('TensorBoundShape', (_message.Messag/;" v +TensorBoundShapes adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorBoundShapes = _reflection.GeneratedProtocolMessageType('TensorBoundShapes', (_message.Mess/;" v +TensorBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TensorBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Tensor +TensorClass adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^TensorClass = namedtuple("TensorClass", ["tensors"])$/;" v +TensorConnection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^TensorConnection = _reflection.GeneratedProtocolMessageType('TensorConnection', (_message.Messag/;" v +TensorConnection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^TensorConnection = _reflection.GeneratedProtocolMessageType('TensorConnection', (_message.Messag/;" v +TensorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class TensorDataset(DatasetSource):$/;" c +TensorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^TensorDataset = tf_export("raw_ops.TensorDataset")(_ops.to_raw_op(tensor_dataset))$/;" v +TensorDataset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^class TensorDataset(Dataset[Tuple[Tensor, ...]]):$/;" c +TensorDatum adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class TensorDatum(object):$/;" c +TensorDebugMode adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^TensorDebugMode = enum_type_wrapper.EnumTypeWrapper(_TENSORDEBUGMODE)$/;" v +TensorDef adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^TensorDef = _reflection.GeneratedProtocolMessageType('TensorDef', (_message.Message,), {$/;" v +TensorDescription adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^TensorDescription = _reflection.GeneratedProtocolMessageType('TensorDescription', (_message.Mess/;" v +TensorDescription adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^TensorDescription = _reflection.GeneratedProtocolMessageType('TensorDescription', (_message.Mess/;" v +tensordot adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def tensordot(a, b, axes=2, *, precision=None):$/;" f +tensordot adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def tensordot(a, b, axes=2):$/;" f +tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def tensordot(x, y, axes=2):$/;" f +tensordot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^tensordot = math_ops.tensordot$/;" v +tensordot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def tensordot(a, b, axes, name=None):$/;" f +tensordot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def tensordot(a, b, axes=2):$/;" f +tensordot adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def tensordot(a, b, dims=2):$/;" f +tensordot_cache_wrap adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def tensordot_cache_wrap(tensordot):$/;" f +TensorEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorEnd(builder): return builder.EndObject()$/;" f +TensorEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^TensorEvent = namedtuple("TensorEvent", ["wall_time", "step", "tensor_proto"])$/;" v +TensorEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^TensorEvent = namedtuple("TensorEvent", ["wall_time", "step", "tensor_proto"])$/;" v +TENSORFLOW adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^TENSORFLOW = 1$/;" v +TensorFlowBenchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^class TensorFlowBenchmark(Benchmark):$/;" c +TensorFlowOpLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^class TensorFlowOpLayer(Layer):$/;" c +TensorFlowTestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^class TensorFlowTestCase(googletest.TestCase):$/;" c +TensorFlowTrace adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^class TensorFlowTrace(core.Trace):$/;" c +TensorFlowTracer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^class TensorFlowTracer(core.Tracer):$/;" c +TENSORFLOW_API_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^TENSORFLOW_API_NAME = 'tensorflow'$/;" v +tensorflow_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^ def tensorflow_contract(*arrays):$/;" f function:build_expression_graph file: +tensorflow_dot_compiler_dot_xla_dot_service_dot_hlo__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from tensorflow.compiler.xla.service import hlo_pb2 as tensorflow_dot_compiler_dot_xla_dot_servi/;" x +tensorflow_dot_compiler_dot_xla_dot_service_dot_hlo__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from tensorflow.compiler.xla.service import hlo_pb2 as tensorflow_dot_compiler_dot_xla_dot_servi/;" x +tensorflow_dot_compiler_dot_xla_dot_xla__data__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^from tensorflow.compiler.xla import xla_data_pb2 as tensorflow_dot_compiler_dot_xla_dot_xla__dat/;" x +tensorflow_dot_core_dot_debug_dot_debug__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^from tensorflow.core.debug import debug_service_pb2 as tensorflow_dot_core_dot_debug_dot_debug__/;" x +tensorflow_dot_core_dot_debug_dot_debug__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^from tensorflow.core.debug import debug_service_pb2 as tensorflow_dot_core_dot_debug_dot_debug__/;" x +tensorflow_dot_core_dot_example_dot_example__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^from tensorflow.core.example import example_pb2 as tensorflow_dot_core_dot_example_dot_example__/;" x +tensorflow_dot_core_dot_example_dot_feature__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^from tensorflow.core.example import feature_pb2 as tensorflow_dot_core_dot_example_dot_feature__/;" x +tensorflow_dot_core_dot_framework_dot_allocation__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from tensorflow.core.framework import allocation_description_pb2 as tensorflow_dot_core_dot_fram/;" x +tensorflow_dot_core_dot_framework_dot_allocation__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from tensorflow.core.framework import allocation_description_pb2 as tensorflow_dot_core_dot_fram/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_attr__value__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from tensorflow.core.framework import attr_value_pb2 as tensorflow_dot_core_dot_framework_dot_at/;" x +tensorflow_dot_core_dot_framework_dot_cost__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.framework import cost_graph_pb2 as tensorflow_dot_core_dot_framework_dot_co/;" x +tensorflow_dot_core_dot_framework_dot_function__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from tensorflow.core.framework import function_pb2 as tensorflow_dot_core_dot_framework_dot_func/;" x +tensorflow_dot_core_dot_framework_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from tensorflow.core.framework import graph_pb2 as tensorflow_dot_core_dot_framework_dot_graph__/;" x +tensorflow_dot_core_dot_framework_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.framework import graph_pb2 as tensorflow_dot_core_dot_framework_dot_graph__/;" x +tensorflow_dot_core_dot_framework_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.framework import graph_pb2 as tensorflow_dot_core_dot_framework_dot_graph__/;" x +tensorflow_dot_core_dot_framework_dot_graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^from tensorflow.core.framework import graph_pb2 as tensorflow_dot_core_dot_framework_dot_graph__/;" x +tensorflow_dot_core_dot_framework_dot_node__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from tensorflow.core.framework import node_def_pb2 as tensorflow_dot_core_dot_framework_dot_node/;" x +tensorflow_dot_core_dot_framework_dot_node__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from tensorflow.core.framework import node_def_pb2 as tensorflow_dot_core_dot_framework_dot_node/;" x +tensorflow_dot_core_dot_framework_dot_op__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from tensorflow.core.framework import op_def_pb2 as tensorflow_dot_core_dot_framework_dot_op__de/;" x +tensorflow_dot_core_dot_framework_dot_op__def__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.framework import op_def_pb2 as tensorflow_dot_core_dot_framework_dot_op__de/;" x +tensorflow_dot_core_dot_framework_dot_resource__handle__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from tensorflow.core.framework import resource_handle_pb2 as tensorflow_dot_core_dot_framework_d/;" x +tensorflow_dot_core_dot_framework_dot_step__stats__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from tensorflow.core.framework import step_stats_pb2 as tensorflow_dot_core_dot_framework_dot_st/;" x +tensorflow_dot_core_dot_framework_dot_step__stats__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.framework import step_stats_pb2 as tensorflow_dot_core_dot_framework_dot_st/;" x +tensorflow_dot_core_dot_framework_dot_summary__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^from tensorflow.core.framework import summary_pb2 as tensorflow_dot_core_dot_framework_dot_summa/;" x +tensorflow_dot_core_dot_framework_dot_tensor__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^from tensorflow.core.framework import tensor_description_pb2 as tensorflow_dot_core_dot_framewor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__description__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from tensorflow.core.framework import tensor_description_pb2 as tensorflow_dot_core_dot_framewor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from tensorflow.core.framework import tensor_pb2 as tensorflow_dot_core_dot_framework_dot_tensor/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__shape__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from tensorflow.core.framework import tensor_shape_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__slice__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from tensorflow.core.framework import tensor_slice_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_tensor__slice__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from tensorflow.core.framework import tensor_slice_pb2 as tensorflow_dot_core_dot_framework_dot_/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from tensorflow.core.framework import types_pb2 as tensorflow_dot_core_dot_framework_dot_types__/;" x +tensorflow_dot_core_dot_framework_dot_variable__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.framework import variable_pb2 as tensorflow_dot_core_dot_framework_dot_vari/;" x +tensorflow_dot_core_dot_framework_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from tensorflow.core.framework import versions_pb2 as tensorflow_dot_core_dot_framework_dot_vers/;" x +tensorflow_dot_core_dot_framework_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.framework import versions_pb2 as tensorflow_dot_core_dot_framework_dot_vers/;" x +tensorflow_dot_core_dot_framework_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from tensorflow.core.framework import versions_pb2 as tensorflow_dot_core_dot_framework_dot_vers/;" x +tensorflow_dot_core_dot_framework_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from tensorflow.core.framework import versions_pb2 as tensorflow_dot_core_dot_framework_dot_vers/;" x +tensorflow_dot_core_dot_framework_dot_versions__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^from tensorflow.core.framework import versions_pb2 as tensorflow_dot_core_dot_framework_dot_vers/;" x +tensorflow_dot_core_dot_lib_dot_core_dot_error__codes__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^from tensorflow.core.lib.core import error_codes_pb2 as tensorflow_dot_core_dot_lib_dot_core_dot/;" x +tensorflow_dot_core_dot_profiler_dot_profiler__analysis__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^from tensorflow.core.profiler import profiler_analysis_pb2 as tensorflow_dot_core_dot_profiler_d/;" x +tensorflow_dot_core_dot_profiler_dot_profiler__options__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from tensorflow.core.profiler import profiler_options_pb2 as tensorflow_dot_core_dot_profiler_do/;" x +tensorflow_dot_core_dot_profiler_dot_profiler__service__monitor__result__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from tensorflow.core.profiler import profiler_service_monitor_result_pb2 as tensorflow_dot_core_/;" x +tensorflow_dot_core_dot_profiler_dot_profiler__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^from tensorflow.core.profiler import profiler_service_pb2 as tensorflow_dot_core_dot_profiler_do/;" x +tensorflow_dot_core_dot_profiler_dot_profiler__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^from tensorflow.core.profiler import profiler_service_pb2 as tensorflow_dot_core_dot_profiler_do/;" x +tensorflow_dot_core_dot_profiler_dot_tfprof__log__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from tensorflow.core.profiler import tfprof_log_pb2 as tensorflow_dot_core_dot_profiler_dot_tfpr/;" x +tensorflow_dot_core_dot_protobuf_dot_cluster__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.protobuf import cluster_pb2 as tensorflow_dot_core_dot_protobuf_dot_cluster/;" x +tensorflow_dot_core_dot_protobuf_dot_cluster__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from tensorflow.core.protobuf import cluster_pb2 as tensorflow_dot_core_dot_protobuf_dot_cluster/;" x +tensorflow_dot_core_dot_protobuf_dot_config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from tensorflow.core.protobuf import config_pb2 as tensorflow_dot_core_dot_protobuf_dot_config__/;" x +tensorflow_dot_core_dot_protobuf_dot_config__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from tensorflow.core.protobuf import config_pb2 as tensorflow_dot_core_dot_protobuf_dot_config__/;" x +tensorflow_dot_core_dot_protobuf_dot_debug__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from tensorflow.core.protobuf import debug_pb2 as tensorflow_dot_core_dot_protobuf_dot_debug__pb/;" x +tensorflow_dot_core_dot_protobuf_dot_debug__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^from tensorflow.core.protobuf import debug_pb2 as tensorflow_dot_core_dot_protobuf_dot_debug__pb/;" x +tensorflow_dot_core_dot_protobuf_dot_debug__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.protobuf import debug_pb2 as tensorflow_dot_core_dot_protobuf_dot_debug__pb/;" x +tensorflow_dot_core_dot_protobuf_dot_debug__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^from tensorflow.core.protobuf import debug_pb2 as tensorflow_dot_core_dot_protobuf_dot_debug__pb/;" x +tensorflow_dot_core_dot_protobuf_dot_device__filters__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from tensorflow.core.protobuf import device_filters_pb2 as tensorflow_dot_core_dot_protobuf_dot_/;" x +tensorflow_dot_core_dot_protobuf_dot_device__properties__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from tensorflow.core.protobuf import device_properties_pb2 as tensorflow_dot_core_dot_protobuf_d/;" x +tensorflow_dot_core_dot_protobuf_dot_error__codes__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^from tensorflow.core.protobuf import error_codes_pb2 as tensorflow_dot_core_dot_protobuf_dot_err/;" x +tensorflow_dot_core_dot_protobuf_dot_error__codes__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^from tensorflow.core.protobuf import error_codes_pb2 as tensorflow_dot_core_dot_protobuf_dot_err/;" x +tensorflow_dot_core_dot_protobuf_dot_error__codes__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from tensorflow.core.protobuf import error_codes_pb2 as tensorflow_dot_core_dot_protobuf_dot_err/;" x +tensorflow_dot_core_dot_protobuf_dot_graph__debug__info__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from tensorflow.core.protobuf import graph_debug_info_pb2 as tensorflow_dot_core_dot_protobuf_do/;" x +tensorflow_dot_core_dot_protobuf_dot_meta__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from tensorflow.core.protobuf import meta_graph_pb2 as tensorflow_dot_core_dot_protobuf_dot_meta/;" x +tensorflow_dot_core_dot_protobuf_dot_meta__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^from tensorflow.core.protobuf import meta_graph_pb2 as tensorflow_dot_core_dot_protobuf_dot_meta/;" x +tensorflow_dot_core_dot_protobuf_dot_named__tensor__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from tensorflow.core.protobuf import named_tensor_pb2 as tensorflow_dot_core_dot_protobuf_dot_na/;" x +tensorflow_dot_core_dot_protobuf_dot_rewriter__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from tensorflow.core.protobuf import rewriter_config_pb2 as tensorflow_dot_core_dot_protobuf_dot/;" x +tensorflow_dot_core_dot_protobuf_dot_saved__object__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.protobuf import saved_object_graph_pb2 as tensorflow_dot_core_dot_protobuf_/;" x +tensorflow_dot_core_dot_protobuf_dot_saver__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.protobuf import saver_pb2 as tensorflow_dot_core_dot_protobuf_dot_saver__pb/;" x +tensorflow_dot_core_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from tensorflow.core.protobuf import struct_pb2 as tensorflow_dot_core_dot_protobuf_dot_struct__/;" x +tensorflow_dot_core_dot_protobuf_dot_struct__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.protobuf import struct_pb2 as tensorflow_dot_core_dot_protobuf_dot_struct__/;" x +tensorflow_dot_core_dot_protobuf_dot_tpu_dot_optimization__parameters__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from tensorflow.core.protobuf.tpu import optimization_parameters_pb2 as tensorflow_dot_core_dot_/;" x +tensorflow_dot_core_dot_protobuf_dot_tpu_dot_tpu__embedding__output__layout__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from tensorflow.core.protobuf.tpu import tpu_embedding_output_layout_pb2 as tensorflow_dot_core_/;" x +tensorflow_dot_core_dot_protobuf_dot_trackable__object__graph__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from tensorflow.core.protobuf import trackable_object_graph_pb2 as tensorflow_dot_core_dot_proto/;" x +tensorflow_dot_core_dot_protobuf_dot_verifier__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from tensorflow.core.protobuf import verifier_config_pb2 as tensorflow_dot_core_dot_protobuf_dot/;" x +tensorflow_dot_core_dot_util_dot_event__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from tensorflow.core.util import event_pb2 as tensorflow_dot_core_dot_util_dot_event__pb2$/;" x +tensorflow_dot_core_dot_util_dot_event__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^from tensorflow.core.util import event_pb2 as tensorflow_dot_core_dot_util_dot_event__pb2$/;" x +tensorflow_dot_core_dot_util_dot_event__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^from tensorflow.core.util import event_pb2 as tensorflow_dot_core_dot_util_dot_event__pb2$/;" x +tensorflow_dot_lite_dot_toco_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^from tensorflow.lite.toco import types_pb2 as tensorflow_dot_lite_dot_toco_dot_types__pb2$/;" x +tensorflow_dot_lite_dot_toco_dot_types__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^from tensorflow.lite.toco import types_pb2 as tensorflow_dot_lite_dot_toco_dot_types__pb2$/;" x +tensorflow_eager_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^ def tensorflow_eager_contract(*arrays):$/;" f function:build_expression_eager file: +TENSORFLOW_GRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^TENSORFLOW_GRAPHDEF = _toco_flags_pb2.TENSORFLOW_GRAPHDEF$/;" v +TENSORFLOW_GRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^TENSORFLOW_GRAPHDEF = 1$/;" v +tensorflow_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tensorflow_version(self):$/;" m class:DebugDataReader +tensorflow_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tensorflow_version(self):$/;" m class:DebugEventsReader +tensorflow__serving_dot_apis_dot_classification__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import classification_pb2 as tensorf/;" x +tensorflow__serving_dot_apis_dot_classification__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import classification_pb2 as tensorf/;" x +tensorflow__serving_dot_apis_dot_classification__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import classification_pb2 as tensorf/;" x +tensorflow__serving_dot_apis_dot_classification__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import classification_pb2 as tensorf/;" x +tensorflow__serving_dot_apis_dot_get__model__metadata__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import get_model_metadata_pb2 as ten/;" x +tensorflow__serving_dot_apis_dot_get__model__metadata__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import get_model_metadata_pb2 as ten/;" x +tensorflow__serving_dot_apis_dot_get__model__status__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import get_model_status_pb2 as tenso/;" x +tensorflow__serving_dot_apis_dot_get__model__status__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import get_model_status_pb2 as tenso/;" x +tensorflow__serving_dot_apis_dot_inference__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import inference_pb2 as tensorflow__/;" x +tensorflow__serving_dot_apis_dot_inference__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import inference_pb2 as tensorflow__/;" x +tensorflow__serving_dot_apis_dot_inference__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import inference_pb2 as tensorflow__/;" x +tensorflow__serving_dot_apis_dot_input__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import input_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_input__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import input_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_input__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import input_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__management__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_management_pb2 as tenso/;" x +tensorflow__serving_dot_apis_dot_model__management__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_management_pb2 as tenso/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_model__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import model_pb2 as tensorflow__serv/;" x +tensorflow__serving_dot_apis_dot_predict__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import predict_pb2 as tensorflow__se/;" x +tensorflow__serving_dot_apis_dot_predict__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import predict_pb2 as tensorflow__se/;" x +tensorflow__serving_dot_apis_dot_predict__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import predict_pb2 as tensorflow__se/;" x +tensorflow__serving_dot_apis_dot_regression__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import regression_pb2 as tensorflow_/;" x +tensorflow__serving_dot_apis_dot_regression__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import regression_pb2 as tensorflow_/;" x +tensorflow__serving_dot_apis_dot_regression__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import regression_pb2 as tensorflow_/;" x +tensorflow__serving_dot_apis_dot_regression__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import regression_pb2 as tensorflow_/;" x +tensorflow__serving_dot_apis_dot_session__service__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.apis import session_service_pb2 as tensor/;" x +tensorflow__serving_dot_config_dot_logging__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.config import logging_config_pb2 as tenso/;" x +tensorflow__serving_dot_config_dot_log__collector__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.config import log_collector_config_pb2 as/;" x +tensorflow__serving_dot_config_dot_model__server__config__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.config import model_server_config_pb2 as /;" x +tensorflow__serving_dot_core_dot_logging__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.core import logging_pb2 as tensorflow__se/;" x +tensorflow__serving_dot_sources_dot_storage__path_dot_file__system__storage__path__source__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.sources.storage_path import file_system_s/;" x +tensorflow__serving_dot_util_dot_status__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.util import status_pb2 as tensorflow__ser/;" x +tensorflow__serving_dot_util_dot_status__pb2 adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from tensorboard_plugin_wit._vendor.tensorflow_serving.util import status_pb2 as tensorflow__ser/;" x +TensorForestCreateTreeVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestCreateTreeVariable = tf_export("raw_ops.TensorForestCreateTreeVariable")(_ops.to_raw/;" v +TensorForestTreeDeserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreeDeserialize = tf_export("raw_ops.TensorForestTreeDeserialize")(_ops.to_raw_op(te/;" v +TensorForestTreeIsInitializedOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreeIsInitializedOp = tf_export("raw_ops.TensorForestTreeIsInitializedOp")(_ops.to_r/;" v +TensorForestTreePredict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreePredict = tf_export("raw_ops.TensorForestTreePredict")(_ops.to_raw_op(tensor_for/;" v +TensorForestTreeResourceHandleOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreeResourceHandleOp = tf_export("raw_ops.TensorForestTreeResourceHandleOp")(_ops.to/;" v +TensorForestTreeSerialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreeSerialize = tf_export("raw_ops.TensorForestTreeSerialize")(_ops.to_raw_op(tensor/;" v +TensorForestTreeSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^TensorForestTreeSize = tf_export("raw_ops.TensorForestTreeSize")(_ops.to_raw_op(tensor_forest_tr/;" v +TensorHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^class TensorHandle(object):$/;" c +TensorId adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^TensorId = _reflection.GeneratedProtocolMessageType('TensorId', (_message.Message,), {$/;" v +TensorInContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util.py /^def TensorInContext(tensor, ctxt):$/;" f +TensorIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TensorIndex(self):$/;" m class:TensorMap +TensorInfo adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^TensorInfo = _reflection.GeneratedProtocolMessageType('TensorInfo', (_message.Message,), {$/;" v +TensorInfo adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^TensorInfo = _reflection.GeneratedProtocolMessageType('TensorInfo', (_message.Message,), {$/;" v +tensorinv adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def tensorinv(a, ind=2):$/;" f +tensorinv adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def tensorinv(a, ind=2):$/;" f +TensorLike adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^TensorLike = Union[Tensor, TensorProtocol, int, float, bool, str, complex,$/;" v +TensorLikeDataAdapter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^class TensorLikeDataAdapter(DataAdapter):$/;" c +TensorList adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^class TensorList(object):$/;" c +TensorListConcat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListConcat = tf_export("raw_ops.TensorListConcat")(_ops.to_raw_op(tensor_list_concat))$/;" v +TensorListConcatLists adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListConcatLists = tf_export("raw_ops.TensorListConcatLists")(_ops.to_raw_op(tensor_list_co/;" v +TensorListConcatV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListConcatV2 = tf_export("raw_ops.TensorListConcatV2")(_ops.to_raw_op(tensor_list_concat_v/;" v +TensorListElementShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListElementShape = tf_export("raw_ops.TensorListElementShape")(_ops.to_raw_op(tensor_list_/;" v +TensorListFromTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListFromTensor = tf_export("raw_ops.TensorListFromTensor")(_ops.to_raw_op(tensor_list_from/;" v +TensorListGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListGather = tf_export("raw_ops.TensorListGather")(_ops.to_raw_op(tensor_list_gather))$/;" v +TensorListGetItem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListGetItem = tf_export("raw_ops.TensorListGetItem")(_ops.to_raw_op(tensor_list_get_item))$/;" v +TensorListLength adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListLength = tf_export("raw_ops.TensorListLength")(_ops.to_raw_op(tensor_list_length))$/;" v +TensorListPopBack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListPopBack = tf_export("raw_ops.TensorListPopBack")(_ops.to_raw_op(tensor_list_pop_back))$/;" v +TensorListPushBack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListPushBack = tf_export("raw_ops.TensorListPushBack")(_ops.to_raw_op(tensor_list_push_bac/;" v +TensorListPushBackBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListPushBackBatch = tf_export("raw_ops.TensorListPushBackBatch")(_ops.to_raw_op(tensor_lis/;" v +TensorListReserve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListReserve = tf_export("raw_ops.TensorListReserve")(_ops.to_raw_op(tensor_list_reserve))$/;" v +TensorListResize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListResize = tf_export("raw_ops.TensorListResize")(_ops.to_raw_op(tensor_list_resize))$/;" v +TensorListScatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListScatter = tf_export("raw_ops.TensorListScatter")(_ops.to_raw_op(tensor_list_scatter))$/;" v +TensorListScatterIntoExistingList adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListScatterIntoExistingList = tf_export("raw_ops.TensorListScatterIntoExistingList")(_ops./;" v +TensorListScatterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListScatterV2 = tf_export("raw_ops.TensorListScatterV2")(_ops.to_raw_op(tensor_list_scatte/;" v +TensorListSetItem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListSetItem = tf_export("raw_ops.TensorListSetItem")(_ops.to_raw_op(tensor_list_set_item))$/;" v +TensorListSplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListSplit = tf_export("raw_ops.TensorListSplit")(_ops.to_raw_op(tensor_list_split))$/;" v +TensorListStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^TensorListStack = tf_export("raw_ops.TensorListStack")(_ops.to_raw_op(tensor_list_stack))$/;" v +tensorlist_idx adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ tensorlist_idx = [i for i, arg in enumerate(o['arguments']) if arg['type'] == 'Tenso/;" v +TensorMap adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TensorMap(object):$/;" c +TensorMapAddName adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorMapAddName(builder, name): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.number_t/;" f +TensorMapAddTensorIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorMapAddTensorIndex(builder, tensorIndex): builder.PrependUint32Slot(1, tensorIndex, 0)$/;" f +TensorMapBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TensorMapBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:TensorMap +TensorMapEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorMapEnd(builder): return builder.EndObject()$/;" f +TensorMapErase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapErase = tf_export("raw_ops.TensorMapErase")(_ops.to_raw_op(tensor_map_erase))$/;" v +TensorMapHasKey adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapHasKey = tf_export("raw_ops.TensorMapHasKey")(_ops.to_raw_op(tensor_map_has_key))$/;" v +TensorMapInsert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapInsert = tf_export("raw_ops.TensorMapInsert")(_ops.to_raw_op(tensor_map_insert))$/;" v +TensorMapLookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapLookup = tf_export("raw_ops.TensorMapLookup")(_ops.to_raw_op(tensor_map_lookup))$/;" v +TensorMapSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapSize = tf_export("raw_ops.TensorMapSize")(_ops.to_raw_op(tensor_map_size))$/;" v +TensorMapStackKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^TensorMapStackKeys = tf_export("raw_ops.TensorMapStackKeys")(_ops.to_raw_op(tensor_map_stack_key/;" v +TensorMapStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorMapStart(builder): builder.StartObject(2)$/;" f +TensorMapT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TensorMapT(object):$/;" c +TensorMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^TensorMetadata = _reflection.GeneratedProtocolMessageType('TensorMetadata', (_message.Message,),/;" v +TensorPacker adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class TensorPacker(object):$/;" c +TensorPipeAgentRpcTest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^class TensorPipeAgentRpcTest(RpcAgentTestFixture):$/;" c +TensorPipeRpcAgentTestFixture adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/tensorpipe_rpc_agent_test_fixture.py /^class TensorPipeRpcAgentTestFixture(RpcAgentTestFixture):$/;" c +TensorPipeRpcBackendOptions adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/options.py /^class TensorPipeRpcBackendOptions(_TensorPipeRpcBackendOptionsBase):$/;" c +TENSORPIPE_TESTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc_utils.py /^TENSORPIPE_TESTS = [$/;" v +TensorPoint adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^TensorPoint = _reflection.GeneratedProtocolMessageType('TensorPoint', (_message.Message,), {$/;" v +TensorProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorProto = _reflection.GeneratedProtocolMessageType('TensorProto', (_message.Message,), {$/;" v +TensorProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^TensorProto = _reflection.GeneratedProtocolMessageType('TensorProto', (_message.Message,), {$/;" v +TensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^TensorProto = _reflection.GeneratedProtocolMessageType('TensorProto', (_message.Message,), {$/;" v +TensorProtocol adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^class TensorProtocol(Protocol):$/;" c +TensorProtoDataType adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^TensorProtoDataType = _C._onnx.TensorProtoDataType$/;" v +TensorProtos adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorProtos = _reflection.GeneratedProtocolMessageType('TensorProtos', (_message.Message,), {$/;" v +TensorProtosDBInput adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def TensorProtosDBInput($/;" m class:ModelHelper +TensorRTOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^class TensorRTOpTest(TestCase):$/;" c +TensorRTTransformTest adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^class TensorRTTransformTest(TestCase):$/;" c +tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def tensors(n, min_dim=1, max_dim=4, dtype=np.float32, elements=None, **kwargs):$/;" f +tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def tensors(self):$/;" m class:NNModule +Tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def Tensors(self, tag):$/;" m class:EventAccumulator +TENSORS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^TENSORS = tag_types.TENSORS$/;" v +Tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def Tensors(self, run, tag):$/;" m class:EventMultiplexer +Tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def Tensors(self, tag):$/;" m class:EventAccumulator +TENSORS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^TENSORS = tag_types.TENSORS$/;" v +Tensors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def Tensors(self, run, tag):$/;" m class:EventMultiplexer +TENSORS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/tag_types.py /^TENSORS = "tensors"$/;" v +Tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Tensors(self, j):$/;" m class:SubGraph +tensors1d adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def tensors1d(n, min_len=1, max_len=64, dtype=np.float32, elements=None):$/;" f +TensorScatterAdd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorScatterAdd = tf_export("raw_ops.TensorScatterAdd")(_ops.to_raw_op(tensor_scatter_add))$/;" v +TensorScatterMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorScatterMax = tf_export("raw_ops.TensorScatterMax")(_ops.to_raw_op(tensor_scatter_max))$/;" v +TensorScatterMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorScatterMin = tf_export("raw_ops.TensorScatterMin")(_ops.to_raw_op(tensor_scatter_min))$/;" v +TensorScatterSub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorScatterSub = tf_export("raw_ops.TensorScatterSub")(_ops.to_raw_op(tensor_scatter_sub))$/;" v +TensorScatterUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorScatterUpdate = tf_export("raw_ops.TensorScatterUpdate")(_ops.to_raw_op(tensor_scatter_upd/;" v +TensorSequenceLengthPair adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ TensorSequenceLengthPair = collections.namedtuple( # pylint: disable=invalid-name$/;" v class:_SequenceDenseColumn +TensorSequenceLengthPair adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ TensorSequenceLengthPair = collections.namedtuple( # pylint: disable=invalid-name$/;" v class:SequenceDenseColumn +TensorServingInputReceiver adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^class TensorServingInputReceiver($/;" c +TensorShape adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorShape = _reflection.GeneratedProtocolMessageType('TensorShape', (_message.Message,), {$/;" v +TensorShape adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^class TensorShape(object):$/;" c +TensorShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^class TensorShape(object):$/;" c +TensorShapeProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^TensorShapeProto = _reflection.GeneratedProtocolMessageType('TensorShapeProto', (_message.Messag/;" v +TensorShapeProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^TensorShapeProto = _reflection.GeneratedProtocolMessageType('TensorShapeProto', (_message.Messag/;" v +TensorShapeProtoToList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def TensorShapeProtoToList(shape):$/;" f +TensorShapes adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^TensorShapes = _reflection.GeneratedProtocolMessageType('TensorShapes', (_message.Message,), {$/;" v +TensorsIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TensorsIsNone(self):$/;" m class:SubGraph +TensorsLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TensorsLength(self):$/;" m class:SubGraph +TensorSliceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class TensorSliceDataset(DatasetSource):$/;" c +TensorSliceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^TensorSliceDataset = tf_export("raw_ops.TensorSliceDataset")(_ops.to_raw_op(tensor_slice_dataset/;" v +TensorSliceProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^TensorSliceProto = _reflection.GeneratedProtocolMessageType('TensorSliceProto', (_message.Messag/;" v +tensorsolve adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def tensorsolve(a, b, axes=None):$/;" f +tensorsolve adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def tensorsolve(a, b, axes=None):$/;" f +TensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^class TensorSpec(DenseSpec, type_spec.BatchableTypeSpec):$/;" c +TensorSpecProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^TensorSpecProto = _reflection.GeneratedProtocolMessageType('TensorSpecProto', (_message.Message,/;" v +TensorSpecProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^TensorSpecProto = _reflection.GeneratedProtocolMessageType('TensorSpecProto', (_message.Message,/;" v +TensorStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorStart(builder): builder.StartObject(8)$/;" f +TensorStartShapeSignatureVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorStartShapeSignatureVector(builder, numElems): return builder.StartVector(4, numElems, /;" f +TensorStartShapeVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TensorStartShapeVector(builder, numElems): return builder.StartVector(4, numElems, 4)$/;" f +TensorStridedSliceUpdate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TensorStridedSliceUpdate = tf_export("raw_ops.TensorStridedSliceUpdate")(_ops.to_raw_op(tensor_s/;" v +TensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _TensorStructure as TensorStructure$/;" x +TensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.util.structure import _TensorStructure as TensorStructure$/;" x +TensorSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^TensorSummary = tf_export("raw_ops.TensorSummary")(_ops.to_raw_op(tensor_summary))$/;" v +TensorSummaryV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^TensorSummaryV2 = tf_export("raw_ops.TensorSummaryV2")(_ops.to_raw_op(tensor_summary_v2))$/;" v +tensors_tracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def tensors_tracker($/;" m class:UploadTracker +TensorT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TensorT(object):$/;" c +TensorTag adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^TensorTag = _reflection.GeneratedProtocolMessageType('TensorTag', (_message.Message,), {$/;" v +TensorTimeSeries adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class TensorTimeSeries(_TimeSeries):$/;" c +TensorTraceOrder adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^class TensorTraceOrder(object):$/;" c +TensorTracer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^class TensorTracer(object):$/;" c +TensorTracerConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^class TensorTracerConfig(object):$/;" c +TensorTracerReport adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^TensorTracerReport = _reflection.GeneratedProtocolMessageType('TensorTracerReport', (_message.Me/;" v +TensorType adpeps/tensor/contractions.py /^TensorType = Union[np.ndarray, Nested, EmptyT]$/;" v +TensorType adpeps/tensor/ncon.py /^TensorType = Union[np.ndarray, Nested, EmptyT]$/;" v +TensorType adpeps/types.py /^TensorType = Union['np.ndarray', 'Nested', 'EmptyT']$/;" v +TensorType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TensorType(object):$/;" c +tensor_and_const_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def tensor_and_const_value(v):$/;" f member:DropoutWrapperBase.__init__ file: +tensor_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array(size, dtype, dynamic_size=False, clear_after_read=True, tensor_array_name="", e/;" f +tensor_array_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close(handle, name=None):$/;" f +tensor_array_close_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close_eager_fallback(handle, name, ctx):$/;" f +tensor_array_close_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close_v2(handle, name=None):$/;" f +tensor_array_close_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close_v2_eager_fallback(handle, name, ctx):$/;" f +tensor_array_close_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close_v3(handle, name=None):$/;" f +tensor_array_close_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_close_v3_eager_fallback(handle, name, ctx):$/;" f +tensor_array_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat(handle, flow_in, dtype, element_shape_except0=None, name=None):$/;" f +tensor_array_concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat_eager_fallback(handle, flow_in, dtype, element_shape_except0, name, ctx)/;" f +tensor_array_concat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat_v2(handle, flow_in, dtype, element_shape_except0=None, name=None):$/;" f +tensor_array_concat_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat_v2_eager_fallback(handle, flow_in, dtype, element_shape_except0, name, c/;" f +tensor_array_concat_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat_v3(handle, flow_in, dtype, element_shape_except0=None, name=None):$/;" f +tensor_array_concat_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_concat_v3_eager_fallback(handle, flow_in, dtype, element_shape_except0, name, c/;" f +tensor_array_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_eager_fallback(size, dtype, dynamic_size, clear_after_read, tensor_array_name, /;" f +tensor_array_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather(handle, indices, flow_in, dtype, element_shape=None, name=None):$/;" f +tensor_array_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather_eager_fallback(handle, indices, flow_in, dtype, element_shape, name, ctx/;" f +tensor_array_gather_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather_v2(handle, indices, flow_in, dtype, element_shape=None, name=None):$/;" f +tensor_array_gather_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather_v2_eager_fallback(handle, indices, flow_in, dtype, element_shape, name, /;" f +tensor_array_gather_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather_v3(handle, indices, flow_in, dtype, element_shape=None, name=None):$/;" f +tensor_array_gather_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_gather_v3_eager_fallback(handle, indices, flow_in, dtype, element_shape, name, /;" f +tensor_array_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad(handle, flow_in, source, name=None):$/;" f +tensor_array_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_eager_fallback(handle, flow_in, source, name, ctx):$/;" f +tensor_array_grad_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_v2(handle, flow_in, source, name=None):$/;" f +tensor_array_grad_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_v2_eager_fallback(handle, flow_in, source, name, ctx):$/;" f +tensor_array_grad_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_v3(handle, flow_in, source, name=None):$/;" f +tensor_array_grad_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_v3_eager_fallback(handle, flow_in, source, name, ctx):$/;" f +tensor_array_grad_with_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_with_shape(handle, flow_in, shape_to_prepend, source, name=None):$/;" f +tensor_array_grad_with_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_grad_with_shape_eager_fallback(handle, flow_in, shape_to_prepend, source, name,/;" f +tensor_array_pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_pack(handle, flow_in, dtype, element_shape=None, name=None):$/;" f +tensor_array_pack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_pack_eager_fallback(handle, flow_in, dtype, element_shape, name, ctx):$/;" f +tensor_array_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read(handle, index, flow_in, dtype, name=None):$/;" f +tensor_array_read_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read_eager_fallback(handle, index, flow_in, dtype, name, ctx):$/;" f +tensor_array_read_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read_v2(handle, index, flow_in, dtype, name=None):$/;" f +tensor_array_read_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read_v2_eager_fallback(handle, index, flow_in, dtype, name, ctx):$/;" f +tensor_array_read_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read_v3(handle, index, flow_in, dtype, name=None):$/;" f +tensor_array_read_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_read_v3_eager_fallback(handle, index, flow_in, dtype, name, ctx):$/;" f +tensor_array_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter(handle, indices, value, flow_in, name=None):$/;" f +tensor_array_scatter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter_eager_fallback(handle, indices, value, flow_in, name, ctx):$/;" f +tensor_array_scatter_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter_v2(handle, indices, value, flow_in, name=None):$/;" f +tensor_array_scatter_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter_v2_eager_fallback(handle, indices, value, flow_in, name, ctx):$/;" f +tensor_array_scatter_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter_v3(handle, indices, value, flow_in, name=None):$/;" f +tensor_array_scatter_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_scatter_v3_eager_fallback(handle, indices, value, flow_in, name, ctx):$/;" f +tensor_array_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size(handle, flow_in, name=None):$/;" f +tensor_array_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size_eager_fallback(handle, flow_in, name, ctx):$/;" f +tensor_array_size_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size_v2(handle, flow_in, name=None):$/;" f +tensor_array_size_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size_v2_eager_fallback(handle, flow_in, name, ctx):$/;" f +tensor_array_size_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size_v3(handle, flow_in, name=None):$/;" f +tensor_array_size_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_size_v3_eager_fallback(handle, flow_in, name, ctx):$/;" f +tensor_array_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split(handle, value, lengths, flow_in, name=None):$/;" f +tensor_array_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split_eager_fallback(handle, value, lengths, flow_in, name, ctx):$/;" f +tensor_array_split_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split_v2(handle, value, lengths, flow_in, name=None):$/;" f +tensor_array_split_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split_v2_eager_fallback(handle, value, lengths, flow_in, name, ctx):$/;" f +tensor_array_split_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split_v3(handle, value, lengths, flow_in, name=None):$/;" f +tensor_array_split_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_split_v3_eager_fallback(handle, value, lengths, flow_in, name, ctx):$/;" f +tensor_array_unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_unpack(handle, value, flow_in, name=None):$/;" f +tensor_array_unpack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_unpack_eager_fallback(handle, value, flow_in, name, ctx):$/;" f +tensor_array_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_v2(size, dtype, element_shape=None, dynamic_size=False, clear_after_read=True, /;" f +tensor_array_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_v2_eager_fallback(size, dtype, element_shape, dynamic_size, clear_after_read, t/;" f +tensor_array_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_v3(size, dtype, element_shape=None, dynamic_size=False, clear_after_read=True, /;" f +tensor_array_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_v3_eager_fallback(size, dtype, element_shape, dynamic_size, clear_after_read, i/;" f +tensor_array_write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write(handle, index, value, flow_in, name=None):$/;" f +tensor_array_write_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write_eager_fallback(handle, index, value, flow_in, name, ctx):$/;" f +tensor_array_write_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write_v2(handle, index, value, flow_in, name=None):$/;" f +tensor_array_write_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write_v2_eager_fallback(handle, index, value, flow_in, name, ctx):$/;" f +tensor_array_write_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write_v3(handle, index, value, flow_in, name=None):$/;" f +tensor_array_write_v3_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def tensor_array_write_v3_eager_fallback(handle, index, value, flow_in, name, ctx):$/;" f +tensor_blas adpepsenv/lib/python3.8/site-packages/opt_einsum/blas.py /^def tensor_blas(view_left, input_left, view_right, input_right, index_result, idx_removed):$/;" f +tensor_bytes adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def tensor_bytes(self):$/;" m class:UploadStats +tensor_bytes_skipped adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def tensor_bytes_skipped(self):$/;" m class:UploadStats +tensor_conv adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def tensor_conv($/;" f +tensor_core_embedding_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def tensor_core_embedding_columns(self):$/;" m class:_InternalTPUContext +tensor_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def tensor_data(self):$/;" m class:_ConverterData +tensor_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tensor_dataset(components, output_shapes, name=None):$/;" f +tensor_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tensor_dataset_eager_fallback(components, output_shapes, name, ctx):$/;" f +tensor_debug_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tensor_debug_mode(self):$/;" m class:Execution +tensor_debug_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tensor_debug_mode(self):$/;" m class:GraphExecutionTrace +tensor_debug_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def tensor_debug_mode(self):$/;" m class:_DumpingCallback +tensor_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import diag as tensor_diag$/;" x +tensor_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import diag as tensor_diag$/;" x +tensor_diag adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.gen_array_ops import diag as tensor_diag$/;" x +tensor_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def tensor_diag_part($/;" f +tensor_equals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def tensor_equals(self, other):$/;" f +tensor_float_32_execution_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/config.py /^def tensor_float_32_execution_enabled():$/;" f +tensor_forest_create_tree_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_create_tree_variable(tree_handle, tree_config, name=None):$/;" f +tensor_forest_create_tree_variable_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_create_tree_variable_eager_fallback(tree_handle, tree_config, name, ctx):$/;" f +tensor_forest_tree_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_deserialize(tree_handle, tree_config, name=None):$/;" f +tensor_forest_tree_deserialize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_deserialize_eager_fallback(tree_handle, tree_config, name, ctx):$/;" f +tensor_forest_tree_is_initialized_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_is_initialized_op(tree_handle, name=None):$/;" f +tensor_forest_tree_is_initialized_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_is_initialized_op_eager_fallback(tree_handle, name, ctx):$/;" f +tensor_forest_tree_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_predict(tree_handle, dense_features, logits_dimension, name=None):$/;" f +tensor_forest_tree_predict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_predict_eager_fallback(tree_handle, dense_features, logits_dimension, nam/;" f +tensor_forest_tree_resource_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_resource_handle_op(container="", shared_name="", name=None):$/;" f +tensor_forest_tree_resource_handle_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_resource_handle_op_eager_fallback(container, shared_name, name, ctx):$/;" f +tensor_forest_tree_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_serialize(tree_handle, name=None):$/;" f +tensor_forest_tree_serialize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_serialize_eager_fallback(tree_handle, name, ctx):$/;" f +tensor_forest_tree_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_size(tree_handle, name=None):$/;" f +tensor_forest_tree_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^def tensor_forest_tree_size_eager_fallback(tree_handle, name, ctx):$/;" f +TENSOR_HANDLE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^TENSOR_HANDLE_KEY = get_tensor_handle_key()$/;" v +tensor_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def tensor_id(tensor):$/;" f +TENSOR_INDICES_NAVIGATION_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ TENSOR_INDICES_NAVIGATION_PREFIX = "@"$/;" v class:CursesUI +tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/special_functions.py /^def tensor_list(elements,$/;" f +tensor_list_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat(input_handle, element_dtype, element_shape=None, name=None):$/;" f +tensor_list_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_concat(input_handle, element_dtype, element_shape=None,$/;" f +tensor_list_concat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat_eager_fallback(input_handle, element_dtype, element_shape, name, ctx):$/;" f +tensor_list_concat_lists adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat_lists(input_a, input_b, element_dtype, name=None):$/;" f +tensor_list_concat_lists_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat_lists_eager_fallback(input_a, input_b, element_dtype, name, ctx):$/;" f +tensor_list_concat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat_v2(input_handle, element_shape, leading_dims, element_dtype, name=None):$/;" f +tensor_list_concat_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_concat_v2_eager_fallback(input_handle, element_shape, leading_dims, element_dtyp/;" f +tensor_list_element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_element_shape(input_handle, shape_type, name=None):$/;" f +tensor_list_element_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_element_shape_eager_fallback(input_handle, shape_type, name, ctx):$/;" f +tensor_list_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_from_tensor(tensor, element_shape, name=None):$/;" f +tensor_list_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_from_tensor(tensor, element_shape, name=None):$/;" f +tensor_list_from_tensor_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_from_tensor_eager_fallback(tensor, element_shape, name, ctx):$/;" f +tensor_list_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_gather(input_handle, indices, element_shape, element_dtype, name=None):$/;" f +tensor_list_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_gather(input_handle,$/;" f +tensor_list_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_gather_eager_fallback(input_handle, indices, element_shape, element_dtype, name,/;" f +tensor_list_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_get_item(input_handle, index, element_shape, element_dtype, name=None):$/;" f +tensor_list_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_get_item(input_handle, index, element_dtype, element_shape=None,$/;" f +tensor_list_get_item_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_get_item_eager_fallback(input_handle, index, element_shape, element_dtype, name,/;" f +tensor_list_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_length(input_handle, name=None):$/;" f +tensor_list_length_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_length_eager_fallback(input_handle, name, ctx):$/;" f +tensor_list_pop_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_pop_back(input_handle, element_shape, element_dtype, name=None):$/;" f +tensor_list_pop_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_pop_back(input_handle, element_dtype, name=None):$/;" f +tensor_list_pop_back_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_pop_back_eager_fallback(input_handle, element_shape, element_dtype, name, ctx):$/;" f +tensor_list_push_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_push_back(input_handle, tensor, name=None):$/;" f +tensor_list_push_back_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_push_back_batch(input_handles, tensor, name=None):$/;" f +tensor_list_push_back_batch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_push_back_batch_eager_fallback(input_handles, tensor, name, ctx):$/;" f +tensor_list_push_back_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_push_back_eager_fallback(input_handle, tensor, name, ctx):$/;" f +tensor_list_reserve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_reserve(element_shape, num_elements, element_dtype, name=None):$/;" f +tensor_list_reserve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_reserve(element_shape, num_elements, element_dtype, name=None):$/;" f +tensor_list_reserve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_reserve_eager_fallback(element_shape, num_elements, element_dtype, name, ctx):$/;" f +tensor_list_resize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_resize(input_handle, size, name=None):$/;" f +tensor_list_resize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_resize_eager_fallback(input_handle, size, name, ctx):$/;" f +tensor_list_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter(tensor, indices, element_shape, name=None):$/;" f +tensor_list_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_scatter(tensor,$/;" f +tensor_list_scatter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter_eager_fallback(tensor, indices, element_shape, name, ctx):$/;" f +tensor_list_scatter_into_existing_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter_into_existing_list(input_handle, tensor, indices, name=None):$/;" f +tensor_list_scatter_into_existing_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter_into_existing_list_eager_fallback(input_handle, tensor, indices, name, c/;" f +tensor_list_scatter_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter_v2(tensor, indices, element_shape, num_elements, name=None):$/;" f +tensor_list_scatter_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_scatter_v2_eager_fallback(tensor, indices, element_shape, num_elements, name, ct/;" f +tensor_list_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_set_item(input_handle, index, item, name=None):$/;" f +tensor_list_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_set_item(input_handle,$/;" f +tensor_list_set_item_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_set_item_eager_fallback(input_handle, index, item, name, ctx):$/;" f +tensor_list_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_split(tensor, element_shape, lengths, name=None):$/;" f +tensor_list_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_split(tensor, element_shape, lengths, name=None):$/;" f +tensor_list_split_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_split_eager_fallback(tensor, element_shape, lengths, name, ctx):$/;" f +tensor_list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_stack(input_handle, element_shape, element_dtype, num_elements=-1, name=None):$/;" f +tensor_list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def tensor_list_stack(input_handle,$/;" f +tensor_list_stack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^def tensor_list_stack_eager_fallback(input_handle, element_shape, element_dtype, num_elements, n/;" f +tensor_map_erase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_erase(input_handle, key, value_dtype, name=None):$/;" f +tensor_map_erase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_erase(input_handle, key, value_dtype):$/;" f +tensor_map_erase_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_erase_eager_fallback(input_handle, key, value_dtype, name, ctx):$/;" f +tensor_map_has_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_has_key(input_handle, key, name=None):$/;" f +tensor_map_has_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_has_key(input_handle, key):$/;" f +tensor_map_has_key_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_has_key_eager_fallback(input_handle, key, name, ctx):$/;" f +tensor_map_insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_insert(input_handle, key, value, name=None):$/;" f +tensor_map_insert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_insert(input_handle, key, value):$/;" f +tensor_map_insert_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_insert_eager_fallback(input_handle, key, value, name, ctx):$/;" f +tensor_map_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_lookup(input_handle, key, value_dtype, name=None):$/;" f +tensor_map_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_lookup(input_handle, key, value_dtype):$/;" f +tensor_map_lookup_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_lookup_eager_fallback(input_handle, key, value_dtype, name, ctx):$/;" f +tensor_map_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_size(input_handle, name=None):$/;" f +tensor_map_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_size(input_handle):$/;" f +tensor_map_size_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_size_eager_fallback(input_handle, name, ctx):$/;" f +tensor_map_stack_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_stack_keys(input_handle, key_dtype, name=None):$/;" f +tensor_map_stack_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_ops.py /^def tensor_map_stack_keys(input_handle, key_dtype):$/;" f +tensor_map_stack_keys_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^def tensor_map_stack_keys_eager_fallback(input_handle, key_dtype, name, ctx):$/;" f +tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def tensor_name(self):$/;" m class:DebugTensorDatum +tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ def tensor_name(x):$/;" f function:assert_shapes file: +tensor_name adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ tensor_name = 'Cuda{0}TensorBase'.format(t)$/;" v +tensor_not_equals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def tensor_not_equals(self, other):$/;" f +tensor_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def tensor_rank(self, name="tensor_rank"):$/;" m class:LinearOperator +tensor_rank_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def tensor_rank_tensor(self, name="tensor_rank_tensor"):$/;" m class:LinearOperator +TENSOR_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^TENSOR_ROUTE = "\/tensor"$/;" v +tensor_scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_add(tensor, indices, updates, name=None):$/;" f +tensor_scatter_add_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_add_eager_fallback(tensor, indices, updates, name, ctx):$/;" f +tensor_scatter_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_max(tensor, indices, updates, name=None):$/;" f +tensor_scatter_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_max_eager_fallback(tensor, indices, updates, name, ctx):$/;" f +tensor_scatter_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_min(tensor, indices, updates, name=None):$/;" f +tensor_scatter_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_min_eager_fallback(tensor, indices, updates, name, ctx):$/;" f +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_add adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_add as tensor_scatter_nd_add$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_max adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_max as tensor_scatter_nd_max$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_min adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_min as tensor_scatter_nd_min$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_sub adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_array_ops import tensor_scatter_sub as tensor_scatter_nd_sub$/;" x +tensor_scatter_nd_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def tensor_scatter_nd_update(tensor, indices, updates, name=None):$/;" f +tensor_scatter_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_sub(tensor, indices, updates, name=None):$/;" f +tensor_scatter_sub_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_sub_eager_fallback(tensor, indices, updates, name, ctx):$/;" f +tensor_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_update(tensor, indices, updates, name=None):$/;" f +tensor_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_scatter_nd_update as tensor_scatter_update$/;" x +tensor_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_scatter_nd_update as tensor_scatter_update$/;" x +tensor_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.array_ops import tensor_scatter_nd_update as tensor_scatter_update$/;" x +tensor_scatter_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_scatter_update_eager_fallback(tensor, indices, updates, name, ctx):$/;" f +tensor_shape_from_node_def_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def tensor_shape_from_node_def_name(graph, input_name):$/;" f +tensor_shape_proto adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_proto_graph.py /^def tensor_shape_proto(outputsize):$/;" f +tensor_slice_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tensor_slice_dataset(components, output_shapes, name=None):$/;" f +tensor_slice_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tensor_slice_dataset_eager_fallback(components, output_shapes, name, ctx):$/;" f +tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^tensor_spec = LazyLoader($/;" v +tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^tensor_spec = LazyLoader($/;" v +tensor_spec_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def tensor_spec_to_placeholder(tensorspec):$/;" f function:placeholder file: +tensor_strided_slice_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_strided_slice_update(input, begin, end, strides, value, begin_mask=0, end_mask=0, ell/;" f +tensor_strided_slice_update_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tensor_strided_slice_update_eager_fallback(input, begin, end, strides, value, begin_mask, en/;" f +tensor_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def tensor_summary(tensor, description="", labels=[], display_name="", name=None):$/;" f +tensor_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def tensor_summary(name,$/;" f +tensor_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def tensor_summary_eager_fallback(tensor, description, labels, display_name, name, ctx):$/;" f +tensor_summary_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def tensor_summary_v2(tag, tensor, serialized_summary_metadata, name=None):$/;" f +tensor_summary_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def tensor_summary_v2_eager_fallback(tag, tensor, serialized_summary_metadata, name, ctx):$/;" f +tensor_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^def tensor_to_ndarray(tensor):$/;" f +tensor_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^tensor_to_ndarray = np_arrays.tensor_to_ndarray$/;" v +tensor_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^tensor_util = LazyLoader($/;" v +tera adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^tera = 1e12$/;" v +teredo adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def teredo(self):$/;" m class:IPv6Address +term adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def term(x, k):$/;" f function:_cdf_cvm_inf file: +TerminalIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TerminalIdentifier(char.PrintableString):$/;" c +TerminalIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TerminalIdentifier(char.PrintableString):$/;" c +TerminalIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TerminalIdentifier(char.PrintableString):$/;" c +TerminalType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class TerminalType(univ.Integer):$/;" c +TerminalType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class TerminalType(univ.Integer):$/;" c +TerminalType adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class TerminalType(univ.Integer):$/;" c +terminal_has_colors adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def terminal_has_colors():$/;" f +terminal_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def terminal_metadata(self):$/;" m class:_Rendezvous +terminal_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def terminal_metadata(self, terminal_metadata):$/;" m class:_FaceServicerContext +terminal_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def terminal_metadata(self):$/;" m class:Call +terminal_metadata adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def terminal_metadata(self, terminal_metadata):$/;" m class:ServicerContext +terminal_type adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^terminal_type = univ.Integer(23)$/;" v +terminal_type adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^terminal_type = univ.Integer(23)$/;" v +terminal_type adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^terminal_type = univ.Integer(23)$/;" v +terminate adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def terminate(self):$/;" m class:_Callback +terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream.py /^ def terminate(self):$/;" m class:Consumer +terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def terminate(self):$/;" m class:IterableConsumer +terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def terminate(self):$/;" m class:ThreadSwitchingConsumer +terminate adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def terminate(self):$/;" m class:TransformingConsumer +terminate adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def terminate(warnflag, msg):$/;" f function:_minimize_newtoncg file: +terminate adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def terminate(self):$/;" m class:MapWrapper +Terminate adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^ def Terminate(self, request, context):$/;" m class:ProfilerServiceServicer +terminate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def terminate(self, task_type, task_id):$/;" m class:MultiProcessRunner +TERMINATE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^TERMINATE = "\\033[0m"$/;" v +TerminateOnNaN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^class TerminateOnNaN(Callback):$/;" c +TerminateRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^TerminateRequest = _reflection.GeneratedProtocolMessageType('TerminateRequest', (_message.Messag/;" v +TerminateResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^TerminateResponse = _reflection.GeneratedProtocolMessageType('TerminateResponse', (_message.Mess/;" v +TerminateTrajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^class TerminateTrajectory(Exception):$/;" c +terminate_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def terminate_all(self, sig=None):$/;" m class:MultiProcessRunner +TerminationCondition adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^class TerminationCondition(object):$/;" c +TERMINATION_MESSAGES adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^TERMINATION_MESSAGES = {$/;" v +TERMINATION_MESSAGES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/least_squares.py /^TERMINATION_MESSAGES = {$/;" v +TERMINATION_MESSAGES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/lsq_linear.py /^TERMINATION_MESSAGES = {$/;" v +TERMINATION_MESSAGES adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^TERMINATION_MESSAGES = {$/;" v +TERMINATION_ONLY adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ TERMINATION_ONLY = 'termination only'$/;" v class:Subscription.Kind +termios adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^ termios = None$/;" v +TerseApexUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TerseApexUpdateConfirm(StatusCode):$/;" c +TerseCommunityConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TerseCommunityConfirm(StatusCode):$/;" c +TerseOrVerbose adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TerseOrVerbose(univ.Enumerated):$/;" c +TerseStatusResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TerseStatusResponse(univ.Sequence):$/;" c +TerseUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TerseUpdateConfirm(StatusCodeList):$/;" c +test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^ def test(self, N, dtype, gc, dc):$/;" m class:TestUnmaskOp +test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^ def test(self, n, d, gc, dc):$/;" m class:TestElementwiseLinearOp +test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test(x):$/;" f member:TestIsMemberOf.test_is_member_of file: +test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rmac_regions_op_test.py /^ def test(self, n, h, w, scales, gc, dc):$/;" m class:RMACRegionsOpTest +test adpepsenv/lib/python3.8/site-packages/caffe2/python/test/blob_deallocation_test.py /^ def test(self):$/;" m class:BlobDeallocationTest +test adpepsenv/lib/python3.8/site-packages/h5py/tests/test_threads.py /^ def test():$/;" f member:TestErrorPrinting.test_attr_printing file: +test adpepsenv/lib/python3.8/site-packages/h5py/tests/test_threads.py /^ def test():$/;" f member:TestErrorPrinting.test_printing file: +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:BlockProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:BlockQuoteProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:CodeBlockProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:EmptyBlockProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:HashHeaderProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:HRProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:ListIndentProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:OListProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:ParagraphProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:ReferenceProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def test(self, parent, block):$/;" m class:SetextHeaderProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def test(self, parent, block):$/;" m class:AbbrPreprocessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def test(self, parent, block):$/;" m class:AdmonitionProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/def_list.py /^ def test(self, parent, block):$/;" m class:DefListProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def test(self, parent, block):$/;" m class:FootnoteBlockProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def test(self, parent, block):$/;" m class:MarkdownInHtmlProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def test(self, parent, block):$/;" m class:TableProcessor +test adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def test(self):$/;" f function:LegacyTestMeta.__new__.generate_test file: +test adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def test(verbosity=None, coverage=False, switch_backend_warn=True,$/;" f +test adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/distutils/__init__.py /^ test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/f2py/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/fft/__init__.py /^test = PytestTester(__name__)$/;" v +Test adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ class Test:$/;" c member:TestSetOps.test_intersect1d_array_like file: +test adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arrayterator.py /^def test():$/;" f +test adpepsenv/lib/python3.8/site-packages/numpy/lib/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/linalg/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/ma/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/polynomial/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/random/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def test(self, label='fast', verbose=1, extra_argv=None,$/;" m class:NoseTester +test adpepsenv/lib/python3.8/site-packages/numpy/testing/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test(self):$/;" f function:PrefixSuffixGoldenTestMeta.__new__.golden_test_generator file: +test adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test(self):$/;" f function:SymmetricTestMeta.__new__.symmetric_test_generator file: +test adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def test(self):$/;" f function:AutoFormatTestMeta.__new__.auto_format_test_generator file: +test adpepsenv/lib/python3.8/site-packages/scipy/cluster/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/constants/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/fft/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/fftpack/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/integrate/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/interpolate/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/io/arff/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/io/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/linalg/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/misc/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/ndimage/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/odr/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/optimize/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/signal/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/sparse/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/spatial/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test(self):$/;" m class:TestBdtr +test adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test(N, rtol=1e-15, atol=1e-14):$/;" f function:test_roots_hermite_asy file: +test adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test(self):$/;" m class:TestPdtr +test adpepsenv/lib/python3.8/site-packages/scipy/special/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/stats/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/_lib/__init__.py /^test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ test = PytestTester(__name__)$/;" v +test adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^class test(Command):$/;" c +TEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ TEST = 'test'$/;" v class:KerasModeKeys +test adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def test(self, path_info=None, method=None):$/;" m class:MapAdapter +test01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test01(self):$/;" m class:TestFirwin2 +test02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test02(self):$/;" m class:TestFirwin2 +test03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test03(self):$/;" m class:TestFirwin2 +test04 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test04(self):$/;" m class:TestFirwin2 +test05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test05(self):$/;" m class:TestFirwin2 +test06 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test06(self):$/;" m class:TestFirwin2 +test1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test1(self):$/;" m class:TestWhitespace +test1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test1(self):$/;" m class:TestMonsterType +test1 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test1(self):$/;" m class:TestData +test1 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test1 = pjoin(data_path, 'test1.arff')$/;" v +test1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^ def test1(self):$/;" m class:TestMultiGammaLn +test10 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test10 = pjoin(data_path, 'test10.arff')$/;" v +test100_100 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test100_100(self):$/;" m class:TestKSTwoSamples +test100_110 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test100_110(self):$/;" m class:TestKSTwoSamples +test11 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test11 = pjoin(data_path, 'test11.arff')$/;" v +Test1DFloat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class Test1DFloat(TestCase):$/;" c +Test1DZeroFloat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class Test1DZeroFloat(TestCase):$/;" c +test1_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test1_1 = StructTest1(bounds=[(-1, 6), (-1, 6)],$/;" v +test1_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test1_2 = StructTest1(bounds=[(0, 1), (0, 1)],$/;" v +test1_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test1_3 = StructTest1(bounds=[(None, None), (None, None)],$/;" v +test2 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test2(self):$/;" m class:TestData +test2 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test2 = pjoin(data_path, 'test2.arff')$/;" v +test2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^ def test2(self):$/;" m class:TestMultiGammaLn +Test2DFloat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class Test2DFloat(TestCase):$/;" c +Test2DZeroFloat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class Test2DZeroFloat(TestCase):$/;" c +Test2opt adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^class Test2opt(QAPCommonTests):$/;" c +test2_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test2_1 = StructTest2(bounds=[(0, 60)],$/;" v +test2_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test2_2 = StructTest2(bounds=[(0, 4.5)],$/;" v +test3 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test3(self):$/;" m class:TestData +test3 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test3 = pjoin(data_path, 'test3.arff')$/;" v +test3_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test3_1 = StructTest3(bounds=[(2, 50), (0, 50)],$/;" v +test4 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test4(self):$/;" m class:TestData +test4 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test4 = pjoin(data_path, 'test4.arff')$/;" v +test4DFloatTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def test4DFloatTypes(self):$/;" m class:BiasAddTestBase +test4_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test4_1 = StructTest4(bounds=[(-10, 10), ] * 7,$/;" v +test5 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test5 = pjoin(data_path, 'test5.arff')$/;" v +test5DFloatTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def test5DFloatTypes(self):$/;" m class:BiasAddTestBase +test5_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test5_1 = StructTest5(bounds=[(-512, 512), (-512, 512)],$/;" v +test6 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test6 = pjoin(data_path, 'test6.arff')$/;" v +Test64Bit adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class Test64Bit(object):$/;" c +test7 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test7 = pjoin(data_path, 'test7.arff')$/;" v +test8 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test8 = pjoin(data_path, 'test8.arff')$/;" v +test9 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test9 = pjoin(data_path, 'test9.arff')$/;" v +TestABC adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^class TestABC:$/;" c +testAbs adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testAbs(self):$/;" m class:TestAbs +TestAbs adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestAbs(test_util.TestCase):$/;" c +TestAbs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestAbs:$/;" c +TestAbsoluteNegative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestAbsoluteNegative:$/;" c +TestAccess adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestAccess(BaseAttrs):$/;" c +testAccumulationRuns adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAccumulationRuns(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testAccumulationWithNoGradientBranch adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAccumulationWithNoGradientBranch(self):$/;" m class:TestGradientsAccumulationWithNoGradientOps +TestAccuracy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^class TestAccuracy:$/;" c +TestActivations adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^class TestActivations(serial.SerializedTestCase):$/;" c +TestAdadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^class TestAdadelta(serial.SerializedTestCase):$/;" c +TestAdadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestAdadelta(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestAdagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^class TestAdagrad(serial.SerializedTestCase):$/;" c +TestAdagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestAdagrad(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestAdam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^class TestAdam(hu.HypothesisTestCase):$/;" c +TestAdam adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestAdam(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestAdamOps adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^class TestAdamOps(hu.HypothesisTestCase):$/;" c +testAdaptiveWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testAdaptiveWeight($/;" m class:TestLayers +TestAdd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestAdd:$/;" c +testAddAndDynamicConstant adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAddAndDynamicConstant(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testAddAndStaticConstant adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAddAndStaticConstant(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testAddFieldByNestedName adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testAddFieldByNestedName(self):$/;" m class:TestDB +TestAdditionalMappingFuncs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestAdditionalMappingFuncs(BaseMapping):$/;" c +testAddLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testAddLoss(self):$/;" m class:TestLayers +testAddOpAtLeaf adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAddOpAtLeaf(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testAddOpInMiddle adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testAddOpInMiddle(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testAddOutputSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testAddOutputSchema(self):$/;" m class:TestLayers +testAddParam adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper_test.py /^ def testAddParam(self):$/;" m class:Seq2SeqModelHelperTest +TestAdd_newdoc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAdd_newdoc:$/;" c +TestAdd_newdoc_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAdd_newdoc_ufunc:$/;" c +TestAdjustSchemeToBounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^class TestAdjustSchemeToBounds(object):$/;" c +TestAffineChannelOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^class TestAffineChannelOp(serial.SerializedTestCase):$/;" c +TestAiry adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestAiry(object):$/;" c +TestAkima1DInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestAkima1DInterpolator(object):$/;" c +TestAlen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestAlen(_DeprecationTestCase):$/;" c +TestAlen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestAlen:$/;" c +TestAlgebra adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestAlgebra:$/;" c +TestAlgorithm_2_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^class TestAlgorithm_2_2(object):$/;" c +TestAliasWithNameOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/alias_with_name_test.py /^class TestAliasWithNameOp(hu.HypothesisTestCase):$/;" c +TestAlignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestAlignment:$/;" c +TestAll adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAll:$/;" c +TestAllclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestAllclose:$/;" c +TestAllCompare adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^class TestAllCompare(hu.HypothesisTestCase):$/;" c +TestAllFreqConvolves adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestAllFreqConvolves(object):$/;" c +testAllowsWatchingUnconnectedOutputTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testAllowsWatchingUnconnectedOutputTensor(self):$/;" m class:SessionDebugTestBase +testAllreduceFallback adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceFallback(self):$/;" m class:TestMuji +testAllreduceSingleGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceSingleGPU(self):$/;" m class:TestMuji +testAllreduceWithEightGPUs adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceWithEightGPUs(self):$/;" m class:TestMuji +testAllreduceWithFourGPUs adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceWithFourGPUs(self):$/;" m class:TestMuji +testAllreduceWithFourGPUsAndTwoGroups adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceWithFourGPUsAndTwoGroups(self):$/;" m class:TestMuji +testAllreduceWithTwoGPUs adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^ def testAllreduceWithTwoGPUs(self):$/;" m class:TestMuji +TestAlmostEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestAlmostEqual(_GenericTest):$/;" c +TestAmax adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAmax:$/;" c +TestAmin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAmin:$/;" c +TestAnderson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestAnderson(object):$/;" c +TestAndersonKSamp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestAndersonKSamp(object):$/;" c +TestAngle adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAngle:$/;" c +TestAnsari adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestAnsari(object):$/;" c +TestAny adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestAny = _reflection.GeneratedProtocolMessageType('TestAny', (_message.Message,), {$/;" v +TestAny adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAny:$/;" c +TestAPMeterOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^class TestAPMeterOps(hu.HypothesisTestCase):$/;" c +TestAppendFields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestAppendFields:$/;" c +TestAppendFieldsObj adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestAppendFieldsObj:$/;" c +TestAppendNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestAppendNet(test_util.TestCase):$/;" c +TestAppendpath adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^class TestAppendpath:$/;" c +TestApplyAlongAxis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestApplyAlongAxis:$/;" c +TestApplyAlongAxis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestApplyAlongAxis:$/;" c +TestApplyOverAxes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestApplyOverAxes:$/;" c +TestApplyOverAxes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestApplyOverAxes:$/;" c +TestApproxDerivativeLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^class TestApproxDerivativeLinearOperator(object):$/;" c +TestApproxDerivativesDense adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^class TestApproxDerivativesDense(object):$/;" c +TestApproxDerivativeSparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^class TestApproxDerivativeSparse(object):$/;" c +TestApproxEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestApproxEqual:$/;" c +TestArange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArange:$/;" c +testArcCosineFeatureMap adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testArcCosineFeatureMap(self, batch_size, input_dims, output_dims, s, scale,$/;" m class:TestLayers +TestArctan2SpecialValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestArctan2SpecialValues:$/;" c +TestArgmax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArgmax:$/;" c +TestArgmin adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArgmin:$/;" c +TestArgOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^class TestArgOps(serial.SerializedTestCase):$/;" c +TestArgrel adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestArgrel(object):$/;" c +TestArgsort adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^class TestArgsort:$/;" c +TestArgsreduce adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def TestArgsreduce():$/;" f +testArgsToDict adpepsenv/lib/python3.8/site-packages/caffe2/python/utils_test.py /^ def testArgsToDict(self):$/;" m class:TestUtils +TestArgus adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestArgus(object):$/;" c +TestArgwhere adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestArgwhere:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestArithmetic:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestArithmetic:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestArithmetic:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestArithmetic:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestArithmetic:$/;" c +TestArithmetic adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestArithmetic:$/;" c +TestArray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attribute_create.py /^class TestArray(TestCase):$/;" c +TestArray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class TestArray(BaseAttrs):$/;" c +TestArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class TestArray(np.ndarray):$/;" c member:TestStats.test_subclass file: +TestArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class TestArray(np.ndarray):$/;" c member:TestRegression.test_attributes file: +TestArray2String adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^class TestArray2String:$/;" c +TestArrayAlmostEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestArrayAlmostEqual(_GenericTest):$/;" c +TestArrayAlmostEqualNulp adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestArrayAlmostEqualNulp:$/;" c +TestArrayArgument adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestArrayArgument(object): # test for ticket:992$/;" c +TestArrayAssertLess adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestArrayAssertLess:$/;" c +TestArrayAttributeDeletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArrayAttributeDeletion:$/;" c +TestArrayComparisons adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestArrayComparisons:$/;" c +TestArrayConstruction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArrayConstruction:$/;" c +TestArrayConversion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestArrayConversion:$/;" c +TestArrayDataAttributeAssignmentDeprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestArrayDataAttributeAssignmentDeprecation(_DeprecationTestCase):$/;" c +TestArrayDimensions adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestArrayDimensions:$/;" c +TestArrayEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestArrayEqual(_GenericTest):$/;" c +TestArrayFinalize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArrayFinalize:$/;" c +TestArrayFunctionDispatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestArrayFunctionDispatch:$/;" c +TestArrayFunctionImplementation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestArrayFunctionImplementation:$/;" c +TestArrayInterface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArrayInterface():$/;" c +TestArrayMemoryError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^class TestArrayMemoryError:$/;" c +TestArrayMethods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^class TestArrayMethods:$/;" c +TestArrayPriority adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestArrayPriority:$/;" c +TestArrayRepr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^class TestArrayRepr:$/;" c +TestArraySetOps adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestArraySetOps:$/;" c +TestArraySlicing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestArraySlicing(BaseSlicing):$/;" c +TestArraySplit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestArraySplit:$/;" c +TestArrayToIndexDeprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestArrayToIndexDeprecation:$/;" c +TestArrayTools adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^class TestArrayTools(object):$/;" c +TestArtist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^ class TestArtist(martist.Artist):$/;" c function:test_artist_inspector_get_valid_values file: +TestAsArray adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^class TestAsArray:$/;" c +TestAsCArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestAsCArray:$/;" c +TestAsCtypesType adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^class TestAsCtypesType:$/;" c +TestAsIntegerRatio adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^class TestAsIntegerRatio:$/;" c +TestAsLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^class TestAsLinearOperator(object):$/;" c +TestAsPairs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestAsPairs:$/;" c +TestAssert adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/assert_test.py /^class TestAssert(hu.HypothesisTestCase):$/;" c +TestAssertAllclose adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestAssertAllclose:$/;" c +TestAssertNoGcCycles adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestAssertNoGcCycles:$/;" c +testassign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def testassign():$/;" f member:TestStructured.test_setfield_object file: +testassign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def testassign(arr, v):$/;" f member:TestStructured.test_assignment file: +TestAssignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestAssignment:$/;" c +testAssignToField adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testAssignToField(self):$/;" m class:TestDB +TestAssignValues_1009_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_1009_UCS2(AssignValues):$/;" c +TestAssignValues_1009_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_1009_UCS4(AssignValues):$/;" c +TestAssignValues_1_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_1_UCS2(AssignValues):$/;" c +TestAssignValues_1_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_1_UCS4(AssignValues):$/;" c +TestAssignValues_2_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_2_UCS2(AssignValues):$/;" c +TestAssignValues_2_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestAssignValues_2_UCS4(AssignValues):$/;" c +TestAssocLaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestAssocLaguerre(object):$/;" c +TestAssumedShapeSumExample adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^class TestAssumedShapeSumExample(util.F2PyTest):$/;" c +TestAstype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestAstype(BaseDataset):$/;" c +TestATen adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^class TestATen(hu.HypothesisTestCase):$/;" c +TestAtleast1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestAtleast1d:$/;" c +TestAtleast2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestAtleast2d:$/;" c +TestAtleast3d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestAtleast3d:$/;" c +TestAtomicOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/atomic_ops_test.py /^class TestAtomicOps(TestCase):$/;" c +TestAttr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TestAttr = tf_export("raw_ops.TestAttr")(_ops.to_raw_op(test_attr))$/;" v +TestAttributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestAttributes:$/;" c +TestAttributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestAttributes:$/;" c +TestAutoCreate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestAutoCreate(BaseDataset):$/;" c +TestAutoMinorLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestAutoMinorLocator:$/;" c +TestAutoNaming adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestAutoNaming(test_util.TestCase):$/;" c +TestAutoscaleIP adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestAutoscaleIP(AutoscaleTests):$/;" c +TestAutoscaleRS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestAutoscaleRS(AutoscaleTests):$/;" c +TestAutoscaleSimplex adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestAutoscaleSimplex(AutoscaleTests):$/;" c +TestAverage adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestAverage:$/;" c +TestAverage adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestAverage:$/;" c +testAveragePoolingSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_speed_test.py /^ def testAveragePoolingSpeed(self):$/;" m class:TestMKLBasic +TestAVXFloat32Transcendental adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestAVXFloat32Transcendental:$/;" c +TestAVXUfuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestAVXUfuncs:$/;" c +testaxis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def testaxis(f, a, d):$/;" f member:TestOptionalArgs.test_ndarrayfuncs file: +TestB8 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestB8(TestCase):$/;" c +testBackwardFilterGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def testBackwardFilterGradient(self):$/;" m class:ConvolutionTest +testBackwardInputGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def testBackwardInputGradient(self):$/;" m class:ConvolutionTest +TestBackwardsCompat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestBackwardsCompat(TestCase):$/;" c +TestBartHann adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBartHann(object):$/;" c +TestBartlett adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBartlett(object):$/;" c +TestBartlett adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBartlett(object):$/;" c +TestBarycentric adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^class TestBarycentric(object):$/;" c +TestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^class TestBase(test_base.DatasetTestBase):$/;" c +TestBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^class TestBase(object):$/;" c +TestBase64ByteArrays adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestBase64ByteArrays = _reflection.GeneratedProtocolMessageType('TestBase64ByteArrays', (_messag/;" v +TestBaseMath adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestBaseMath:$/;" c +TestBaseRepr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBaseRepr:$/;" c +TestBasic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestBasic:$/;" c +TestBasic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^class TestBasic(object):$/;" c +TestBasicTransform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^class TestBasicTransform:$/;" c +TestBasinHopping adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class TestBasinHopping(object):$/;" c +TestBatchBoxCox adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^class TestBatchBoxCox(serial.SerializedTestCase):$/;" c +TestBatchBucketize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^class TestBatchBucketize(serial.SerializedTestCase):$/;" c +TestBatchGatherOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^class TestBatchGatherOps(hu.HypothesisTestCase):$/;" c +testBatchHuberLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchHuberLoss(self):$/;" m class:TestLayers +testBatchLRLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchLRLoss(self):$/;" m class:TestLayers +testBatchLRLossWithUncertainty adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchLRLossWithUncertainty(self):$/;" m class:TestLayers +TestBatchMatMul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^class TestBatchMatMul(serial.SerializedTestCase):$/;" c +TestBatchMomentsOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^class TestBatchMomentsOp(serial.SerializedTestCase):$/;" c +testBatchMSELoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchMSELoss(self):$/;" m class:TestLayers +testBatchNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchNormalization(self, X):$/;" m class:TestLayers +testBatchSigmoidCrossEntropyLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchSigmoidCrossEntropyLoss(self):$/;" m class:TestLayers +testBatchSoftmaxLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchSoftmaxLoss(self):$/;" m class:TestLayers +testBatchSoftmaxLossWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBatchSoftmaxLossWeight(self):$/;" m class:TestLayers +TestBatchSparseToDense adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^class TestBatchSparseToDense(serial.SerializedTestCase):$/;" c +TestBayes_mvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBayes_mvs(object):$/;" c +TestBBoxTransformOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^class TestBBoxTransformOp(serial.SerializedTestCase):$/;" c +TestBdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^class TestBdtr(object):$/;" c +TestBdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^class TestBdtrc(object):$/;" c +TestBdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^class TestBdtri(object):$/;" c +TestBernoulli adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestBernoulli(object):$/;" c +TestBernoulli adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBernoulli(object):$/;" c +TestBessel adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestBessel(object):$/;" c +TestBessel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestBessel(object):$/;" c +TestBesselpoly adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestBesselpoly(object):$/;" c +TestBeta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestBeta(object):$/;" c +TestBeta adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBeta(object):$/;" c +TestBetaPrime adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBetaPrime(object):$/;" c +testBiasInputsMatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testBiasInputsMatch(self):$/;" m class:BiasAddTestBase +testBiasVec adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testBiasVec(self):$/;" m class:BiasAddTestBase +testBidiagonalA adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testBidiagonalA(self):$/;" m class:TestLSMR +TestBilinear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestBilinear(object):$/;" c +TestBilinear_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestBilinear_zpk(object):$/;" c +testBinaryClassesFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesFromCheckpoint(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesFromCheckpoint(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesFromCheckpointFloatLabels(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesFromCheckpointFloatLabels(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesFromCheckpointMultiBatch(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesFromCheckpointMultiBatch(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesFromScratch(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesFromScratch(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesTrainWithOneDimLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesTrainWithOneDimLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesTrainWithOneDimWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesTrainWithOneDimWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesTrainWithTwoDimsLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesTrainWithTwoDimsLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesTrainWithTwoDimsWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesTrainWithTwoDimsWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testBinaryClassesWithLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesWithLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testBinaryClassesWithLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesWithLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testBinaryClassesWithoutLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testBinaryClassesWithoutLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testBinaryClassesWithoutLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testBinaryClassesWithoutLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +TestBinaryOpeningClosing adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^class TestBinaryOpeningClosing:$/;" c +TestBinaryRepr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBinaryRepr:$/;" c +TestBinaryReprInsufficientWidthParameterForRepresentation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestBinaryReprInsufficientWidthParameterForRepresentation(_DeprecationTestCase):$/;" c +TestBincount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestBincount(_DeprecationTestCase):$/;" c +TestBincount adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestBincount:$/;" c +TestBindings adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^class TestBindings(test_util.TestCase):$/;" c +TestBinnedStatistic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^class TestBinnedStatistic(object):$/;" c +TestBinom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBinom(object):$/;" c +TestBinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestBinomial:$/;" c +TestBinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestBinomial:$/;" c +TestBinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestBinomial:$/;" c +TestBinomP adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBinomP(object):$/;" c +TestBinop adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestBinop:$/;" c +TestBisectPercentileOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^class TestBisectPercentileOp(hu.HypothesisTestCase):$/;" c +TestBisplrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^class TestBisplrep(object):$/;" c +TestBitName adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestBitName:$/;" c +TestBitShifts adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestBitShifts:$/;" c +TestBitwiseUFuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestBitwiseUFuncs:$/;" c +TestBlackman adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBlackman(object):$/;" c +TestBlackmanHarris adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBlackmanHarris(object):$/;" c +TestBLAS3Symm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestBLAS3Symm(object):$/;" c +TestBLAS3Syr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestBLAS3Syr2k(object):$/;" c +TestBLAS3Syrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestBLAS3Syrk(object):$/;" c +testBlobNameOverrides adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testBlobNameOverrides(self):$/;" m class:TestLoadSave +testBlobReferenceIsIndependentFromNameScope adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testBlobReferenceIsIndependentFromNameScope(self):$/;" m class:TestScopes +testBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator_test.py /^ def testBlobs(self):$/;" m class:TestNumericalEquivalence +testBlobWeightedSum adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBlobWeightedSum(self, num_inputs, batch_size, input_dim, seed):$/;" m class:TestLayers +TestBlock adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestBlock:$/;" c +TestBlockDiag adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestBlockDiag:$/;" c +TestBlockDocString adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_block_docstring.py /^class TestBlockDocString(util.F2PyTest):$/;" c +TestBlockedQR adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestBlockedQR(object):$/;" c +TestBohman adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBohman(object):$/;" c +TestBool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestBool:$/;" c +TestBool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestBool:$/;" c +TestBoolArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBoolArray:$/;" c +TestBoolCmp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBoolCmp:$/;" c +TestBooleanIndexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestBooleanIndexing:$/;" c +TestBooleanMaskOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^class TestBooleanMaskOp(serial.SerializedTestCase):$/;" c +TestBoolMap adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestBoolMap = _reflection.GeneratedProtocolMessageType('TestBoolMap', (_message.Message,), {$/;" v +testBoolNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testBoolNet(self):$/;" m class:TestControl +TestBoolScalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBoolScalar:$/;" c +TestBoolValue adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestBoolValue = _reflection.GeneratedProtocolMessageType('TestBoolValue', (_message.Message,), {$/;" v +TestBounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^class TestBounds(object):$/;" c +TestBoxBoundariesIntersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestBoxBoundariesIntersections(TestCase):$/;" c +TestBoxcar adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestBoxcar(object):$/;" c +TestBoxcox adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBoxcox(object):$/;" c +TestBoxcoxNormmax adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBoxcoxNormmax(object):$/;" c +TestBoxcoxNormplot adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBoxcoxNormplot(object):$/;" c +TestBoxcox_llf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestBoxcox_llf(object):$/;" c +TestBoxSphereBoundariesIntersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestBoxSphereBoundariesIntersections(TestCase):$/;" c +TestBoxWithNMSLimitOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^class TestBoxWithNMSLimitOp(serial.SerializedTestCase):$/;" c +TestBPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestBPoly(object):$/;" c +TestBPolyCalculus adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestBPolyCalculus(object):$/;" c +TestBPolyFromDerivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestBPolyFromDerivatives(object):$/;" c +testBPRLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testBPRLoss(self):$/;" m class:TestLayers +TestBradford adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBradford(object):$/;" c +TestBroadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestBroadcast:$/;" c +TestBroadcast adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestBroadcast:$/;" c +TestBroadcast adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestBroadcast:$/;" c +TestBroadcast adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestBroadcast:$/;" c +TestBroadcastedAssignments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestBroadcastedAssignments:$/;" c +TestBrunnerMunzel adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestBrunnerMunzel(object):$/;" c +TestBrunnerMunzel adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestBrunnerMunzel(object):$/;" c +TestBrute adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestBrute:$/;" c +TestBSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^class TestBSpline(object):$/;" c +TestBSplines adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^class TestBSplines(object):$/;" c +TestBSR adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestBSR(sparse_test_class(getset=False,$/;" c +TestBSRNonCanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestBSRNonCanonical(_NonCanonicalCompressedMixin, TestBSR):$/;" c +TestBucketizeOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bucketize_op_test.py /^class TestBucketizeOp(hu.HypothesisTestCase):$/;" c +TestBuildErrorMessage adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestBuildErrorMessage:$/;" c +testBuildUniqueMutexIter adpepsenv/lib/python3.8/site-packages/caffe2/python/utils_test.py /^ def testBuildUniqueMutexIter(self):$/;" m class:TestUtils +TestBuiltin adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestBuiltin:$/;" c +TestBurr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestBurr(object):$/;" c +TestButter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestButter(object):$/;" c +TestButtord adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestButtord(object):$/;" c +TestBVLS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^class TestBVLS(BaseMixin):$/;" c +TestByteBounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^class TestByteBounds:$/;" c +TestByteorderConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestByteorderConverter(StringConverterTestCase):$/;" c +TestByteorder_1009_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_1009_UCS2(ByteorderValues):$/;" c +TestByteorder_1009_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_1009_UCS4(ByteorderValues):$/;" c +TestByteorder_1_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_1_UCS2(ByteorderValues):$/;" c +TestByteorder_1_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_1_UCS4(ByteorderValues):$/;" c +TestByteorder_2_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_2_UCS2(ByteorderValues):$/;" c +TestByteorder_2_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestByteorder_2_UCS4(ByteorderValues):$/;" c +TestBytestringArrayNonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestBytestringArrayNonzero:$/;" c +TestC2D adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^class TestC2D(object):$/;" c +TestC2dInvariants adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^class TestC2dInvariants:$/;" c +TestC2dLti adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^class TestC2dLti(object):$/;" c +TestC2LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/test/inference_lstm_op_test.py /^class TestC2LSTM(TestCase):$/;" c +TestCabs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestCabs:$/;" c +TestCache adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^class TestCache(TestCase):$/;" c +TestCacheConfig adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^class TestCacheConfig(TestCase):$/;" c +TestCaffe2Basic adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^class TestCaffe2Basic(TestCase):$/;" c +TestCaffe2Basic adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/helper_test.py /^class TestCaffe2Basic(TestCase):$/;" c +TestCaffe2End2End adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^class TestCaffe2End2End(TestCase):$/;" c +TestCall adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class TestCall(object):$/;" c +TestCallstatement adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^class TestCallstatement(util.F2PyTest):$/;" c +TestCamelCase adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestCamelCase = _reflection.GeneratedProtocolMessageType('TestCamelCase', (_message.Message,), {$/;" v +TestCAPI adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestCAPI:$/;" c +TestCApiAccess adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestCApiAccess:$/;" c +TestCarg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestCarg:$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class TestCase(unittest3_backport.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^class TestCase(absltest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^class TestCase(unittest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^class TestCase(hu.HypothesisTestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/test_utils.py /^class TestCase(unittest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/caffe2/python/test_util.py /^class TestCase(unittest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^class TestCase(ut.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^class TestCase(unittest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils.py /^class TestCase(unittest.TestCase):$/;" c +testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ testcase = ma.fix_invalid([1,2,3,4,np.nan])$/;" v class:TestVariability +testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ testcase = [1,2,3,4]$/;" v class:TestMoments +testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ testcase = [1, 2, 3, 4]$/;" v class:TestVariability +testcase adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ testcase = [1,2,3,4]$/;" v class:TestMoments +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^class TestCase(test.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^class TestCase(test.TestCase, parameterized.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^from tensorflow.python.framework.test_util import TensorFlowTestCase as TestCase$/;" x +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/test/__init__.py /^from tensorflow.python.framework.test_util import TensorFlowTestCase as TestCase$/;" x +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/test/__init__.py /^from tensorflow.python.framework.test_util import TensorFlowTestCase as TestCase$/;" x +TestCase adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/test/__init__.py /^from tensorflow.python.framework.test_util import TensorFlowTestCase as TestCase$/;" x +TestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^class TestCase(expecttest.TestCase):$/;" c +TestCase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^class TestCase(unittest.TestCase):$/;" c +testcase_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ testcase_2d = ma.array($/;" v class:TestMoments +testcase_moment_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ testcase_moment_accuracy = np.random.rand(42)$/;" v class:TestMoments +testCast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testCast(self):$/;" m class:TestShapeInference +TestCasting adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestCasting:$/;" c +TestCastingConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestCastingConverter(StringConverterTestCase):$/;" c +TestCastOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^class TestCastOp(hu.HypothesisTestCase):$/;" c +testCausalityCheckOnDumpsDetectsWrongTemporalOrder adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testCausalityCheckOnDumpsDetectsWrongTemporalOrder(self):$/;" m class:SessionDebugTestBase +TestCaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCaxpy(BaseAxpy):$/;" c +TestCaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCaxpy:$/;" c +TestCBLAS1Simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestCBLAS1Simple(object):$/;" c +TestCbrt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestCbrt:$/;" c +TestCcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCcopy(BaseCopy):$/;" c +TestCcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCcopy:$/;" c +TestCDF2RDF adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestCDF2RDF(object):$/;" c +TestCdfDistanceValidation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestCdfDistanceValidation(object):$/;" c +TestCDFlib adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^class TestCDFlib(object):$/;" c +TestCdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestCdist(object):$/;" c +TestCeil adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^class TestCeil(serial.SerializedTestCase):$/;" c +TestCephes adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestCephes(object):$/;" c +TestCequenceMethods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestCequenceMethods:$/;" c +TestCexp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestCexp:$/;" c +TestCgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCgemv(BaseGemv):$/;" c +TestCgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCgemv:$/;" c +testChannelBackpropStats adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^ def testChannelBackpropStats(self, size, inputChannels, batchSize, gc, dc):$/;" m class:TestChannelBackpropStats +TestChannelBackpropStats adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_backprop_stats_op_test.py /^class TestChannelBackpropStats(serial.SerializedTestCase):$/;" c +TestChannelStatsOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^class TestChannelStatsOp(serial.SerializedTestCase):$/;" c +TestChar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestChar:$/;" c +TestCharacter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class TestCharacter:$/;" c +TestCheb1ord adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCheb1ord(object):$/;" c +TestCheb2ord adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCheb2ord(object):$/;" c +TestChebWin adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestChebWin(object):$/;" c +TestCheby adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class TestCheby(object):$/;" c +TestCheby1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCheby1(object):$/;" c +TestCheby2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCheby2(object):$/;" c +TestCheckFinite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCheckFinite:$/;" c +TestCheckpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^class TestCheckpoint(TestCase):$/;" c +testCheckpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/checkpoint_test.py /^ def testCheckpoint(self):$/;" m class:CheckpointTest +TestChi2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestChi2(object):$/;" c +TestChirp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^class TestChirp(object):$/;" c +TestCholesky adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestCholesky:$/;" c +TestCholesky adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^class TestCholesky(object):$/;" c +TestCholeskyBanded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^class TestCholeskyBanded(object):$/;" c +TestChoose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestChoose:$/;" c +TestChoose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestChoose:$/;" c +TestCircFuncs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestCircFuncs(object):$/;" c +TestCirculant adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestCirculant(object):$/;" c +TestClarksonWoodruffTransform adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^class TestClarksonWoodruffTransform(object):$/;" c +TestClip adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^class TestClip(serial.SerializedTestCase):$/;" c +TestClip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestClip:$/;" c +TestClip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestClip:$/;" c +TestClipmodeConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestClipmodeConverter(StringConverterTestCase):$/;" c +TestClipTensorByScalingOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^class TestClipTensorByScalingOp(serial.SerializedTestCase):$/;" c +TestClog adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestClog:$/;" c +TestCloneNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestCloneNet(test_util.TestCase):$/;" c +TestClose adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestClose(TestCase):$/;" c +TestCloseInvalidatesOpenObjectIDs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestCloseInvalidatesOpenObjectIDs(TestCase):$/;" c +TestCloughTocher2DInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^class TestCloughTocher2DInterpolator(object):$/;" c +TestCluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^class TestCluster(object):$/;" c +TestCobyla adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^class TestCobyla(object):$/;" c +TestCoherence adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestCoherence(object):$/;" c +TestCollectAndDistributeFpnRpnProposals adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^class TestCollectAndDistributeFpnRpnProposals(serial.SerializedTestCase):$/;" c +testColumnB adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testColumnB(self):$/;" m class:TestLSMR +TestColumnStack adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestColumnStack:$/;" c +TestCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^class TestCombination(object):$/;" c +TestCombinatorics adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestCombinatorics(object):$/;" c +testCombineConditions adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testCombineConditions(self):$/;" m class:TestControl +TestCombinePvalues adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestCombinePvalues(object):$/;" c +TestCommaDecimalPointLocale adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^class TestCommaDecimalPointLocale(CommaDecimalPointLocale):$/;" c +TestCommaDecimalPointLocale adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^class TestCommaDecimalPointLocale(CommaDecimalPointLocale):$/;" c +TestCommonBlock adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_common.py /^class TestCommonBlock(util.F2PyTest):$/;" c +TestCommonType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCommonType:$/;" c +TestCommonType adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestCommonType:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestCompanion:$/;" c +TestCompanion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestCompanion(object):$/;" c +testCompareGpuVsCpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testCompareGpuVsCpu(self):$/;" m class:ResizeNearestNeighborOpTestBase +testCompareGpuVsCpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testCompareGpuVsCpu(self, batch_size, channel_count):$/;" m class:ResizeBilinearOpTestBase +testCompareGpuVsCpuFloat64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testCompareGpuVsCpuFloat64(self):$/;" m class:ResizeBilinearOpTestBase +TestCompareWithStats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestCompareWithStats(object):$/;" c +TestComparisonDeprecations adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestComparisonDeprecations(_DeprecationTestCase):$/;" c +TestComparisons adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestComparisons:$/;" c +TestComparisons adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestComparisons:$/;" c +TestComparisonsMixed1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestComparisonsMixed1(TestComparisons):$/;" c +TestComparisonsMixed2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestComparisonsMixed2(TestComparisons):$/;" c +TestCompletions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_completions.py /^class TestCompletions(TestCase):$/;" c +TestComplex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestComplex(object):$/;" c +testComplexA adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testComplexA(self):$/;" m class:TestLSMR +TestComplexAbsoluteAVX adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestComplexAbsoluteAVX(object):$/;" c +TestComplexAbsoluteMixedDTypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestComplexAbsoluteMixedDTypes(object):$/;" c +TestComplexArray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^class TestComplexArray:$/;" c +testComplexB adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testComplexB(self):$/;" m class:TestLSMR +TestComplexDivision adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestComplexDivision:$/;" c +TestComplexFunctions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestComplexFunctions:$/;" c +TestComplexOde adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestComplexOde(TestODEClass):$/;" c +TestComplexSolout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestComplexSolout(object):$/;" c +testComplexX adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testComplexX(self):$/;" m class:TestLSMR +testComplexX0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testComplexX0(self):$/;" m class:TestLSMR +TestCompositeReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^class TestCompositeReader(TestCase):$/;" c +TestCompound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCompound(BaseDataset):$/;" c +TestCompound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^class TestCompound(ut.TestCase):$/;" c +TestCompress adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestCompress:$/;" c +TestCompressed adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestCompressed(TestScalars):$/;" c +TestCompressFunctions adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestCompressFunctions:$/;" c +TestComputeEqualizationScaleOp adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^class TestComputeEqualizationScaleOp(hu.HypothesisTestCase):$/;" c +TestConcat adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestConcat(test_util.TestCase):$/;" c +testConcat adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testConcat(self):$/;" m class:TestLayers +testConcat adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testConcat(self):$/;" m class:TestShapeInference +TestConcatenate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestConcatenate:$/;" c +TestConcatenator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestConcatenator:$/;" c +TestConcatenator adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestConcatenator:$/;" c +TestConcatenator adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^class TestConcatenator:$/;" c +TestConcatenatorMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^class TestConcatenatorMatrix:$/;" c +testConcatInt32 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testConcatInt32(self):$/;" m class:TestShapeInference +testConcatNCHW adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testConcatNCHW(self):$/;" m class:TestConcat +testConcatNHWC adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testConcatNHWC(self):$/;" m class:TestConcat +TestConcatSplitOps adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^class TestConcatSplitOps(hu.HypothesisTestCase):$/;" c +TestConcatSplitOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^class TestConcatSplitOps(serial.SerializedTestCase):$/;" c +testConcurrentDumpingToPathsWithOverlappingParentDirsWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testConcurrentDumpingToPathsWithOverlappingParentDirsWorks(self):$/;" m class:SessionDebugTestBase +TestCond adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestCond(CondCases):$/;" c +TestConditionalOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^class TestConditionalOp(serial.SerializedTestCase):$/;" c +TestConditionalShortcuts adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestConditionalShortcuts:$/;" c +TestCondMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestCondMatrix(CondCases, MatrixTestCase):$/;" c +TestConstant adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestConstant:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestConstants:$/;" c +TestConstants adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestConstants:$/;" c +testConstantWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testConstantWeight(self, num, gc, dc):$/;" m class:TestLayers +testConstructPlanFromSteps adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testConstructPlanFromSteps(self):$/;" m class:TestWorkspace +TestConstructUtils adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^class TestConstructUtils(object):$/;" c +testConstuctor adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper_test.py /^ def testConstuctor(self):$/;" m class:Seq2SeqModelHelperTest +testContains adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testContains(self):$/;" m class:TestDB +TestContains adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestContains(BaseGroup):$/;" c +TestContext adpepsenv/lib/python3.8/site-packages/caffe2/python/context_test.py /^class TestContext(test_util.TestCase):$/;" c +TestContextManager adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestContextManager(TestCase):$/;" c +TestContextManager adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^class TestContextManager:$/;" c +TestControl adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad_test.py /^class TestControl(test_util.TestCase):$/;" c +TestControl adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^class TestControl(test_util.TestCase):$/;" c +testConv adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testConv(self):$/;" m class:TestLayers +TestConversion adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^class TestConversion(TestCase):$/;" c +TestConversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestConversion:$/;" c +TestConversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestConversion:$/;" c +TestConvexHull adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class TestConvexHull:$/;" c +testConvnetBenchmarks adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks_test.py /^ def testConvnetBenchmarks(self):$/;" m class:TestConvnetBenchmarks +TestConvnetBenchmarks adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks_test.py /^class TestConvnetBenchmarks(test_util.TestCase):$/;" c +TestConvolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^class TestConvolution(serial.SerializedTestCase):$/;" c +TestConvolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^class TestConvolution(hu.HypothesisTestCase):$/;" c +TestConvolutionMatrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestConvolutionMatrix:$/;" c +TestConvolutionTranspose adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^class TestConvolutionTranspose(hu.HypothesisTestCase):$/;" c +TestConvolve adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestConvolve:$/;" c +TestConvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestConvolve(_TestConvolve):$/;" c +TestConvolve2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestConvolve2d(_TestConvolve2d):$/;" c +testConvReluLRNSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_speed_test.py /^ def testConvReluLRNSpeed(self):$/;" m class:TestMKLBasic +testConvReluMaxPoolFcSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_speed_test.py /^ def testConvReluMaxPoolFcSpeed(self):$/;" m class:TestMKLBasic +testConvReluMaxPoolSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_speed_test.py /^ def testConvReluMaxPoolSpeed(self):$/;" m class:TestMKLBasic +testConvSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_speed_test.py /^ def testConvSpeed(self):$/;" m class:TestMKLBasic +TestCOO adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCOO(sparse_test_class(getset=False,$/;" c +TestCOONonCanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCOONonCanonical(_NonCanonicalMixin, TestCOO):$/;" c +TestCopheneticDistance adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestCopheneticDistance(object):$/;" c +TestCopy adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestCopy(TestCase):$/;" c +TestCopy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCopy:$/;" c +testCopyNodesHaveCorrectDebugOpsAndURLsAttributeValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testCopyNodesHaveCorrectDebugOpsAndURLsAttributeValues(self):$/;" m class:SessionDebugTestBase +TestCopyRowsToTensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^class TestCopyRowsToTensor(hu.HypothesisTestCase):$/;" c +TestCorr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestCorr(object):$/;" c +TestCorrCoef adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCorrCoef:$/;" c +TestCorrcoef adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestCorrcoef:$/;" c +TestCorrelate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestCorrelate:$/;" c +TestCorrelate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestCorrelate(object):$/;" c +TestCorrelate2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestCorrelate2d(object):$/;" c +TestCorrelateComplex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestCorrelateComplex(object):$/;" c +TestCorrelateReal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestCorrelateReal(object):$/;" c +TestCorrespond adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestCorrespond(object):$/;" c +TestCorrPearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestCorrPearsonr(object):$/;" c +TestCorrSpearmanr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestCorrSpearmanr(object):$/;" c +TestCorrSpearmanr2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestCorrSpearmanr2(object):$/;" c +testCos adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testCos(self):$/;" m class:TestCos +TestCos adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestCos(test_util.TestCase):$/;" c +TestCosineEmbeddingCriterion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^class TestCosineEmbeddingCriterion(serial.SerializedTestCase):$/;" c +TestCount adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^class TestCount():$/;" c +testCounter adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ testCounter = 0$/;" v class:TestFileStoreHandlerOp +TestCounterOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/counter_ops_test.py /^class TestCounterOps(TestCase):$/;" c +TestCounterOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_ops_test.py /^class TestCounterOps(TestCase):$/;" c +TestCov adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCov:$/;" c +TestCov adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestCov:$/;" c +TestCplxPair adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCplxPair(object):$/;" c +TestCplxReal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestCplxReal(object):$/;" c +TestCpow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestCpow:$/;" c +TestCppEnforceAsException adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestCppEnforceAsException(test_util.TestCase):$/;" c +testCreate adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testCreate(self):$/;" m class:TestCreateOperator +TestCreate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestCreate(BaseAttrs):$/;" c +TestCreate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestCreate(BaseGroup):$/;" c +TestCreateChunked adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateChunked(BaseDataset):$/;" c +TestCreateCompressionNumber adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateCompressionNumber(BaseDataset):$/;" c +TestCreateData adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateData(BaseDataset):$/;" c +TestCreateFillvalue adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateFillvalue(BaseDataset):$/;" c +TestCreateFletcher32 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateFletcher32(BaseDataset):$/;" c +TestCreateGzip adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateGzip(BaseDataset):$/;" c +TestCreateLike adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateLike(BaseDataset):$/;" c +TestCreateLZF adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateLZF(BaseDataset):$/;" c +TestCreateNamedType adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateNamedType(BaseDataset):$/;" c +TestCreateOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestCreateOperator(test_util.TestCase):$/;" c +TestCreatePlan adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestCreatePlan(test_util.TestCase):$/;" c +TestCreateRequire adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateRequire(BaseDataset):$/;" c +TestCreateScaleOffset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateScaleOffset(BaseDataset):$/;" c +TestCreateShape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateShape(BaseDataset):$/;" c +TestCreateShuffle adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateShuffle(BaseDataset):$/;" c +TestCreateSZIP adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestCreateSZIP(BaseDataset):$/;" c +TestCreateValuesNestedMultiple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateValuesNestedMultiple(CreateValues):$/;" c +TestCreateValuesNestedSingle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateValuesNestedSingle(CreateValues):$/;" c +TestCreateValuesPlainMultiple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateValuesPlainMultiple(CreateValues):$/;" c +TestCreateValuesPlainSingle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateValuesPlainSingle(CreateValues):$/;" c +TestCreateValues_1009_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_1009_UCS2(CreateValues):$/;" c +TestCreateValues_1009_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_1009_UCS4(CreateValues):$/;" c +TestCreateValues_1_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_1_UCS2(CreateValues):$/;" c +TestCreateValues_1_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_1_UCS4(CreateValues):$/;" c +TestCreateValues_2_UCS2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_2_UCS2(CreateValues):$/;" c +TestCreateValues_2_UCS4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateValues_2_UCS4(CreateValues):$/;" c +testCreateWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testCreateWorkspace(self):$/;" m class:TestMultiWorkspaces +TestCreateZerosNested adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateZerosNested(CreateZeros):$/;" c +TestCreateZerosPlain adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestCreateZerosPlain(CreateZeros):$/;" c +TestCreateZeros_1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateZeros_1(CreateZeros):$/;" c +TestCreateZeros_1009 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateZeros_1009(CreateZeros):$/;" c +TestCreateZeros_2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^class TestCreateZeros_2(CreateZeros):$/;" c +testCreation adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testCreation(self):$/;" m class:TestScriptModule +TestCreation adpepsenv/lib/python3.8/site-packages/h5py/tests/test_datatype.py /^class TestCreation(TestCase):$/;" c +TestCreation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestCreation:$/;" c +TestCreationFuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestCreationFuncs:$/;" c +TestCReturnReal adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^class TestCReturnReal(TestReturnReal):$/;" c +TestCrfDecode adpepsenv/lib/python3.8/site-packages/caffe2/python/crf_viterbi_test.py /^class TestCrfDecode(TestCase):$/;" c +TestCRFOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^class TestCRFOp(hu.HypothesisTestCase):$/;" c +TestCross adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestCross:$/;" c +TestCrossEntropyOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^class TestCrossEntropyOps(hu.HypothesisTestCase):$/;" c +TestCrossProtoCalls adpepsenv/lib/python3.8/site-packages/caffe2/python/test/python_protobuf_test.py /^class TestCrossProtoCalls(unittest.TestCase):$/;" c +TestCSC adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCSC(sparse_test_class()):$/;" c +TestCscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCscal(BaseScal):$/;" c +TestCscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCscal:$/;" c +TestCSCNonCanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCSCNonCanonical(_NonCanonicalCSMixin, TestCSC):$/;" c +TestCSD adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestCSD:$/;" c +TestCSpline1DEval adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestCSpline1DEval(object):$/;" c +TestCsqrt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestCsqrt:$/;" c +TestCSR adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCSR(sparse_test_class()):$/;" c +TestCSRNonCanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestCSRNonCanonical(_NonCanonicalCSMixin, TestCSR):$/;" c +TestCswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCswap(BaseSwap):$/;" c +TestCswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestCswap:$/;" c +TestCTCBeamSearchDecoderOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^class TestCTCBeamSearchDecoderOp(serial.SerializedTestCase):$/;" c +TestCTCGreedyDecoderOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^class TestCTCGreedyDecoderOp(serial.SerializedTestCase):$/;" c +TestCtor adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestCtor:$/;" c +TestCTypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestCTypes:$/;" c +TestCtypesQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^class TestCtypesQuad(object):$/;" c +TestCubicSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^class TestCubicSpline(object):$/;" c +TestCumprod adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCumprod:$/;" c +TestCumsum adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestCumsum:$/;" c +TestCumulative_trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^class TestCumulative_trapezoid(object):$/;" c +testCurrentWorkspaceWrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testCurrentWorkspaceWrapper(self):$/;" m class:TestWorkspace +TestCurveFit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestCurveFit(object):$/;" c +TestCustomJsonName adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestCustomJsonName = _reflection.GeneratedProtocolMessageType('TestCustomJsonName', (_message.Me/;" v +TestCvm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^class TestCvm(object):$/;" c +TestCWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestCWorkspace(htu.HypothesisTestCase):$/;" c +TestDA adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^class TestDA(TestCase):$/;" c +TestData adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestData(object):$/;" c +TestDatacopied adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestDatacopied(object):$/;" c +TestDataCoupleOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/data_couple_op_test.py /^class TestDataCoupleOp(TestCase):$/;" c +TestDatasetAssignment adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestDatasetAssignment(BaseGroup):$/;" c +TestDatasetOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^class TestDatasetOps(TestCase):$/;" c +TestDatasetSwmrRead adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^class TestDatasetSwmrRead(TestCase):$/;" c +TestDatasetSwmrWrite adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^class TestDatasetSwmrWrite(TestCase):$/;" c +TestDataSourceAbspath adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestDataSourceAbspath:$/;" c +TestDataSourceExists adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestDataSourceExists:$/;" c +TestDataSourceOpen adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestDataSourceOpen:$/;" c +TESTDATA_2D adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^TESTDATA_2D = np.array([$/;" v +TESTDATA_DIR adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^TESTDATA_DIR = os.path.realpath($/;" v +TESTDATA_DIR adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^TESTDATA_DIR = os.path.realpath($/;" v +TestDateAttribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestDateAttribute(object):$/;" c +TestDateTime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^class TestDateTime:$/;" c +TestDatetime64Timezone adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestDatetime64Timezone(_DeprecationTestCase):$/;" c +TestDateTimeData adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^class TestDateTimeData:$/;" c +TestDatetimeEvent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestDatetimeEvent(_DeprecationTestCase):$/;" c +TestDaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestDaxpy(BaseAxpy):$/;" c +TestDB adpepsenv/lib/python3.8/site-packages/caffe2/python/db_test.py /^class TestDB(unittest.TestCase):$/;" c +TestDB adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^class TestDB(unittest.TestCase):$/;" c +TestDBFileReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^class TestDBFileReader(TestCase):$/;" c +TestDcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestDcopy(BaseCopy):$/;" c +TestDCT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^class TestDCT:$/;" c +TestDCTIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIDouble(_TestDCTIBase):$/;" c +TestDCTIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIFloat(_TestDCTIBase):$/;" c +TestDCTIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIDouble(_TestDCTIIBase):$/;" c +TestDCTIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIFloat(_TestDCTIIBase):$/;" c +TestDCTIIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIIDouble(_TestDCTIIIBase):$/;" c +TestDCTIIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIIFloat(_TestDCTIIIBase):$/;" c +TestDCTIIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIIInt(_TestDCTIIIBase):$/;" c +TestDCTIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIIInt(_TestDCTIIBase):$/;" c +TestDCTIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIInt(_TestDCTIBase):$/;" c +TestDCTIVDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIVDouble(_TestDCTIVBase):$/;" c +TestDCTIVFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIVFloat(_TestDCTIVBase):$/;" c +TestDCTIVInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDCTIVInt(_TestDCTIVBase):$/;" c +TestDealloc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^class TestDealloc(TestCase):$/;" c +testDebugConcurrentVariableUpdates adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugConcurrentVariableUpdates(self):$/;" m class:DebugConcurrentRunCallsTest +testDebugCondWatchingWholeGraphWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugCondWatchingWholeGraphWorks(self):$/;" m class:SessionDebugTestBase +testDebuggingDuringOpError adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebuggingDuringOpError(self):$/;" m class:SessionDebugTestBase +TestDebugInfoFunc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ class TestDebugInfoFunc(Function):$/;" c class:DistAutogradTest +testDebugNumericSummaryFailureIsToleratedWhenOrdered adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryFailureIsToleratedWhenOrdered(self):$/;" m class:SessionDebugTestBase +testDebugNumericSummaryInvalidAttributesStringAreCaught adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryInvalidAttributesStringAreCaught(self):$/;" m class:SessionDebugTestBase +testDebugNumericSummaryMuteOnHealthyAndCustomBoundsWork adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryMuteOnHealthyAndCustomBoundsWork(self):$/;" m class:SessionDebugTestBase +testDebugNumericSummaryMuteOnHealthyMutesOnlyHealthyTensorDumps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryMuteOnHealthyMutesOnlyHealthyTensorDumps(self):$/;" m class:SessionDebugTestBase +testDebugNumericSummaryOnInitializedTensorGivesCorrectResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryOnInitializedTensorGivesCorrectResult(self):$/;" m class:SessionDebugTestBase +testDebugNumericSummaryOnUninitializedTensorGivesCorrectResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugNumericSummaryOnUninitializedTensorGivesCorrectResult(self):$/;" m class:SessionDebugTestBase +testDebugQueueOpsDoesNotoErrorOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugQueueOpsDoesNotoErrorOut(self):$/;" m class:SessionDebugTestBase +testDebugTrainingDynamicRNNWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugTrainingDynamicRNNWorks(self):$/;" m class:SessionDebugTestBase +testDebugWhileLoopGeneratesMultipleDumps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugWhileLoopGeneratesMultipleDumps(self):$/;" m class:SessionDebugTestBase +testDebugWhileLoopWatchingWholeGraphWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDebugWhileLoopWatchingWholeGraphWorks(self):$/;" m class:SessionDebugTestBase +TestDecimate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestDecimate(object):$/;" c +TestDeconvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestDeconvolve(object):$/;" c +testDecorator adpepsenv/lib/python3.8/site-packages/caffe2/python/context_test.py /^ def testDecorator(self):$/;" m class:TestContext +testDefaultPartitionerWithMultiplePsReplicas adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testDefaultPartitionerWithMultiplePsReplicas(self):$/;" m class:BaseLinearRegressorPartitionerTest +TestDefaultRNG adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class TestDefaultRNG:$/;" c +TestDefaultRNG adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class TestDefaultRNG(RNG):$/;" c +TestDegrees adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestDegrees:$/;" c +TestDelaunay adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class TestDelaunay(object):$/;" c +TestDelete adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestDelete(BaseAttrs):$/;" c +TestDelete adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestDelete(BaseGroup):$/;" c +TestDelete adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestDelete:$/;" c +TestDendrogram adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestDendrogram(object):$/;" c +testDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testDense(self):$/;" m class:TestRowWiseAdagrad +testDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testDense(self):$/;" m class:TestRowWiseAdagradWithCounter +testDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def testDense(self):$/;" m class:OptimizerTestBase +TestDenseVectorToIdList adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^class TestDenseVectorToIdList(hu.HypothesisTestCase):$/;" c +TestDeprecation adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^class TestDeprecation(TestCase):$/;" c +TestDeprecations adpepsenv/lib/python3.8/site-packages/h5py/tests/test_deprecation.py /^class TestDeprecations(TestCase):$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestDerivative:$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestDerivative:$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestDerivative:$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestDerivative:$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestDerivative:$/;" c +TestDerivative adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestDerivative:$/;" c +TestDescribe adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestDescribe(object):$/;" c +TestDet adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestDet(DetCases):$/;" c +TestDet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestDet(object):$/;" c +TestDetMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestDetMatrix(DetCases, MatrixTestCase):$/;" c +TestDetrend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestDetrend:$/;" c +TestDetrend adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestDetrend(object):$/;" c +TestDeviceOption adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestDeviceOption(test_util.TestCase):$/;" c +testDeviceOptionsPropagation adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDeviceOptionsPropagation(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testDeviceScope adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testDeviceScope(self):$/;" m class:TestScopes +testDevicescopeAssertion adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testDevicescopeAssertion(self):$/;" m class:TestScope +testDevicescopeBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testDevicescopeBasic(self):$/;" m class:TestScope +TestDGEMM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^class TestDGEMM(object):$/;" c +TestDgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestDgemv(BaseGemv):$/;" c +TestDIA adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestDIA(sparse_test_class(getset=False, slicing=False, slicing_assign=False,$/;" c +TestDiag adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestDiag:$/;" c +TestDiagIndicesFrom adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestDiagIndicesFrom:$/;" c +TestDiagSVD adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestDiagSVD(object):$/;" c +TestDiff adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestDiff:$/;" c +TestDiff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestDiff(object):$/;" c +TestDifferentialEvolutionSolver adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^class TestDifferentialEvolutionSolver(object):$/;" c +TestDigitize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestDigitize:$/;" c +TestDilateFix adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^class TestDilateFix:$/;" c +TestDimensionManager adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^class TestDimensionManager(BaseDataset):$/;" c +TestDimensionsHighLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^class TestDimensionsHighLevel(BaseDataset):$/;" c +testdir adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^def testdir(a):$/;" f +testDirect adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDirect(self, device_option):$/;" m class:TestGradientCalculation +testDirectButNoOutputGradientGiven adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDirectButNoOutputGradientGiven(self):$/;" m class:TestGradientCalculation +testDirectImplicitGradientSource adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDirectImplicitGradientSource(self):$/;" m class:TestGradientCalculation +testDirectInPlace adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDirectInPlace(self):$/;" m class:TestGradientCalculation +TestDirichlet adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestDirichlet(object):$/;" c +TestDistBackend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class TestDistBackend(MultiProcessTestCase):$/;" c +TestDistributionStrategyCorrectnessBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^class TestDistributionStrategyCorrectnessBase(test.TestCase,$/;" c +TestDistributionStrategyDnnCorrectness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^class TestDistributionStrategyDnnCorrectness($/;" c +TestDistributionStrategyDnnCorrectnessWithSubclassedModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^class TestDistributionStrategyDnnCorrectnessWithSubclassedModel($/;" c +TestDistributionStrategyDnnMetricCorrectness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^class TestDistributionStrategyDnnMetricCorrectness($/;" c +TestDistributionStrategyDnnMetricEvalCorrectness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^class TestDistributionStrategyDnnMetricEvalCorrectness($/;" c +TestDistributionStrategyEmbeddingModelCorrectnessBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^class TestDistributionStrategyEmbeddingModelCorrectnessBase($/;" c +TestDistributionStrategyErrorCases adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyErrorCases(test.TestCase, parameterized.TestCase):$/;" c +TestDistributionStrategySaveLoadWeights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategySaveLoadWeights(test.TestCase,$/;" c +TestDistributionStrategyValidation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyValidation(test.TestCase, parameterized.TestCase):$/;" c +TestDistributionStrategyWithCallbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyWithCallbacks(test.TestCase,$/;" c +TestDistributionStrategyWithDatasets adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestDistributionStrategyWithDatasets(test.TestCase,$/;" c +TestDistributionStrategyWithDatasetsFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestDistributionStrategyWithDatasetsFile(test.TestCase,$/;" c +TestDistributionStrategyWithKerasModels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestDistributionStrategyWithKerasModels(test.TestCase,$/;" c +TestDistributionStrategyWithLossMasking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyWithLossMasking(test.TestCase,$/;" c +TestDistributionStrategyWithMultipleAddLossAndMetricCalls adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestDistributionStrategyWithMultipleAddLossAndMetricCalls($/;" c +TestDistributionStrategyWithNormalizationLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyWithNormalizationLayer(test.TestCase,$/;" c +TestDistributionStrategyWithNumpyArrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestDistributionStrategyWithNumpyArrays(test.TestCase,$/;" c +TestDistributionStrategyWithStaticShapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^class TestDistributionStrategyWithStaticShapes(test.TestCase,$/;" c +TestDivision adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestDivision:$/;" c +TestDLaplace adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestDLaplace(object):$/;" c +TestDlasd4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestDlasd4(object):$/;" c +TestDLTI adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class TestDLTI(object):$/;" c +TestDocstring adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestDocstring(object):$/;" c +TestDocStrings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestDocStrings:$/;" c +testDoesNotGenerateUnnecessaryGradients adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testDoesNotGenerateUnnecessaryGradients(self):$/;" m class:TestGradientCalculation +TestDogbox adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class TestDogbox(BaseMixin, BoundsMixin, SparseMixin, LossFunctionMixin):$/;" c +TestDOK adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestDOK(sparse_test_class(minmax=False, nnz_axis=False)):$/;" c +TestDomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^class TestDomain:$/;" c +TestDOP853CheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestDOP853CheckParameterUse(ODECheckParameterUse):$/;" c +TestDOPRI5CheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestDOPRI5CheckParameterUse(ODECheckParameterUse):$/;" c +TestDot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestDot:$/;" c +TestDot adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_numeric.py /^class TestDot:$/;" c +TestDouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestDouble:$/;" c +TestDoubleFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestDoubleFFT(_TestFFTBase):$/;" c +TestDoubleFFT adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestDoubleFFT(_TestFFTBase):$/;" c +TestDoubleIFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestDoubleIFFT(_TestIFFTBase):$/;" c +TestDoubleIFFT adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestDoubleIFFT(_TestIFFTBase):$/;" c +testDoUntilLoopWithNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testDoUntilLoopWithNet(self):$/;" m class:TestControl +testDoUntilLoopWithStep adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testDoUntilLoopWithStep(self):$/;" m class:TestControl +testDoWhileLoopWithNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testDoWhileLoopWithNet(self):$/;" m class:TestControl +testDoWhileLoopWithStep adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testDoWhileLoopWithStep(self):$/;" m class:TestControl +TestDpotr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestDpotr(object):$/;" c +TestDPSS adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestDPSS(object):$/;" c +TestDriverRegistration adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^class TestDriverRegistration(TestCase):$/;" c +TestDrivers adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestDrivers(TestCase):$/;" c +testDropout adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testDropout(self, X, dropout_for_eval):$/;" m class:TestLayers +TestDropout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^class TestDropout(serial.SerializedTestCase):$/;" c +TestDscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestDscal(BaseScal):$/;" c +TestDsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestDsplit:$/;" c +TestDstack adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestDstack:$/;" c +TestDSTIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIDouble(_TestDSTIBase):$/;" c +TestDSTIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIFloat(_TestDSTIBase):$/;" c +TestDSTIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIDouble(_TestDSTBase):$/;" c +TestDSTIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIFloat(_TestDSTBase):$/;" c +TestDSTIIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIIDouble(_TestDSTBase):$/;" c +TestDSTIIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIIFloat(_TestDSTBase):$/;" c +TestDSTIIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIIInt(_TestDSTBase):$/;" c +TestDSTIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIIInt(_TestDSTBase):$/;" c +TestDSTIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIInt(_TestDSTIBase):$/;" c +TestDSTIVDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIVDouble(_TestDSTIVBase):$/;" c +TestDSTIVFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIVFloat(_TestDSTIVBase):$/;" c +TestDSTIVInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestDSTIVInt(_TestDSTIVBase):$/;" c +TestDswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestDswap(BaseSwap):$/;" c +TestDtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestDtype(BaseDataset):$/;" c +TestDtypeAssignment adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestDtypeAssignment(BaseGroup):$/;" c +TestDtypeAttributeDeletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestDtypeAttributeDeletion:$/;" c +TestDtypeAttributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestDtypeAttributes:$/;" c +TestDTypeCoercion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestDTypeCoercion(_DeprecationTestCase):$/;" c +TestDtypedescr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestDtypedescr:$/;" c +testDtypeForCoreType adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testDtypeForCoreType(self):$/;" m class:TestDB +TestDualAnnealing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^class TestDualAnnealing:$/;" c +testDumpStringTensorsWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDumpStringTensorsWorks(self):$/;" m class:SessionDebugTestBase +testDumpUninitializedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testDumpUninitializedVariable(self):$/;" m class:SessionDebugTestBase +testDupField adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testDupField(self):$/;" m class:TestDB +TestDuplicateOperands adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/duplicate_operands_test.py /^class TestDuplicateOperands(TestCase):$/;" c +TestDuration adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestDuration = _reflection.GeneratedProtocolMessageType('TestDuration', (_message.Message,), {$/;" v +TestEdge adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestEdge:$/;" c +TestEig adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEig(EigCases):$/;" c +TestEig adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestEig(object):$/;" c +TestEigBanded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestEigBanded(object):$/;" c +TestEigerHighLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class TestEigerHighLevel(ut.TestCase):$/;" c +TestEigerLowLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^class TestEigerLowLevel(ut.TestCase):$/;" c +TestEigh adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEigh:$/;" c +TestEigh adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestEigh:$/;" c +TestEighCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEighCases(HermitianTestCase, HermitianGeneralizedTestCase):$/;" c +TestEigMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestEigMatrix(EigCases, MatrixTestCase):$/;" c +TestEigTridiagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestEigTridiagonal(object):$/;" c +TestEigvals adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEigvals(EigvalsCases):$/;" c +TestEigVals adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestEigVals(object):$/;" c +TestEigvalsh adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEigvalsh:$/;" c +TestEigvalshCases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestEigvalshCases(HermitianTestCase, HermitianGeneralizedTestCase):$/;" c +TestEigvalsMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestEigvalsMatrix(EigvalsCases, MatrixTestCase):$/;" c +TestEinsum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^class TestEinsum:$/;" c +TestEinsumPath adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^class TestEinsumPath:$/;" c +TestElementwiseBroadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^class TestElementwiseBroadcast(serial.SerializedTestCase):$/;" c +TestElementwiseLinearOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_linear_op_test.py /^class TestElementwiseLinearOp(serial.SerializedTestCase):$/;" c +TestElementwiseOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^class TestElementwiseOps(hu.HypothesisTestCase):$/;" c +TestEllip adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestEllip(object):$/;" c +TestEllip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestEllip(object):$/;" c +TestEllipord adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestEllipord(object):$/;" c +TestEmpty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class TestEmpty(BaseAttrs):$/;" c +TestEmpty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class TestEmpty(TestCase):$/;" c +TestEmpty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestEmpty:$/;" c +testEmpty adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testEmpty(self):$/;" m class:BiasAddTestBase +TestEmptyArray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestEmptyArray:$/;" c +TestEmptyArray adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^class TestEmptyArray(object):$/;" c +TestEmptyConstraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class TestEmptyConstraint(TestCase):$/;" c +testEmptyDevicescopeBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testEmptyDevicescopeBasic(self):$/;" m class:TestScope +TestEmptyField adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestEmptyField:$/;" c +testEmptyGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testEmptyGradient(self):$/;" m class:BiasAddTestBase +testEmptyNamescopeBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testEmptyNamescopeBasic(self):$/;" m class:TestScope +TestEmptySampleOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^class TestEmptySampleOps(TestCase):$/;" c +TestEmptyVlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestEmptyVlen(TestCase):$/;" c +TestEnergyDistance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestEnergyDistance(object):$/;" c +testEnforce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testEnforce(self):$/;" m class:TestCppEnforceAsException +TestEnforceFinite adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^class TestEnforceFinite(hu.HypothesisTestCase):$/;" c +TestEngFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestEngFormatter:$/;" c +TestEnsureClipped adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_clipped_test.py /^class TestEnsureClipped(hu.HypothesisTestCase):$/;" c +TestEnsureCPUOutputOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_cpu_output_op_test.py /^class TestEnsureCPUOutputOp(hu.HypothesisTestCase):$/;" c +TestEntropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestEntropy(object):$/;" c +TestEnum adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestEnum(BaseDataset):$/;" c +TestEnumValue adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestEnumValue = _reflection.GeneratedProtocolMessageType('TestEnumValue', (_message.Message,), {$/;" v +TestEnvironment adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^TestEnvironment = collections.namedtuple('TestEnvironment', [$/;" v +TestEppsSingleton adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^class TestEppsSingleton(object):$/;" c +TestEQPDirectFactorization adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestEQPDirectFactorization(TestCase):$/;" c +TestEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestEqual(TestArrayEqual):$/;" c +testEqualSizes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testEqualSizes(self):$/;" m class:TestKSTwoSamples +testEqualToCudnn adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cudnn_recurrent_test.py /^ def testEqualToCudnn(self):$/;" m class:TestLSTMs +Tester adpepsenv/lib/python3.8/site-packages/numpy/testing/__init__.py /^ run_module_suite, NoseTester as Tester$/;" x +TesterBase adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^class TesterBase:$/;" c +TestErf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestErf(object):$/;" c +TestErfOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/erf_op_test.py /^class TestErfOp(serial.SerializedTestCase):$/;" c +TestErlang adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestErlang(object):$/;" c +TestErrorPrinting adpepsenv/lib/python3.8/site-packages/h5py/tests/test_threads.py /^class TestErrorPrinting(TestCase):$/;" c +TestErrstate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^class TestErrstate:$/;" c +TestEstimateGradients2DGlobal adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^class TestEstimateGradients2DGlobal(object):$/;" c +TestEstimateSmallestSingularValue adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^class TestEstimateSmallestSingularValue(object):$/;" c +TestEuler adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestEuler(object):$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestEvaluation:$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestEvaluation:$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestEvaluation:$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestEvaluation:$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestEvaluation:$/;" c +TestEvaluation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestEvaluation:$/;" c +TestExcaliburHighLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class TestExcaliburHighLevel(ut.TestCase):$/;" c +TestExcaliburLowLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^class TestExcaliburLowLevel(ut.TestCase):$/;" c +TestException adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ class TestException(Exception):$/;" c function:raising_cb_reg file: +TestExecCommand adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^class TestExecCommand:$/;" c +testExp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testExp(self):$/;" m class:TestExp +TestExp adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestExp(test_util.TestCase):$/;" c +TestExp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestExp:$/;" c +TestExp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestExp(object):$/;" c +TestExp1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^class TestExp1(object):$/;" c +TestExp2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestExp2:$/;" c +TestExpandDims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestExpandDims:$/;" c +TestExpandOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^class TestExpandOp(serial.SerializedTestCase):$/;" c +TestExpect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestExpect(object):$/;" c +TestExpFormat adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^class TestExpFormat(object):$/;" c +TestExpi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^class TestExpi(object):$/;" c +TestExpit adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^class TestExpit(object):$/;" c +TestExplicitCast adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestExplicitCast(TestCase):$/;" c +TestExpM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestExpM(object):$/;" c +TestExpM adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^class TestExpM(object):$/;" c +TestExpm1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestExpm1:$/;" c +TestExpmActionInterval adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^class TestExpmActionInterval(object):$/;" c +TestExpmActionSimple adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^class TestExpmActionSimple(object):$/;" c +TestExpmConditionNumber adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestExpmConditionNumber(object):$/;" c +TestExpmFrechet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestExpmFrechet(object):$/;" c +TestExpn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^class TestExpn(object):$/;" c +TestExpon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestExpon(object):$/;" c +TestExponNorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestExponNorm(object):$/;" c +TestExponpow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestExponpow(object):$/;" c +TestExponWeib adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestExponWeib(object):$/;" c +TestExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestExtension = _reflection.GeneratedProtocolMessageType('TestExtension', (_message.Message,), {$/;" v +TestExtensions adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestExtensions = _reflection.GeneratedProtocolMessageType('TestExtensions', (_message.Message,),/;" v +TestExternal adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestExternal(BaseDataset):$/;" c +TestExternalInputs adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestExternalInputs(test_util.TestCase):$/;" c +TestExternalLinks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestExternalLinks(TestCase):$/;" c +TestExtins adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestExtins:$/;" c +TestExtLinkBugs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestExtLinkBugs(TestCase):$/;" c +TestExtraArgs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^class TestExtraArgs:$/;" c +TestExtract adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_extract.py /^class TestExtract(object):$/;" c +TestExtractPredictorNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestExtractPredictorNet(test_util.TestCase):$/;" c +TestEye adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestEye:$/;" c +TestF adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestF(object):$/;" c +TestF2cmapOption adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^class TestF2cmapOption(TestAssumedShapeSumExample):$/;" c +TestF77Callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^class TestF77Callback(util.F2PyTest):$/;" c +TestF77Mismatch adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^class TestF77Mismatch:$/;" c +TestF77Mismatch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^class TestF77Mismatch(object):$/;" c +TestF77ReturnCharacter adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^class TestF77ReturnCharacter(TestReturnCharacter):$/;" c +TestF77ReturnComplex adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^class TestF77ReturnComplex(TestReturnComplex):$/;" c +TestF77ReturnInteger adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^class TestF77ReturnInteger(TestReturnInteger):$/;" c +TestF77ReturnLogical adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^class TestF77ReturnLogical(TestReturnLogical):$/;" c +TestF77ReturnReal adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^class TestF77ReturnReal(TestReturnReal):$/;" c +TestF90ReturnCharacter adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^class TestF90ReturnCharacter(TestReturnCharacter):$/;" c +TestF90ReturnComplex adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^class TestF90ReturnComplex(TestReturnComplex):$/;" c +TestF90ReturnInteger adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^class TestF90ReturnInteger(TestReturnInteger):$/;" c +TestF90ReturnLogical adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^class TestF90ReturnLogical(TestReturnLogical):$/;" c +TestF90ReturnReal adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^class TestF90ReturnReal(TestReturnReal):$/;" c +TestFA adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^class TestFA(TestCase):$/;" c +TestFactorialFunctions adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestFactorialFunctions(object):$/;" c +TestFactorized adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^class TestFactorized(object):$/;" c +TestFallbackOps adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/operator_fallback_op_test.py /^class TestFallbackOps(hu.HypothesisTestCase):$/;" c +TestFancyIndexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestFancyIndexing:$/;" c +TestFancyIndexingCast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestFancyIndexingCast:$/;" c +TestFancyIndexingEquivalence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestFancyIndexingEquivalence:$/;" c +TestFAQ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^class TestFAQ(QAPCommonTests):$/;" c +TestFBLAS1Simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestFBLAS1Simple(object):$/;" c +TestFBLAS2Simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestFBLAS2Simple(object):$/;" c +TestFBLAS3Simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestFBLAS3Simple(object):$/;" c +testFCAxis2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testFCAxis2(self):$/;" m class:TestShapeInference +TestFcluster adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestFcluster(object):$/;" c +TestFcOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^class TestFcOperator(serial.SerializedTestCase):$/;" c +TestFcOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^class TestFcOperator(hu.HypothesisTestCase):$/;" c +testFCSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_speed_test.py /^ def testFCSpeed(self):$/;" m class:TestMKLBasic +testFCTransposed adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFCTransposed(self):$/;" m class:TestLayers +testFCTransposed adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testFCTransposed(self):$/;" m class:TestShapeInference +testFCTransposedWithMaxFCSize adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFCTransposedWithMaxFCSize(self):$/;" m class:TestLayers +testFCwithAxis2 adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFCwithAxis2(self):$/;" m class:TestLayers +testFCWithBootstrap adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFCWithBootstrap(self):$/;" m class:TestLayers +testFCWithoutBias adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFCWithoutBias(self):$/;" m class:TestLayers +TestFeatureMapsOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^class TestFeatureMapsOps(TestCase):$/;" c +testFeatureSparseToDenseGetAccessedFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFeatureSparseToDenseGetAccessedFeatures(self):$/;" m class:TestLayers +testFeedFetchBlobIDEEP adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFeedFetchBlobIDEEP(self):$/;" m class:TestWorkspaceIDEEP +testFetchBlobGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchBlobGPU(self):$/;" m class:TestWorkspaceGPU +testFetchBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchBlobs(self):$/;" m class:TestWorkspace +testFetchFeedBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedBlob(self):$/;" m class:TestWorkspace +testFetchFeedBlobBool adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedBlobBool(self):$/;" m class:TestWorkspace +testFetchFeedBlobTypes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedBlobTypes(self):$/;" m class:TestWorkspace +testFetchFeedBlobViaBlobReference adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedBlobViaBlobReference(self):$/;" m class:TestWorkspace +testFetchFeedBlobZeroDim adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedBlobZeroDim(self):$/;" m class:TestWorkspace +testFetchFeedLongStringTensor adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedLongStringTensor(self):$/;" m class:TestWorkspace +testFetchFeedPlainString adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedPlainString(self):$/;" m class:TestWorkspace +testFetchFeedShortStringTensor adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedShortStringTensor(self):$/;" m class:TestWorkspace +testFetchFeedViaBlobDict adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testFetchFeedViaBlobDict(self):$/;" m class:TestWorkspace +TestFFT1D adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^class TestFFT1D:$/;" c +TestFFT1D adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^class TestFFT1D(object):$/;" c +Testfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class Testfft2(object):$/;" c +Testfft2 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class Testfft2(object):$/;" c +TestFFTConvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestFFTConvolve(object):$/;" c +TestFFTFreq adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^class TestFFTFreq:$/;" c +TestFFTFreq adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^class TestFFTFreq(object):$/;" c +TestFftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestFftn(object):$/;" c +TestFftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestFftn(object):$/;" c +TestFftnSingle adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestFftnSingle(object):$/;" c +TestFftnSingle adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestFftnSingle(object):$/;" c +TestFFTPackImport adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_import.py /^class TestFFTPackImport(object):$/;" c +TestFFTShift adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^class TestFFTShift:$/;" c +TestFFTShift adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^class TestFFTShift:$/;" c +TestFFTShift adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^class TestFFTShift(object):$/;" c +TestFFTShift adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^class TestFFTShift(object):$/;" c +TestFFTThreadSafe adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^class TestFFTThreadSafe:$/;" c +TestFFTThreadSafe adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^class TestFFTThreadSafe(object):$/;" c +TestFieldIndexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestFieldIndexing:$/;" c +TestFieldMask adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestFieldMask = _reflection.GeneratedProtocolMessageType('TestFieldMask', (_message.Message,), {$/;" v +TestFieldNames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestFieldNames(BaseSlicing):$/;" c +TestFileBased adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^class TestFileBased:$/;" c +TestFileID adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^class TestFileID(TestCase):$/;" c +TestFileImage adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file_image.py /^class TestFileImage(TestCase):$/;" c +TestFilename adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestFilename(TestCase):$/;" c +TestFileObj adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^class TestFileObj(TestCase):$/;" c +TestFileOpen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestFileOpen(TestCase):$/;" c +TestFileProperty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestFileProperty(TestCase):$/;" c +TestFileStoreHandlerOp adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^class TestFileStoreHandlerOp(TestCase):$/;" c +TestFillDiagonal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestFillDiagonal:$/;" c +TestFiller adpepsenv/lib/python3.8/site-packages/caffe2/python/filler_test.py /^class TestFiller(test_util.TestCase):$/;" c +TestFillerOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^class TestFillerOperator(serial.SerializedTestCase):$/;" c +TestFillingValues adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestFillingValues:$/;" c +testFilterMetricSchema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFilterMetricSchema(self):$/;" m class:TestLayers +TestFilters adpepsenv/lib/python3.8/site-packages/h5py/tests/test_filters.py /^class TestFilters(TestCase):$/;" c +TestFilterwindows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestFilterwindows:$/;" c +TestFiltFilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestFiltFilt(object):$/;" c +TestFinancial adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^class TestFinancial:$/;" c +testFindInfOrNanWithOpNameExclusion adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testFindInfOrNanWithOpNameExclusion(self):$/;" m class:SessionDebugTestBase +testFindNodesWithBadTensorValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testFindNodesWithBadTensorValues(self):$/;" m class:SessionDebugTestBase +TestFindOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^class TestFindOperator(serial.SerializedTestCase):$/;" c +TestFindPeaks adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestFindPeaks(object):$/;" c +TestFindPeaksCwt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestFindPeaksCwt(object):$/;" c +TestFindRepeats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestFindRepeats(object):$/;" c +TestFinfo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestFinfo:$/;" c +TestFirls adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestFirls(object):$/;" c +TestFirwin adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestFirwin(object):$/;" c +TestFirwin2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestFirwin2(object):$/;" c +TestFirWinMore adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestFirWinMore(object):$/;" c +TestFisherExact adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestFisherExact(object):$/;" c +TestFitMethod adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestFitMethod(object):$/;" c +TestFitting adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestFitting:$/;" c +TestFitting adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestFitting:$/;" c +TestFitting adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestFitting:$/;" c +TestFitting adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestFitting:$/;" c +TestFitting adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestFitting:$/;" c +TestFixedLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestFixedLocator:$/;" c +TestFixedPoint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestFixedPoint(object):$/;" c +TestFixedQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^class TestFixedQuad(object):$/;" c +TestFlags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestFlags:$/;" c +TestFlagsAndStrings adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestFlagsAndStrings = _reflection.GeneratedProtocolMessageType('TestFlagsAndStrings', (_message./;" v +TestFlapackSimple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestFlapackSimple(object):$/;" c +TestFlat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestFlat:$/;" c +testFlatten adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testFlatten(self):$/;" m class:TestFlatten +TestFlatten adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestFlatten(test_util.TestCase):$/;" c +TestFlatten adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flatten_op_test.py /^class TestFlatten(hu.HypothesisTestCase):$/;" c +TestFlatTop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestFlatTop(object):$/;" c +TestFlexibleTopK adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^class TestFlexibleTopK(serial.SerializedTestCase):$/;" c +TestFligner adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestFligner(object):$/;" c +TestFlip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestFlip:$/;" c +TestFliplr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestFliplr:$/;" c +TestFlipud adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestFlipud:$/;" c +TestFloat16FFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestFloat16FFT(object):$/;" c +TestFloat16FFT adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestFloat16FFT(object):$/;" c +TestFloatExceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestFloatExceptions:$/;" c +TestFloatingPoint adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestFloatingPoint:$/;" c +TestFloatNonIntegerArgument adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestFloatNonIntegerArgument:$/;" c +TestFloats adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestFloats(BaseDataset):$/;" c +TestFloatToFusedRandRowwiseQuantized adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^class TestFloatToFusedRandRowwiseQuantized(hu.HypothesisTestCase):$/;" c +testFloatTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testFloatTypes(self):$/;" m class:BiasAddTestBase +TestFloat_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestFloat_power:$/;" c +TestFloor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^class TestFloor(serial.SerializedTestCase):$/;" c +TestFlush adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestFlush(TestCase):$/;" c +TestFmax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestFmax(_FilterInvalids):$/;" c +TestFmin adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestFmin(_FilterInvalids):$/;" c +TestFOneway adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestFOneway():$/;" c +TestFOneWay adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestFOneWay(object):$/;" c +testForLoopWithNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testForLoopWithNets(self):$/;" m class:TestControl +testForLoopWithStep adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testForLoopWithStep(self):$/;" m class:TestControl +TestFormat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestFormat:$/;" c +TestFormatStrFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestFormatStrFormatter:$/;" c +TestFortranFormatParser adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^class TestFortranFormatParser(object):$/;" c +testForward adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testForward(self):$/;" m class:TestScriptModule +testForward adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def testForward(self):$/;" m class:ConvolutionTest +TestFractionalMatrixPower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestFractionalMatrixPower(object):$/;" c +TestFreqs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestFreqs(object):$/;" c +TestFreqs_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestFreqs_zpk(object):$/;" c +TestFreqz adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestFreqz(object):$/;" c +TestFreqz_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestFreqz_zpk(object):$/;" c +TestFresnel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestFresnel(object):$/;" c +TestFresnelIntegral adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestFresnelIntegral(object):$/;" c +TestFromBuffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestFromBuffer:$/;" c +testFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testFromCheckpoint(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testFromCheckpoint(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testFromCheckpointMultiBatch(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testFromCheckpointMultiBatch(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromColumnList adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testFromColumnList(self):$/;" m class:TestDB +TestFromCTypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestFromCTypes:$/;" c +TestFromDTypeAttribute adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestFromDTypeAttribute:$/;" c +testFromEmptyColumnList adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testFromEmptyColumnList(self):$/;" m class:TestDB +TestFromInt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^class TestFromInt:$/;" c +TestFromiter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestFromiter:$/;" c +TestFrompyfunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestFrompyfunc(object):$/;" c +TestFromrecords adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^class TestFromrecords:$/;" c +Testfromregex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class Testfromregex:$/;" c +testFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testFromScratch(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testFromScratch(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearRegressorTrainingTest +testFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearRegressorTrainingTest +TestFromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestFromstring(_DeprecationTestCase):$/;" c +TestFromString adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^class TestFromString:$/;" c +TestFromStringAndFileInvalidData adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestFromStringAndFileInvalidData(_DeprecationTestCase):$/;" c +TestFromTxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestFromTxt(LoadTxtBase):$/;" c +TestFrontendSSAConversion adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/ssa_test.py /^class TestFrontendSSAConversion(TestCase):$/;" c +TestFrozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestFrozen(object):$/;" c +TestFSolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestFSolve(object):$/;" c +TestFtrl adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestFtrl(OptimizerTestBase, TestCase):$/;" c +TestFunctional adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^class TestFunctional(hu.HypothesisTestCase):$/;" c +testFunctionalLayer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayer(self):$/;" m class:TestLayers +testFunctionalLayerHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerHelper(self):$/;" m class:TestLayers +testFunctionalLayerHelperAutoInference adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerHelperAutoInference(self):$/;" m class:TestLayers +testFunctionalLayerHelperAutoInferenceScalar adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerHelperAutoInferenceScalar(self):$/;" m class:TestLayers +testFunctionalLayerInputCoercion adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerInputCoercion(self):$/;" m class:TestLayers +testFunctionalLayerSameOperatorOutputNames adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerSameOperatorOutputNames(self):$/;" m class:TestLayers +testFunctionalLayerWithOutputDtypes adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerWithOutputDtypes(self):$/;" m class:TestLayers +testFunctionalLayerWithOutputNames adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testFunctionalLayerWithOutputNames(self):$/;" m class:TestLayers +TestFunHash adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/funhash_op_test.py /^class TestFunHash(hu.HypothesisTestCase):$/;" c +TestFunHash adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_funhash_op_test.py /^class TestFunHash(hu.HypothesisTestCase):$/;" c +TestFused8BitRowwiseQuantizationConversion adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^class TestFused8BitRowwiseQuantizationConversion(hu.HypothesisTestCase):$/;" c +TestFusedNBitRowwiseQuantizationConversion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^class TestFusedNBitRowwiseQuantizationConversion(hu.HypothesisTestCase):$/;" c +TestG77Versions adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^class TestG77Versions:$/;" c +TestGamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestGamma(object):$/;" c +TestGamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGamma(object):$/;" c +TestGammainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^class TestGammainc(object):$/;" c +TestGammaincc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^class TestGammaincc(object):$/;" c +TestGammatone adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestGammatone(object):$/;" c +testGather adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testGather(self):$/;" m class:TestShapeInference +TestGatherFused8BitRowwise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^class TestGatherFused8BitRowwise(hu.HypothesisTestCase):$/;" c +TestGatherOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^class TestGatherOps(serial.SerializedTestCase):$/;" c +TestGatherRanges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^class TestGatherRanges(serial.SerializedTestCase):$/;" c +testGatherRecord adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testGatherRecord(self):$/;" m class:TestLayers +TestGauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestGauss:$/;" c +TestGauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestGauss:$/;" c +TestGauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestGauss:$/;" c +TestGauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestGauss:$/;" c +TestGauss adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestGauss:$/;" c +TestGaussian adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestGaussian(object):$/;" c +TestGaussianKDE adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestGaussianKDE:$/;" c +TestGaussianKDECustom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestGaussianKDECustom:$/;" c +TestGaussianKDEEvaluate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestGaussianKDEEvaluate:$/;" c +TestGaussPulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^class TestGaussPulse(object):$/;" c +TestGCROTMK adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^class TestGCROTMK(object):$/;" c +TestGegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class TestGegenbauer(object):$/;" c +TestGeneralCosine adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestGeneralCosine(object):$/;" c +TestGeneralHamming adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestGeneralHamming(object):$/;" c +TestGeneratorMetaclass adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^class TestGeneratorMetaclass(type):$/;" c +TestGeneratorSum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestGeneratorSum(_DeprecationTestCase):$/;" c +TestGeneric adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestGeneric:$/;" c +TestGenExpon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGenExpon(object):$/;" c +TestGenInvGauss adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGenInvGauss(object):$/;" c +TestGenlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class TestGenlaguerre(object):$/;" c +TestGenLogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGenLogistic:$/;" c +TestGennorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGennorm(object):$/;" c +TestGenpareto adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGenpareto(object):$/;" c +TestGeom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGeom(object):$/;" c +TestGeoMean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestGeoMean(object):$/;" c +TestGeoMean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestGeoMean(object):$/;" c +TestGeometricSlerp adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^class TestGeometricSlerp(object):$/;" c +TestGeometricStandardDeviation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestGeometricStandardDeviation(object):$/;" c +TestGeomspace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^class TestGeomspace:$/;" c +TestGet adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestGet(BaseGroup):$/;" c +testGetAllParams adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper_test.py /^ def testGetAllParams(self):$/;" m class:Seq2SeqModelHelperTest +testGetBlobSizeBytes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testGetBlobSizeBytes(self):$/;" m class:TestWorkspace +testGetFieldByNestedName adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testGetFieldByNestedName(self):$/;" m class:TestDB +testGetGpuPeerAccessPattern adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testGetGpuPeerAccessPattern(self):$/;" m class:TestWorkspaceGPU +TestGetImplementingArgs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestGetImplementingArgs:$/;" c +testGetNonTrainableParams adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper_test.py /^ def testGetNonTrainableParams(self):$/;" m class:Seq2SeqModelHelperTest +testGetOperatorCost adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testGetOperatorCost(self):$/;" m class:TestWorkspace +testGetOpTypeWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGetOpTypeWorks(self):$/;" m class:SessionDebugTestBase +TestGetWindow adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestGetWindow(object):$/;" c +TestGFortranVersions adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^class TestGFortranVersions:$/;" c +TestGFtrl adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestGFtrl(OptimizerTestBase, TestCase):$/;" c +TestGIL adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^class TestGIL(object):$/;" c +TestGivenTensorByteStringToUInt8FillOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^class TestGivenTensorByteStringToUInt8FillOps(hu.HypothesisTestCase):$/;" c +TestGivenTensorFillOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_fill_op_test.py /^class TestGivenTensorFillOps(hu.HypothesisTestCase):$/;" c +TestGlu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^class TestGlu(serial.SerializedTestCase):$/;" c +TestGMRES adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^class TestGMRES(object):$/;" c +TestGpaths adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^class TestGpaths:$/;" c +testGPUDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testGPUDense(self):$/;" m class:TestMultiPrecisionSgd +testGPUDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testGPUDense(self):$/;" m class:TestRowWiseAdagrad +testGPUDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testGPUDense(self):$/;" m class:TestRowWiseAdagradWithCounter +testGPUDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def testGPUDense(self, dtype=core.DataType.FLOAT):$/;" m class:OptimizerTestBase +TestGPUInit adpepsenv/lib/python3.8/site-packages/caffe2/python/test/gpu_context_test.py /^class TestGPUInit(unittest.TestCase):$/;" c +testGradFromResizeToLargerInBothDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradFromResizeToLargerInBothDims(self):$/;" m class:ResizeBicubicOpTestBase +testGradFromResizeToLargerInBothDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradFromResizeToLargerInBothDims(self):$/;" m class:ResizeNearestNeighborOpTestBase +testGradFromResizeToSmallerInBothDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradFromResizeToSmallerInBothDims(self):$/;" m class:ResizeBicubicOpTestBase +testGradFromResizeToSmallerInBothDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradFromResizeToSmallerInBothDims(self):$/;" m class:ResizeNearestNeighborOpTestBase +TestGradient adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestGradient:$/;" c +TestGradientCalculation adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^class TestGradientCalculation(test_util.TestCase):$/;" c +testGradientCalculationWithPrint adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testGradientCalculationWithPrint(self):$/;" m class:TestGradientCalculation +testGradientMappingUsingSumOp adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testGradientMappingUsingSumOp(self):$/;" m class:TestGradientCalculation +testGradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradients(self, batch_size, channel_count):$/;" m class:ResizeBilinearOpTestBase +TestGradientsAccumulationWithNoGradientOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^class TestGradientsAccumulationWithNoGradientOps(test_util.TestCase):$/;" c +TestGradientsAccumulationWithPassThroughGradients adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^class TestGradientsAccumulationWithPassThroughGradients(test_util.TestCase):$/;" c +testGradientTensor2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testGradientTensor2D(self):$/;" m class:BiasAddTestBase +testGradientTensor3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testGradientTensor3D(self):$/;" m class:BiasAddTestBase +testGradientTensor4D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testGradientTensor4D(self):$/;" m class:BiasAddTestBase +testGradientTensor5D adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testGradientTensor5D(self):$/;" m class:BiasAddTestBase +testGradOnUnsupportedType adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradOnUnsupportedType(self):$/;" m class:ResizeBicubicOpTestBase +testGradOnUnsupportedType adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradOnUnsupportedType(self):$/;" m class:ResizeBilinearOpTestBase +testGradRandomBoxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGradRandomBoxes(self):$/;" m class:CropAndResizeOpTestBase +testGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testGrads(self):$/;" m class:ScaleAndTranslateOpTestBase +testGraphPathFindingOnControlEdgesWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphPathFindingOnControlEdgesWorks(self):$/;" m class:SessionDebugTestBase +testGraphPathFindingReverseRefEdgeWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphPathFindingReverseRefEdgeWorks(self):$/;" m class:SessionDebugTestBase +testGraphStructureLookupGivesDebugWatchKeys adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphStructureLookupGivesDebugWatchKeys(self):$/;" m class:SessionDebugTestBase +testGraphStructureLookupGivesDevicesAndNodesInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphStructureLookupGivesDevicesAndNodesInfo(self):$/;" m class:SessionDebugTestBase +testGraphStructureLookupGivesNodeInputsAndRecipients adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphStructureLookupGivesNodeInputsAndRecipients(self):$/;" m class:SessionDebugTestBase +testGraphStructureLookupGivesNodesAndAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphStructureLookupGivesNodesAndAttributes(self):$/;" m class:SessionDebugTestBase +testGraphStructureLookupWithoutPartitionGraphsDoesNotErrorOut adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testGraphStructureLookupWithoutPartitionGraphsDoesNotErrorOut(self):$/;" m class:SessionDebugTestBase +TestGrid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestGrid:$/;" c +TestGriddata adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^class TestGriddata(object):$/;" c +TestGroupConvolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_conv_test.py /^class TestGroupConvolution(hu.HypothesisTestCase):$/;" c +TestGroupDelay adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestGroupDelay(object):$/;" c +TestGroupNormOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^class TestGroupNormOp(serial.SerializedTestCase):$/;" c +TestGumbelL adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGumbelL(object):$/;" c +TestGumbelR adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGumbelR:$/;" c +TestGumbel_r_l adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestGumbel_r_l:$/;" c +TestH5 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^class TestH5(TestCase):$/;" c +TestH5DSBindings adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^class TestH5DSBindings(BaseDataset):$/;" c +TestHadamard adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestHadamard(object):$/;" c +TestHalf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestHalf:$/;" c +TestHalf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^class TestHalf:$/;" c +TestHalfgennorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestHalfgennorm(object):$/;" c +testHalfInt8Conversion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testHalfInt8Conversion(self):$/;" m class:TestShapeInference +testHalfToFloatTypeInference adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testHalfToFloatTypeInference(self):$/;" m class:TestLayers +TestHamming adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestHamming(object):$/;" c +TestHankel adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestHankel(object):$/;" c +TestHankel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestHankel(object):$/;" c +TestHann adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestHann(object):$/;" c +TestHarMean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestHarMean(object):$/;" c +TestHarMean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestHarMean(object):$/;" c +TestHash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestHash:$/;" c +TestHashing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestHashing:$/;" c +TestHausdorff adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^class TestHausdorff(object):$/;" c +TestHBReader adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^class TestHBReader(object):$/;" c +TestHBReadWrite adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^class TestHBReadWrite(object):$/;" c +TestHeader adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestHeader(object):$/;" c +TestHeatmapMaxKeypointOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^class TestHeatmapMaxKeypointOp(hu.HypothesisTestCase):$/;" c +TestHeavside adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestHeavside:$/;" c +TestHelmert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestHelmert(object):$/;" c +TestHermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class TestHermite(object):$/;" c +TestHessenberg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestHessenberg(object):$/;" c +TestHessianUpdateStrategy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^class TestHessianUpdateStrategy(TestCase):$/;" c +TestHetrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestHetrd(object):$/;" c +TestHilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestHilbert(object):$/;" c +TestHilbert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestHilbert(object):$/;" c +TestHilbert adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestHilbert(object):$/;" c +TestHilbert2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestHilbert2(object):$/;" c +TestHistogram adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^class TestHistogram(hu.HypothesisTestCase):$/;" c +TestHistogram adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^class TestHistogram:$/;" c +TestHistogram adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestHistogram(object):$/;" c +TestHistogram2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestHistogram2d:$/;" c +TestHistogramdd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^class TestHistogramdd:$/;" c +TestHistogramOptimBinNums adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^class TestHistogramOptimBinNums:$/;" c +testHomotopyWeight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testHomotopyWeight(self, gc, dc):$/;" m class:TestLayers +TestHsm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^class TestHsm(hu.HypothesisTestCase):$/;" c +TestHsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestHsplit:$/;" c +TestHstack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestHstack:$/;" c +TestHyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^class TestHyp1f1(object):$/;" c +TestHyper adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestHyper(object):$/;" c +TestHyperbolicOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^class TestHyperbolicOps(serial.SerializedTestCase):$/;" c +TestHypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestHypergeom(object):$/;" c +TestHyperu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^class TestHyperu(object):$/;" c +TestHypot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestHypot:$/;" c +TestHypotSpecialValues adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestHypotSpecialValues:$/;" c +TestIDCTIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIDouble(_TestIDCTBase):$/;" c +TestIDCTIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIFloat(_TestIDCTBase):$/;" c +TestIDCTIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIDouble(_TestIDCTBase):$/;" c +TestIDCTIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIFloat(_TestIDCTBase):$/;" c +TestIDCTIIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIIDouble(_TestIDCTBase):$/;" c +TestIDCTIIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIIFloat(_TestIDCTBase):$/;" c +TestIDCTIIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIIInt(_TestIDCTBase):$/;" c +TestIDCTIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIIInt(_TestIDCTBase):$/;" c +TestIDCTIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIInt(_TestIDCTBase):$/;" c +TestIDCTIVDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIVDouble(_TestIDCTBase):$/;" c +TestIDCTIVFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIVFloat(_TestIDCTBase):$/;" c +TestIDCTIVInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDCTIVInt(_TestIDCTBase):$/;" c +testIdempotence adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def testIdempotence(self):$/;" m class:TfTrtIntegrationTestBase +testIdentityACase1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testIdentityACase1(self):$/;" m class:TestLSMR +testIdentityACase2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testIdentityACase2(self):$/;" m class:TestLSMR +testIdentityACase3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testIdentityACase3(self):$/;" m class:TestLSMR +testIdentityGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testIdentityGrads(self):$/;" m class:ScaleAndTranslateOpTestBase +TestIdict adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestIdict:$/;" c +TestIDSTIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIDouble(_TestIDSTBase):$/;" c +TestIDSTIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIFloat(_TestIDSTBase):$/;" c +TestIDSTIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIDouble(_TestIDSTBase):$/;" c +TestIDSTIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIFloat(_TestIDSTBase):$/;" c +TestIDSTIIIDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIIDouble(_TestIDSTBase):$/;" c +TestIDSTIIIFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIIFloat(_TestIDSTBase):$/;" c +TestIDSTIIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIIInt(_TestIDSTBase):$/;" c +TestIDSTIIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIIInt(_TestIDSTBase):$/;" c +TestIDSTIInt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIInt(_TestIDSTBase):$/;" c +TestIDSTIVDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIVDouble(_TestIDSTBase):$/;" c +TestIDSTIVFloat adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIVFloat(_TestIDSTBase):$/;" c +TestIDSTIVnt adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestIDSTIVnt(_TestIDSTBase):$/;" c +testIf adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testIf(self):$/;" m class:TestIf +TestIf adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestIf(test_util.TestCase):$/;" c +testIfCondFalseOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfCondFalseOnBlob(self):$/;" m class:TestControl +testIfCondFalseOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfCondFalseOnNet(self):$/;" m class:TestControl +testIfCondTrueOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfCondTrueOnBlob(self):$/;" m class:TestControl +testIfCondTrueOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfCondTrueOnNet(self):$/;" m class:TestControl +testIfElseCondFalseOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfElseCondFalseOnBlob(self):$/;" m class:TestControl +testIfElseCondFalseOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfElseCondFalseOnNet(self):$/;" m class:TestControl +testIfElseCondTrueOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfElseCondTrueOnBlob(self):$/;" m class:TestControl +testIfElseCondTrueOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfElseCondTrueOnNet(self):$/;" m class:TestControl +TestIfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestIfftn(object):$/;" c +TestIfftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestIfftn(object):$/;" c +testIfNotCondFalseOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotCondFalseOnBlob(self):$/;" m class:TestControl +testIfNotCondFalseOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotCondFalseOnNet(self):$/;" m class:TestControl +testIfNotCondTrueOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotCondTrueOnBlob(self):$/;" m class:TestControl +testIfNotCondTrueOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotCondTrueOnNet(self):$/;" m class:TestControl +testIfNotElseCondFalseOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotElseCondFalseOnBlob(self):$/;" m class:TestControl +testIfNotElseCondFalseOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotElseCondFalseOnNet(self):$/;" m class:TestControl +testIfNotElseCondTrueOnBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotElseCondTrueOnBlob(self):$/;" m class:TestControl +testIfNotElseCondTrueOnNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testIfNotElseCondTrueOnNet(self):$/;" m class:TestControl +TestIHilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestIHilbert(object):$/;" c +TestIinfo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestIinfo:$/;" c +TestIIRComb adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestIIRComb(object):$/;" c +TestIIRDesign adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestIIRDesign(object):$/;" c +TestIIRFilter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestIIRFilter(object):$/;" c +TestIIRNotch adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestIIRNotch(object):$/;" c +TestIIRPeak adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestIIRPeak(object):$/;" c +TestImag adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestImag:$/;" c +testImmediateEnterExit adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testImmediateEnterExit(self):$/;" m class:TestImmedibate +testImmediateRootFolder adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testImmediateRootFolder(self):$/;" m class:TestImmedibate +testImmediateRunsCorrectly adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testImmediateRunsCorrectly(self):$/;" m class:TestImmedibate +TestImmedibate adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestImmedibate(test_util.TestCase):$/;" c +TestImport adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^class TestImport(hu.HypothesisTestCase):$/;" c +TestImpulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestImpulse(_TestImpulseFuncs):$/;" c +TestImpulse2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestImpulse2(_TestImpulseFuncs):$/;" c +TestInconsistent adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestInconsistent(object):$/;" c +testIncorrectOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testIncorrectOperator(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +TestIndex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestIndex:$/;" c +TestIndexErrors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^class TestIndexErrors:$/;" c +TestIndexExpression adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestIndexExpression:$/;" c +TestIndexFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestIndexFormatter:$/;" c +TestIndexHashOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^class TestIndexHashOps(serial.SerializedTestCase):$/;" c +TestIndexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestIndexing:$/;" c +TestIndexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestIndexing:$/;" c +TestIndexLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestIndexLocator:$/;" c +TestIndexOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_ops_test.py /^class TestIndexOps(TestCase):$/;" c +TestIndices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestIndices:$/;" c +TestInferDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestInferDevice(test_util.TestCase):$/;" c +TestInferDeviceCpuOnly adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestInferDeviceCpuOnly(test_util.TestCase):$/;" c +TestInformation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestInformation:$/;" c +testing adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ import numpy.testing as testing$/;" I function:__getattr__ file: +TestingTranspiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^class TestingTranspiler(api.PyToTF):$/;" c +TestInherit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^class TestInherit:$/;" c +testInitialization adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testInitialization(self):$/;" m class:TestLSMR +TestInner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestInner:$/;" c +testInputDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testInputDims(self):$/;" m class:BiasAddTestBase +testInputOrder adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^ def testInputOrder(self):$/;" m class:DataWorkersTest +TestInsert adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestInsert:$/;" c +TestInstanceNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^class TestInstanceNorm(serial.SerializedTestCase):$/;" c +TestInt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestInt:$/;" c +TestInt32Overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^class TestInt32Overflow(object):$/;" c +testInt8Conversion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testInt8Conversion(self):$/;" m class:TestShapeInference +TestInt8GenQuantParamsOperator adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_gen_quant_params_test.py /^class TestInt8GenQuantParamsOperator(hu.HypothesisTestCase):$/;" c +TestInt8QuantSchemeBlobFillOperator adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_quant_scheme_blob_fill_test.py /^class TestInt8QuantSchemeBlobFillOperator(hu.HypothesisTestCase):$/;" c +TestIntegers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestIntegers:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestIntegral:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestIntegral:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestIntegral:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestIntegral:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestIntegral:$/;" c +TestIntegral adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestIntegral:$/;" c +TestIntegralImageOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^class TestIntegralImageOps(serial.SerializedTestCase):$/;" c +TestIntelEM64TFCompilerVersions adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^class TestIntelEM64TFCompilerVersions:$/;" c +TestIntelFCompilerVersions adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^class TestIntelFCompilerVersions:$/;" c +TestIntent adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^class TestIntent:$/;" c +TestIntentInOut adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_regression.py /^class TestIntentInOut(util.F2PyTest):$/;" c +TestInterop adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^class TestInterop(object):$/;" c +TestInterp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestInterp:$/;" c +TestInterp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^class TestInterp(object):$/;" c +TestInterp1D adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestInterp1D(object):$/;" c +TestInterp2D adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestInterp2D(object):$/;" c +TestInterpN adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestInterpN(object):$/;" c +TestInterpolate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestInterpolate:$/;" c +TestInterpolate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^class TestInterpolate:$/;" c +TestInterpolativeDecomposition adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^class TestInterpolativeDecomposition(object):$/;" c +TestIntFormat adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^class TestIntFormat(object):$/;" c +testIntTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testIntTypes(self):$/;" m class:BiasAddTestBase +TestInv adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestInv(InvCases):$/;" c +TestInv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestInv(object):$/;" c +TestInverseErrorFunction adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_erfinv.py /^class TestInverseErrorFunction:$/;" c +TestInversion adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^class TestInversion(object):$/;" c +TestInvGamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestInvGamma(object):$/;" c +TestInvgauss adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestInvgauss(object):$/;" c +TestInvHilbert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestInvHilbert(object):$/;" c +TestInvMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestInvMatrix(InvCases, MatrixTestCase):$/;" c +TestInvwishart adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestInvwishart(object):$/;" c +TestIO adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestIO:$/;" c +TestIQR adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestIQR(object):$/;" c +TestIRFFTDouble adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestIRFFTDouble(_TestIRFFTBase):$/;" c +TestIRFFTDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestIRFFTDouble(_TestIRFFTBase):$/;" c +TestIRFFTLongDouble adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestIRFFTLongDouble(_TestIRFFTBase):$/;" c +TestIRFFTN adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^class TestIRFFTN:$/;" c +TestIRFFTN adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^class TestIRFFTN(object):$/;" c +TestIRFFTSingle adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestIRFFTSingle(_TestIRFFTBase):$/;" c +TestIRFFTSingle adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestIRFFTSingle(_TestIRFFTBase):$/;" c +TestIsclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestIsclose:$/;" c +TestIscomplex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIscomplex:$/;" c +TestIscomplexobj adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIscomplexobj:$/;" c +TestIsfinite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsfinite:$/;" c +TestIsinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsinf:$/;" c +TestIsIsomorphic adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestIsIsomorphic(object):$/;" c +TestIsMemberOf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^class TestIsMemberOf(serial.SerializedTestCase):$/;" c +TestIsMonotonic adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestIsMonotonic(object):$/;" c +TestIsnan adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsnan:$/;" c +TestIsneginf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsneginf:$/;" c +TestIsposinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsposinf:$/;" c +TestIsreal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsreal:$/;" c +TestIsrealobj adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsrealobj:$/;" c +TestIsscalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestIsscalar:$/;" c +TestIsscalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestIsscalar:$/;" c +TestIsSubDType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestIsSubDType:$/;" c +TestIsValidDM adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestIsValidDM(object):$/;" c +TestIsValidInconsistent adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestIsValidInconsistent(object):$/;" c +TestIsValidLinkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestIsValidLinkage(object):$/;" c +TestIsValidY adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestIsValidY(object):$/;" c +TestItemfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestItemfreq(object):$/;" c +TestItems adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dims_dimensionproxy.py /^class TestItems(TestCase):$/;" c +TestIter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestIter(BaseDataset):$/;" c +TestIter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestIter(BaseMapping):$/;" c +TestIterationLimits adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestIterationLimits(object):$/;" c +TestIterativeSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^class TestIterativeSubproblem(object):$/;" c +TestIterNested adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^class TestIterNested:$/;" c +TestITilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestITilbert(object):$/;" c +TestIx_ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestIx_:$/;" c +TestJacobianDotSolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^class TestJacobianDotSolve(object):$/;" c +TestJarqueBera adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestJarqueBera(object):$/;" c +TestJavaScriptJSON adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestJavaScriptJSON = _reflection.GeneratedProtocolMessageType('TestJavaScriptJSON', (_message.Me/;" v +TestJavaScriptOrderJSON1 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestJavaScriptOrderJSON1 = _reflection.GeneratedProtocolMessageType('TestJavaScriptOrderJSON1', /;" v +TestJavaScriptOrderJSON2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestJavaScriptOrderJSON2 = _reflection.GeneratedProtocolMessageType('TestJavaScriptOrderJSON2', /;" v +TestJoinBy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestJoinBy:$/;" c +TestJoinBy2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestJoinBy2:$/;" c +TestJSDOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^class TestJSDOps(serial.SerializedTestCase):$/;" c +TestKaiser adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestKaiser:$/;" c +TestKaiser adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestKaiser(object):$/;" c +TestKappa4 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestKappa4(object):$/;" c +TestKeepdims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestKeepdims:$/;" c +testkeepdims adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def testkeepdims(f, a, d):$/;" f member:TestOptionalArgs.test_ndarrayfuncs file: +TestKelvin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestKelvin(object):$/;" c +TestKeySplitOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/key_split_ops_test.py /^class TestKeySplitOps(hu.HypothesisTestCase):$/;" c +TestKhatriRao adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestKhatriRao(object):$/;" c +TestKind adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^class TestKind(util.F2PyTest):$/;" c +TestKMean adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^class TestKMean(object):$/;" c +TestKolmogi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestKolmogi(object):$/;" c +TestKolmogorov adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestKolmogorov(object):$/;" c +TestKolmogp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestKolmogp(object):$/;" c +TestKrogh adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^class TestKrogh(object):$/;" c +TestKron adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestKron:$/;" c +TestKron adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestKron:$/;" c +TestKruskal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestKruskal():$/;" c +TestKruskal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestKruskal(object):$/;" c +TestKrylovQuadraticSubproblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^class TestKrylovQuadraticSubproblem(object):$/;" c +TestKSOneSample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestKSOneSample(object):$/;" c +TestKstat adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestKstat(object):$/;" c +TestKstatVar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestKstatVar(object):$/;" c +TestKSTest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestKSTest(object):$/;" c +TestKSTwo adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestKSTwo(object):$/;" c +TestKSTwoSamples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestKSTwoSamples(object):$/;" c +testLabelSmoothForBinaryProbLabel adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testLabelSmoothForBinaryProbLabel(self, bsz, gc, dc):$/;" m class:TestLayers +testLabelSmoothForCategoricalLabel adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testLabelSmoothForCategoricalLabel(self, categories, bsz, gc, dc):$/;" m class:TestLayers +TestLagrange adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestLagrange(object):$/;" c +TestLaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestLaguerre(object):$/;" c +TestLambda adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestLambda(object):$/;" c +TestLamch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_lapack.py /^class TestLamch(object):$/;" c +TestLapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestLapack(object):$/;" c +TestLaplace adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLaplace(object):$/;" c +TestLaplaceasymmetric adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLaplaceasymmetric(object):$/;" c +testLarge adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testLarge(self):$/;" m class:TestKSTwoSamples +testLargeBoth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testLargeBoth(self):$/;" m class:TestKSTwoSamples +TestLargeInt adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestLargeInt = _reflection.GeneratedProtocolMessageType('TestLargeInt', (_message.Message,), {$/;" v +TestLars adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lars_test.py /^class TestLars(hu.HypothesisTestCase):$/;" c +TestLars adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestLars(OptimizerTestBase, TestCase):$/;" c +testLastNWindowCollector adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testLastNWindowCollector(self, X, num_to_collect):$/;" m class:TestLayers +TestLatexRepr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^class TestLatexRepr:$/;" c +testLayerNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testLayerNormalization(self, X, use_layer_norm_op):$/;" m class:TestLayers +TestLayerNormOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^class TestLayerNormOp(serial.SerializedTestCase):$/;" c +TestLayers adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^class TestLayers(LayersTestCase):$/;" c +TestLazyDynDepAllCompare adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^class TestLazyDynDepAllCompare(hu.HypothesisTestCase):$/;" c +TestLazyDynDepError adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^class TestLazyDynDepError(unittest.TestCase):$/;" c +TestLBFGSBBounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestLBFGSBBounds(object):$/;" c +TestLdexp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLdexp:$/;" c +TestLeaders adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestLeaders(object):$/;" c +TestLeaks adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestLeaks:$/;" c +TestLeakyRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^class TestLeakyRelu(hu.HypothesisTestCase):$/;" c +TestLearningRate adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^class TestLearningRate(serial.SerializedTestCase):$/;" c +TestLearningRateAdaption adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^class TestLearningRateAdaption(serial.SerializedTestCase):$/;" c +testLearningRateOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testLearningRateOp(self):$/;" m class:TestShapeInference +TestLeastSq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestLeastSq(object):$/;" c +TestLeastSquaresSolvers adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestLeastSquaresSolvers(object):$/;" c +TestLeavesList adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestLeavesList(object):$/;" c +TestLegendFigureFunction adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^class TestLegendFigureFunction:$/;" c +TestLegendFunction adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^class TestLegendFunction:$/;" c +TestLegendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestLegendre(object):$/;" c +TestLegendreFunctions adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestLegendreFunctions(object):$/;" c +TestLen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestLen(BaseDataset):$/;" c +TestLen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestLen(BaseMapping):$/;" c +testLengthsPad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testLengthsPad(self):$/;" m class:TestShapeInference +TestLengthsPadOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^class TestLengthsPadOp(serial.SerializedTestCase):$/;" c +testLengthsPartition adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def testLengthsPartition(self):$/;" m class:TestPartitionOps +TestLengthSplitOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^class TestLengthSplitOperator(serial.SerializedTestCase):$/;" c +TestLengthsReducerOpsFused8BitRowwise adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^class TestLengthsReducerOpsFused8BitRowwise(hu.HypothesisTestCase):$/;" c +TestLengthsReducerOpsFusedNBitRowwise adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^class TestLengthsReducerOpsFusedNBitRowwise(hu.HypothesisTestCase):$/;" c +testLengthsSum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testLengthsSum(self):$/;" m class:TestShapeInference +TestLengthsTileOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^class TestLengthsTileOp(serial.SerializedTestCase):$/;" c +TestLengthsTopKOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^class TestLengthsTopKOps(serial.SerializedTestCase):$/;" c +TestLengthsToShapeOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^class TestLengthsToShapeOps(TestCase):$/;" c +TestLeslie adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestLeslie(object):$/;" c +TestLevene adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestLevene(object):$/;" c +TestLevyStable adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLevyStable(object):$/;" c +TestLexsort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestLexsort:$/;" c +TestLFilterZI adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLFilterZI(object):$/;" c +TestLGMRES adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^class TestLGMRES(object):$/;" c +TestLibraryInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^class TestLibraryInfo:$/;" c +TestLibver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestLibver(TestCase):$/;" c +TestLibver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^class TestLibver(TestCase):$/;" c +TestLikeFuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestLikeFuncs:$/;" c +TestLIL adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class TestLIL(sparse_test_class(minmax=False)):$/;" c +TestLinear adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^class TestLinear(object):$/;" c +TestLinearFilterComplex128 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterComplex128(_TestLinearFilter):$/;" c +TestLinearFilterComplex64 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterComplex64(_TestLinearFilter):$/;" c +TestLinearFilterComplexExtended adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterComplexExtended(_TestLinearFilter):$/;" c +TestLinearFilterDecimal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterDecimal(_TestLinearFilter):$/;" c +TestLinearFilterFloat32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterFloat32(_TestLinearFilter):$/;" c +TestLinearFilterFloat64 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterFloat64(_TestLinearFilter):$/;" c +TestLinearFilterFloatExtended adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterFloatExtended(_TestLinearFilter):$/;" c +TestLinearFilterObject adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestLinearFilterObject(_TestLinearFilter):$/;" c +TestLinearLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLinearLocator:$/;" c +TestLinearNDInterpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^class TestLinearNDInterpolation(object):$/;" c +TestLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^class TestLinearOperator(object):$/;" c +TestLinearRamp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestLinearRamp:$/;" c +TestLineSearch adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^class TestLineSearch(object):$/;" c +TestLineSplitter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^class TestLineSplitter:$/;" c +TestLinkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestLinkage(object):$/;" c +TestLinkageTies adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestLinkageTies(object):$/;" c +TestLinprogHiGHSIPM adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogHiGHSIPM(LinprogHiGHSTests):$/;" c +TestLinprogHiGHSSimplexDual adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogHiGHSSimplexDual(LinprogHiGHSTests):$/;" c +TestLinprogIPDense adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogIPDense(LinprogIPTests):$/;" c +TestLinprogIPSparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogIPSparse(LinprogIPTests):$/;" c +TestLinprogIPSparseCholmod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ class TestLinprogIPSparseCholmod(LinprogIPTests):$/;" c +TestLinprogIPSparsePresolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogIPSparsePresolve(LinprogIPTests):$/;" c +TestLinprogIPSparseUmfpack adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ class TestLinprogIPSparseUmfpack(LinprogIPTests):$/;" c +TestLinprogIPSpecific adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogIPSpecific(object):$/;" c +TestLinprogRSBland adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogRSBland(LinprogRSTests):$/;" c +TestLinprogRSCommon adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogRSCommon(LinprogRSTests):$/;" c +TestLinprogSimplexBland adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogSimplexBland(LinprogSimplexTests):$/;" c +TestLinprogSimplexDefault adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogSimplexDefault(LinprogSimplexTests):$/;" c +TestLinprogSimplexNoPresolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestLinprogSimplexNoPresolve(LinprogSimplexTests):$/;" c +TestLinsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^class TestLinsolve(object):$/;" c +TestLinspace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^class TestLinspace:$/;" c +testListInStructIndexing adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testListInStructIndexing(self):$/;" m class:TestDB +testListSubclassClone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testListSubclassClone(self):$/;" m class:TestDB +TestListValue adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestListValue = _reflection.GeneratedProtocolMessageType('TestListValue', (_message.Message,), {$/;" v +TestListwiseL2rOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^class TestListwiseL2rOps(hu.HypothesisTestCase):$/;" c +testListWithEvictedInStructIndexing adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testListWithEvictedInStructIndexing(self):$/;" m class:TestDB +testListWithEvictedSubclassClone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testListWithEvictedSubclassClone(self):$/;" m class:TestDB +testLJ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^testLJ = StructTestLJ(bounds=boundsLJ,$/;" v +TestLM adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class TestLM(BaseMixin):$/;" c +testLoadAllMultipleFiles adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadAllMultipleFiles(self):$/;" m class:TestLoadSave +testLoadAllMultipleFilesWithSameKey adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadAllMultipleFilesWithSameKey(self):$/;" m class:TestLoadSave +TestLoader adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class TestLoader(unittest.TestLoader):$/;" c +testLoadExcessblobs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadExcessblobs(self):$/;" m class:TestLoadSave +TestLoadLibrary adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^class TestLoadLibrary:$/;" c +testLoadMultipleFilesGivenSourceBlobNames adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadMultipleFilesGivenSourceBlobNames(self):$/;" m class:TestLoadSave +testLoadRepeatedFiles adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadRepeatedFiles(self):$/;" m class:TestLoadSave +testLoadSave adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testLoadSave(self):$/;" m class:TestLoadSave +TestLoadSave adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^class TestLoadSave(TestLoadSaveBase):$/;" c +TestLoadSaveBase adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^class TestLoadSaveBase(test_util.TestCase):$/;" c +TestLoadTxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestLoadTxt(LoadTxtBase):$/;" c +TestLocallyConnectedOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^class TestLocallyConnectedOp(serial.SerializedTestCase):$/;" c +TestLocalMaxima1d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestLocalMaxima1d(object):$/;" c +TestLocalSession adpepsenv/lib/python3.8/site-packages/caffe2/python/session_test.py /^class TestLocalSession(TestCase):$/;" c +TestLog adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLog:$/;" c +TestLog1p adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLog1p:$/;" c +TestLog1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestLog1p(object):$/;" c +TestLog2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLog2:$/;" c +TestLogAddExp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLogAddExp(_FilterInvalids):$/;" c +TestLogAddExp2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestLogAddExp2(_FilterInvalids):$/;" c +TestLogFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogFormatter:$/;" c +TestLogFormatterExponent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogFormatterExponent:$/;" c +TestLogFormatterMathtext adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogFormatterMathtext:$/;" c +TestLogFormatterSciNotation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogFormatterSciNotation:$/;" c +TestLoggamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLoggamma(object):$/;" c +TestLogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLogistic(object):$/;" c +TestLogit adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^class TestLogit(object):$/;" c +TestLogitFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogitFormatter:$/;" c +TestLogitLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogitLocator:$/;" c +TestLogLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestLogLocator:$/;" c +TestLogM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestLogM(object):$/;" c +TestLognorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLognorm(object):$/;" c +TestLogser adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestLogser(object):$/;" c +TestLogspace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^class TestLogspace:$/;" c +TestLombscargle adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestLombscargle(object):$/;" c +TestLongdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestLongdouble:$/;" c +TestLongDoubleFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestLongDoubleFFT(_TestFFTBase):$/;" c +TestLongDoubleIFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestLongDoubleIFFT(_TestIFFTBase):$/;" c +testLookUpNodePythonTracebackWorks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testLookUpNodePythonTracebackWorks(self):$/;" m class:SessionDebugTestBase +TestLossOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^class TestLossOps(serial.SerializedTestCase):$/;" c +TestLowOpen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestLowOpen(BaseDataset):$/;" c +TestLp2bp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2bp(object):$/;" c +TestLp2bp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2bp_zpk(object):$/;" c +TestLp2bs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2bs(object):$/;" c +TestLp2bs_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2bs_zpk(object):$/;" c +TestLp2hp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2hp(object):$/;" c +TestLp2hp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2hp_zpk(object):$/;" c +TestLp2lp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2lp(object):$/;" c +TestLp2lp_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestLp2lp_zpk(object):$/;" c +testLRN adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testLRN(self):$/;" m class:TestLRN +TestLRN adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestLRN(test_util.TestCase):$/;" c +testLRNSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_speed_test.py /^ def testLRNSpeed(self):$/;" m class:TestMKLBasic +TestLsim adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestLsim(object):$/;" c +TestLSMR adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^class TestLSMR:$/;" c +TestLSMRReturns adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^class TestLSMRReturns:$/;" c +TestLSODACheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestLSODACheckParameterUse(ODECheckParameterUse):$/;" c +TestLSQ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^class TestLSQ(object):$/;" c +TestLSQBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestLSQBivariateSpline(object):$/;" c +TestLSQSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestLSQSphereBivariateSpline(object):$/;" c +TestLSTMs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cudnn_recurrent_test.py /^class TestLSTMs(unittest.TestCase):$/;" c +TestLstsq adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestLstsq(LstsqCases):$/;" c +TestLstsq adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestLstsq(object):$/;" c +TestLstsqMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestLstsqMatrix(LstsqCases, MatrixTestCase):$/;" c +TestLti adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestLti(object):$/;" c +TestLU adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestLU(object):$/;" c +TestLUSingle adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestLUSingle(TestLU):$/;" c +TestLUSolve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestLUSolve(object):$/;" c +TestMa adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^class TestMa:$/;" c +TestMachAr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_machar.py /^class TestMachAr:$/;" c +TestMakeTupleBunch adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^class TestMakeTupleBunch:$/;" c +testMakeTwoClass adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testMakeTwoClass(self):$/;" m class:TestMakeTwoClass +TestMakeTwoClass adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestMakeTwoClass(test_util.TestCase):$/;" c +TestMannwhitneyu adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestMannwhitneyu():$/;" c +TestMannWhitneyU adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMannWhitneyU(object):$/;" c +TestMap adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/map_ops_test.py /^class TestMap(hu.HypothesisTestCase):$/;" c +TestMap adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestMap = _reflection.GeneratedProtocolMessageType('TestMap', (_message.Message,), {$/;" v +testMapInStructIndexing adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testMapInStructIndexing(self):$/;" m class:TestDB +TestMapIter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMapIter:$/;" c +testMapToRange adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testMapToRange(self):$/;" m class:TestLayers +TestMarginRankingCriterion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^class TestMarginRankingCriterion(serial.SerializedTestCase):$/;" c +testMarginRankLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testMarginRankLoss(self):$/;" m class:TestLayers +TestMaskedArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArray:$/;" c +TestMaskedArrayArithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayArithmetic:$/;" c +TestMaskedArrayAttributes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayAttributes:$/;" c +TestMaskedArrayFunctions adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayFunctions:$/;" c +TestMaskedArrayInPlaceArithmetics adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayInPlaceArithmetics:$/;" c +TestMaskedArrayMathMethods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayMathMethods:$/;" c +TestMaskedArrayMathMethodsComplex adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayMathMethodsComplex:$/;" c +TestMaskedArrayMethods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedArrayMethods:$/;" c +TestMaskedConstant adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedConstant:$/;" c +TestMaskedFields adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedFields:$/;" c +TestMaskedMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^class TestMaskedMatrix:$/;" c +TestMaskedObjectArray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedObjectArray:$/;" c +TestMaskedView adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedView:$/;" c +TestMaskedWhereAliases adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestMaskedWhereAliases:$/;" c +TestMathieu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestMathieu(object):$/;" c +TestMathOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^class TestMathOps(serial.SerializedTestCase):$/;" c +testmathworks adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ testmathworks = ma.fix_invalid([1.165, 0.6268, 0.0751, 0.3516, -0.6965,$/;" v class:TestMoments +testmathworks adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ testmathworks = [1.165, 0.6268, 0.0751, 0.3516, -0.6965]$/;" v class:TestMoments +TestMatMul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^class TestMatMul(serial.SerializedTestCase):$/;" c +TestMatmul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMatmul(MatmulCommon):$/;" c +TestMatmulOperator adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMatmulOperator(MatmulCommon):$/;" c +TestMatmulToeplitz adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^class TestMatmulToeplitz:$/;" c +TestMatrixNormal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestMatrixNormal(object):$/;" c +TestMatrixNorms adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestMatrixNorms(object):$/;" c +TestMatrixPower adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestMatrixPower:$/;" c +TestMatrixRank adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestMatrixRank:$/;" c +TestMatrixReturn adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestMatrixReturn:$/;" c +TestMatrix_Balance adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestMatrix_Balance(object):$/;" c +TestMaxDists adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestMaxDists(object):$/;" c +TestMaximum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestMaximum(_FilterInvalids):$/;" c +TestMaximumSctype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestMaximumSctype:$/;" c +TestMaxInconsts adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestMaxInconsts(object):$/;" c +TestMaxNLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestMaxNLocator:$/;" c +testMaxPoolingSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_speed_test.py /^ def testMaxPoolingSpeed(self):$/;" m class:TestMKLBasic +TestMaxRStat adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestMaxRStat(object):$/;" c +TestMayShareMemory adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestMayShareMemory:$/;" c +TestMean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^class TestMean(serial.SerializedTestCase):$/;" c +TestMedFilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestMedFilt(object):$/;" c +TestMedian adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestMedian:$/;" c +TestMedian adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestMedian:$/;" c +TestMedianAbsDeviation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMedianAbsDeviation(object):$/;" c +TestMedianAbsoluteDeviation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMedianAbsoluteDeviation(object):$/;" c +TestMedianTest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestMedianTest(object):$/;" c +testMediumBoth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testMediumBoth(self):$/;" m class:TestKSTwoSamples +TestMemEventHook adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMemEventHook:$/;" c +TestMemmap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^class TestMemmap:$/;" c +TestMergeArrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestMergeArrays:$/;" c +testMergeConditionNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testMergeConditionNets(self):$/;" m class:TestControl +testMergeIdListsLayer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testMergeIdListsLayer(self, num_inputs, batch_size):$/;" m class:TestLayers +TestMergeIdListsOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^class TestMergeIdListsOp(serial.SerializedTestCase):$/;" c +TestMeshgrid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestMeshgrid:$/;" c +TestMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestMessage = _reflection.GeneratedProtocolMessageType('TestMessage', (_message.Message,), {$/;" v +TestMessageWithExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestMessageWithExtension = _reflection.GeneratedProtocolMessageType('TestMessageWithExtension', /;" v +TestMetadata adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestMetadata:$/;" c +TestMethods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestMethods:$/;" c +TestMethods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMethods:$/;" c +TestMGCErrorWarnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMGCErrorWarnings(object):$/;" c +TestMGCStat adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMGCStat(object):$/;" c +testMiddlingBoth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testMiddlingBoth(self):$/;" m class:TestKSTwoSamples +TestMielke adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestMielke(object):$/;" c +TestMiniAlexNet adpepsenv/lib/python3.8/site-packages/caffe2/python/model_device_test.py /^class TestMiniAlexNet(test_util.TestCase):$/;" c +testMiniAlexNetNCHW adpepsenv/lib/python3.8/site-packages/caffe2/python/model_device_test.py /^ def testMiniAlexNetNCHW(self):$/;" m class:TestMiniAlexNet +TestMinimum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestMinimum(_FilterInvalids):$/;" c +TestMinimumMaximum adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^class TestMinimumMaximum:$/;" c +TestMinimumPhase adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestMinimumPhase(object):$/;" c +TestMinMax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMinMax:$/;" c +TestMinMax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestMinMax:$/;" c +TestMinrelpath adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^class TestMinrelpath:$/;" c +TestMinScalarType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestMinScalarType:$/;" c +TestMintypecode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestMintypecode:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^class TestMisc:$/;" c +TestMisc adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestMisc(object):$/;" c +TestMiscFunctions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^class TestMiscFunctions:$/;" c +TestMissingData adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestMissingData(object):$/;" c +testMissingFile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testMissingFile(self):$/;" m class:TestLoadSave +TestMixed adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_mixed.py /^class TestMixed(util.F2PyTest):$/;" c +TestMKLBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_speed_test.py /^class TestMKLBasic(test_util.TestCase):$/;" c +TestMKLBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_speed_test.py /^class TestMKLBasic(test_util.TestCase):$/;" c +TestMKLBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_speed_test.py /^class TestMKLBasic(test_util.TestCase):$/;" c +TestMKLBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_speed_test.py /^class TestMKLBasic(test_util.TestCase):$/;" c +TestMKLBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_speed_test.py /^class TestMKLBasic(test_util.TestCase):$/;" c +TestMLabLinkageConversion adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestMLabLinkageConversion(object):$/;" c +TestMLS adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_max_len_seq.py /^class TestMLS(object):$/;" c +TestMMIOArray adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^class TestMMIOArray(object):$/;" c +TestMMIOCoordinate adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^class TestMMIOCoordinate(object):$/;" c +TestMMIOReadLargeIntegers adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^class TestMMIOReadLargeIntegers(object):$/;" c +TestMMIOSparseCSR adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^class TestMMIOSparseCSR(TestMMIOArray):$/;" c +TestMobileExporter adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/mobile_exporter_test.py /^class TestMobileExporter(TestCase):$/;" c +TestMod adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^class TestMod(hu.HypothesisTestCase):$/;" c +TestMode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMode(object):$/;" c +TestModelCapturesStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestModelCapturesStrategy(test.TestCase, parameterized.TestCase):$/;" c +TestModes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestModes(TestCase):$/;" c +TestModifiedDogleg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestModifiedDogleg(TestCase):$/;" c +TestModulus adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestModulus:$/;" c +TestMoments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestMoments(object):$/;" c +TestMoments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestMoments(object):$/;" c +TestMomentsOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^class TestMomentsOp(serial.SerializedTestCase):$/;" c +TestMomentumSGD adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^class TestMomentumSGD(serial.SerializedTestCase):$/;" c +TestMomentumSgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestMomentumSgd(OptimizerTestBase, TestCase):$/;" c +TestMomentumSGDUpdateOps adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/moment_sgd_op_test.py /^class TestMomentumSGDUpdateOps(hu.HypothesisTestCase):$/;" c +TestMonsterType adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestMonsterType:$/;" c +TestMood adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestMood(object):$/;" c +TestMove adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestMove(BaseGroup):$/;" c +TestMoveaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestMoveaxis:$/;" c +TestMPI adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^class TestMPI(hu.HypothesisTestCase):$/;" c +TestMquantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestMquantiles(object):$/;" c +TestMRecords adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^class TestMRecords:$/;" c +TestMRecordsImport adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^class TestMRecordsImport:$/;" c +TestMsort adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestMsort:$/;" c +TestMT19937 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class TestMT19937(Base):$/;" c +TestMT19937 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class TestMT19937(RNG):$/;" c +TestMuji adpepsenv/lib/python3.8/site-packages/caffe2/python/muji_test.py /^class TestMuji(test_util.TestCase):$/;" c +testMultiClassesFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesFromCheckpoint(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesFromCheckpoint(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesFromCheckpointFloatLabels(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesFromCheckpointFloatLabels(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesFromCheckpointMultiBatch(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesFromCheckpointMultiBatch(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesFromScratch(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesFromScratch(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesFromScratchWithDefaultOptimizer(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesTrainWithOneDimLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesTrainWithOneDimLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesTrainWithOneDimWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesTrainWithOneDimWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesTrainWithTwoDimsLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesTrainWithTwoDimsLabel(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesTrainWithTwoDimsWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesTrainWithTwoDimsWeight(self):$/;" m class:BaseLinearClassifierTrainingTest +testMultiClassesWithLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesWithLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testMultiClassesWithLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesWithLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testMultiClassesWithoutLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiClassesWithoutLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testMultiClassesWithoutLabelVocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiClassesWithoutLabelVocabulary(self):$/;" m class:BaseLinearClassifierPredictTest +testMultiDim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testMultiDim(self):$/;" m class:BaseLinearRegressorPredictTest +testMultiDim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testMultiDim(self):$/;" m class:BaseLinearRegressorPredictTest +TestMultiDot adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestMultiDot:$/;" c +TestMultiGammaLn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^class TestMultiGammaLn(object):$/;" c +TestMultiIndexingAutomated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestMultiIndexingAutomated:$/;" c +testMultiInputOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testMultiInputOutput(self):$/;" m class:TestScriptModule +testMultiLayerAddOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiLayerAddOps(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testMultiLayerSubOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiLayerSubOps(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +TestMultiline adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^class TestMultiline(util.F2PyTest):$/;" c +TestMultinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestMultinomial:$/;" c +TestMultinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestMultinomial:$/;" c +TestMultinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestMultinomial:$/;" c +TestMultinomial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestMultinomial(object):$/;" c +TestMultiOptimizers adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestMultiOptimizers(TestCase):$/;" c +TestMultipleEllipsisError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestMultipleEllipsisError:$/;" c +TestMultipleFields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestMultipleFields:$/;" c +TestMultipleLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestMultipleLocator:$/;" c +TestMultiply adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestMultiply:$/;" c +TestMultiPrecisionSgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestMultiPrecisionSgd($/;" c +testMultiThreaded adpepsenv/lib/python3.8/site-packages/caffe2/python/context_test.py /^ def testMultiThreaded(self):$/;" m class:TestContext +testMultiThreaded adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testMultiThreaded(self):$/;" m class:TestScope +testMultiUseInput adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiUseInput(self, device_option):$/;" m class:TestGradientCalculation +testMultiUseInputAndMultipleVersions adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiUseInputAndMultipleVersions(self):$/;" m class:TestGradientCalculation +testMultiUseInputAndMultipleVersionsBig adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiUseInputAndMultipleVersionsBig(self):$/;" m class:TestGradientCalculation +testMultiUseInputAutoGenSumDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiUseInputAutoGenSumDevice(self):$/;" m class:TestGradientCalculation +testMultiUseInputButWithNoGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testMultiUseInputButWithNoGradient(self):$/;" m class:TestGradientCalculation +TestMultivariateCtypesQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^class TestMultivariateCtypesQuad(object):$/;" c +TestMultivariateHypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestMultivariateHypergeom:$/;" c +TestMultivariateHypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestMultivariateHypergeometric:$/;" c +TestMultivariateNormal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestMultivariateNormal(object):$/;" c +TestMultivariateT adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestMultivariateT:$/;" c +TestMultiWorkspaces adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestMultiWorkspaces(unittest.TestCase):$/;" c +TestMutableMapping adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestMutableMapping(BaseAttrs):$/;" c +TestMutableMapping adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestMutableMapping(BaseGroup):$/;" c +TestMvsdist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestMvsdist(object):$/;" c +TestNagFCompilerVersions adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_nagfor.py /^class TestNagFCompilerVersions:$/;" c +TestNakagami adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestNakagami:$/;" c +TestName adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^class TestName(BaseTest):$/;" c +testNameAndDeviceScopeTogether adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testNameAndDeviceScopeTogether(self):$/;" m class:TestScopes +testNamedAttributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testNamedAttributes(self):$/;" m class:TestKSTwoSamples +testNamescopeAssertion adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testNamescopeAssertion(self):$/;" m class:TestScope +testNamescopeBasic adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testNamescopeBasic(self):$/;" m class:TestScope +testNameScopeWithOp adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testNameScopeWithOp(self):$/;" m class:TestScopes +testNameScopeWithReset adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testNameScopeWithReset(self):$/;" m class:TestScopes +TestNameValidator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^class TestNameValidator:$/;" c +TestNanFunctions_ArgminArgmax adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_ArgminArgmax:$/;" c +TestNanFunctions_CumSumProd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_CumSumProd(SharedNanFunctionsTestsMixin):$/;" c +TestNanFunctions_IntTypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_IntTypes:$/;" c +TestNanFunctions_MeanVarStd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_MeanVarStd(SharedNanFunctionsTestsMixin):$/;" c +TestNanFunctions_Median adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_Median:$/;" c +TestNanFunctions_MinMax adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_MinMax:$/;" c +TestNanFunctions_Percentile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_Percentile:$/;" c +TestNanFunctions_Quantile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_Quantile:$/;" c +TestNanFunctions_SumProd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^class TestNanFunctions_SumProd(SharedNanFunctionsTestsMixin):$/;" c +TestNanToNum adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestNanToNum:$/;" c +TestNBinom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestNBinom(object):$/;" c +testNBit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^ def testNBit(self, bit_rate):$/;" m class:TestNBitFakeFused +testNBit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^ def testNBit(self, bit_rate):$/;" m class:TestNBitGreedyFused +TestNBitFakeFused adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^class TestNBitFakeFused(hu.HypothesisTestCase):$/;" c +TestNBitGreedyFused adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^class TestNBitGreedyFused(hu.HypothesisTestCase):$/;" c +TestNct adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestNct(object):$/;" c +TestNDArrayArrayFunction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestNDArrayArrayFunction:$/;" c +TestNDArrayMethods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestNDArrayMethods:$/;" c +TestNDArrayOperatorsMixin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^class TestNDArrayOperatorsMixin:$/;" c +TestNdenumerate adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestNdenumerate:$/;" c +TestNdimageFilters adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^class TestNdimageFilters:$/;" c +TestNdimageFourier adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^class TestNdimageFourier:$/;" c +TestNdimageInterpolation adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^class TestNdimageInterpolation:$/;" c +TestNdimageMorphology adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^class TestNdimageMorphology:$/;" c +TestNdpointer adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^class TestNdpointer:$/;" c +TestNdpointerCFunc adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^class TestNdpointerCFunc:$/;" c +TestNdPPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestNdPPoly(object):$/;" c +TestNdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^class TestNdtri:$/;" c +TestNegateGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^class TestNegateGradient(serial.SerializedTestCase):$/;" c +TestNegative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestNegative:$/;" c +TestNeighborhoodIter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestNeighborhoodIter:$/;" c +TestNestedMap adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestNestedMap = _reflection.GeneratedProtocolMessageType('TestNestedMap', (_message.Message,), {$/;" v +TestNetBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^class TestNetBuilder(unittest.TestCase):$/;" c +TestNetGradientChecker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestNetGradientChecker(test_util.TestCase):$/;" c +TestNetPrinter adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^class TestNetPrinter(unittest.TestCase):$/;" c +TestNewaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestNewaxis:$/;" c +TestNewBufferProtocol adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestNewBufferProtocol:$/;" c +TestNewLibver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestNewLibver(TestCase):$/;" c +TestNewScalarIndexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestNewScalarIndexing:$/;" c +TestNewtonCg adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestNewtonCg(object):$/;" c +TestNewToOld adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^class TestNewToOld(object):$/;" c +TestNewToOldCobyla adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^class TestNewToOldCobyla(object):$/;" c +TestNewToOldSLSQP adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^class TestNewToOldSLSQP(object):$/;" c +TestNextFastLen adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^class TestNextFastLen(object):$/;" c +testnext_fast_len_big adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def testnext_fast_len_big(self):$/;" m class:TestNextFastLen +testnext_fast_len_small adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def testnext_fast_len_small(self):$/;" m class:TestNextFastLen +TestNGramOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ngram_ops_test.py /^class TestNGramOps(hu.HypothesisTestCase):$/;" c +TestNNLS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nnls.py /^class TestNNLS(object):$/;" c +TestNoData adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestNoData(object):$/;" c +TestNomnigraphTransformations adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^class TestNomnigraphTransformations(tu.TestCase):$/;" c +TestNonarrayArgs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestNonarrayArgs:$/;" c +TestNonCContiguousViewDeprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNonCContiguousViewDeprecation(_DeprecationTestCase):$/;" c +TestNonIntegerArrayLike adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestNonIntegerArrayLike:$/;" c +TestNonlin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^class TestNonlin(object):$/;" c +TestNonlinOldTests adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^class TestNonlinOldTests(object):$/;" c +TestNonNumericConjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNonNumericConjugate(_DeprecationTestCase):$/;" c +testNonParallelModel adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^ def testNonParallelModel(self):$/;" m class:DataWorkersTest +TestNonTupleNDIndexDeprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNonTupleNDIndexDeprecation:$/;" c +TestNonZero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNonZero(_DeprecationTestCase):$/;" c +TestNonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestNonzero:$/;" c +TestNorm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^class TestNorm(object):$/;" c +TestNorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestNorm(object):$/;" c +testNormalAccumulation adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testNormalAccumulation(self):$/;" m class:TestGradientsAccumulationWithNoGradientOps +TestNormalitytests adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestNormalitytests():$/;" c +TestNormalize adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestNormalize(object):$/;" c +testNormalizeField adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testNormalizeField(self):$/;" m class:TestDB +TestNormalizeOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^class TestNormalizeOp(hu.HypothesisTestCase):$/;" c +TestNormalizerContext adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_test.py /^class TestNormalizerContext(LayersTestCase):$/;" c +testNormar adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testNormar(self):$/;" m class:TestLSMRReturns +TestNormDouble adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestNormDouble(_TestNorm, _TestNormDoubleBase):$/;" c +TestNormDoubleMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestNormDoubleMatrix(_TestNorm2DMatrix, _TestNormDoubleBase):$/;" c +TestNormInt64 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestNormInt64(_TestNorm, _TestNormInt64Base):$/;" c +TestNormInt64Matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestNormInt64Matrix(_TestNorm2DMatrix, _TestNormInt64Base):$/;" c +TestNormInvGauss adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestNormInvGauss(object):$/;" c +testNormr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testNormr(self):$/;" m class:TestLSMRReturns +TestNormSingle adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestNormSingle(_TestNorm, _TestNormSingleBase):$/;" c +TestNormSingleMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestNormSingleMatrix(_TestNorm2DMatrix, _TestNormSingleBase):$/;" c +testNormx adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testNormx(self):$/;" m class:TestLSMRReturns +TestNorm_NonSystematic adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestNorm_NonSystematic:$/;" c +TestNoseDecorators adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^class TestNoseDecorators:$/;" c +TestNoSpace adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^class TestNoSpace(util.F2PyTest):$/;" c +TestNotMasked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestNotMasked:$/;" c +testNpBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def testNpBias(self):$/;" m class:BiasAddTestBase +TestNPY_CHAR adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNPY_CHAR(_DeprecationTestCase):$/;" c +TestNQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^class TestNQuad(object):$/;" c +TestNullLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestNullLocator:$/;" c +TestNumbers adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestNumbers = _reflection.GeneratedProtocolMessageType('TestNumbers', (_message.Message,), {$/;" v +TestNumericalEquivalence adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator_test.py /^class TestNumericalEquivalence(test_util.TestCase):$/;" c +TestNumericStyleTypecodes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestNumericStyleTypecodes(_DeprecationTestCase):$/;" c +TestNumObsDM adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestNumObsDM(object):$/;" c +TestNumObsLinkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^class TestNumObsLinkage(object):$/;" c +TestNumObsY adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestNumObsY(object):$/;" c +TestNumPyFunctions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestNumPyFunctions:$/;" c +TestNumpyTile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^class TestNumpyTile(serial.SerializedTestCase):$/;" c +TestNuttall adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestNuttall(object):$/;" c +TestOAConvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestOAConvolve(object):$/;" c +TestObjectIndex adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestObjectIndex(BaseSlicing):$/;" c +TestObjects adpepsenv/lib/python3.8/site-packages/h5py/tests/test_objects.py /^class TestObjects(TestCase):$/;" c +TestOblCvSeq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestOblCvSeq(object):$/;" c +testObserver adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^ def testObserver(self):$/;" m class:TestObservers +TestObservers adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^class TestObservers(unittest.TestCase):$/;" c +TestOde adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestOde(TestODEClass):$/;" c +TestODEClass adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestODEClass(object):$/;" c +TestOdeint adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestOdeint(object):$/;" c +TestODR adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^class TestODR(object):$/;" c +TestOffsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestOffsets(TestCase):$/;" c +TestOldToNew adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^class TestOldToNew(object):$/;" c +TestOneHotOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^class TestOneHotOps(serial.SerializedTestCase):$/;" c +TestOnenormest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^class TestOnenormest(object):$/;" c +TestOneof adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestOneof = _reflection.GeneratedProtocolMessageType('TestOneof', (_message.Message,), {$/;" v +TestONNXWhile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^class TestONNXWhile(serial.SerializedTestCase):$/;" c +TestOpen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestOpen(BaseGroup):$/;" c +TestOpenFunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestOpenFunc:$/;" c +TestOperations adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestOperations:$/;" c +TestOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/convert_test.py /^class TestOperator(unittest.TestCase):$/;" c +TestOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^class TestOperators(hu.HypothesisTestCase):$/;" c +TestOperators adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^class TestOperators(object):$/;" c +TestOperatorTraceback adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestOperatorTraceback(test_util.TestCase):$/;" c +TestOpRegistryKey adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestOpRegistryKey(test_util.TestCase):$/;" c +TestOptimizeNoWrapperDisp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeNoWrapperDisp(CheckOptimizeParameterized):$/;" c +TestOptimizeNoWrapperNoDisp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeNoWrapperNoDisp(CheckOptimizeParameterized):$/;" c +TestOptimizerContext adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestOptimizerContext(TestCase):$/;" c +TestOptimizeResultAttributes adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeResultAttributes(object):$/;" c +testOptimizerWithCallbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def testOptimizerWithCallbacks(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +TestOptimizeScalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeScalar(object):$/;" c +TestOptimizeSimple adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeSimple(CheckOptimize):$/;" c +TestOptimizeWrapperDisp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeWrapperDisp(CheckOptimizeParameterized):$/;" c +TestOptimizeWrapperNoDisp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestOptimizeWrapperNoDisp(CheckOptimizeParameterized):$/;" c +TestOptionalArgs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestOptionalArgs:$/;" c +TestOrderConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestOrderConverter(StringConverterTestCase):$/;" c +TestOrderFilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestOrderFilt(object):$/;" c +TestOrdQZ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestOrdQZ(object):$/;" c +TestOrdQZWorkspaceSize adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestOrdQZWorkspaceSize(object):$/;" c +TestOrthogonality adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^class TestOrthogonality(TestCase):$/;" c +TestOrthoGroup adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestOrthoGroup(object):$/;" c +TestOut adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestOut:$/;" c +testOutputSlotWithoutOutgoingEdgeCanBeWatched adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testOutputSlotWithoutOutgoingEdgeCanBeWatched(self):$/;" m class:SessionDebugTestBase +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestOverwrite(object):$/;" c +TestOverwrite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^class TestOverwrite(object):$/;" c +TestPackRNNSequenceOperator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^class TestPackRNNSequenceOperator(serial.SerializedTestCase):$/;" c +TestPad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^class TestPad(serial.SerializedTestCase):$/;" c +TestPadWidth adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestPadWidth:$/;" c +TestPairWiseLossOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^class TestPairWiseLossOps(serial.SerializedTestCase):$/;" c +testPairwiseSimilarityIncorrectInput adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testPairwiseSimilarityIncorrectInput(self):$/;" m class:TestLayers +testPairwiseSimilarityWithAllEmbeddings adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testPairwiseSimilarityWithAllEmbeddings(self):$/;" m class:TestLayers +testPairwiseSimilarityWithXandYEmbeddings adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testPairwiseSimilarityWithXandYEmbeddings(self):$/;" m class:TestLayers +testPairwiseSimilarityWithXandYEmbeddingsAndGather adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testPairwiseSimilarityWithXandYEmbeddingsAndGather(self):$/;" m class:TestLayers +TestParabolicCylinder adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestParabolicCylinder(object):$/;" c +testParallelWorkers adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def testParallelWorkers(self):$/;" m class:ParallelWorkersTest +testParallelWorkersInitFun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def testParallelWorkersInitFun(self):$/;" m class:ParallelWorkersTest +testParallelWorkersShutdownFun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers_test.py /^ def testParallelWorkersShutdownFun(self):$/;" m class:ParallelWorkersTest +TestParameters adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^class TestParameters(util.F2PyTest):$/;" c +TestPareto adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestPareto(object):$/;" c +TestParseFlags adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^class TestParseFlags:$/;" c +TestParseResultsAsserts adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class TestParseResultsAsserts:$/;" c class:pyparsing_test +TestParseResultsAsserts adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class TestParseResultsAsserts:$/;" c class:pyparsing_test +testPartExecutor adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def testPartExecutor(self, test_case, is_setup_or_teardown=False):$/;" m class:_Outcome +testPartialClone adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testPartialClone(self):$/;" m class:TestCloneNet +TestPartialFractionExpansion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestPartialFractionExpansion(object):$/;" c +testPartition adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def testPartition(self):$/;" m class:TestPartitionOps +testPartitioner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testPartitioner(self):$/;" m class:BaseLinearRegressorPartitionerTest +TestPartitionOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^class TestPartitionOps(TestCase):$/;" c +TestParzen adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestParzen(object):$/;" c +TestPascal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestPascal(object):$/;" c +TestPathlibSupport adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestPathlibSupport(TestCase):$/;" c +TestPaths adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^class TestPaths:$/;" c +TestPathUsage adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^class TestPathUsage:$/;" c +TestPathUsage adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestPathUsage:$/;" c +TestPCG64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class TestPCG64(Base):$/;" c +TestPCG64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class TestPCG64(RNG):$/;" c +TestPCHIP adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^class TestPCHIP(object):$/;" c +TestPdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestPdist(object):$/;" c +TestPdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^class TestPdtr(object):$/;" c +TestPdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^class TestPdtrc(object):$/;" c +TestPeakProminences adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestPeakProminences(object):$/;" c +TestPeakWidths adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestPeakWidths(object):$/;" c +TestPearson3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestPearson3(object):$/;" c +TestPEP3118Dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestPEP3118Dtype:$/;" c +TestPercentFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestPercentFormatter:$/;" c +TestPercentile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestPercentile:$/;" c +TestPercentile adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestPercentile(object):$/;" c +TestPercentileOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^class TestPercentileOp(hu.HypothesisTestCase):$/;" c +TestPercivalHighLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^class TestPercivalHighLevel(ut.TestCase):$/;" c +TestPercivalLowLevel adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^class TestPercivalLowLevel(ut.TestCase):$/;" c +TestPeriodogram adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestPeriodogram(object):$/;" c +TestPhilox adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class TestPhilox(Base):$/;" c +TestPhilox adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class TestPhilox(RNG):$/;" c +testPicklable adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testPicklable(self):$/;" m class:TestDB +TestPickle adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestPickle(TestCase):$/;" c +TestPickler adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ class TestPickler:$/;" c member:RpcTest.test_use_rpc_pickler file: +TestPickling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestPickling:$/;" c +TestPickling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestPickling:$/;" c +TestPiecewise adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestPiecewise:$/;" c +TestPiecewiseLinearTransform adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^class TestPiecewiseLinearTransform(serial.SerializedTestCase):$/;" c +TestPinv adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestPinv(PinvCases):$/;" c +TestPinv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestPinv(object):$/;" c +TestPinvHermitian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestPinvHermitian(PinvHermitianCases):$/;" c +TestPinvMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestPinvMatrix(PinvCases, MatrixTestCase):$/;" c +TestPinvSymmetric adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestPinvSymmetric(object):$/;" c +TestPipeline adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline_test.py /^class TestPipeline(TestCase):$/;" c +TestPL adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^class TestPL(TestCase):$/;" c +TestPlacePoles adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestPlacePoles(object):$/;" c +TestPlanck adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestPlanck(object):$/;" c +TestPlotBytes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestPlotBytes:$/;" c +TestPlotNumlike adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestPlotNumlike:$/;" c +TestPlotting adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^class TestPlotting:$/;" c +TestPlotTypes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestPlotTypes:$/;" c +TestPlotTypes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^class TestPlotTypes:$/;" c +TestPointerArray adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestPointerArray:$/;" c +TestPointers adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestPointers:$/;" c +TestPointerStructures adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestPointerStructures:$/;" c +TestPoisson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestPoisson(object):$/;" c +TestPolyConversions adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestPolyConversions(object):$/;" c +TestPolygamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestPolygamma(object):$/;" c +TestPolynomial adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^class TestPolynomial:$/;" c +TestPolynomial adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestPolynomial:$/;" c +TestPolys adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^class TestPolys(object):$/;" c +TestPolySubclassing adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestPolySubclassing(object):$/;" c +TestPooling adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^class TestPooling(hu.HypothesisTestCase):$/;" c +TestPositive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestPositive:$/;" c +TestPositiveOnNonNumerical adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestPositiveOnNonNumerical(_DeprecationTestCase):$/;" c +TestPower adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestPower:$/;" c +TestPower adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestPower:$/;" c +TestPower adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestPower:$/;" c +TestPowerDivergence adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestPowerDivergence(object):$/;" c +TestPpccMax adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestPpccMax(object):$/;" c +TestPpccPlot adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestPpccPlot(object):$/;" c +TestPPoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestPPoly(object):$/;" c +TestPPolyCommon adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestPPolyCommon(object):$/;" c +TestPredictor adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^class TestPredictor(unittest.TestCase):$/;" c +TestPredictor adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestPredictor(unittest.TestCase):$/;" c +TestPrependDim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/prepend_dim_test.py /^class TestPrependDim(TestCase):$/;" c +testPreservesEmptyFields adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testPreservesEmptyFields(self):$/;" m class:TestDB +testPreservesMetadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testPreservesMetadata(self):$/;" m class:TestDB +TestPrintOptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^class TestPrintOptions:$/;" c +TestPrivate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestPrivate:$/;" c +TestProbplot adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestProbplot(object):$/;" c +TestProcrustes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^class TestProcrustes(object):$/;" c +TestProCvSeq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestProCvSeq(object):$/;" c +TestProd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestProd:$/;" c +TestProjectCG adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestProjectCG(TestCase):$/;" c +TestProjections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^class TestProjections(TestCase):$/;" c +testPropagateRequestOnly adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testPropagateRequestOnly(self):$/;" m class:TestLayers +TestProperties adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestProperties:$/;" c +TestPrototypeType adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestPrototypeType(object):$/;" c +TestPsi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestPsi(object):$/;" c +TestPtp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestPtp:$/;" c +TestPublicPrivate adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^class TestPublicPrivate():$/;" c +TestPutAlongAxis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestPutAlongAxis:$/;" c +TestPutmask adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestPutmask:$/;" c +TestPutOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^class TestPutOps(TestCase):$/;" c +TestPy2Dict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestPy2Dict(BaseMapping):$/;" c +TestPy3Dict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestPy3Dict(BaseMapping):$/;" c +TestPyArray_AS1D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestPyArray_AS1D(_DeprecationTestCase):$/;" c +TestPyArray_AS2D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestPyArray_AS2D(_DeprecationTestCase):$/;" c +TestPyPI adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^TestPyPI = PackageIndex($/;" v +TestPythonFloat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestPythonFloat:$/;" c +TestQAPOnce adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^class TestQAPOnce():$/;" c +TestQMR adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^class TestQMR(object):$/;" c +TestQR adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestQR:$/;" c +TestQR adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestQR(object):$/;" c +TestQRdelete_d adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRdelete_d(BaseQRdelete):$/;" c +TestQRdelete_f adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRdelete_f(BaseQRdelete):$/;" c +TestQRinsert_d adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRinsert_d(BaseQRinsert):$/;" c +TestQRinsert_f adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRinsert_f(BaseQRinsert):$/;" c +TestQRMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestQRMatrix(_TestQR):$/;" c +TestQRupdate_d adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRupdate_d(BaseQRupdate):$/;" c +TestQRupdate_f adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^class TestQRupdate_f(BaseQRupdate):$/;" c +TestQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^class TestQuad(object):$/;" c +TestQuadraticFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^class TestQuadraticFunction(object):$/;" c +TestQuadrature adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^class TestQuadrature(object):$/;" c +TestQuantile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^class TestQuantile(hu.HypothesisTestCase):$/;" c +TestQuantile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestQuantile:$/;" c +TestQuantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^class TestQuantiles(object):$/;" c +TestQuantize8bits adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^class TestQuantize8bits(hu.HypothesisTestCase):$/;" c +TestQuotedCharacter adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_quoted_character.py /^class TestQuotedCharacter(util.F2PyTest):$/;" c +TestQuotedNominal adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestQuotedNominal(object):$/;" c +TestQuotedNominalSpaces adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestQuotedNominalSpaces(object):$/;" c +TestQZ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestQZ(object):$/;" c +TestRadian adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestRadian(object):$/;" c +TestRadians adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestRadians:$/;" c +TestRaises adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestRaises:$/;" c +TestRandint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestRandint:$/;" c +TestRandint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestRandint:$/;" c +TestRandInt adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestRandInt(object):$/;" c +TestRandomCorrelation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestRandomCorrelation(object):$/;" c +TestRandomDist adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestRandomDist:$/;" c +TestRandomDist adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestRandomDist:$/;" c +TestRandomDist adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestRandomDist:$/;" c +testRandomFourierFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testRandomFourierFeatures(self, batch_size, input_dims, output_dims, bandwidth):$/;" m class:TestLayers +TestRankData adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^class TestRankData(object):$/;" c +TestRanking adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestRanking(object):$/;" c +TestRankSums adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestRankSums(object):$/;" c +TestRationalFunctions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestRationalFunctions:$/;" c +TestRatioUniforms adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestRatioUniforms(object):$/;" c +TestRavelUnravelIndex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^class TestRavelUnravelIndex:$/;" c +testRawTuple adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testRawTuple(self):$/;" m class:TestDB +TestRayleigh adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestRayleigh(object):$/;" c +TestRdist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestRdist(object):$/;" c +TestReadDirectChunk adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^class TestReadDirectChunk(TestCase):$/;" c +TestReaderBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^class TestReaderBuilder(ReaderBuilder):$/;" c +TestReaderWithLimit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^class TestReaderWithLimit(TestCase):$/;" c +TestReadValuesNestedMultiple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestReadValuesNestedMultiple(ReadValuesNested):$/;" c +TestReadValuesNestedSingle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestReadValuesNestedSingle(ReadValuesNested):$/;" c +TestReadValuesPlainMultiple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestReadValuesPlainMultiple(ReadValuesPlain):$/;" c +TestReadValuesPlainSingle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestReadValuesPlainSingle(ReadValuesPlain):$/;" c +TestReal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestReal:$/;" c +TestRealIfClose adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^class TestRealIfClose:$/;" c +TestRealScalars adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^class TestRealScalars:$/;" c +TestReBatchingQueue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^class TestReBatchingQueue(TestCase):$/;" c +TestRecFunctions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestRecFunctions:$/;" c +TestRecord adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestRecord:$/;" c +TestRecord adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestRecord:$/;" c +TestRecord adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^class TestRecord:$/;" c +TestRecordQueue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/record_queue_test.py /^class TestRecordQueue(TestCase):$/;" c +TestRectBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestRectBivariateSpline(object):$/;" c +TestRectSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestRectSphereBivariateSpline(object):$/;" c +TestRecurrence adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^class TestRecurrence(object):$/;" c +TestRecursion adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestRecursion = _reflection.GeneratedProtocolMessageType('TestRecursion', (_message.Message,), {$/;" v +TestRecursiveFillFields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestRecursiveFillFields:$/;" c +TestRedisStoreHandlerOp adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^class TestRedisStoreHandlerOp(TestCase):$/;" c +TestReduceFrontReductions adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^class TestReduceFrontReductions(serial.SerializedTestCase):$/;" c +TestReduceFrontSum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/im2col_col2im_test.py /^class TestReduceFrontSum(hu.HypothesisTestCase):$/;" c +TestReduceOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^class TestReduceOps(serial.SerializedTestCase):$/;" c +TestReductionOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^class TestReductionOps(serial.SerializedTestCase):$/;" c +TestReflect adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestReflect:$/;" c +TestRegionRefs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestRegionRefs(BaseDataset):$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^class TestRegression:$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_regression.py /^class TestRegression(object):$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestRegression(object):$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^class TestRegression(object):$/;" c +TestRegression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestRegression(object):$/;" c +TestRegularGridInterpolator adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^class TestRegularGridInterpolator(object):$/;" c +TestRegularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^class TestRegularizer(LayersTestCase):$/;" c +TestRegularizerContext adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^class TestRegularizerContext(LayersTestCase):$/;" c +TestRegularizerLoss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^class TestRegularizerLoss(test.TestCase, parameterized.TestCase):$/;" c +TestRelationalAttribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestRelationalAttribute(object):$/;" c +TestRelationalAttributeLong adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^class TestRelationalAttributeLong(object):$/;" c +testRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testRelu(self):$/;" m class:TestRelu +TestRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestRelu(test_util.TestCase):$/;" c +testReLUSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_speed_test.py /^ def testReLUSpeed(self):$/;" m class:TestMKLBasic +TestRemainder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestRemainder:$/;" c +TestRemez adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^class TestRemez(object):$/;" c +testRemoveAlias adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def testRemoveAlias(self):$/;" m class:AlterChildTest +testRemoveChildMethod adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def testRemoveChildMethod(self):$/;" m class:AlterChildTest +testRemoveFromBlock adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def testRemoveFromBlock(self):$/;" m class:AlterChildTest +TestRepeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestRepeat:$/;" c +testRepeatedArgs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testRepeatedArgs(self):$/;" m class:TestLoadSave +testRepeatedValues adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testRepeatedValues(self):$/;" m class:TestKSTwoSamples +testReplaceChildInBody adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def testReplaceChildInBody(self):$/;" m class:AlterChildTest +testReplaceChildInvalid adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def testReplaceChildInvalid(self):$/;" m class:AlterChildTest +TestRepositoryAbspath adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestRepositoryAbspath:$/;" c +TestRepositoryExists adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^class TestRepositoryExists:$/;" c +testRepr adpepsenv/lib/python3.8/site-packages/caffe2/python/task_test.py /^ def testRepr(self):$/;" m class:TestTask +TestRepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^class TestRepr(BaseTest):$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestRepr(BaseDataset):$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestRepr(TestCase):$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestRepr(BaseGroup):$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestRepr:$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestRepr:$/;" c +TestRepr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^class TestRepr:$/;" c +TestRequire adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestRequire(BaseGroup):$/;" c +TestRequire adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestRequire:$/;" c +TestRerouteTensor adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestRerouteTensor(test_util.TestCase):$/;" c +TestResample adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestResample(object):$/;" c +testReservoirSamplingWithID adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testReservoirSamplingWithID(self, X, num_to_collect):$/;" m class:TestLayers +testResetWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testResetWorkspace(self):$/;" m class:TestWorkspace +TestReShapeOps adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^class TestReShapeOps(TestCase):$/;" c +TestResize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^class TestResize(hu.HypothesisTestCase):$/;" c +TestResize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestResize(BaseDataset):$/;" c +TestResize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestResize:$/;" c +TestResize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestResize:$/;" c +TestResults adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^TestResults = _reflection.GeneratedProtocolMessageType('TestResults', (_message.Message,), {$/;" v +TestReturnCharacter adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^class TestReturnCharacter(util.F2PyTest):$/;" c +TestReturnComplex adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^class TestReturnComplex(util.F2PyTest):$/;" c +TestReturnInteger adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^class TestReturnInteger(util.F2PyTest):$/;" c +TestReturnLogical adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^class TestReturnLogical(util.F2PyTest):$/;" c +TestReturnReal adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^class TestReturnReal(util.F2PyTest):$/;" c +TestRFFTDouble adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestRFFTDouble(_TestRFFTBase):$/;" c +TestRFFTDouble adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestRFFTDouble(_TestRFFTBase):$/;" c +TestRFFTFreq adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^class TestRFFTFreq:$/;" c +TestRFFTFreq adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^class TestRFFTFreq(object):$/;" c +TestRFFTLongDouble adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestRFFTLongDouble(_TestRFFTBase):$/;" c +TestRfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestRfftn(object):$/;" c +TestRFFTSingle adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestRFFTSingle(_TestRFFTBase):$/;" c +TestRFFTSingle adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestRFFTSingle(_TestRFFTBase):$/;" c +TestRgamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gamma.py /^class TestRgamma:$/;" c +testRGBToHSVGradRandomCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testRGBToHSVGradRandomCase(self):$/;" m class:RGBToHSVOpTestBase +testRGBToHSVGradSimpleCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testRGBToHSVGradSimpleCase(self):$/;" m class:RGBToHSVOpTestBase +testRGBToHSVGradSpecialCaseRGreatest adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testRGBToHSVGradSpecialCaseRGreatest(self):$/;" m class:RGBToHSVOpTestBase +TestRiccati adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestRiccati(object):$/;" c +TestRice adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestRice(object):$/;" c +TestRidgeLines adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^class TestRidgeLines(object):$/;" c +TestRMSNormOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rms_norm_op_test.py /^class TestRMSNormOp(hu.HypothesisTestCase):$/;" c +TestRmsProp adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestRmsProp(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestRNNExecutor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^class TestRNNExecutor(test_util.TestCase):$/;" c +testRNNInput adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers_test.py /^ def testRNNInput(self):$/;" m class:DataWorkersTest +TestRoll adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestRoll:$/;" c +TestRollaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestRollaxis:$/;" c +TestRoot adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^class TestRoot(object):$/;" c +testRootFolder adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testRootFolder(self):$/;" m class:TestWorkspace +TestRootHybr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestRootHybr(object):$/;" c +TestRootLM adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^class TestRootLM(object):$/;" c +TestRootResults adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^class TestRootResults:$/;" c +TestRosen adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^class TestRosen(object):$/;" c +TestRot90 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestRot90:$/;" c +TestRound adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestRound(object):$/;" c +TestRoundingFunctions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestRoundingFunctions:$/;" c +TestRowWhere adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^class TestRowWhere(hu.HypothesisTestCase):$/;" c +TestRowWiseAdagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestRowWiseAdagrad(OptimizerTestBase, TestCase):$/;" c +TestRowWiseAdagradWithCounter adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestRowWiseAdagradWithCounter(OptimizerTestBase, TestCase):$/;" c +TestRowWiseCounter adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rowwise_counter_test.py /^class TestRowWiseCounter(hu.HypothesisTestCase):$/;" c +TestRQ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestRQ(object):$/;" c +TestRRID adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestRRID(RRTests):$/;" c +TestRRID adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^class TestRRID(RRCommonTests):$/;" c +TestRRPivot adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestRRPivot(RRTests):$/;" c +TestRRPivotDense adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^class TestRRPivotDense(RRCommonTests):$/;" c +TestRRPivotSparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^class TestRRPivotSparse(RRCommonTests):$/;" c +TestRRSVD adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^class TestRRSVD(RRTests):$/;" c +TestRRSVD adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^class TestRRSVD(RRCommonTests):$/;" c +TestRunAllOnGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestRunAllOnGPU(test_util.TestCase):$/;" c +testRunNetOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testRunNetOnce(self):$/;" m class:TestWorkspace +testRunOperatorOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testRunOperatorOnce(self):$/;" m class:TestWorkspace +testRunPlan adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testRunPlan(self):$/;" m class:TestWorkspace +testRunPlanInBackground adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testRunPlanInBackground(self):$/;" m class:TestWorkspace +TestRvDiscrete adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestRvDiscrete(object):$/;" c +tests adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^tests = [$/;" v +tests adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^tests = [$/;" v +testSamplingTrain adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSamplingTrain(self):$/;" m class:TestLayers +TestSavedModelBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^class TestSavedModelBase(test.TestCase, parameterized.TestCase):$/;" c +TestSaveLoad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestSaveLoad(RoundtripTest):$/;" c +TestSaveTxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestSaveTxt:$/;" c +TestSavezLoad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TestSavezLoad(RoundtripTest):$/;" c +TestSaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSaxpy(BaseAxpy):$/;" c +TestSaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSaxpy:$/;" c +TestScalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class TestScalar(BaseAttrs):$/;" c +TestScalarArray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class TestScalarArray(TestCase):$/;" c +testScalarB adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsmr.py /^ def testScalarB(self):$/;" m class:TestLSMR +TestScalarCompound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestScalarCompound(BaseDataset):$/;" c +TestScalarCompound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class TestScalarCompound(TestCase):$/;" c +TestScalarFloat adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class TestScalarFloat(TestCase):$/;" c +TestScalarFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestScalarFormatter:$/;" c +testScalarForVoidType adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testScalarForVoidType(self):$/;" m class:TestDB +TestScalarFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^class TestScalarFunction(TestCase):$/;" c +TestScalarIndexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestScalarIndexing:$/;" c +TestScalarPEP3118 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^class TestScalarPEP3118:$/;" c +TestScalars adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestScalars:$/;" c +testScalarShape adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testScalarShape(self):$/;" m class:TestDB +TestScalarSliceRules adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^class TestScalarSliceRules(TestCase):$/;" c +TestScalarTypeNames adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestScalarTypeNames:$/;" c +TestScaleOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/scale_op_test.py /^class TestScaleOps(serial.SerializedTestCase):$/;" c +TestScatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^class TestScatter:$/;" c +testScatterAssign adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^ def testScatterAssign($/;" m class:TestScatterOps +TestScatterOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^class TestScatterOps(serial.SerializedTestCase):$/;" c +testScatterWeightedSum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_ops_test.py /^ def testScatterWeightedSum($/;" m class:TestScatterOps +TestSchur adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestSchur(object):$/;" c +TestScope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^class TestScope(unittest.TestCase):$/;" c +TestScopes adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^class TestScopes(test_util.TestCase):$/;" c +TestScopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestScopy(BaseCopy):$/;" c +TestScopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestScopy:$/;" c +TestScoreatpercentile adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestScoreatpercentile(object):$/;" c +TestScriptModule adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestScriptModule(test_util.TestCase):$/;" c +TestScriptModuleFromString adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestScriptModuleFromString(TestScriptModule):$/;" c +TestSctypeDict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class TestSctypeDict:$/;" c +TestSctypeNA adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestSctypeNA(_VisibleDeprecationTestCase):$/;" c +TestSearchsideConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestSearchsideConverter(StringConverterTestCase):$/;" c +TestSecant adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^class TestSecant(object):$/;" c +TestSeed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestSeed:$/;" c +TestSeed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestSeed:$/;" c +TestSeed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestSeed:$/;" c +TestSegmentOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^class TestSegmentOps(hu.HypothesisTestCase):$/;" c +TestSelect adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestSelect:$/;" c +TestSelectkindConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestSelectkindConverter(StringConverterTestCase):$/;" c +testSelectRecordByContext adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSelectRecordByContext(self):$/;" m class:TestLayers +TestSelfBinningHistogramBase adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^class TestSelfBinningHistogramBase(object):$/;" c +TestSelfBinningHistogramLinear adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^class TestSelfBinningHistogramLinear(TestSelfBinningHistogramBase, hu.HypothesisTestCase):$/;" c +TestSelfBinningHistogramLinearFloat adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^class TestSelfBinningHistogramLinearFloat(TestSelfBinningHistogramBase, hu.HypothesisTestCase):$/;" c +TestSelfBinningHistogramLogarithmic adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^class TestSelfBinningHistogramLogarithmic(TestSelfBinningHistogramBase, hu.HypothesisTestCase):$/;" c +TestSelfBinningHistogramLogarithmicFloat adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^class TestSelfBinningHistogramLogarithmicFloat(TestSelfBinningHistogramBase, hu.HypothesisTestCa/;" c +TestSelu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^class TestSelu(serial.SerializedTestCase):$/;" c +testSemiRandomFeatures adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSemiRandomFeatures(self, batch_size, input_dims, output_dims, s, scale,$/;" m class:TestLayers +TestSequenceOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^class TestSequenceOps(serial.SerializedTestCase):$/;" c +testSerialization adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testSerialization(self):$/;" m class:TestScriptModule +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def testSerializer(self, node):$/;" m class:TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def testSerializer(self, element):$/;" m class:getDomBuilder.TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def testSerializer(element):$/;" f function:getDomBuilder file: +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def testSerializer(self, element):$/;" m class:getETreeBuilder.TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def testSerializer(element):$/;" f function:getETreeBuilder file: +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def testSerializer(self, element):$/;" m class:TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^def testSerializer(element):$/;" f +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def testSerializer(self, node):$/;" m class:TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def testSerializer(self, element):$/;" m class:getDomBuilder.TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def testSerializer(element):$/;" f function:getDomBuilder file: +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def testSerializer(self, element):$/;" m class:getETreeBuilder.TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def testSerializer(element):$/;" f function:getETreeBuilder file: +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def testSerializer(self, element):$/;" m class:TreeBuilder +testSerializer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^def testSerializer(element):$/;" f +TestSeterr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestSeterr:$/;" c +testSetInputRecordWithBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testSetInputRecordWithBlobs(self):$/;" m class:TestExternalInputs +testSetInputRecordWithoutBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def testSetInputRecordWithoutBlobs(self):$/;" m class:TestExternalInputs +TestSetOps adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^class TestSetOps:$/;" c +testSetRequestOnly adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSetRequestOnly(self):$/;" m class:TestLayers +TestSetState adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestSetState:$/;" c +TestSetState adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestSetState:$/;" c +TestSetState adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestSetState:$/;" c +TestSFC64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^class TestSFC64(Base):$/;" c +TestSFC64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^class TestSFC64(RNG):$/;" c +TestSgd adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestSgd(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestSgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSgemv(BaseGemv):$/;" c +TestSgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSgemv:$/;" c +TestShape adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^class TestShape:$/;" c +TestShape1Fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestShape1Fields(_DeprecationTestCase):$/;" c +TestShapeBase adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestShapeBase:$/;" c +TestShapeInference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^class TestShapeInference(test_util.TestCase):$/;" c +testShapeInferenceConvNet adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceConvNet(self):$/;" m class:TestShapeInference +testShapeInferenceDistances adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceDistances(self):$/;" m class:TestShapeInference +testShapeInferenceFlatten adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceFlatten(self):$/;" m class:TestShapeInference +testShapeInferenceIm2Col adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceIm2Col(self):$/;" m class:TestShapeInference +testShapeInferenceMatMul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceMatMul(self):$/;" m class:TestShapeInference +testShapeInferencePad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferencePad(self):$/;" m class:TestShapeInference +testShapeInferencePadZero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferencePadZero(self):$/;" m class:TestShapeInference +testShapeInferencePow adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferencePow(self):$/;" m class:TestShapeInference +testShapeInferenceReduceBackFrontX adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceReduceBackFrontX(self):$/;" m class:TestShapeInference +testShapeInferenceReshape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceReshape(self):$/;" m class:TestShapeInference +testShapeInferenceRoiPool adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceRoiPool(self):$/;" m class:TestShapeInference +testShapeInferenceSimpleFC adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceSimpleFC(self):$/;" m class:TestShapeInference +testShapeInferenceSlice adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceSlice(self):$/;" m class:TestShapeInference +testShapeInferenceSoftmaxWithLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceSoftmaxWithLoss(self):$/;" m class:TestShapeInference +testShapeInferenceTile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceTile(self):$/;" m class:TestShapeInference +testShapeInferenceTranspose adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceTranspose(self):$/;" m class:TestShapeInference +testShapeInferenceTwoClass adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceTwoClass(self):$/;" m class:TestShapeInference +testShapeInferenceUnique adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeInferenceUnique(self):$/;" m class:TestShapeInference +testShapeIsCorrectAfterOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testShapeIsCorrectAfterOp(self):$/;" m class:CropAndResizeOpTestBase +testShapeIsCorrectAfterOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testShapeIsCorrectAfterOp(self):$/;" m class:ResizeBicubicOpTestBase +testShapeIsCorrectAfterOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testShapeIsCorrectAfterOp(self):$/;" m class:ResizeNearestNeighborOpTestBase +testShapeIsCorrectAfterOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testShapeIsCorrectAfterOp(self):$/;" m class:RGBToHSVOpTestBase +testShapeOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testShapeOp(self):$/;" m class:TestShapeInference +testShapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testShapes(self, batch_size, channel_count):$/;" m class:ResizeBilinearOpTestBase +TestShapiro adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestShapiro(object):$/;" c +TestSharedExtension adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^class TestSharedExtension:$/;" c +TestSharedMemory adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^class TestSharedMemory:$/;" c +TestShgoArguments adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class TestShgoArguments(object):$/;" c +TestShgoFailures adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class TestShgoFailures(object):$/;" c +TestShgoSimplicialTestFunctions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class TestShgoSimplicialTestFunctions(object):$/;" c +TestShgoSobolTestFunctions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^class TestShgoSobolTestFunctions(object):$/;" c +TestShift adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestShift(object):$/;" c +TestSigmaClip adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestSigmaClip(object):$/;" c +testSigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testSigmoid(self):$/;" m class:TestSigmoid +TestSigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestSigmoid(test_util.TestCase):$/;" c +TestSign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestSign:$/;" c +TestSignM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestSignM(object):$/;" c +testSimple adpepsenv/lib/python3.8/site-packages/caffe2/python/db_test.py /^ def testSimple(self):$/;" m class:TestDB +testSimple adpepsenv/lib/python3.8/site-packages/caffe2/python/test/python_protobuf_test.py /^ def testSimple(self):$/;" m class:TestCrossProtoCalls +TestSimpleSlicing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestSimpleSlicing(TestCase):$/;" c +testSin adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testSin(self):$/;" m class:TestSin +TestSin adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestSin(test_util.TestCase):$/;" c +TestSinc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestSinc:$/;" c +TestSingle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^class TestSingle:$/;" c +TestSingleElement adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestSingleElement(BaseSlicing):$/;" c +TestSingleEltArrayInput adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestSingleEltArrayInput:$/;" c +TestSingleEltArrayInput adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestSingleEltArrayInput:$/;" c +TestSingleEltArrayInput adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestSingleEltArrayInput:$/;" c +TestSingleFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestSingleFFT(_TestFFTBase):$/;" c +TestSingleFFT adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestSingleFFT(_TestFFTBase):$/;" c +TestSingleIFFT adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class TestSingleIFFT(_TestIFFTBase):$/;" c +TestSingleIFFT adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class TestSingleIFFT(_TestIFFTBase):$/;" c +TestSingularLeadingSubmatrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^class TestSingularLeadingSubmatrix(object):$/;" c +TestSinusoidPositionEncodingOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^class TestSinusoidPositionEncodingOp(serial.SerializedTestCase):$/;" c +TestSizeOf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class TestSizeOf:$/;" c +TestSizeOf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ class TestSizeOf:$/;" c +TestSizeSumExample adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^class TestSizeSumExample(util.F2PyTest):$/;" c +TestSkellam adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestSkellam(object):$/;" c +TestSkewNorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestSkewNorm(object):$/;" c +TestSkip adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^class TestSkip(NamedTuple):$/;" c +TestSLSQP adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^class TestSLSQP(object):$/;" c +testSmall adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testSmall(self):$/;" m class:TestKSTwoSamples +TestSmirnov adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestSmirnov(object):$/;" c +TestSmirnovi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestSmirnovi(object):$/;" c +TestSmirnovp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^class TestSmirnovp(object):$/;" c +TestSmokeTests adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^class TestSmokeTests(object):$/;" c +TestSmoothBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestSmoothBivariateSpline(object):$/;" c +TestSmoothSphereBivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestSmoothSphereBivariateSpline(object):$/;" c +TestSoftLinks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestSoftLinks(BaseGroup):$/;" c +TestSoftmaxOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^class TestSoftmaxOps(serial.SerializedTestCase):$/;" c +TestSoftplus adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softplus_op_test.py /^class TestSoftplus(hu.HypothesisTestCase):$/;" c +TestSolout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestSolout(object):$/;" c +TestSolve adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestSolve(SolveCases):$/;" c +TestSolve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestSolve(object):$/;" c +TestSolveBanded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestSolveBanded(object):$/;" c +TestSolveCirculant adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestSolveCirculant(object):$/;" c +TestSolveHBanded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestSolveHBanded(object):$/;" c +TestSolveLyapunov adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^class TestSolveLyapunov(object):$/;" c +TestSolveMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestSolveMatrix(SolveCases, MatrixTestCase):$/;" c +TestSolveSylvester adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^class TestSolveSylvester(object):$/;" c +TestSolveTriangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestSolveTriangular(object):$/;" c +TestSomeDistanceFunctions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestSomeDistanceFunctions(object):$/;" c +TestSortComplex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestSortComplex:$/;" c +TestSortkindConverter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^class TestSortkindConverter(StringConverterTestCase):$/;" c +TestSos2Tf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestSos2Tf(object):$/;" c +TestSos2Zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestSos2Zpk(object):$/;" c +TestSOSFilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestSOSFilt(object):$/;" c +TestSOSFiltFilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestSOSFiltFilt(TestFiltFilt):$/;" c +TestSOSFreqz adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestSOSFreqz(object):$/;" c +testSparse adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testSparse(self):$/;" m class:TestGFtrl +testSparse adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testSparse(self):$/;" m class:TestLars +testSparse adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testSparse(self):$/;" m class:TestRmsProp +testSparse adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def testSparse(self):$/;" m class:TestYellowFin +testSparse adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def testSparse(self):$/;" m class:OptimizerTestBase +testSparseAccumulationWithIndicesAndValues adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testSparseAccumulationWithIndicesAndValues(self):$/;" m class:TestSparseGradientsAccumulation +testSparseAccumulationWithValues adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testSparseAccumulationWithValues(self):$/;" m class:TestSparseGradientsAccumulation +testSparseCombiner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testSparseCombiner(self):$/;" m class:BaseLinearClassifierPredictTest +testSparseCombiner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testSparseCombiner(self):$/;" m class:BaseLinearRegressorPredictTest +testSparseCombiner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testSparseCombiner(self):$/;" m class:BaseLinearClassifierPredictTest +testSparseCombiner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testSparseCombiner(self):$/;" m class:BaseLinearRegressorPredictTest +testSparseDropoutWithReplacement adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseDropoutWithReplacement(self):$/;" m class:TestLayers +testSparseFeatureHashIdList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseFeatureHashIdList(self, use_hashing, modulo, use_divide_mod, divisor):$/;" m class:TestLayers +testSparseFeatureHashIdScoreList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseFeatureHashIdScoreList(self, use_hashing, modulo):$/;" m class:TestLayers +TestSparseFunctions adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_spfuncs.py /^class TestSparseFunctions(object):$/;" c +TestSparseGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_gradient_checker_test.py /^class TestSparseGradient(hu.HypothesisTestCase):$/;" c +TestSparseGradientsAccumulation adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^class TestSparseGradientsAccumulation(test_util.TestCase):$/;" c +testSparseGradientToDense adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testSparseGradientToDense(self):$/;" m class:TestSparseGradientsAccumulation +testSparseLookupIncorrectPositionWeightedOnIdList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupIncorrectPositionWeightedOnIdList(self):$/;" m class:TestLayers +testSparseLookupIncorrectRecencyWeightedOnIdList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupIncorrectRecencyWeightedOnIdList(self):$/;" m class:TestLayers +testSparseLookupPositionWeightedOnIdList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupPositionWeightedOnIdList(self):$/;" m class:TestLayers +testSparseLookupPositionWeightedOnIdScoreList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupPositionWeightedOnIdScoreList(self):$/;" m class:TestLayers +testSparseLookupRecencyWeightedOnIdScoreList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupRecencyWeightedOnIdScoreList(self):$/;" m class:TestLayers +testSparseLookupSumPooling adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupSumPooling(self):$/;" m class:TestLayers +testSparseLookupSumPoolingWithEviction adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupSumPoolingWithEviction(self):$/;" m class:TestLayers +testSparseLookupWithAttentionWeightOnIdScoreList adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testSparseLookupWithAttentionWeightOnIdScoreList(self):$/;" m class:TestLayers +TestSparseLpNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^class TestSparseLpNorm(hu.HypothesisTestCase):$/;" c +TestSparseMatrixReshapeOp adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^class TestSparseMatrixReshapeOp(TestCase):$/;" c +TestSparseNormalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^class TestSparseNormalize(hu.HypothesisTestCase):$/;" c +TestSparseRAdam adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestSparseRAdam(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestSparseToDense adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_test.py /^class TestSparseToDense(TestCase):$/;" c +TestSparseToDenseMask adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^class TestSparseToDenseMask(TestCase):$/;" c +TestSparseUtils adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^class TestSparseUtils(object):$/;" c +TestSpatialBN adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^class TestSpatialBN(hu.HypothesisTestCase):$/;" c +TestSpatialBN adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^class TestSpatialBN(serial.SerializedTestCase):$/;" c +testSpatialBNTestingSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_speed_test.py /^ def testSpatialBNTestingSpeed(self):$/;" m class:TestMKLBasic +testSpatialBNTrainingSpeed adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_speed_test.py /^ def testSpatialBNTrainingSpeed(self):$/;" m class:TestMKLBasic +TestSpecialComplexAVX adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^class TestSpecialComplexAVX(object):$/;" c +TestSpecialFloats adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestSpecialFloats:$/;" c +TestSpecializedSegmentOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^class TestSpecializedSegmentOps(hu.HypothesisTestCase):$/;" c +TestSpecialMethods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestSpecialMethods:$/;" c +TestSpecialOrthoGroup adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestSpecialOrthoGroup(object):$/;" c +TestSpectral adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestSpectral:$/;" c +TestSpectrogram adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestSpectrogram(object):$/;" c +TestSpeedFloatToFusedRandRowwiseQuantized adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_speed_test.py /^class TestSpeedFloatToFusedRandRowwiseQuantized(hu.HypothesisTestCase):$/;" c +TestSphericalBoundariesIntersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^class TestSphericalBoundariesIntersections(TestCase):$/;" c +TestSphericalIn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalIn:$/;" c +TestSphericalInDerivatives adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalInDerivatives(SphericalDerivativesTestCase):$/;" c +TestSphericalJn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalJn:$/;" c +TestSphericalJnDerivatives adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalJnDerivatives(SphericalDerivativesTestCase):$/;" c +TestSphericalJnYnCrossProduct adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalJnYnCrossProduct:$/;" c +TestSphericalKn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalKn:$/;" c +TestSphericalKnDerivatives adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalKnDerivatives(SphericalDerivativesTestCase):$/;" c +TestSphericalOld adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalOld:$/;" c +TestSphericalVoronoi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^class TestSphericalVoronoi(object):$/;" c +TestSphericalYn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalYn:$/;" c +TestSphericalYnDerivatives adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^class TestSphericalYnDerivatives(SphericalDerivativesTestCase):$/;" c +TestSplder adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^class TestSplder(object):$/;" c +TestSplev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^class TestSplev(object):$/;" c +TestSplit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestSplit:$/;" c +TestSplu adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^class TestSplu(object):$/;" c +TestSpsolveTriangular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^class TestSpsolveTriangular(object):$/;" c +TestSqrtM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^class TestSqrtM(object):$/;" c +TestSquareForm adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^class TestSquareForm(object):$/;" c +TestSquareRootDivide adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^class TestSquareRootDivide(serial.SerializedTestCase):$/;" c +testSqueeze adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/shape_inference_test.py /^ def testSqueeze(self):$/;" m class:TestShapeInference +TestSqueeze adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestSqueeze:$/;" c +TestSS2TF adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestSS2TF:$/;" c +TestSscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSscal(BaseScal):$/;" c +TestSscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSscal:$/;" c +TestSswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSswap(BaseSwap):$/;" c +TestSswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ class TestSswap:$/;" c +TestStack adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^class TestStack:$/;" c +TestStackArrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^class TestStackArrays:$/;" c +TestStackedNeighborhoodIter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestStackedNeighborhoodIter:$/;" c +TestStateSpace adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestStateSpace(object):$/;" c +TestStateSpaceDisc adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class TestStateSpaceDisc(object):$/;" c +TestStatistic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestStatistic:$/;" c +TestStats adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestStats:$/;" c +TestStdVar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestStdVar:$/;" c +TestStdVarComplex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestStdVarComplex:$/;" c +TestStep adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestStep(_TestStepFuncs):$/;" c +TestStep2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestStep2(_TestStepFuncs):$/;" c +TestSTFT adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestSTFT(object):$/;" c +testStopGradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testStopGradient(self):$/;" m class:TestGradientCalculation +testStopGradientInplace adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testStopGradientInplace(self):$/;" m class:TestGradientCalculation +testStopGradientOrphan adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testStopGradientOrphan(self):$/;" m class:TestGradientCalculation +testStopGradientWithMultiUseOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testStopGradientWithMultiUseOperators(self):$/;" m class:TestGradientCalculation +TestStorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^class TestStorm(hu.HypothesisTestCase):$/;" c +TestStorm adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestStorm(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestStr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^class TestStr:$/;" c +TestStrCategoryConverter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestStrCategoryConverter:$/;" c +TestStrCategoryFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestStrCategoryFormatter:$/;" c +TestStrCategoryLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestStrCategoryLocator:$/;" c +TestStrictBounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^class TestStrictBounds(TestCase):$/;" c +TestStride adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestStride:$/;" c +TestString adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestString:$/;" c +TestString adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_string.py /^class TestString(util.F2PyTest):$/;" c +TestStringCompare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestStringCompare:$/;" c +TestStringConverter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^class TestStringConverter:$/;" c +TestStringEqual adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestStringEqual:$/;" c +TestStringFunction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestStringFunction:$/;" c +TestStringMap adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestStringMap = _reflection.GeneratedProtocolMessageType('TestStringMap', (_message.Message,), {$/;" v +TestStringMap adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestStringMap = _reflection.GeneratedProtocolMessageType('TestStringMap', (_message.Message,), {$/;" v +TestStringOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^class TestStringOps(serial.SerializedTestCase):$/;" c +TestStringOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TestStringOutput = tf_export("raw_ops.TestStringOutput")(_ops.to_raw_op(test_string_output))$/;" v +TestStrings adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestStrings(BaseDataset):$/;" c +TestStrings adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestStrings(TestCase):$/;" c +TestStringSerializer adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^TestStringSerializer = _reflection.GeneratedProtocolMessageType('TestStringSerializer', (_messag/;" v +TestStrMethodFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestStrMethodFormatter:$/;" c +TestStruct adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestStruct = _reflection.GeneratedProtocolMessageType('TestStruct', (_message.Message,), {$/;" v +testStructAddition adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructAddition(self):$/;" m class:TestDB +testStructGet adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructGet(self):$/;" m class:TestDB +testStructIndexing adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructIndexing(self):$/;" m class:TestDB +testStructNestedAddition adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructNestedAddition(self):$/;" m class:TestDB +testStructNestedSubstraction adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructNestedSubstraction(self):$/;" m class:TestDB +testStructSubclassClone adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructSubclassClone(self):$/;" m class:TestDB +testStructSubstraction adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testStructSubstraction(self):$/;" m class:TestDB +TestStructured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestStructured:$/;" c +TestStructuredDtypeSparseFields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestStructuredDtypeSparseFields:$/;" c +TestStructuredObjectRefcounting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestStructuredObjectRefcounting:$/;" c +TestStructures adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestStructures:$/;" c +TestStruve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestStruve(object):$/;" c +TestStudentTest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestStudentTest(object):$/;" c +TestSubarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^class TestSubarray:$/;" c +TestSubclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class TestSubclass:$/;" c +TestSubclasses adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^class TestSubclasses:$/;" c +TestSubclassing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^class TestSubclassing:$/;" c +TestSubclassing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^class TestSubclassing:$/;" c +TestSubclassingExplicitShapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestSubclassingExplicitShapes(object):$/;" c +TestSubclassingNoShapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestSubclassingNoShapes(object):$/;" c +testSubOpAtLeaf adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testSubOpAtLeaf(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +testSubOpInMiddle adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testSubOpInMiddle(self):$/;" m class:TestGradientsAccumulationWithPassThroughGradients +TestSubplotMosaic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^class TestSubplotMosaic:$/;" c +TestSubscripting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestSubscripting:$/;" c +TestSubtract adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestSubtract:$/;" c +testSuccessiveDebuggingRunsIncreasesCounters adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testSuccessiveDebuggingRunsIncreasesCounters(self):$/;" m class:SessionDebugTestBase +testSum adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testSum(self):$/;" m class:TestSum +TestSum adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestSum(test_util.TestCase):$/;" c +TestSVD adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestSVD(SVDCases, SVDBaseTests):$/;" c +TestSVDHermitian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestSVDHermitian(SVDHermitianCases, SVDBaseTests):$/;" c +TestSVDMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class TestSVDMatrix(SVDCases, MatrixTestCase):$/;" c +TestSVDVals adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestSVDVals(object):$/;" c +TestSVD_GESDD adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestSVD_GESDD(object):$/;" c +TestSVD_GESVD adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^class TestSVD_GESVD(TestSVD_GESDD):$/;" c +TestSweepPoly adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^class TestSweepPoly(object):$/;" c +testSwitch adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testSwitch(self):$/;" m class:TestControl +testSwitchNot adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testSwitchNot(self):$/;" m class:TestControl +TestSwmrNotAvailable adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^class TestSwmrNotAvailable(TestCase):$/;" c +TestSyHe adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestSyHe(object):$/;" c +TestSymmetric adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestSymmetric:$/;" c +TestSymmetricalLogLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class TestSymmetricalLogLocator:$/;" c +TestSystematic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^class TestSystematic(object):$/;" c +TestSystemInfoReading adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^class TestSystemInfoReading:$/;" c +TestSytrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestSytrd(object):$/;" c +testTags adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^ def testTags(self):$/;" m class:TestScope +TestTags adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^class TestTags:$/;" c +TestTake adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^class TestTake:$/;" c +TestTake adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestTake:$/;" c +TestTakeAlongAxis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestTakeAlongAxis:$/;" c +TestTandg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestTandg(object):$/;" c +testTanh adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testTanh(self):$/;" m class:TestTanh +TestTanh adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestTanh(test_util.TestCase):$/;" c +TestTask adpepsenv/lib/python3.8/site-packages/caffe2/python/task_test.py /^class TestTask(unittest.TestCase):$/;" c +TestTaylor adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^class TestTaylor(object):$/;" c +TestTaylor adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestTaylor(object):$/;" c +TestTbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^class TestTbtrs(object):$/;" c +TestTemporaryElide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestTemporaryElide:$/;" c +testTensorAccess adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testTensorAccess(self):$/;" m class:TestWorkspace +TestTensordot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestTensordot:$/;" c +TestTensorinv adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class TestTensorinv:$/;" c +TestTensorPackOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^class TestTensorPackOps(serial.SerializedTestCase):$/;" c +TestTestDeprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestTestDeprecated:$/;" c +TestTextFileReader adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/text_file_reader_test.py /^class TestTextFileReader(TestCase):$/;" c +TestTf2Sos adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestTf2Sos(object):$/;" c +TestTf2zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestTf2zpk(object):$/;" c +testTHCAllocator adpepsenv/lib/python3.8/site-packages/caffe2/python/test/gpu_context_test.py /^ def testTHCAllocator(self):$/;" m class:TestGPUInit +TestThread adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^class TestThread:$/;" c +TestThread adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^class TestThread:$/;" c +TestThread adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^class TestThread:$/;" c +TestThreading adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^class TestThreading(object):$/;" c +TestThresholdedRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^class TestThresholdedRelu(serial.SerializedTestCase):$/;" c +TestTieCorrect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^class TestTieCorrect(object):$/;" c +TestTilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^class TestTilbert(object):$/;" c +TestTile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^class TestTile(serial.SerializedTestCase):$/;" c +TestTile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestTile:$/;" c +TestTimestamp adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestTimestamp = _reflection.GeneratedProtocolMessageType('TestTimestamp', (_message.Message,), {$/;" v +TestTList tests/test_utils.py /^class TestTList(unittest.TestCase):$/;" c +TestTnc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^class TestTnc(object):$/;" c +TestToeplitz adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestToeplitz(object):$/;" c +TestTopK adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^class TestTopK(serial.SerializedTestCase):$/;" c +testTorchInterop adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testTorchInterop(self):$/;" m class:TestWorkspace +testTorchInterop adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testTorchInterop(self):$/;" m class:TestWorkspaceGPU +TestToString adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestToString(_DeprecationTestCase):$/;" c +testToyRegression adpepsenv/lib/python3.8/site-packages/caffe2/python/toy_regression_test.py /^ def testToyRegression(self):$/;" m class:TestToyRegression +TestToyRegression adpepsenv/lib/python3.8/site-packages/caffe2/python/toy_regression_test.py /^class TestToyRegression(test_util.TestCase):$/;" c +TestTrackOrder adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestTrackOrder(BaseAttrs):$/;" c +TestTrackOrder adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^class TestTrackOrder(TestCase):$/;" c +TestTrackOrder adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestTrackOrder(BaseGroup):$/;" c +TestTrackTimes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestTrackTimes(BaseDataset):$/;" c +testTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testTrainWithOneDimLabel(self):$/;" m class:BaseLinearRegressorTrainingTest +testTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testTrainWithOneDimLabel(self):$/;" m class:BaseLinearRegressorTrainingTest +testTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testTrainWithOneDimWeight(self):$/;" m class:BaseLinearRegressorTrainingTest +testTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testTrainWithOneDimWeight(self):$/;" m class:BaseLinearRegressorTrainingTest +TestTransferFunction adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class TestTransferFunction(object):$/;" c +TestTransferFunction adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestTransferFunction(object):$/;" c +TestTransferFunctionZConversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class TestTransferFunctionZConversion(object):$/;" c +TestTransform adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestTransform(htu.HypothesisTestCase):$/;" c +TestTransformations adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^class TestTransformations(tu.TestCase):$/;" c +TestTransformPlotInterface adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^class TestTransformPlotInterface:$/;" c +TestTransposeOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^class TestTransposeOp(serial.SerializedTestCase):$/;" c +TestTrapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^class TestTrapezoid():$/;" c +TestTrapezoid adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestTrapezoid(object):$/;" c +TestTrapz adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestTrapz:$/;" c +TestTRF adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^class TestTRF(BaseMixin, BoundsMixin, SparseMixin, LossFunctionMixin):$/;" c +TestTRF adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^class TestTRF(BaseMixin, SparseMixin):$/;" c +TestTri adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestTri:$/;" c +TestTri adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestTri(object):$/;" c +TestTriang adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestTriang(object):$/;" c +TestTriang adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestTriang(object):$/;" c +TestTrigonometric adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^class TestTrigonometric(object):$/;" c +TestTrigonometricOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^class TestTrigonometricOp(serial.SerializedTestCase):$/;" c +TestTril adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestTril(object):$/;" c +TestTrilIndicesFrom adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestTrilIndicesFrom:$/;" c +TestTrim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestTrim(object):$/;" c +TestTrimmedStats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestTrimmedStats(object):$/;" c +TestTrimming adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestTrimming(object):$/;" c +TestTrimZeros adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestTrimZeros:$/;" c +TestTriu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^class TestTriu(object):$/;" c +TestTriuIndices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestTriuIndices:$/;" c +TestTriuIndicesFrom adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestTriuIndicesFrom:$/;" c +TestTRMM adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^class TestTRMM(object):$/;" c +testTruncatedFile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def testTruncatedFile(self):$/;" m class:TestLoadSave +TestTruncnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestTruncnorm(object):$/;" c +TestTrustRegion adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^class TestTrustRegion(object):$/;" c +TestTrustRegionConstr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^class TestTrustRegionConstr(TestCase):$/;" c +TestTrustRegionSolvers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^class TestTrustRegionSolvers(object):$/;" c +TestTruthTestingEmptyArrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class TestTruthTestingEmptyArrays(_DeprecationTestCase):$/;" c +TestTTContraction adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_contraction_op_test.py /^class TestTTContraction(hu.HypothesisTestCase):$/;" c +TestTtest_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestTtest_1samp():$/;" c +TestTtest_ind adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestTtest_ind():$/;" c +TestTtest_rel adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestTtest_rel():$/;" c +TestTTPad adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_pad_op_test.py /^class TestTTPad(hu.HypothesisTestCase):$/;" c +TestTTSVD adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core_test.py /^class TestTTSVD(hu.HypothesisTestCase):$/;" c +TestTukey adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^class TestTukey(object):$/;" c +testTuple adpepsenv/lib/python3.8/site-packages/caffe2/python/schema_test.py /^ def testTuple(self):$/;" m class:TestDB +testTwoFeatureColumns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testTwoFeatureColumns(self):$/;" m class:BaseLinearRegressorPredictTest +testTwoFeatureColumns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testTwoFeatureColumns(self):$/;" m class:BaseLinearRegressorPredictTest +testTwoFeatureColumnsMix adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def testTwoFeatureColumnsMix(self):$/;" m class:BaseLinearRegressorPredictTest +testTwoFeatureColumnsMix adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def testTwoFeatureColumnsMix(self):$/;" m class:BaseLinearRegressorPredictTest +testTwoVsFour adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testTwoVsFour(self):$/;" m class:TestKSTwoSamples +testTwoVsThree adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def testTwoVsThree(self):$/;" m class:TestKSTwoSamples +TestTypeFloatID adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^class TestTypeFloatID(TestCase):$/;" c +TestTypeGeneration adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^class TestTypeGeneration(TestCase):$/;" c +TestTypes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class TestTypes(BaseAttrs):$/;" c +TestTypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^class TestTypes:$/;" c +TestTypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^class TestTypes:$/;" c +testTypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def testTypes(self):$/;" m class:ResizeBilinearOpTestBase +TestUFunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^class TestUFunc:$/;" c +TestUfunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^class TestUfunc:$/;" c +TestUfuncGenericLoops adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^class TestUfuncGenericLoops:$/;" c +TestUfuncKwargs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^class TestUfuncKwargs:$/;" c +TestUfunclike adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^class TestUfunclike:$/;" c +TestUFuncNoLoopError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^class TestUFuncNoLoopError:$/;" c +TestUfuncs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^class TestUfuncs:$/;" c +TestUfuncs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^class TestUfuncs:$/;" c +TestULP adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestULP:$/;" c +TestUnicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestUnicode(BaseAttrs):$/;" c +TestUnicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestUnicode(TestCase):$/;" c +TestUnicodeArrayNonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestUnicodeArrayNonzero:$/;" c +TestUnicodeEncoding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestUnicodeEncoding:$/;" c +TestUniform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestUniform(object):$/;" c +testUniformSampling adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testUniformSampling(self):$/;" m class:TestLayers +testUniformSamplingWithIncorrectSampleSize adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def testUniformSamplingWithIncorrectSampleSize(self):$/;" m class:TestLayers +TestUnique adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^class TestUnique:$/;" c +TestUnique adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestUnique:$/;" c +TestUniqueOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^class TestUniqueOps(serial.SerializedTestCase):$/;" c +TestUniqueRoots adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestUniqueRoots(object):$/;" c +TestUniqueUniformFillOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_uniform_fill_op_test.py /^class TestUniqueUniformFillOp(hu.HypothesisTestCase):$/;" c +TestUnitaryGroup adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestUnitaryGroup(object):$/;" c +TestUnitData adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^class TestUnitData:$/;" c +TestUnitImpulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^class TestUnitImpulse(object):$/;" c +TestUnivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^class TestUnivariateSpline(object):$/;" c +TestUnmaskOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_unmask_test.py /^class TestUnmaskOp(serial.SerializedTestCase):$/;" c +testUntilLoopWithNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testUntilLoopWithNet(self):$/;" m class:TestControl +testUntilLoopWithStep adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testUntilLoopWithStep(self):$/;" m class:TestControl +TestUnwrap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestUnwrap:$/;" c +TestUpfirdn adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^class TestUpfirdn(object):$/;" c +TestUpSample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^class TestUpSample(serial.SerializedTestCase):$/;" c +testUseInput adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testUseInput(self):$/;" m class:TestGradientCalculation +testUseInputButInputHasBeenChanged adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testUseInputButInputHasBeenChanged(self):$/;" m class:TestGradientCalculation +testUseOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testUseOutput(self):$/;" m class:TestGradientCalculation +testUseOutputButOutputHasBeenChanged adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testUseOutputButOutputHasBeenChanged(self):$/;" m class:TestGradientCalculation +testUseOutputInPlace adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testUseOutputInPlace(self):$/;" m class:TestGradientCalculation +TestUserblock adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^class TestUserblock(TestCase):$/;" c +TestUtilities adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class TestUtilities(object):$/;" c +TestUtilityOps adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^class TestUtilityOps(serial.SerializedTestCase):$/;" c +TestUtils adpepsenv/lib/python3.8/site-packages/caffe2/python/utils_test.py /^class TestUtils(test_util.TestCase):$/;" c +TestValue adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestValue = _reflection.GeneratedProtocolMessageType('TestValue', (_message.Message,), {$/;" v +TestVander adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^class TestVander:$/;" c +TestVander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^class TestVander:$/;" c +TestVariability adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^class TestVariability(object):$/;" c +TestVariability adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestVariability(object):$/;" c +TestVdot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestVdot:$/;" c +TestVecString adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestVecString:$/;" c +TestVectorialFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^class TestVectorialFunction(TestCase):$/;" c +TestVectorize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class TestVectorize:$/;" c +TestVectorNorms adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^class TestVectorNorms(object):$/;" c +TestVectorstrength adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestVectorstrength(object):$/;" c +TestVerifyMatchingSignatures adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^class TestVerifyMatchingSignatures:$/;" c +testVersionMismatch adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def testVersionMismatch(self):$/;" m class:TestGradientCalculation +TestVertexNeighborVertices adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class TestVertexNeighborVertices(object):$/;" c +TestVeryLargeArray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^class TestVeryLargeArray(TestCase):$/;" c +TestView adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestView:$/;" c +TestView adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^class TestView:$/;" c +TestView adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_multiarray.py /^class TestView:$/;" c +TestVirtualSource adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^class TestVirtualSource(ut.TestCase):$/;" c +TestVisit adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^class TestVisit(TestCase):$/;" c +TestVlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^class TestVlen(BaseAttrs):$/;" c +TestVlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestVlen(BaseDataset):$/;" c +TestVlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^class TestVlen(TestCase):$/;" c +TestVODECheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestVODECheckParameterUse(ODECheckParameterUse):$/;" c +TestVoigtProfile adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^class TestVoigtProfile(object):$/;" c +TestVoronoi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class TestVoronoi:$/;" c +TestVoxels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^class TestVoxels:$/;" c +TestVq adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^class TestVq(object):$/;" c +TestVsNumpyNorm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^class TestVsNumpyNorm(object):$/;" c +TestVsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^class TestVsplit:$/;" c +TestVstack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^class TestVstack:$/;" c +TestWarnings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestWarnings:$/;" c +TestWarns adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class TestWarns:$/;" c +TestWassersteinDistance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^class TestWassersteinDistance(object):$/;" c +testWatchingOnlyOneOfTwoOutputSlotsDoesNotLeadToCausalityFailure adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testWatchingOnlyOneOfTwoOutputSlotsDoesNotLeadToCausalityFailure(self):$/;" m class:SessionDebugTestBase +testWatchingVariableUpdateOpsSeesUpdatedValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def testWatchingVariableUpdateOpsSeesUpdatedValues(self):$/;" m class:SessionDebugTestBase +TestWatershedIft adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^class TestWatershedIft:$/;" c +TestWavelets adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^class TestWavelets(object):$/;" c +TestWeibull adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestWeibull(object):$/;" c +TestWeightDecay adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestWeightDecay(TestCase):$/;" c +TestWeightedMultiSample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_multi_sample_test.py /^class TestWeightedMultiSample(hu.HypothesisTestCase):$/;" c +TestWeightedSample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sample_test.py /^class TestWeightedSample(hu.HypothesisTestCase):$/;" c +TestWeightedSumOp adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^class TestWeightedSumOp(hu.HypothesisTestCase):$/;" c +TestWeightedSumOp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^class TestWeightedSumOp(serial.SerializedTestCase):$/;" c +TestWeightScale adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weight_scale_test.py /^class TestWeightScale(hu.HypothesisTestCase):$/;" c +TestWelch adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^class TestWelch(object):$/;" c +TestWfuncPointers adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^class TestWfuncPointers(object):$/;" c +TestWhere adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^class TestWhere(serial.SerializedTestCase):$/;" c +TestWhere adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestWhere:$/;" c +testWhile adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def testWhile(self):$/;" m class:TestWhile +TestWhile adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^class TestWhile(test_util.TestCase):$/;" c +testWhileLoopWithNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testWhileLoopWithNet(self):$/;" m class:TestControl +testWhileLoopWithStep adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def testWhileLoopWithStep(self):$/;" m class:TestControl +TestWhiten adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^class TestWhiten(object):$/;" c +TestWhitespace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^class TestWhitespace:$/;" c +TestWiener adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class TestWiener(object):$/;" c +TestWilcoxon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestWilcoxon(object):$/;" c +TestWindow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^class TestWindow:$/;" c +TestWishart adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^class TestWishart(object):$/;" c +testWithArgs adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^def testWithArgs():$/;" f +TestWngrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^class TestWngrad(serial.SerializedTestCase):$/;" c +TestWngrad adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestWngrad(OptimizerTestBase, LRModificationTestBase, TestCase):$/;" c +TestWorkspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestWorkspace(unittest.TestCase):$/;" c +TestWorkspaceGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestWorkspaceGPU(test_util.TestCase):$/;" c +testWorkspaceHasBlobWithNonexistingName adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def testWorkspaceHasBlobWithNonexistingName(self):$/;" m class:TestWorkspace +TestWorkspaceIDEEP adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^class TestWorkspaceIDEEP(test_util.TestCase):$/;" c +TestWrap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^class TestWrap:$/;" c +TestWrapper adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^TestWrapper = _reflection.GeneratedProtocolMessageType('TestWrapper', (_message.Message,), {$/;" v +TestWritebackIfCopy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestWritebackIfCopy:$/;" c +TestWriteDirectChunk adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^class TestWriteDirectChunk(TestCase):$/;" c +TestWriteException adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^class TestWriteException(BaseAttrs):$/;" c +TestYellowFin adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^class TestYellowFin(OptimizerTestBase, TestCase):$/;" c +TestYeojohnson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestYeojohnson(object):$/;" c +TestYeojohnsonNormmax adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestYeojohnsonNormmax(object):$/;" c +TestYeojohnson_llf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^class TestYeojohnson_llf(object):$/;" c +TestZaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestZaxpy(BaseAxpy):$/;" c +TestZcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestZcopy(BaseCopy):$/;" c +TestZeroLengthSlicing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^class TestZeroLengthSlicing(BaseSlicing):$/;" c +TestZeroRank adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestZeroRank:$/;" c +TestZeroShape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^class TestZeroShape(BaseDataset):$/;" c +TestZeroSizeFlexible adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^class TestZeroSizeFlexible:$/;" c +TestZerosPolesGain adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class TestZerosPolesGain(object):$/;" c +TestZerosPolesGain adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class TestZerosPolesGain(object):$/;" c +TestZgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestZgemv(BaseGemv):$/;" c +TestZipf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class TestZipf(object):$/;" c +TestZlibInputStream adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^class TestZlibInputStream(object):$/;" c +TestZpk2Sos adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestZpk2Sos(object):$/;" c +TestZpk2Tf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^class TestZpk2Tf(object):$/;" c +TestZscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestZscal(BaseScal):$/;" c +TestZswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^class TestZswap(BaseSwap):$/;" c +TestZVODECheckParameterUse adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^class TestZVODECheckParameterUse(ODECheckParameterUse):$/;" c +test_0 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_0(self):$/;" m class:ModuleTester +test_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_01(self):$/;" m class:Test_bode +test_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_01(self):$/;" m class:Test_lsim2 +test_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_01(self):$/;" m class:_TestImpulseFuncs +test_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_01(self):$/;" m class:_TestStepFuncs +test_01_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_01_complex(self):$/;" m class:TestSolveHBanded +test_01_float32 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_01_float32(self):$/;" m class:TestSolveHBanded +test_01_lower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_01_lower(self):$/;" m class:TestSolveHBanded +test_01_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_01_upper(self):$/;" m class:TestSolveHBanded +test_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_02(self):$/;" m class:Test_bode +test_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_02(self):$/;" m class:Test_lsim2 +test_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_02(self):$/;" m class:_TestImpulseFuncs +test_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_02(self):$/;" m class:_TestStepFuncs +test_02_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_02_complex(self):$/;" m class:TestSolveHBanded +test_02_float32 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_02_float32(self):$/;" m class:TestSolveHBanded +test_02_lower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_02_lower(self):$/;" m class:TestSolveHBanded +test_02_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_02_upper(self):$/;" m class:TestSolveHBanded +test_03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_03(self):$/;" m class:Test_bode +test_03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_03(self):$/;" m class:Test_lsim2 +test_03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_03(self):$/;" m class:_TestImpulseFuncs +test_03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_03(self):$/;" m class:_TestStepFuncs +test_03_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_03_upper(self):$/;" m class:TestSolveHBanded +test_04 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_04(self):$/;" m class:Test_bode +test_04 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_04(self):$/;" m class:Test_lsim2 +test_04 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_04(self):$/;" m class:_TestImpulseFuncs +test_04 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_04(self):$/;" m class:_TestStepFuncs +test_05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_05(self):$/;" m class:TestStep2 +test_05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_05(self):$/;" m class:Test_bode +test_05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_05(self):$/;" m class:Test_lsim2 +test_05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_05(self):$/;" m class:_TestImpulseFuncs +test_05 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_05(self):$/;" m class:_TestStepFuncs +test_06 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_06(self):$/;" m class:Test_bode +test_06 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_06(self):$/;" m class:Test_lsim2 +test_06 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_06(self):$/;" m class:_TestImpulseFuncs +test_06 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_06(self):$/;" m class:_TestStepFuncs +test_07 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_07(self):$/;" m class:Test_bode +test_08 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_08(self):$/;" m class:Test_bode +test_0d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_0d(self):$/;" m class:TestFormat +test_0d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_0d(self):$/;" m class:TestIterNested +test_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_0d(self):$/;" m class:TestDelete +test_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_0d(self):$/;" m class:TestInsert +test_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_0d(self):$/;" m class:TestPiecewise +test_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_0d(self):$/;" m class:TestConcatenator +test_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_0d(self):$/;" m class:TestRavelUnravelIndex +test_0d_0d_condition adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_0d_0d_condition(self):$/;" m class:TestPiecewise +test_0D_3D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_0D_3D(self):$/;" m class:TestSaveTxt +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestAtleast1d +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestAtleast2d +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestAtleast3d +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestHstack +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestVstack +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestDsplit +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestDstack +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestHsplit +test_0D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_0D_array(self):$/;" m class:TestVsplit +test_0d_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_0d_array(self, cls=np.ndarray):$/;" m class:TestApplyAlongAxis +test_0d_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_0d_arrays(self):$/;" m class:TestPrintOptions +test_0d_comparison adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_0d_comparison(self):$/;" m class:TestPiecewise +test_0d_iter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_0d_iter():$/;" f +test_0d_object_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_0d_object_subclass(self):$/;" m class:TestArrayRepr +test_0d_recarray_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_0d_recarray_repr(self):$/;" m class:TestFromrecords +test_0d_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_0d_shape(self):$/;" m class:TestResize +test_0d_string_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_0d_string_scalar(self):$/;" m class:TestRegression +test_0d_unicode adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_0d_unicode(self):$/;" m class:TestMaskedArray +test_0_ndim_array adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_0_ndim_array(self):$/;" m class:TestArrayEqual +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestCholesky +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestDet +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestEig +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestEigh +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestEigvals +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestEigvalsh +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestInv +test_0_size adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size(self):$/;" m class:TestSolve +test_0_size_k adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_0_size_k(self):$/;" m class:TestSolve +test_0_sphere_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_0_sphere_handling(self, start, end):$/;" m class:TestGeometricSlerp +test_1 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_1(self):$/;" m class:TestAppendpath +test_1 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_1(self):$/;" m class:TestMinrelpath +test_1 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_1(self):$/;" m class:ModuleTester +test_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_hessinv.py /^def test_1():$/;" f +test_100 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_100(self):$/;" m class:TestGauss +test_100 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_100(self):$/;" m class:TestGauss +test_100 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_100(self):$/;" m class:TestGauss +test_100 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_100(self):$/;" m class:TestGauss +test_100 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_100(self):$/;" m class:TestGauss +test_10_finite_time adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_10_finite_time(self):$/;" m class:TestShgoArguments +test_11_f_min_time adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_11_f_min_time(self):$/;" m class:TestShgoArguments +test_12_bit_even_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_12_bit_even_size():$/;" f +test_12_sobol_inf_cons adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_12_sobol_inf_cons(self):$/;" m class:TestShgoArguments +test_13_high_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_13_high_sobol(self):$/;" m class:TestShgoArguments +test_14_local_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_14_local_iter(self):$/;" m class:TestShgoArguments +test_15_min_every_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_15_min_every_iter(self):$/;" m class:TestShgoArguments +test_16_disp_bounds_minimizer adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_16_disp_bounds_minimizer(self):$/;" m class:TestShgoArguments +test_17_custom_sampling adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_17_custom_sampling(self):$/;" m class:TestShgoArguments +test_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_1d(self):$/;" m class:TestTruthTestingEmptyArrays +test_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_1d(self):$/;" m class:TestMultiIndexingAutomated +test_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_1d(self):$/;" m class:TestConcatenator +test_1D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_1D(self):$/;" m class:RoundtripTest +test_1D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_1D(self):$/;" m class:TestFromTxt +test_1D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_1D(self):$/;" m class:TestLoadTxt +test_1D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_1D(self):$/;" m class:TestSaveTxt +test_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d(self):$/;" m class:TestConcatenator +test_1d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_1d(self):$/;" m class:TestCumulative_trapezoid +test_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_1d(self):$/;" m class:TestGriddata +test_1d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_1d(self):$/;" m class:TestArrayDimensions +test_1d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_1d(self):$/;" m class:TestPointerArray +test_1D adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_1D(self):$/;" m class:TestBrute +test_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d(self):$/;" m class:TestGeoMean +test_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d(self):$/;" m class:TestHarMean +test_1d adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_1d(self):$/;" m class:BaseLinearRegressorPredictTest +test_1d adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_1d(self):$/;" m class:BaseLinearRegressorPredictTest +test_1darray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_1darray(self):$/;" m class:TestAsCArray +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestAtleast1d +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestAtleast2d +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestAtleast3d +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestHstack +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestVstack +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestDsplit +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestDstack +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestHsplit +test_1D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_1D_array(self):$/;" m class:TestVsplit +test_1d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array(self):$/;" m class:TestGeoMean +test_1d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array(self):$/;" m class:TestGeometricStandardDeviation +test_1d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array(self):$/;" m class:TestHarMean +test_1d_array0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array0(self):$/;" m class:TestGeoMean +test_1D_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_1D_arrays(self):$/;" m class:TestColumnStack +test_1d_array_with_negative_value adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array_with_negative_value(self):$/;" m class:TestHarMean +test_1d_array_with_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_array_with_zero(self):$/;" m class:TestHarMean +test_1d_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_1d_axes(self, axes, shape_a_0, shape_b_0,$/;" m class:TestOAConvolve +test_1d_bincode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_bincode(self):$/;" m class:TestBinnedStatistic +test_1d_borders adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_1d_borders(self):$/;" m class:TestGriddata +test_1d_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_1d_convolution($/;" m class:TestConvolution +test_1d_count adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_count(self):$/;" m class:TestBinnedStatistic +test_1d_float96 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_float96(self):$/;" m class:TestGeoMean +test_1d_float96 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_float96(self):$/;" m class:TestHarMean +test_1d_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_1d_format(self):$/;" m class:TestFormat +test_1d_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_1d_grad(self):$/;" m class:TestBasinHopping +test_1d_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_1d_input(self):$/;" m class:TestBartlett +test_1d_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_1d_input(self):$/;" m class:TestLevene +test_1D_is_chisquared adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_1D_is_chisquared(self):$/;" m class:TestWishart +test_1D_is_invgamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_1D_is_invgamma(self):$/;" m class:TestInvwishart +test_1d_list adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_list(self):$/;" m class:TestGeoMean +test_1d_list adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_list(self):$/;" m class:TestHarMean +test_1d_list0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_list0(self):$/;" m class:TestGeoMean +test_1d_list_neg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_list_neg(self):$/;" m class:TestGeoMean +test_1d_ma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_ma(self):$/;" m class:TestGeoMean +test_1d_ma0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_ma0(self):$/;" m class:TestGeoMean +test_1d_max adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_max(self):$/;" m class:TestBinnedStatistic +test_1d_ma_inf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_ma_inf(self):$/;" m class:TestGeoMean +test_1d_ma_value adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_1d_ma_value(self):$/;" m class:TestGeoMean +test_1d_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_mean(self):$/;" m class:TestBinnedStatistic +test_1d_median adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_median(self):$/;" m class:TestBinnedStatistic +test_1d_min adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_min(self):$/;" m class:TestBinnedStatistic +test_1d_multi_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_multi_values(self):$/;" m class:TestBinnedStatistic +test_1d_noaxes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_1d_noaxes(self, shape_a_0, shape_b_0,$/;" m class:TestOAConvolve +test_1d_no_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_1d_no_format(self):$/;" m class:TestFormat +test_1d_numeric_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_numeric_array_like_input(self):$/;" m class:TestGeometricStandardDeviation +test_1d_only adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_1d_only(self):$/;" m class:TestIx_ +test_1D_predictions_params_from_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def test_1D_predictions_params_from_input(self, n, gc, dc):$/;" m class:TestPiecewiseLinearTransform +test_1d_range_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_range_keyword(self):$/;" m class:TestBinnedStatistic +test_1d_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_result_attributes(self):$/;" m class:TestBinnedStatistic +test_1D_rowvar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_1D_rowvar(self):$/;" m class:TestCov +test_1d_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_1d_shape(self):$/;" m class:TestSplev +test_1d_shape adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_1d_shape():$/;" f +test_1d_shape_consistency adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d_shape_consistency(self):$/;" m class:TestMedian +test_1d_std adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_std(self):$/;" m class:TestBinnedStatistic +test_1d_sum adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_1d_sum(self):$/;" m class:TestBinnedStatistic +test_1d_unsorted adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_1d_unsorted(self):$/;" m class:TestGriddata +test_1D_variance adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_1D_variance(self):$/;" m class:TestCov +test_1d_vs_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_vs_2d(self):$/;" m class:TestCorrSpearmanr +test_1d_vs_2d_nans adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1d_vs_2d_nans(self):$/;" m class:TestCorrSpearmanr +test_1d_without_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d_without_missing(self):$/;" m class:TestCorrcoef +test_1d_without_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d_without_missing(self):$/;" m class:TestCov +test_1d_with_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d_with_missing(self):$/;" m class:TestCorrcoef +test_1d_with_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_1d_with_missing(self):$/;" m class:TestCov +test_1samp_alternative adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_1samp_alternative(self):$/;" m class:TestStudentTest +test_1x1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_1x1(self):$/;" m class:TestSolveBanded +test_1x1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_1x1(self):$/;" m class:TestSolveHBanded +test_1x1_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_1_col(self):$/;" m class:BaseQRinsert +test_1x1_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_1_row(self):$/;" m class:BaseQRinsert +test_1x1_1_scalar adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_1_scalar(self):$/;" m class:BaseQRinsert +test_1x1_conv adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_1x1_conv($/;" m class:TestConvolution +test_1x1_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_p_col(self):$/;" m class:BaseQRinsert +test_1x1_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_p_row(self):$/;" m class:BaseQRinsert +test_1x1_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_rank_1(self):$/;" m class:BaseQRupdate +test_1x1_rank_1_scalar adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_rank_1_scalar(self):$/;" m class:BaseQRupdate +test_1x1_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1x1_rank_p(self):$/;" m class:BaseQRupdate +test_1xN_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_1_col(self):$/;" m class:BaseQRdelete +test_1xN_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_1_col(self):$/;" m class:BaseQRinsert +test_1xN_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_1_row(self):$/;" m class:BaseQRinsert +test_1xN_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_p_col(self):$/;" m class:BaseQRdelete +test_1xN_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_p_col(self):$/;" m class:BaseQRinsert +test_1xN_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_p_row(self):$/;" m class:BaseQRinsert +test_1xN_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_rank_1(self):$/;" m class:BaseQRupdate +test_1xN_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_1xN_rank_p(self):$/;" m class:BaseQRupdate +test_1_1_simpl_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_1_1_simpl_iter(self):$/;" m class:TestShgoArguments +test_1_2_simpl_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_1_2_simpl_iter(self):$/;" m class:TestShgoArguments +test_1_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_1_argument_real(self):$/;" m class:TestFloat16FFT +test_1_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_1_argument_real(self):$/;" m class:TestFloat16FFT +test_1_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_1_maxiter(self):$/;" m class:TestShgoFailures +test_2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_2(self):$/;" m class:TestAppendpath +test_2 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_2(self):$/;" m class:ModuleTester +test_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_hessinv.py /^def test_2():$/;" f +test_2002_vs_2006 adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_2002_vs_2006():$/;" f +test_20Feb04_bug adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_20Feb04_bug(self):$/;" m class:TestSolve +test_20_bit_extra_data adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_20_bit_extra_data():$/;" f +test_24_bit_odd_size_with_pad adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_24_bit_odd_size_with_pad():$/;" f +test_2592 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_2592(self):$/;" m class:TestFromiter +test_2592_edge adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_2592_edge(self):$/;" m class:TestFromiter +test_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_2d(self):$/;" m class:TestTruthTestingEmptyArrays +test_2D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_2D(self):$/;" m class:TestArgwhere +test_2D adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_2D(self):$/;" m class:TestPercentile +test_2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_2d(self):$/;" m class:TestConcatenator +test_2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_2d(self):$/;" m class:TestEye +test_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d(self):$/;" m class:TestConcatenator +test_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d(self):$/;" m class:TestMedian +test_2d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_2d(self):$/;" m class:TestArrayDimensions +test_2d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_2d(self):$/;" m class:TestPointerArray +test_2d adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_2d(self):$/;" m class:TestTri +test_2d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_2d(self):$/;" m class:TestBasinHopping +test_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_2d(self):$/;" m class:TestShapiro +test_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_2d(self):$/;" m class:TestHarMean +test_2D adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_2D(self):$/;" m class:TestPercentile +test_2D adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2D(self):$/;" m class:TestIQR +test_2darray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_2darray(self):$/;" m class:TestAsCArray +test_2drbf_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_2drbf_interpolation():$/;" f +test_2drbf_regularity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_2drbf_regularity():$/;" f +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestAtleast1d +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestAtleast2d +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestAtleast3d +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestHstack +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestVstack +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestDsplit +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestDstack +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestHsplit +test_2D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_array(self):$/;" m class:TestVsplit +test_2d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_array(self):$/;" m class:TestGeoMean +test_2d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_array(self):$/;" m class:TestHarMean +test_2D_array2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_2D_array2(self):$/;" m class:TestVstack +test_2D_array2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_array2(self):$/;" m class:TestDstack +test_2D_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_2D_arrays(self):$/;" m class:TestColumnStack +test_2d_arrays adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_arrays(self):$/;" m class:_TestConvolve +test_2d_arrays adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_arrays(self):$/;" m class:_TestConvolve2d +test_2d_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_axes(self, axes, shape_a_0, shape_b_0,$/;" m class:TestOAConvolve +test_2d_axis0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis0(self):$/;" m class:TestGeoMean +test_2d_axis0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis0(self):$/;" m class:TestHarMean +test_2d_axis0_with_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis0_with_zero(self):$/;" m class:TestHarMean +test_2d_axis1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis1(self):$/;" m class:TestGeoMean +test_2d_axis1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis1(self):$/;" m class:TestHarMean +test_2d_axis1_with_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_axis1_with_zero(self):$/;" m class:TestHarMean +test_2d_bincode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_bincode(self):$/;" m class:TestBinnedStatistic +test_2d_binnumbers_unraveled adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_binnumbers_unraveled(self):$/;" m class:TestBinnedStatistic +test_2d_box_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_box_constraints(self):$/;" m class:TestBoxBoundariesIntersections +test_2d_box_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_box_constraints(self):$/;" m class:TestBoxSphereBoundariesIntersections +test_2d_box_constraints_entire_line adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_box_constraints_entire_line(self):$/;" m class:TestBoxBoundariesIntersections +test_2d_box_constraints_entire_line adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_box_constraints_entire_line(self):$/;" m class:TestBoxSphereBoundariesIntersections +test_2d_complex_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_complex_same(self, axes):$/;" m class:TestFFTConvolve +test_2d_complex_same_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_complex_same_axes(self, axes):$/;" m class:TestFFTConvolve +test_2d_count adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_count(self):$/;" m class:TestBinnedStatistic +test_2d_data_forward adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_2d_data_forward(self):$/;" m class:TestHausdorff +test_2D_dirichlet_is_beta adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_2D_dirichlet_is_beta(self):$/;" m class:TestDirichlet +test_2d_events_ValueError adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_events_ValueError(self):$/;" m class:TestVectorstrength +test_2d_gaussians adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_2d_gaussians(self):$/;" m class:TestArgrel +test_2d_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_2d_input(self):$/;" m class:TestBoxcox_llf +test_2d_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_2d_input(self):$/;" m class:TestYeojohnson_llf +test_2d_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_inputs(self, axis):$/;" m class:TestFOneWay +test_2d_list adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_list(self):$/;" m class:TestGeoMean +test_2d_list adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_list(self):$/;" m class:TestHarMean +test_2d_ma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_2d_ma(self):$/;" m class:TestGeoMean +test_2d_matrix_axis0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_matrix_axis0(self):$/;" m class:TestGeoMean +test_2d_matrix_axis0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_matrix_axis0(self):$/;" m class:TestHarMean +test_2d_matrix_axis1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_matrix_axis1(self):$/;" m class:TestGeoMean +test_2d_matrix_axis1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_2d_matrix_axis1(self):$/;" m class:TestHarMean +test_2d_max adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_max(self):$/;" m class:TestBinnedStatistic +test_2d_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_mean(self):$/;" m class:TestBinnedStatistic +test_2d_mean_unicode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_mean_unicode(self):$/;" m class:TestBinnedStatistic +test_2d_median adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_median(self):$/;" m class:TestBinnedStatistic +test_2d_min adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_min(self):$/;" m class:TestBinnedStatistic +test_2d_multi_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_multi_values(self):$/;" m class:TestBinnedStatistic +test_2d_noaxes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_noaxes(self, shape_a_0, shape_b_0,$/;" m class:TestOAConvolve +test_2d_nograd adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_2d_nograd(self):$/;" m class:TestBasinHopping +test_2d_period_ValueError adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_period_ValueError(self):$/;" m class:TestVectorstrength +test_2d_real_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_real_same(self, axes):$/;" m class:TestFFTConvolve +test_2d_real_same_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_2d_real_same_axes(self, axes):$/;" m class:TestFFTConvolve +test_2d_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_result_attributes(self):$/;" m class:TestBinnedStatistic +test_2d_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_2d_shape(self):$/;" m class:TestSplev +test_2d_sphere_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_sphere_constraints(self):$/;" m class:TestSphericalBoundariesIntersections +test_2d_sphere_constraints_line_intersections adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_2d_sphere_constraints_line_intersections(self):$/;" m class:TestSphericalBoundariesIntersections +test_2d_square adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_2d_square(self):$/;" m class:TestDelaunay +test_2d_std adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_std(self):$/;" m class:TestBinnedStatistic +test_2d_sum adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_2d_sum(self):$/;" m class:TestBinnedStatistic +test_2d_waxis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d_waxis(self):$/;" m class:TestMedian +test_2d_without_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d_without_missing(self):$/;" m class:TestCorrcoef +test_2d_without_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d_without_missing(self):$/;" m class:TestCov +test_2d_with_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d_with_missing(self):$/;" m class:TestCorrcoef +test_2d_with_missing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_2d_with_missing(self):$/;" m class:TestCov +test_2d__array__shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_2d__array__shape(self):$/;" m class:TestRegression +test_2layer_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^ def test_2layer_attention(self):$/;" m class:Seq2SeqBeamSearchTest +test_2x2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_2x2(self):$/;" m class:TestCross +test_2x2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_2x2(self):$/;" m class:TestHessenberg +test_2x2_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_2x2_linkage():$/;" f +test_2x3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_2x3(self):$/;" m class:TestCross +test_2_1_sobol_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_2_1_sobol_iter(self):$/;" m class:TestShgoArguments +test_2_2_sobol_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_2_2_sobol_iter(self):$/;" m class:TestShgoArguments +test_2_sampling adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_2_sampling(self):$/;" m class:TestShgoFailures +test_3 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_3(self):$/;" m class:TestAppendpath +test_3 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_3(self):$/;" m class:ModuleTester +test_32bit_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_32bit_integer(self):$/;" m class:TestMMIOSparseCSR +test_32bit_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_32bit_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_32bit_unsigned_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_32bit_unsigned_integer(self):$/;" m class:TestMMIOSparseCSR +test_32bit_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^ def test_32bit_version(self):$/;" m class:TestIntelFCompilerVersions +test_36_bit_odd_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_36_bit_odd_size():$/;" f +test_3cols adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3cols(self):$/;" m class:TestCorrSpearmanr +test_3d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_3d(self, block):$/;" m class:TestBlock +test_3d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_3d(self):$/;" m class:TestApplyAlongAxis +test_3d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_3d(self):$/;" m class:TestApplyAlongAxis +test_3d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_3d(self):$/;" m class:TestMedian +test_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_3d(self):$/;" m class:TestArrayDimensions +test_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_3d(self):$/;" m class:TestPointerArray +test_3darray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_3darray(self):$/;" m class:TestAsCArray +test_3D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_3D_array(self):$/;" m class:TestAtleast1d +test_3D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_3D_array(self):$/;" m class:TestAtleast2d +test_3D_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_3D_array(self):$/;" m class:TestAtleast3d +test_3D_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_3D_array(self):$/;" m class:TestDsplit +test_3d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_array(self):$/;" m class:TestGeometricStandardDeviation +test_3d_array_axis_0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_array_axis_0(self):$/;" m class:TestGeometricStandardDeviation +test_3d_array_axis_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_array_axis_1(self):$/;" m class:TestGeometricStandardDeviation +test_3d_array_axis_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_array_axis_2(self):$/;" m class:TestGeometricStandardDeviation +test_3d_array_axis_type_tuple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_array_axis_type_tuple(self):$/;" m class:TestGeometricStandardDeviation +test_3d_box_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_3d_box_constraints(self):$/;" m class:TestBoxBoundariesIntersections +test_3d_box_constraints_entire_line adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_3d_box_constraints_entire_line(self):$/;" m class:TestBoxBoundariesIntersections +test_3d_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_3d_convolution($/;" m class:TestConvolution +test_3d_convolution_cudnn_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_3d_convolution_cudnn_nchw($/;" m class:TestConvolution +test_3d_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_3d_example(self):$/;" m class:TestModifiedDogleg +test_3d_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_3d_inputs(self):$/;" m class:TestFOneWay +test_3d_kwargs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_3d_kwargs(self):$/;" m class:TestApplyAlongAxis +test_3d_shaped_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_3d_shaped_dtype(self):$/;" m class:TestLoadTxt +test_3d_swap_axis0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_3d_swap_axis0(self):$/;" m class:TestFlip +test_3d_swap_axis1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_3d_swap_axis1(self):$/;" m class:TestFlip +test_3d_swap_axis2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_3d_swap_axis2(self):$/;" m class:TestFlip +test_3d_tensor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_3d_tensor(self):$/;" m class:TestInner +test_3x3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_3x3(self):$/;" m class:TestCross +test_3_1_disp_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_3_1_disp_simplicial(self):$/;" m class:TestShgoArguments +test_3_1_no_min_pool_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_3_1_no_min_pool_sobol(self):$/;" m class:TestShgoFailures +test_3_2_disp_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_3_2_disp_sobol(self):$/;" m class:TestShgoArguments +test_3_2_no_min_pool_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_3_2_no_min_pool_simplicial(self):$/;" m class:TestShgoFailures +test_3_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_3_tuple(self):$/;" m class:TestDatetimeEvent +test_4 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_4(self):$/;" m class:ModuleTester +test_45_bit_even_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_45_bit_even_size():$/;" f +test_4d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_4d(self):$/;" m class:TestFlip +test_4d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_4d(self):$/;" m class:TestArrayDimensions +test_4d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_4d(self):$/;" m class:TestPointerArray +test_4d_data_reverse adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_4d_data_reverse(self):$/;" m class:TestHausdorff +test_4d_linear_outofbounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_4d_linear_outofbounds(self):$/;" m class:TestInterpN +test_4d_nearest_outofbounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_4d_nearest_outofbounds(self):$/;" m class:TestInterpN +test_4_1_bound_err adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_1_bound_err(self):$/;" m class:TestShgoFailures +test_4_1_known_f_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_1_known_f_min(self):$/;" m class:TestShgoArguments +test_4_2_bound_err adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_2_bound_err(self):$/;" m class:TestShgoFailures +test_4_2_known_f_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_2_known_f_min(self):$/;" m class:TestShgoArguments +test_4_3_known_f_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_3_known_f_min(self):$/;" m class:TestShgoArguments +test_4_4_known_f_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_4_4_known_f_min(self):$/;" m class:TestShgoArguments +test_4_and_long_field_names_incompatible adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_4_and_long_field_names_incompatible():$/;" f +test_5 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_5(self):$/;" m class:ModuleTester +test_53_bit_odd_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_53_bit_odd_size():$/;" f +test_540_567 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_540_567():$/;" f +test_5d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_5d(self):$/;" m class:TestArrayDimensions +test_5d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_5d(self):$/;" m class:TestPointerArray +test_5_1_1_infeasible_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_5_1_1_infeasible_sobol(self):$/;" m class:TestShgoFailures +test_5_1_2_infeasible_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_5_1_2_infeasible_sobol(self):$/;" m class:TestShgoFailures +test_5_1_simplicial_argless adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_5_1_simplicial_argless(self):$/;" m class:TestShgoArguments +test_5_2_infeasible_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_5_2_infeasible_simplicial(self):$/;" m class:TestShgoFailures +test_5_2_sobol_argless adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_5_2_sobol_argless(self):$/;" m class:TestShgoArguments +test_5_bit_odd_size_no_pad adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_5_bit_odd_size_no_pad():$/;" f +test_6 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_6(self):$/;" m class:ModuleTester +test_64bit_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_64bit_integer(self):$/;" m class:TestMMIOArray +test_64bit_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_64bit_integer(self):$/;" m class:TestMMIOSparseCSR +test_64bit_unsigned_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_64bit_unsigned_integer(self):$/;" m class:TestMMIOArray +test_64bit_unsigned_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_64bit_unsigned_integer(self):$/;" m class:TestMMIOSparseCSR +test_64bit_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_intel.py /^ def test_64bit_version(self):$/;" m class:TestIntelEM64TFCompilerVersions +test_64_bit_even_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_64_bit_even_size():$/;" f +test_6d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_6d(self):$/;" m class:TestArrayDimensions +test_6d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_6d(self):$/;" m class:TestPointerArray +test_6_1_lower_known_f_min adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_6_1_lower_known_f_min(self):$/;" m class:TestShgoFailures +test_6_1_simplicial_max_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_6_1_simplicial_max_iter(self):$/;" m class:TestShgoArguments +test_6_2_simplicial_min_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_6_2_simplicial_min_iter(self):$/;" m class:TestShgoArguments +test_7 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_7(self):$/;" m class:ModuleTester +test_7d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_7d(self):$/;" m class:TestArrayDimensions +test_7d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_7d(self):$/;" m class:TestPointerArray +test_7_1_minkwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_7_1_minkwargs(self):$/;" m class:TestShgoArguments +test_7_2_minkwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_7_2_minkwargs(self):$/;" m class:TestShgoArguments +test_7_3_minkwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_7_3_minkwargs(self):$/;" m class:TestShgoArguments +test_834 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_834(self):$/;" m class:TestExp1 +test_8d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_8d(self):$/;" m class:TestArrayDimensions +test_8d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_8d(self):$/;" m class:TestPointerArray +test_8_homology_group_diff adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_8_homology_group_diff(self):$/;" m class:TestShgoArguments +test_975 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_975(self):$/;" m class:TestGamma +test_99 adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_99(self):$/;" m class:ModuleTester +test_9902_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_9902_moments(self):$/;" m class:TestTruncnorm +test_9_cons_g adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_9_cons_g(self):$/;" m class:TestShgoArguments +test_A adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def test_A(self):$/;" m class:ModuleTester +test_a adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_a(self):$/;" m class:Test_measurements_stats +test_ab adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_ab(self):$/;" m class:TestTRMM +test_ab adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ab(self):$/;" m class:TestFrozen +test_ab adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ab(self):$/;" m class:TestGenpareto +Test_abcd_normalize adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class Test_abcd_normalize(object):$/;" c +test_abi adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_abi(self):$/;" m class:TestGMRES +test_abs adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_abs(self, input_tensor, gc, dc):$/;" m class:TestOperators +test_abs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_abs(self, X, gc, dc):$/;" m class:TestElementwiseOps +test_abs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_abs(self):$/;" m class:_TestCommon +test_absolute_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^def test_absolute_step():$/;" f +test_abstract adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^ def test_abstract(self):$/;" m class:TestABC +test_abstract adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_abstract(self):$/;" m class:TestBitName +test_abstract_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_abstract_type(self):$/;" m class:Test_sctype2char +test_abs_neg_blocked adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_abs_neg_blocked(self):$/;" m class:TestAbsoluteNegative +test_abs_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_abs_values(self):$/;" m class:TestSpecialFloats +test_ab_lower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_ab_lower(self):$/;" m class:TestTRMM +test_AB_mismatch_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_AB_mismatch_fails(self):$/;" m class:Test_abcd_normalize +test_accelerate_framework_sgemv_fix adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_accelerate_framework_sgemv_fix(self):$/;" m class:TestDot +test_accept adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_accept(self):$/;" m class:Test_Metropolis +test_accept_arraylike adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_accept_arraylike(self):$/;" m class:TestGeometricSlerp +test_accept_reject_probabilistic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_accept_reject_probabilistic($/;" m class:TestDualAnnealing +test_accept_trial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_accept_trial(self):$/;" m class:TestDifferentialEvolutionSolver +test_access_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_access_exc(self):$/;" m class:TestAccess +test_access_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_access_fields(self):$/;" m class:ReadValuesPlain +test_access_top_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_access_top_fields(self):$/;" m class:ReadValuesNested +test_accumulate_histogram_op adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_accumulate_histogram_op(self, N, M, num_buckets, gc, dc):$/;" m class:TestOperators +test_accuracy adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_accuracy(self, prediction, labels, top_k, gc, dc):$/;" m class:TestOperators +test_accuracy_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_accuracy_1(self):$/;" m class:QAPCommonTests +test_accuracy_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_accuracy_2(self):$/;" m class:QAPCommonTests +test_accuracy_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_accuracy_3(self):$/;" m class:QAPCommonTests +test_accuracy_wilcoxon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_accuracy_wilcoxon(self):$/;" m class:TestWilcoxon +test_acoeff adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_acoeff(self):$/;" m class:TestFiltFilt +test_acorr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_acorr(fig_test, fig_ref):$/;" f +test_acos adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^ def test_acos(self, X, gc, dc):$/;" m class:TestTrigonometricOp +test_active_box_constraints_hits_boundaries adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_active_box_constraints_hits_boundaries(self):$/;" m class:TestProjectCG +test_active_box_constraints_hits_boundaries_infeasible_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_active_box_constraints_hits_boundaries_infeasible_iter(self):$/;" m class:TestProjectCG +test_active_box_constraints_maximum_iterations_reached adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_active_box_constraints_maximum_iterations_reached(self):$/;" m class:TestProjectCG +test_active_box_constraints_negative_curvature adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_active_box_constraints_negative_curvature(self):$/;" m class:TestProjectCG +test_AC_mismatch_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_AC_mismatch_fails(self):$/;" m class:Test_abcd_normalize +test_adadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def test_adadelta(self, inputs, lr, epsilon, decay, gc, dc):$/;" m class:TestAdadelta +test_adagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_adagrad(self, inputs, lr, epsilon, weight_decay, gc, dc):$/;" m class:TestAdagrad +test_adagrad_output_effective_lr adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_adagrad_output_effective_lr($/;" m class:TestAdagrad +test_adagrad_output_effective_lr_and_update adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_adagrad_output_effective_lr_and_update(self, inputs, lr, epsilon, gc, dc):$/;" m class:TestAdagrad +test_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^ def test_adam(self, inputs, ITER, LR, beta1, beta2, epsilon, gc, dc):$/;" m class:TestAdamOps +test_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_adam(self, inputs, ITER, LR, beta1, beta2, epsilon, gc, dc):$/;" m class:TestAdam +test_adam_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/adam_op_test.py /^ def test_adam_output_grad(self, inputs, ITER, LR, beta1, beta2, epsilon, gc, dc):$/;" m class:TestAdamOps +test_adam_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_adam_output_grad(self, inputs, ITER, LR, beta1, beta2, epsilon, gc, dc):$/;" m class:TestAdam +Test_AdaptiveStepsize adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class Test_AdaptiveStepsize(object):$/;" c +test_adaptive_decrease adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_adaptive_decrease(self):$/;" m class:Test_AdaptiveStepsize +test_adaptive_increase adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_adaptive_increase(self):$/;" m class:Test_AdaptiveStepsize +test_add adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_add(self, inputs, gc, dc):$/;" m class:TestATen +test_add adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_add(self):$/;" m class:TestOperators +test_add adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_add(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_add(self):$/;" m class:ShapePolyPrimitivesTest +test_add adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_add(self):$/;" m class:TestOperations +test_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_add(Poly):$/;" f +test_add adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_add(n):$/;" f +test_add adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_add(self):$/;" m class:_TestCommon +test_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_add(self):$/;" m class:RpcTest +test_add0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_add0(self):$/;" m class:_TestCommon +test_addfield adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_addfield(self):$/;" m class:TestMRecordsImport +test_adding_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_adding_net(self):$/;" m class:MetaNetDefTest +test_additional adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_additional(self, lim, ref):$/;" m class:TestAutoMinorLocator +test_addsumprod adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_addsumprod(self):$/;" m class:TestMaskedArrayArithmetic +test_add_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_add_128():$/;" f +test_add_artist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_add_artist(fig_test, fig_ref):$/;" f +test_add_collection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_add_collection():$/;" f +test_add_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_add_dense(self):$/;" m class:_TestCommon +test_add_doc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_add_doc(self):$/;" m class:TestAdd_newdoc +test_add_existing_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_existing_import(self):$/;" m class:AddImportTest +test_add_existing_import_aliased adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_existing_import_aliased(self):$/;" m class:AddImportTest +test_add_existing_import_aliased_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_existing_import_aliased_with_asname(self):$/;" m class:AddImportTest +test_add_existing_import_normal_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_existing_import_normal_import(self):$/;" m class:AddImportTest +test_add_existing_import_normal_import_aliased adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_existing_import_normal_import_aliased(self):$/;" m class:AddImportTest +test_add_from_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_from_import(self):$/;" m class:AddImportTest +test_add_from_import_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_from_import_with_asname(self):$/;" m class:AddImportTest +test_add_half adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_add_half(self, inputs, gc, dc):$/;" m class:TestATen +test_add_identity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_add_identity(self):$/;" m class:TestRegression +test_add_import_after_docstring adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_import_after_docstring(self):$/;" m class:AddImportTest +test_add_import_with_asname_with_conflict adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_import_with_asname_with_conflict(self):$/;" m class:AddImportTest +test_add_import_with_conflict adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_import_with_conflict(self):$/;" m class:AddImportTest +test_add_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_add_nonzero(self):$/;" m class:TestDOK +test_add_normal_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_normal_import(self):$/;" m class:AddImportTest +test_add_normal_import_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_normal_import_with_asname(self):$/;" m class:AddImportTest +test_add_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_add_object(self):$/;" m class:TestMaskedArrayMathMethods +test_add_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_add_padding($/;" m class:TestSequenceOps +test_add_padding_no_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_add_padding_no_length(self, start_pad_width, end_pad_width, data, gc, dc):$/;" m class:TestSequenceOps +test_add_round_down adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_round.py /^def test_add_round_down():$/;" f +test_add_round_up adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_round.py /^def test_add_round_up():$/;" f +test_add_single_name_from_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_single_name_from_import(self):$/;" m class:AddImportTest +test_add_single_name_from_import_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_add_single_name_from_import_with_asname(self):$/;" m class:AddImportTest +test_add_sub adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_add_sub(self):$/;" m class:_TestArithmetic +test_add_subplot_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_add_subplot_invalid():$/;" f +test_add_subplot_twotuple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_add_subplot_twotuple():$/;" f +test_add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_add_to_tensor(self):$/;" f function:_test_add_to_tensor file: +test_add_with_id adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_add_with_id(self):$/;" m class:RpcTest +test_add_zero_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_add_zero_padding(self, start_pad_width, end_pad_width, args, gc, dc):$/;" m class:TestSequenceOps +test_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_adjoint(self):$/;" f function:_test_adjoint file: +test_adjoint_conjugate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_adjoint_conjugate():$/;" f +test_adjust_numtick_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_adjust_numtick_aspect():$/;" f +test_advance adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_advance(self):$/;" m class:RNG +test_advance_symmetry adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_advance_symmetry(self):$/;" m class:TestPCG64 +test_Affine2D_from_values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_Affine2D_from_values():$/;" f +test_affine_channel_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def test_affine_channel_2d($/;" m class:TestAffineChannelOp +test_affine_channel_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/affine_channel_op_test.py /^ def test_affine_channel_3d($/;" m class:TestAffineChannelOp +test_affine_inverted_invalidated adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_affine_inverted_invalidated():$/;" f +test_affine_simplification adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_affine_simplification(self):$/;" m class:TestBasicTransform +test_affine_transform01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform01(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform02(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform03(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform04(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform05(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_affine_transform06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform06(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform07(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform08(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform09(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform10(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform11(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform12(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform13(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform14(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform15(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform16 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform16(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform17 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform17(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform18 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform18(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform19 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform19(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform20 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform20(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform21 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform21(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform22 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform22(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform23 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform23(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform24 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform24(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform25 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform25(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform26 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform26(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform27 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform27(self):$/;" m class:TestNdimageInterpolation +test_affine_transform_1d_endianness_with_output_parameter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform_1d_endianness_with_output_parameter(self):$/;" m class:TestNdimageInterpolation +test_affine_transform_multi_d_endianness_with_output_parameter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform_multi_d_endianness_with_output_parameter(self):$/;" m class:TestNdimageInterpolation +test_affine_transform_shift_reflect adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform_shift_reflect(self, order):$/;" m class:TestNdimageInterpolation +test_affine_transform_shift_via_grid_wrap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform_shift_via_grid_wrap(self, shift, order):$/;" m class:TestNdimageInterpolation +test_affine_transform_with_string_output adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_affine_transform_with_string_output(self):$/;" m class:TestNdimageInterpolation +test_afm_kerning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_afm_kerning():$/;" f +test_against_cmath adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_against_cmath(self):$/;" m class:TestComplexFunctions +test_against_known_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^ def test_against_known_values(self):$/;" m class:TestAsIntegerRatio +test_against_logic_error_regression adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_against_logic_error_regression(self):$/;" m class:sparse_distance_matrix_consistency +test_against_mathematica adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_against_mathematica(self):$/;" m class:TestVoigtProfile +test_against_numpy_convolve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_against_numpy_convolve(self, cpx, na, nv, mode):$/;" m class:TestConvolutionMatrix +test_agg_filter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_agg_filter():$/;" f +test_agg_filter_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg_filter.py /^def test_agg_filter_alpha():$/;" f +test_agg_text_clip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_agg_text_clip():$/;" f +test_agm_simple adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_agm_simple():$/;" f +test_agree_with_ks_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_agree_with_ks_1samp(self):$/;" m class:TestKSTest +test_agree_with_r adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_agree_with_r(self):$/;" m class:TestKSOneSample +test_airy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_airy(self):$/;" m class:TestAiry +test_airy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_airy(self):$/;" m class:TestCephes +test_airyai adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airyai(self):$/;" m class:TestSystematic +test_airyai_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airyai_complex(self):$/;" m class:TestSystematic +test_airyai_prime adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airyai_prime(self):$/;" m class:TestSystematic +test_airyai_prime_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airyai_prime_complex(self):$/;" m class:TestSystematic +test_airybi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airybi(self):$/;" m class:TestSystematic +test_airybi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airybi_complex(self):$/;" m class:TestSystematic +test_airybi_prime adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airybi_prime(self):$/;" m class:TestSystematic +test_airybi_prime_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_airybi_prime_complex(self):$/;" m class:TestSystematic +test_airye adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_airye(self):$/;" m class:TestAiry +test_airye adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_airye(self):$/;" m class:TestCephes +test_aitoff_proj adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_aitoff_proj():$/;" f +test_ai_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ai_zeros(self):$/;" m class:TestAiry +test_ai_zeros_big adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ai_zeros_big(self):$/;" m class:TestAiry +test_alen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_alen(self):$/;" m class:TestAlen +test_alexnet adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_alexnet(self):$/;" m class:TestCaffe2End2End +test_alexnet adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_alexnet(self):$/;" m class:Test_PT_ONNX_TRT +test_algebraic_log_weight adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_algebraic_log_weight(self):$/;" m class:TestQuad +test_alias adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_alias(equiv_styles):$/;" f +test_aliasing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_aliasing():$/;" f +test_aliasing2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_aliasing2():$/;" f +test_aliasing_b_eq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_aliasing_b_eq(self):$/;" m class:LinprogCommonTests +test_aliasing_b_ub adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_aliasing_b_ub(self):$/;" m class:LinprogCommonTests +test_alias_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_alias_op(self):$/;" m class:TestUtilityOps +test_alias_with_name_is_in_place adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_alias_with_name_is_in_place(self):$/;" m class:TorchIntegration +test_alias_with_name_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/alias_with_name_test.py /^ def test_alias_with_name_op(self, shape, dtype, dc, gc):$/;" m class:TestAliasWithNameOp +test_aligned_data adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_aligned_data(self):$/;" m class:TestOffsets +test_aligned_mem adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_aligned_mem():$/;" f +test_aligned_mem_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_aligned_mem_complex():$/;" f +test_aligned_mem_float adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_aligned_mem_float():$/;" f +test_aligned_offsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_aligned_offsets(self):$/;" m class:TestOffsets +test_aligned_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_aligned_size(self):$/;" m class:TestRecord +test_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_alignment():$/;" f +test_alignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_alignment(self):$/;" m class:TestSubarray +test_alignment_update adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_alignment_update(self):$/;" m class:TestRegression +test_align_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_align_labels():$/;" f +test_align_vectors_improper_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_improper_rotation():$/;" f +test_align_vectors_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_invalid_input():$/;" f +test_align_vectors_noise adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_noise():$/;" f +test_align_vectors_no_noise adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_no_noise():$/;" f +test_align_vectors_no_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_no_rotation():$/;" f +test_align_vectors_scaled_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_scaled_weights():$/;" f +test_align_vectors_single_vector adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_align_vectors_single_vector():$/;" f +test_all adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_all(self):$/;" m class:TestArgmax +test_all adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_all(self):$/;" m class:TestArgmin +test_all adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_all(self):$/;" m class:TestDot +test_all adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_all(self):$/;" m class:TestSystemInfoReading +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^ def test_all(self):$/;" m class:TestAssumedShapeSumExample +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def test_all(self, name):$/;" m class:TestF77Callback +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^ def test_all(self):$/;" m class:TestKind +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_mixed.py /^ def test_all(self):$/;" m class:TestMixed +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ def test_all(self, name):$/;" m class:TestF77ReturnCharacter +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_character.py /^ def test_all(self, name):$/;" m class:TestF90ReturnCharacter +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ def test_all(self, name):$/;" m class:TestF77ReturnComplex +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_complex.py /^ def test_all(self, name):$/;" m class:TestF90ReturnComplex +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ def test_all(self, name):$/;" m class:TestF77ReturnInteger +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_integer.py /^ def test_all(self, name):$/;" m class:TestF90ReturnInteger +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ def test_all(self, name):$/;" m class:TestF77ReturnLogical +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_logical.py /^ def test_all(self, name):$/;" m class:TestF90ReturnLogical +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ def test_all(self, name):$/;" m class:TestCReturnReal +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ def test_all(self, name):$/;" m class:TestF77ReturnReal +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_return_real.py /^ def test_all(self, name):$/;" m class:TestF90ReturnReal +test_all adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^ def test_all(self):$/;" m class:TestSizeSumExample +test_all adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_all(self):$/;" m class:TestApproxDerivativeSparse +test_all adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_all(self):$/;" m class:TestBoxcoxNormmax +test_allany adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_allany(self):$/;" m class:TestMaskedArrayMethods +test_allany_oddities adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_allany_oddities(self):$/;" m class:TestMaskedArrayMethods +test_allany_onmatrices adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_allany_onmatrices(self):$/;" m class:TestMaskedMatrix +test_allclose adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_allclose(self):$/;" m class:TestMaskedArrayMethods +test_allclose adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_allclose(self):$/;" m class:TestNormalize +test_allcompare adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^ def test_allcompare(self, d, n, num_procs):$/;" m class:TestAllCompare +test_allcompare adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def test_allcompare(self, d, n, num_procs):$/;" m class:TestLazyDynDepAllCompare +test_allgather adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_allgather(self, comm_size, blob_size, num_blobs, device_option,$/;" m class:TestCase +test_allgather_object adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_allgather_object(self):$/;" m class:DistributedTest._DistTestBase +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_CumSumProd +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_MeanVarStd +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_Median +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_MinMax +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_Percentile +test_allnans adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_allnans(self):$/;" m class:TestNanFunctions_SumProd +test_allow_singular adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_allow_singular(self):$/;" m class:TestMultivariateT +test_allreduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_allreduce(self, comm_size, blob_size, num_blobs, device_option,$/;" m class:TestCase +test_allreduce adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^ def test_allreduce(self, X, root, device_option, inplace, gc, dc):$/;" m class:TestMPI +test_all_1d_norm_preserving adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_all_1d_norm_preserving(self):$/;" m class:TestFFT1D +test_all_1d_norm_preserving adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_all_1d_norm_preserving(self):$/;" m class:TestFFT1D +test_all_accepted adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_all_accepted(self):$/;" m class:Test_AdaptiveStepsize +test_all_any adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_all_any(self):$/;" m class:TestBoolArray +test_all_data_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_all_data_read(self):$/;" m class:TestZlibInputStream +test_all_data_read_bad_checksum adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_all_data_read_bad_checksum(self):$/;" m class:TestZlibInputStream +test_all_data_read_overlap adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_all_data_read_overlap(self):$/;" m class:TestZlibInputStream +test_all_dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_dropout_with_replacement_op_test.py /^ def test_all_dropout(self, gc, dc):$/;" m class:SparseDropoutWithReplacementTest +test_all_dropout_empty_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_dropout_with_replacement_op_test.py /^ def test_all_dropout_empty_input(self, gc, dc):$/;" m class:SparseDropoutWithReplacementTest +test_all_dtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_all_dtypes(self):$/;" m class:TestNumericStyleTypecodes +test_all_gather adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_all_gather(self):$/;" m class:RpcTest +test_all_gather_coalesced_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_coalesced_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_coalesced_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_coalesced_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_coalesced_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_coalesced_simple(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_coalesced_with_empty adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_coalesced_with_empty(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_multigpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_gather_multigpu(self):$/;" m class:DistributedTest._DistTestBase +test_all_gather_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_all_gather_timeout(self):$/;" m class:RpcTest +test_all_int_arrays adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_all_int_arrays(self):$/;" m class:TestSS2TF +test_all_in_ball adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_all_in_ball(self):$/;" m class:two_trees_consistency +test_all_kwargs_are_populated_by_defaults adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_all_kwargs_are_populated_by_defaults(self):$/;" m class:JitRpcOpTest +test_all_labels adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_all_labels():$/;" f +test_all_length_one adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_all_length_one(self):$/;" m class:TestFOneWay +test_all_minimizers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_all_minimizers(self):$/;" m class:TestBasinHopping +test_all_modules_are_expected adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_all_modules_are_expected():$/;" f +test_all_modules_are_expected_2 adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_all_modules_are_expected_2():$/;" f +test_all_nans adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_all_nans(self):$/;" m class:TestInterp1D +test_all_nested adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_all_nested(self, fig_test, fig_ref):$/;" m class:TestSubplotMosaic +test_all_nograd_minimizers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_all_nograd_minimizers(self):$/;" m class:TestBasinHopping +test_all_null_bstring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_all_null_bstring_array_is_falsey(self):$/;" m class:TestBytestringArrayNonzero +test_all_null_ustring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_all_null_ustring_array_is_falsey(self):$/;" m class:TestUnicodeArrayNonzero +test_all_outliers adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_all_outliers(self):$/;" m class:TestHistogram2d +test_all_reduce_coalesced_full_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_full_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_full_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_full_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_full_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_full_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_full_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_full_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_coalesced_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_coalesced_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_full_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_full_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_full_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_full_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_full_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_full_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_full_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_full_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_max(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_min(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_multigpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_multigpu(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_product(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_result_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_result_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_sum(self):$/;" m class:DistributedTest._DistTestBase +test_all_reduce_sum_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_reduce_sum_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_rejected adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_all_rejected(self):$/;" m class:Test_AdaptiveStepsize +test_all_to_all adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split_full_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split_full_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_equal_split_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_equal_split_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split_full_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split_full_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split_group(self):$/;" m class:DistributedTest._DistTestBase +test_all_to_all_single_unequal_split_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_all_to_all_single_unequal_split_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_all_type_size_routine_combinations adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_all_type_size_routine_combinations(self):$/;" m class:TestSolve +test_all_ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_all_ufunc(self):$/;" m class:TestUfunc +test_all_zeros adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_all_zeros(self):$/;" m class:TestPCHIP +test_almost_singular adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_almost_singular(self):$/;" m class:BaseMixin +test_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_alpha():$/;" f +test_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_alpha():$/;" f +test_alpha adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_alpha(self):$/;" m class:TestVoxels +test_alpha adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^def test_alpha():$/;" f +test_alpha adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_alpha(self):$/;" m class:TestBoxcox +test_alpha_beta_rc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_alpha_beta_rc():$/;" f +test_alpha_beta_rc adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_alpha_beta_rc():$/;" f +test_alpha_correct_depth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_alpha_correct_depth(self):$/;" m class:TestDirichlet +test_alpha_handles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_alpha_handles():$/;" f +test_alpha_interp adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_alpha_interp():$/;" f +test_alpha_rcparam adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_alpha_rcparam():$/;" f +test_alpha_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_alpha_rgba():$/;" f +test_alpha_too_deep adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_alpha_too_deep(self):$/;" m class:TestDirichlet +test_alpha_with_negative_entries adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_alpha_with_negative_entries(self):$/;" m class:TestDirichlet +test_alpha_with_zeros adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_alpha_with_zeros(self):$/;" m class:TestDirichlet +test_alternate_initial_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_alternate_initial_point(self):$/;" m class:TestLinprogIPSpecific +test_alternatives adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_alternatives(self):$/;" m class:TestBinomP +test_alternative_call adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_alternative_call(self):$/;" m class:TestGriddata +test_alter_learning_rate_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def test_alter_learning_rate_op(self, gc, dc):$/;" m class:TestLearningRate +test_al_mohy_higham_2012_experiment_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_al_mohy_higham_2012_experiment_1(self):$/;" m class:TestFractionalMatrixPower +test_al_mohy_higham_2012_experiment_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_al_mohy_higham_2012_experiment_1(self):$/;" m class:TestSqrtM +test_al_mohy_higham_2012_experiment_1_funm_log adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_al_mohy_higham_2012_experiment_1_funm_log(self):$/;" m class:TestLogM +test_al_mohy_higham_2012_experiment_1_logm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_al_mohy_higham_2012_experiment_1_logm(self):$/;" m class:TestLogM +test_amax adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_amax(self):$/;" m class:TestFBLAS1Simple +test_ambigous_fill adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ambigous_fill(self):$/;" m class:TestMedian +test_amplitude adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_amplitude(self):$/;" m class:TestLombscargle +test_analog adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_analog(self):$/;" m class:TestButtord +test_analog adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_analog(self):$/;" m class:TestCheb1ord +test_analog adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_analog(self):$/;" m class:TestCheb2ord +test_analog adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_analog(self):$/;" m class:TestEllipord +test_anchored_direction_arrows adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_anchored_direction_arrows():$/;" f +test_anchored_direction_arrows_many_args adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_anchored_direction_arrows_many_args():$/;" f +test_anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_anderson(self):$/;" m class:TestJacobianDotSolve +test_anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_anderson(self):$/;" m class:TestLinear +test_anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_anderson(self):$/;" m class:TestNonlinOldTests +test_anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_anderson(self):$/;" m class:TestSecant +test_angled_antiparallel adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_angled_antiparallel(angle, offset):$/;" f +test_angles_and_scale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_angles_and_scale():$/;" f +test_angular_rate_nonlinear_term adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_angular_rate_nonlinear_term():$/;" f +test_angular_rate_to_rotvec_conversions adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_angular_rate_to_rotvec_conversions():$/;" f +test_animated_with_canvas_change adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_animated_with_canvas_change(fig_test, fig_ref):$/;" f +test_annotate_across_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_annotate_across_transforms():$/;" f +test_annotate_default_arrow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_annotate_default_arrow():$/;" f +test_annotate_parameter_warn adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_annotate_parameter_warn():$/;" f +test_annotationbbox_extents adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_annotationbbox_extents():$/;" f +test_annotation_basic adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_annotation_basic(self):$/;" m class:TestBindings +test_annotation_contains adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_annotation_contains():$/;" f +test_annotation_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_annotation_device_option(self):$/;" m class:TestBindings +test_annotation_from_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_annotation_from_graph(self):$/;" m class:TestBindings +test_annotation_negative_ax_coords adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_annotation_negative_ax_coords():$/;" f +test_annotation_negative_fig_coords adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_annotation_negative_fig_coords():$/;" f +test_annotation_operator_def adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_annotation_operator_def(self):$/;" m class:TestBindings +test_annotation_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_annotation_units(fig_test, fig_ref):$/;" f +test_annotation_update adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_annotation_update():$/;" f +test_anonymous adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def test_anonymous(self):$/;" m class:TestName +test_another_tie adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_another_tie(self):$/;" m class:TestKruskal +test_antialiasing adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_antialiasing():$/;" f +test_antiderivative_continuity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_continuity(self):$/;" m class:TestPPoly +test_antiderivative_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_antiderivative_method(self):$/;" m class:TestBSpline +test_antiderivative_of_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_of_constant(self):$/;" m class:TestPPoly +test_antiderivative_regression_4355 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_regression_4355(self):$/;" m class:TestPPoly +test_antiderivative_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_simple(self):$/;" m class:TestBPolyCalculus +test_antiderivative_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_simple(self):$/;" m class:TestPPoly +test_antiderivative_vs_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_vs_derivative(self):$/;" m class:TestPPoly +test_antiderivative_vs_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antiderivative_vs_spline(self):$/;" m class:TestPPoly +test_antider_continuous adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antider_continuous(self):$/;" m class:TestBPolyCalculus +test_antider_neg adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antider_neg(self):$/;" m class:TestBPolyCalculus +test_antider_ppoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_antider_ppoly(self):$/;" m class:TestBPolyCalculus +test_antiparallel_simplification adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_antiparallel_simplification():$/;" f +test_any_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_any_float(self):$/;" m class:TestRegression +test_any_ninf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_any_ninf(self):$/;" m class:TestArctan2SpecialValues +test_any_pinf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_any_pinf(self):$/;" m class:TestArctan2SpecialValues +test_any_text adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_any_text(self, text):$/;" m class:TestArray2String +test_api adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_api(self):$/;" m class:TestPercentile +test_api adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_api(self):$/;" m class:TestIQR +test_api_importable adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_api_importable():$/;" f +test_api_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_api_regression():$/;" f +test_app adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^def test_app(environ, start_response):$/;" f +test_append adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_append(self):$/;" m class:TestFileOpen +test_append adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_append(request):$/;" f +test_append adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_append(self):$/;" m class:TestDiff +test_append adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_append(self):$/;" m class:TestBarycentric +test_appending_issue_gh_8625 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_appending_issue_gh_8625():$/;" f +test_append_double adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_append_double(self):$/;" m class:TestAppendFields +test_append_fields_dtype_list adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_append_fields_dtype_list(self):$/;" m class:TestRegression +test_append_masked_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_append_masked_array():$/;" f +test_append_masked_array_along_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_append_masked_array_along_axis():$/;" f +test_append_on_flex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_append_on_flex(self):$/;" m class:TestAppendFields +test_append_on_nested adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_append_on_nested(self):$/;" m class:TestAppendFields +test_append_recordDimension adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_append_recordDimension():$/;" f +test_append_single adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_append_single(self):$/;" m class:TestAppendFields +test_append_to_objects adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_append_to_objects(self):$/;" m class:TestAppendFieldsObj +test_apply_along_axis_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_apply_along_axis_matrix():$/;" f +test_apply_multiple_rotations_multiple_points adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_apply_multiple_rotations_multiple_points():$/;" f +test_apply_multiple_rotations_single_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_apply_multiple_rotations_single_point():$/;" f +test_apply_single_rotation_multiple_points adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_apply_single_rotation_multiple_points():$/;" f +test_apply_single_rotation_single_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_apply_single_rotation_single_point():$/;" f +test_apply_transform_if_faster adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_apply_transform_if_faster(self, value):$/;" m class:TestTransform +test_apply_window_0D_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_0D_ValueError(self):$/;" m class:TestWindow +test_apply_window_1D_axis1_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_1D_axis1_ValueError(self):$/;" m class:TestWindow +test_apply_window_1D_els_wrongsize_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_1D_els_wrongsize_ValueError(self):$/;" m class:TestWindow +test_apply_window_3D_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_3D_ValueError(self):$/;" m class:TestWindow +test_apply_window_hanning_1D adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_1D(self):$/;" m class:TestWindow +test_apply_window_hanning_1D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_1D_axis0(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_axis0(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_els1_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_els1_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_els2_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_els2_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_els3_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_els3_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_stack_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_stack_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_stack_windows_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_stack_windows_axis1(self):$/;" m class:TestWindow +test_apply_window_hanning_2D_stack_windows_axis1_unflatten adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_2D_stack_windows_axis1_unflatten(self):$/;" m class:TestWindow +test_apply_window_hanning_els1_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_els1_2D_axis0(self):$/;" m class:TestWindow +test_apply_window_hanning_els2_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_els2_2D_axis0(self):$/;" m class:TestWindow +test_apply_window_hanning_els3_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_els3_2D_axis0(self):$/;" m class:TestWindow +test_apply_window_hanning_els_1D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_hanning_els_1D_axis0(self):$/;" m class:TestWindow +test_apply_window_stride_windows_hanning_2D_n13_noverlapn3_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_apply_window_stride_windows_hanning_2D_n13_noverlapn3_axis0(self):$/;" m class:TestWindow +test_appropriate_low_level_id adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_appropriate_low_level_id(self):$/;" m class:TestCreateData +test_appropriate_low_level_id adpepsenv/lib/python3.8/site-packages/h5py/tests/test_datatype.py /^ def test_appropriate_low_level_id(self):$/;" m class:TestCreation +test_appropriate_low_level_id adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_appropriate_low_level_id(self):$/;" m class:TestCreate +test_approx adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_approx(self):$/;" m class:ConsistencyTests +test_approx adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_approx(self):$/;" m class:TestAnsari +test_approximation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_approximation(self):$/;" m class:TestInterpolate +test_approximation adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def test_approximation(self):$/;" m class:TestInterpolate +test_approx_heatmap_keypoint adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^ def test_approx_heatmap_keypoint(self):$/;" m class:TestHeatmapMaxKeypointOp +test_arange_endian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_arange_endian(self):$/;" m class:TestRegression +test_arange_inf_step adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_arange_inf_step(self):$/;" m class:TestRegression +test_arange_non_native_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_arange_non_native_dtype(self):$/;" m class:TestRegression +test_arange_underflow_stop_and_step adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_arange_underflow_stop_and_step(self):$/;" m class:TestRegression +test_arc_angles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_arc_angles():$/;" f +test_arc_ellipse adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_arc_ellipse():$/;" f +test_area_reconstitution adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_area_reconstitution(self, n, dim, radius, shift,$/;" m class:TestSphericalVoronoi +test_area_unsupported_dimension adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_area_unsupported_dimension(self):$/;" m class:TestSphericalVoronoi +test_are_validate_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def test_are_validate_args():$/;" f +test_argequivalent adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_argequivalent(self):$/;" m class:TestTakeAlongAxis +test_argmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^ def test_argmax(self, X, axis, keepdims, gc, dc):$/;" m class:TestArgOps +test_argmax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_argmax(self):$/;" m class:TestRegression +test_argmax adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_argmax(self):$/;" m class:_TestMinMax +test_argmax_argmin adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_argmax_argmin(self):$/;" m class:TestMaskedArrayMethods +test_argmax_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_argmax_byteorder(self):$/;" m class:TestRegression +test_argmax_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argmax_unicode(self):$/;" m class:TestArgmax +test_argmax_with_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argmax_with_out(self):$/;" m class:TestWritebackIfCopy +test_argmin adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/arg_ops_test.py /^ def test_argmin(self, X, axis, keepdims, gc, dc):$/;" m class:TestArgOps +test_argmin_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argmin_unicode(self):$/;" m class:TestArgmin +test_argmin_with_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argmin_with_out(self):$/;" m class:TestWritebackIfCopy +test_argpartition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argpartition(self):$/;" m class:TestZeroSizeFlexible +test_argpartition_empty_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argpartition_empty_array(self):$/;" m class:TestMethods +test_argpartition_gh5524 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argpartition_gh5524(self):$/;" m class:TestMethods +test_argpartition_integer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argpartition_integer(self):$/;" m class:TestMethods +test_argpartition_out_of_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argpartition_out_of_range(self):$/;" m class:TestMethods +test_args adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def test_args(self):$/;" m class:TestScalarSliceRules +test_args adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_args(self):$/;" m class:TestGradient +test_args adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_args():$/;" f +test_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^def test_args():$/;" f +test_args adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_args(self):$/;" m class:TestTrustRegionConstr +test_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_args(self):$/;" m class:TestHypergeom +test_args adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def test_args(self):$/;" m class:test +test_argsort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argsort(self):$/;" m class:TestMethods +test_argsort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_argsort(self):$/;" m class:TestZeroSizeFlexible +test_argsort adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_argsort(self):$/;" m class:TestMaskedArrayMethods +test_argsort_matches_sort adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_argsort_matches_sort(self):$/;" m class:TestMaskedArrayMethods +test_args_and_kwargs_contain_different_types adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_args_and_kwargs_contain_different_types(self):$/;" m class:JitRpcOpTest +test_args_in_kwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_args_in_kwargs(self):$/;" m class:TestCurveFit +test_args_kwargs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_args_kwargs(self):$/;" m class:BaseMixin +test_args_kwargs_are_neither_passed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_args_kwargs_are_neither_passed(self):$/;" m class:JitRpcOpTest +test_args_tuple_is_passed adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_args_tuple_is_passed(self):$/;" m class:TestDifferentialEvolutionSolver +test_arguments adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_arguments(self):$/;" m class:TestNdpointerCFunc +test_argument_checking adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_argument_checking(self):$/;" m class:TestKSTwoSamples +test_argument_eager_tensor adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_argument_eager_tensor(self):$/;" m class:Jax2TfTest +test_argument_error_handling adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_argument_error_handling(self):$/;" m class:TestMultivariateT +test_argument_validation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_argument_validation(self):$/;" m class:TestMultivariateHypergeometric +test_argus_rvs_large_chi adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_argus_rvs_large_chi(self):$/;" m class:TestArgus +test_argus_rvs_ratio_uniforms adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_argus_rvs_ratio_uniforms(self):$/;" m class:TestArgus +test_arg_avals adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_arg_avals(self):$/;" m class:ShapePolyTest +test_arg_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_arg_scope(self):$/;" m class:BrewTest +test_arg_scope_nested adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_arg_scope_nested(self):$/;" m class:BrewTest +test_arg_scope_single adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_arg_scope_single(self):$/;" m class:BrewTest +test_arithmetic_drops_references adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_arithmetic_drops_references(self):$/;" m class:TestMemmap +test_arithmetic_with_masked_singleton adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_arithmetic_with_masked_singleton(self):$/;" m class:TestMaskedArrayArithmetic +test_arithmetic_with_masked_singleton_on_1d_singleton adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_arithmetic_with_masked_singleton_on_1d_singleton(self):$/;" m class:TestMaskedArrayArithmetic +test_armijo_terminate_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_armijo_terminate_1(self):$/;" m class:TestLineSearch +Test_ARM_Features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^class Test_ARM_Features(AbstractTest):$/;" c +test_arnoldi adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_arnoldi(self):$/;" m class:TestGCROTMK +test_arnoldi adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_arnoldi(self):$/;" m class:TestLGMRES +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_array(self):$/;" m class:TestFromCTypes +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array(self):$/;" m class:TestArrayConstruction +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_array(self):$/;" m class:TestCpow +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_array(self, arraysize, stride, astype):$/;" m class:TestComplexAbsoluteAVX +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_array(self, stride, astype):$/;" m class:TestSpecialComplexAVX +test_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_array(self, stride, astype, func):$/;" m class:TestComplexAbsoluteMixedDTypes +test_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_array(self):$/;" m class:RoundtripTest +test_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_array(self):$/;" m class:TestFromTxt +test_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_array(self):$/;" m class:TestLoadTxt +test_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_array(self):$/;" m class:TestSaveTxt +test_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_array(self):$/;" m class:TestNanToNum +test_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_array(self):$/;" m class:TestSeed +test_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_array(self):$/;" m class:TestSeed +test_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_array(self):$/;" m class:TestSeed +test_array adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_array(self):$/;" m class:TestAsArray +test_arraymethod adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_arraymethod(self):$/;" m class:TestMaskedArrayMethods +test_arraymethod_0d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_arraymethod_0d(self):$/;" m class:TestMaskedArrayMethods +test_arrays adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays(self):$/;" m class:TestPointerStructures +test_arrays adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays(self):$/;" m class:TestStructures +test_arrays_corrupt_idl80 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays_corrupt_idl80(self):$/;" m class:TestStructures +test_arrays_not_hashable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_arrays_not_hashable(self):$/;" m class:TestHashing +test_arrays_replicated adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays_replicated(self):$/;" m class:TestPointerStructures +test_arrays_replicated adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays_replicated(self):$/;" m class:TestStructures +test_arrays_replicated_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays_replicated_3d(self):$/;" m class:TestPointerStructures +test_arrays_replicated_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_arrays_replicated_3d(self):$/;" m class:TestStructures +test_arraytypes_fasttake adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_arraytypes_fasttake(self):$/;" m class:TestIndexErrors +test_array_almost_equal_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_array_almost_equal_matrix():$/;" f +test_array_and_stringlike_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_array_and_stringlike_roundtrip(strtype):$/;" f +test_array_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_array_array():$/;" f +test_array_astype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_array_astype():$/;" f +test_array_astype adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_array_astype():$/;" f +test_array_astype_warning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_array_astype_warning(t):$/;" f +test_array_as_window adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_array_as_window(self):$/;" m class:TestGetWindow +test_array_basic1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_array_basic1(self):$/;" m class:TestFixedPoint +test_array_basic2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_array_basic2(self):$/;" m class:TestFixedPoint +test_array_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_array_bounds(self):$/;" m class:TestSLSQP +test_array_called adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^def test_array_called():$/;" f +test_array_construction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_array_construction(self):$/;" m class:TestDTypeCoercion +test_array_cont adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_cont(self):$/;" m class:TestArrayConstruction +test_array_contains adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_contains(self):$/;" m class:TestCequenceMethods +test_array_conversion adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_array_conversion(self):$/;" m class:TestZeroShape +test_array_copy_false adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_copy_false(self):$/;" m class:TestArrayConstruction +test_array_copy_true adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_copy_true(self):$/;" m class:TestArrayConstruction +test_array_diffshape adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_array_diffshape(self):$/;" m class:_GenericTest +test_array_double adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_double(self):$/;" m class:TestClip +test_array_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_empty(self):$/;" m class:TestArrayConstruction +test_array_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_equal(self):$/;" m class:TestArrayComparisons +test_array_equal_equal_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_equal_equal_nan(self):$/;" m class:TestArrayComparisons +test_array_equal_error_message_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_array_equal_error_message_matrix():$/;" f +test_array_equiv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_equiv(self):$/;" m class:TestArrayComparisons +test_array_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_float32(self):$/;" m class:TestSizeOf +test_array_float64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_float64(self):$/;" m class:TestSizeOf +test_array_from_matrix_list adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_array_from_matrix_list(self):$/;" m class:TestNewScalarIndexing +test_array_from_sequence_scalar_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_from_sequence_scalar_array(self):$/;" m class:TestRegression +test_array_from_sequence_scalar_array2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_from_sequence_scalar_array2(self):$/;" m class:TestRegression +test_array_function_dispatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_array_function_dispatch(self):$/;" m class:TestVerifyMatchingSignatures +test_array_impossible_casts adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_array_impossible_casts(array):$/;" f +test_array_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_index(self):$/;" m class:TestRegression +test_array_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_array_input(self):$/;" m class:TestMatrixNormal +test_array_instance adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_array_instance(self):$/;" m class:Test_sctype2char +test_array_int32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_int32(self):$/;" m class:TestSizeOf +test_array_int64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_int64(self):$/;" m class:TestSizeOf +test_array_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_array_interface(self):$/;" m class:TestLinspace +test_array_interface_empty_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_array_interface_empty_shape():$/;" f +test_array_interface_itemsize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_array_interface_itemsize():$/;" f +test_array_interface_offset adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_array_interface_offset():$/;" f +test_array_like adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_array_like(self):$/;" m class:TestMedian +test_array_like adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_array_like(self):$/;" m class:TestSinc +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_array_like(self):$/;" m class:TestCurveFit +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_array_like(self):$/;" m class:_TestImpulseFuncs +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_array_like(self):$/;" m class:_TestStepFuncs +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_array_like(self):$/;" m class:TestBoxcox_llf +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_array_like(self):$/;" m class:TestYeojohnson +test_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_array_like(self):$/;" m class:TestYeojohnson_llf +test_array_like2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_array_like2(self):$/;" m class:_TestImpulseFuncs +test_array_likes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_likes(self):$/;" m class:TestMoveaxis +test_array_likes adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_array_likes(self):$/;" m class:_GenericTest +test_array_like_add adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_array_like_add(self):$/;" m class:TestNDArrayOperatorsMixin +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestLSQBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestLSQSphereBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestRectBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestRectSphereBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestSmoothBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestSmoothSphereBivariateSpline +test_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_array_like_input(self):$/;" m class:TestUnivariateSpline +test_array_memory_sharing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_array_memory_sharing(self):$/;" m class:TestShape +test_array_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_array_method(self):$/;" m class:TestNonzero +test_array_ndmin_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_ndmin_overflow(self):$/;" m class:TestRegression +test_array_newton adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_array_newton(self):$/;" m class:TestBasic +test_array_newton_complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_array_newton_complex(self):$/;" m class:TestBasic +test_array_newton_failures adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_array_newton_failures():$/;" f +test_array_newton_integers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_array_newton_integers(self):$/;" m class:TestBasic +test_array_newton_zero_der_failures adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_array_newton_zero_der_failures(self):$/;" m class:TestBasic +test_array_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_array_object(self):$/;" m class:RoundtripTest +test_array_of_ragged_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_of_ragged_array(self):$/;" m class:TestCreation +test_array_of_size_one adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_array_of_size_one(self):$/;" m class:TestProbplot +test_array_order_preserve adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_array_order_preserve(self):$/;" m class:TestDelete +test_array_patch_perimeters adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_array_patch_perimeters():$/;" f +test_array_priority_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_priority_override(self):$/;" m class:TestMatmulOperator +test_array_rank1_eq adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_array_rank1_eq(self):$/;" m class:_GenericTest +test_array_rank1_noteq adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_array_rank1_noteq(self):$/;" m class:_GenericTest +test_array_rank2_eq adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_array_rank2_eq(self):$/;" m class:_GenericTest +test_array_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_array_repr():$/;" f +test_array_resize_method_system_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_resize_method_system_error(self):$/;" m class:TestRegression +test_array_richcompare_legacy_weirdness adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_array_richcompare_legacy_weirdness(self):$/;" m class:TestComparisonDeprecations +test_array_scalar_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_scalar_contiguous(self):$/;" m class:TestRegression +test_array_scalar_relational_operation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_scalar_relational_operation(self):$/;" m class:TestConversion +test_array_secant_active_zero_der adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_array_secant_active_zero_der(self):$/;" m class:TestBasic +test_array_serde adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_array_serde(self, name, value, device_option):$/;" m class:TestCWorkspace +test_array_side_effect adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_side_effect(self):$/;" m class:TestRegression +test_array_str_64bit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_str_64bit(self):$/;" m class:TestRegression +test_array_too_big adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_too_big(self):$/;" m class:TestCreation +test_array_too_big adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_array_too_big(self):$/;" m class:TestRegression +test_array_too_many_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_array_too_many_args(self):$/;" m class:TestSpecialMethods +test_array_to_index_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_array_to_index_error(self):$/;" m class:TestArrayToIndexDeprecation +test_array_to_list adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_array_to_list(self):$/;" m class:TestNewScalarIndexing +test_array_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_array_trivial(self):$/;" m class:TestFixedPoint +test_array_ufunc_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_array_ufunc_index(self):$/;" m class:TestBinop +test_array_with_nans_fails adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_array_with_nans_fails(self):$/;" m class:TestConvexHull +test_array_with_nans_fails adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_array_with_nans_fails(self):$/;" m class:TestDelaunay +test_arrow_contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_arrow_contains_point():$/;" f +test_arrow_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_arrow_empty():$/;" f +test_arrow_in_view adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_arrow_in_view():$/;" f +test_arrow_simple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_arrow_simple():$/;" f +test_arrow_styles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_arrow_styles():$/;" f +test_arr_mult adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_arr_mult(self, func):$/;" m class:TestMethods +test_arr_transpose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_arr_transpose(self):$/;" m class:TestRegression +test_arr_weights_mismatch adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_arr_weights_mismatch(self):$/;" m class:TestHistogram +test_artist_inspector_get_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_artist_inspector_get_aliases():$/;" f +test_artist_inspector_get_valid_values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_artist_inspector_get_valid_values(accept_clause, expected):$/;" f +test_asarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_asarray(self):$/;" m class:TestMaskedArray +test_asarray_default_order adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_asarray_default_order(self):$/;" m class:TestMaskedArray +test_asarray_enforce_order adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_asarray_enforce_order(self):$/;" m class:TestMaskedArray +test_asarray_with_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_asarray_with_order(self):$/;" m class:TestRegression +test_ascent adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_common.py /^def test_ascent():$/;" f +test_ascii adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_ascii(self):$/;" m class:TestUnicode +test_ascii adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ascii(self):$/;" m class:TestIO +test_asfarray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_asfarray(self):$/;" m class:TestArrayConversion +test_asfarray_none adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_asfarray_none(self):$/;" m class:TestRegression +test_asfptype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_asfptype(self):$/;" m class:_TestCommon +test_asin adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^ def test_asin(self, X, gc, dc):$/;" m class:TestTrigonometricOp +test_asmatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_asmatrix(self):$/;" m class:TestProperties +test_asmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_asmatrix(self):$/;" m class:TestSparseUtils +test_aspect_equal_error adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_aspect_equal_error():$/;" f +test_aspect_nonlinear_adjustable_box adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_aspect_nonlinear_adjustable_box():$/;" f +test_aspect_nonlinear_adjustable_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_aspect_nonlinear_adjustable_datalim():$/;" f +test_assert adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/assert_test.py /^ def test_assert(self, dtype, shape, gc, dc):$/;" m class:TestAssert +test_asserts adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_asserts(self):$/;" m class:TestAssertNoGcCycles +test_assert_deallocated adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_assert_deallocated():$/;" f +test_assert_deallocated_circular adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_assert_deallocated_circular():$/;" f +test_assert_deallocated_circular2 adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_assert_deallocated_circular2():$/;" f +test_assert_deallocated_nodel adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_assert_deallocated_nodel():$/;" f +test_assert_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_assert_deprecated(self):$/;" m class:TestTestDeprecated +test_assert_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_assert_equal(self):$/;" m class:TestDateTime +test_assert_raises_regex_context_manager adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_assert_raises_regex_context_manager():$/;" f +test_assign adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_assign(self):$/;" m class:TestCompound +test_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_assign(self):$/;" m class:TestEmptyField +test_assigning_docstring adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_assigning_docstring(self):$/;" m class:TestVectorize +test_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assignment(self):$/;" m class:TestStructured +test_assignment1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_assignment1(self):$/;" m class:TestRecord +test_assignment2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_assignment2(self):$/;" m class:TestRecord +test_assignment_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assignment_broadcasting(self):$/;" m class:TestAssignment +test_assignment_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assignment_errors(self):$/;" m class:TestAssignment +test_assign_1d_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_assign_1d_slice(self):$/;" m class:_TestSlicingAssign +test_assign_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_assign_dtype(self):$/;" m class:TestMaskedArrayAttributes +test_assign_dtype_attribute adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_assign_dtype_attribute(self, nfields):$/;" m class:TestRecord +test_assign_empty_spmatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_assign_empty_spmatrix(self):$/;" m class:_TestSlicingAssign +test_assign_from_sequence_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_assign_from_sequence_error(self):$/;" m class:TestRegression +test_assign_mask adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assign_mask(self):$/;" m class:TestFancyIndexing +test_assign_mask2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assign_mask2(self):$/;" m class:TestFancyIndexing +test_assign_obj_listoflists adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_assign_obj_listoflists(self):$/;" m class:TestRegression +test_assign_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_assign_scalar(self):$/;" m class:TestUnicodeEncoding +test_assoc_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_assoc_laguerre(self):$/;" m class:TestAssocLaguerre +test_assume_a_keyword adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_assume_a_keyword(self):$/;" m class:TestSolve +test_assume_sorted adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_assume_sorted(self):$/;" m class:TestInterp1D +test_assume_sorted_indices_flag adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_assume_sorted_indices_flag(self):$/;" m class:TestFactorized +test_asterisk_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_asterisk_marker(fig_test, fig_ref, request):$/;" f +test_astype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_astype(self):$/;" m class:TestAstype +test_astype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_astype(self):$/;" m class:_TestCommon +test_astype_basic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_astype_basic(dt1, dt2):$/;" f +test_astype_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_astype_copy(self):$/;" m class:TestRegression +test_astype_mask_ordering adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_astype_mask_ordering():$/;" f +test_asum adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_asum(self):$/;" m class:TestFBLAS1Simple +test_asym adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_asym(self):$/;" m class:TestHistogram2d +test_asymmetric_fail adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_asymmetric_fail():$/;" f +test_asymmetric_laplace_log_10_16 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_asymmetric_laplace_log_10_16(self):$/;" m class:TestLaplaceasymmetric +test_asymmetric_laplace_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_asymmetric_laplace_pdf(self):$/;" m class:TestLaplaceasymmetric +test_asymmetric_laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def test_asymmetric_laplacian():$/;" f +test_asymptotes adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^ def test_asymptotes(self):$/;" m class:TestNdtri +test_async_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_add(self):$/;" m class:RpcTest +test_async_class_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_class_method(self):$/;" m class:RpcTest +test_async_class_method_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_class_method_remote(self):$/;" m class:RpcTest +test_async_class_rref_proxy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_class_rref_proxy(self):$/;" m class:RpcTest +test_async_class_rref_proxy_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_class_rref_proxy_async(self):$/;" m class:RpcTest +test_async_class_rref_proxy_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_class_rref_proxy_remote(self):$/;" m class:RpcTest +test_async_dist_autograd adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_async_dist_autograd(self):$/;" m class:DistAutogradTest +test_async_exception_handling adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_async_exception_handling(self):$/;" m class:TestOperatorTraceback +test_async_function_chained adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_chained(self):$/;" m class:RpcTest +test_async_function_chained_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_chained_remote(self):$/;" m class:RpcTest +test_async_function_multi_chained adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_chained(self):$/;" m class:RpcTest +test_async_function_multi_chained_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_chained_async(self):$/;" m class:RpcTest +test_async_function_multi_chained_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_chained_remote(self):$/;" m class:RpcTest +test_async_function_multi_fanout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_fanout(self):$/;" m class:RpcTest +test_async_function_multi_fanout_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_fanout_async(self):$/;" m class:RpcTest +test_async_function_multi_fanout_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_multi_fanout_remote(self):$/;" m class:RpcTest +test_async_function_nested adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_nested(self):$/;" m class:RpcTest +test_async_function_nested_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_nested_remote(self):$/;" m class:RpcTest +test_async_function_raise adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_raise(self):$/;" m class:RpcTest +test_async_function_raise_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_raise_async(self):$/;" m class:RpcTest +test_async_function_raise_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_raise_remote(self):$/;" m class:RpcTest +test_async_function_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_remote(self):$/;" m class:JitRpcTest +test_async_function_remote_multi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_remote_multi(self):$/;" m class:JitRpcTest +test_async_function_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_simple(self):$/;" m class:JitRpcTest +test_async_function_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_simple(self):$/;" m class:RpcTest +test_async_function_with_future_ctor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_with_future_ctor(self):$/;" m class:RpcTest +test_async_function_with_future_ctor_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_with_future_ctor_remote(self):$/;" m class:RpcTest +test_async_function_wrong_decorator_order adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_wrong_decorator_order(self):$/;" m class:JitRpcTest +test_async_function_wrong_return_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_wrong_return_type(self):$/;" m class:JitRpcTest +test_async_function_wrong_return_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_wrong_return_type(self):$/;" m class:RpcTest +test_async_function_wrong_return_type_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_wrong_return_type_async(self):$/;" m class:RpcTest +test_async_function_wrong_return_type_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_function_wrong_return_type_remote(self):$/;" m class:JitRpcTest +test_async_function_wrong_return_type_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_function_wrong_return_type_remote(self):$/;" m class:RpcTest +test_async_record_function_cbs_jit_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_record_function_cbs_jit_call(self):$/;" m class:RpcTest +test_async_record_function_double_end_callbacks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_record_function_double_end_callbacks(self):$/;" m class:RpcTest +test_async_script_throw adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_script_throw(self):$/;" m class:JitRpcTest +test_async_script_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_async_script_udf(self):$/;" m class:JitRpcTest +test_async_static_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_static_method(self):$/;" m class:RpcTest +test_async_static_method_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_async_static_method_remote(self):$/;" m class:RpcTest +test_as_euler_asymmetric_axes adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_euler_asymmetric_axes():$/;" f +test_as_euler_degenerate_asymmetric_axes adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_euler_degenerate_asymmetric_axes():$/;" f +test_as_euler_degenerate_symmetric_axes adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_euler_degenerate_symmetric_axes():$/;" f +test_as_euler_symmetric_axes adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_euler_symmetric_axes():$/;" f +test_as_generic_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_generic_mrp():$/;" f +test_as_generic_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_generic_rotvec():$/;" f +test_as_index adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_as_index(self):$/;" m class:TestAsPairs +test_as_matrix_from_generic_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_matrix_from_generic_input():$/;" f +test_as_matrix_from_square_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_matrix_from_square_input():$/;" f +test_as_matrix_single_1d_quaternion adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_matrix_single_1d_quaternion():$/;" f +test_as_matrix_single_2d_quaternion adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_matrix_single_2d_quaternion():$/;" f +test_as_mpl_axes_api adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_as_mpl_axes_api():$/;" f +test_as_mrp_single_1d_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_mrp_single_1d_input():$/;" f +test_as_mrp_single_2d_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_mrp_single_2d_input():$/;" f +test_as_rotvec_single_1d_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_rotvec_single_1d_input():$/;" f +test_as_rotvec_single_2d_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_as_rotvec_single_2d_input():$/;" f +test_as_series adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_as_series(self):$/;" m class:TestMisc +test_as_strided adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_as_strided():$/;" f +test_atan adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^ def test_atan(self, X, gc, dc):$/;" m class:TestTrigonometricOp +test_atleast_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_atleast_2d(self):$/;" m class:TestShapeBase +test_atleast_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_atleast_2d(self):$/;" m class:TestRegression +test_atol adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_atol(solver):$/;" f +test_atol_legacy adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_atol_legacy(self):$/;" m class:TestGMRES +test_atomic64_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/atomic_ops_test.py /^ def test_atomic64_ops(self):$/;" m class:TestAtomicOps +test_atomic_iter_with_concurrent_steps adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_atomic_iter_with_concurrent_steps(self, initial_iters, num_iters,$/;" m class:TestOperators +test_atomic_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/atomic_ops_test.py /^ def test_atomic_ops(self):$/;" m class:TestAtomicOps +test_attach_dimensionscale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_attach_dimensionscale(self):$/;" m class:TestH5DSBindings +test_attach_scale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_attach_scale(self):$/;" m class:TestDimensionsHighLevel +test_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^ def test_attention(self):$/;" m class:Seq2SeqBeamSearchTest +test_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def test_attr(T, name=None):$/;" f +test_attributepropagation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_attributepropagation(self):$/;" m class:TestSubclassing +test_attributes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_attributes(self):$/;" m class:TestDimensionsHighLevel +test_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_attributes(self):$/;" m class:TestMemmap +test_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_attributes(self):$/;" m class:TestAttributes +test_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_attributes(self):$/;" m class:TestRegression +test_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_attributes(self):$/;" m class:TestAttributes +test_attributes adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_attributes(self):$/;" m class:TestQuotedNominal +test_attributes adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_attributes(self):$/;" m class:TestQuotedNominalSpaces +test_attributes adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_attributes(self):$/;" m class:TestRelationalAttribute +test_attributes adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_attributes(self):$/;" m class:TestRelationalAttributeLong +test_attributes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_attributes():$/;" f +test_attributes_present adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_attributes_present(self):$/;" m class:TestOptimizeResultAttributes +test_attributes_readonly adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_attributes_readonly(self):$/;" m class:TestMaskedConstant +test_attribute_access adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_attribute_access(self):$/;" m class:TestMakeTupleBunch +test_attribute_types adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_attribute_types(self, radius, center):$/;" m class:TestSphericalVoronoi +test_attrs_completions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_completions.py /^ def test_attrs_completions(self):$/;" m class:TestCompletions +test_attr_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def test_attr_eager_fallback(T, name, ctx):$/;" f +test_attr_printing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_threads.py /^ def test_attr_printing(self):$/;" m class:TestErrorPrinting +test_at_least_one_field_name_required adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_at_least_one_field_name_required(self):$/;" m class:TestMakeTupleBunch +test_auto adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_auto(self):$/;" m class:Test_bode +test_auto adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_auto(self):$/;" m class:Test_dfreqresp +test_auto adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_auto(self):$/;" m class:TestWilcoxon +test_autoclose adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_autoclose(self):$/;" m class:TestDealloc +test_autoconversion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_autoconversion(self):$/;" m class:TestStackArrays +test_autofmt_xdate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_autofmt_xdate(which):$/;" f +test_autograd_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_autograd_context(self):$/;" m class:DistAutogradTest +test_autograd_gradient adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_autograd_gradient():$/;" f +test_autoindent adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_autoindent(self):$/;" m class:IndentationTest +test_autonames_and_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_autonames_and_usecols(self):$/;" m class:TestFromTxt +test_autoscale adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_autoscale():$/;" f +test_autoscale_log_shared adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_autoscale_log_shared():$/;" f +test_autoscale_masked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_autoscale_masked():$/;" f +test_autoscale_tight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_autoscale_tight():$/;" f +test_autoscale_tiny_range adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_autoscale_tiny_range():$/;" f +test_autoscale_tiny_sticky adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_autoscale_tiny_sticky():$/;" f +test_autostrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_autostrip(self):$/;" m class:TestFromTxt +test_auto_backend_custom_array_no_tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_auto_backend_custom_array_no_tensordot():$/;" f +test_auto_chunks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_auto_chunks(self):$/;" m class:TestCreateChunked +test_auto_chunks_abuse adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_auto_chunks_abuse(self):$/;" m class:TestCreateChunked +test_auto_column adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_auto_column():$/;" f +test_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_auto_date_locator():$/;" f +test_auto_date_locator_intmult adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_auto_date_locator_intmult():$/;" f +test_auto_date_locator_intmult_tz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_auto_date_locator_intmult_tz():$/;" f +test_auto_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_auto_dtype(self):$/;" m class:TestFromTxt +test_auto_dtype_largeint adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_auto_dtype_largeint(self):$/;" m class:TestFromTxt +test_auto_dtype_uniform adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_auto_dtype_uniform(self):$/;" m class:TestFromTxt +test_auto_n adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_auto_n(self):$/;" m class:TestDecimate +test_auto_naming adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_auto_naming(self):$/;" m class:TestAutoNaming +test_auto_numticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_auto_numticks():$/;" f +test_auto_numticks_log adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_auto_numticks_log():$/;" f +test_auto_rcond adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^def test_auto_rcond(scale, pinv_):$/;" f +test_available adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_available():$/;" f +test_average adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_average(self):$/;" m class:TestWelch +test_averaged_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_averaged_loss(self, X, gc, dc):$/;" m class:TestOperators +test_averaged_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/loss_ops_test.py /^ def test_averaged_loss(self, n, gc, dc):$/;" m class:TestLossOps +test_average_all_segments adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_average_all_segments(self):$/;" m class:TestSpectrogram +test_average_all_segments adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_average_all_segments(self):$/;" m class:TestSTFT +test_average_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_average_matrix():$/;" f +test_average_precision adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^ def test_average_precision(self, predictions, labels, gc, dc):$/;" m class:TestAPMeterOps +test_average_precision_small_buffer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/apmeter_test.py /^ def test_average_precision_small_buffer(self, predictions, labels, gc, dc):$/;" m class:TestAPMeterOps +test_avg_pool_count_include_pad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_avg_pool_count_include_pad($/;" m class:TestPooling +test_avg_put_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_avg_put_ops(self):$/;" m class:TestPutOps +test_avx_based_ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_avx_based_ufunc(self):$/;" m class:TestAVXUfuncs +test_aweights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_aweights(self):$/;" m class:TestCov +test_ax3d_tickcolour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_ax3d_tickcolour():$/;" f +test_Axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axislines.py /^def test_Axes():$/;" f +test_axes adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_axes(self, op):$/;" m class:TestFFT1D +test_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_axes(self):$/;" m class:TestFlip +test_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_axes(self):$/;" m class:TestRot90 +test_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_axes(self, op):$/;" m class:TestFFT1D +test_axes adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_axes(axis_2d, expected_2d):$/;" f +test_axes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axes(self):$/;" m class:TestMode +test_axes3d_cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_axes3d_cla():$/;" f +test_axes3d_labelpad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_axes3d_labelpad():$/;" f +test_axes3d_ortho adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_axes3d_ortho():$/;" f +test_axes3d_rotated adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_axes3d_rotated():$/;" f +test_axesgrid_colorbar_log_smoketest adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_axesgrid_colorbar_log_smoketest(legacy_colorbar):$/;" f +test_axesimage_setdata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_axesimage_setdata():$/;" f +test_axes_and_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_axes_and_shape(self, fforward, finverse):$/;" m class:Test_DCTN_IDCTN +test_axes_and_shape adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_axes_and_shape(self, fforward, finverse):$/;" m class:Test_DCTN_IDCTN +test_axes_argument adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_axes_argument(self):$/;" m class:TestUfunc +test_axes_argument adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_axes_argument(self):$/;" m class:TestFftn +test_axes_argument adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_axes_argument(self):$/;" m class:TestFftn +test_axes_class_tuple adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_axes_class_tuple():$/;" f +test_axes_keyword adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_axes_keyword(self):$/;" m class:TestFFTShift +test_axes_locatable_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_axes_locatable_position():$/;" f +test_axes_margins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axes_margins():$/;" f +test_axes_removal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_axes_removal():$/;" f +test_axes_remove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_axes_remove():$/;" f +test_axes_round_trip adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_axes_round_trip(self, fforward, finverse, axes, dct_type, norm):$/;" m class:Test_DCTN_IDCTN +test_axes_round_trip adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_axes_round_trip(self, fforward, finverse, axes, dct_type, norm):$/;" m class:Test_DCTN_IDCTN +test_axes_subset_with_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_axes_subset_with_shape(self, op):$/;" m class:TestFFT1D +test_axes_tick_params_gridlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axes_tick_params_gridlines():$/;" f +test_axes_tick_params_xlabelside adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axes_tick_params_xlabelside():$/;" f +test_axes_tick_params_ylabelside adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axes_tick_params_ylabelside():$/;" f +test_axes_titlecolor_rcparams adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_axes_titlecolor_rcparams():$/;" f +test_axes_titles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_axes_titles():$/;" f +test_axhspan_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axhspan_epoch():$/;" f +test_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_axis(self):$/;" m class:TestCompress +test_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_axis(self):$/;" m class:TestMinMax +test_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_axis(self):$/;" m class:TestDiff +test_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_axis(self):$/;" m class:TestPercentile +test_axis adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_axis(self):$/;" m class:_TestNormGeneral +test_axis adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_axis(self, rdt, type, size):$/;" m class:TestDCT +test_axis adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_axis(self):$/;" m class:_TestDCTBase +test_axis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_axis(self, axis):$/;" m class:TestBSpline +test_axis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_axis(self):$/;" m class:TestPPolyCommon +test_axis adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_axis(self):$/;" m class:TestFiltFilt +test_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_axis(self):$/;" m class:TestRankData +test_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axis(self):$/;" m class:TestIQR +test_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axis(self):$/;" m class:TestPowerDivergence +test_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axis(self):$/;" m class:TestScoreatpercentile +test_axisbelow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axisbelow():$/;" f +test_axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_axisinfo(self):$/;" m class:TestStrCategoryConverter +test_axis_and_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axis_and_nan(self):$/;" m class:TestMedianAbsDeviation +test_axis_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_axis_args(self):$/;" m class:TestSolveCirculant +test_axis_argument adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_axis_argument(self):$/;" m class:TestUfunc +test_axis_argument_errors adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_axis_argument_errors(self):$/;" m class:TestMedian +test_axis_artist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axis_artist.py /^def test_axis_artist():$/;" f +test_axis_bool_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axis_bool_arguments(fig_test, fig_ref):$/;" f +test_axis_count adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ def test_axis_count(self, kwargs):$/;" m class:TestCount +test_axis_default adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_axis_default(self):$/;" m class:TestMinimumMaximum +test_axis_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^def test_axis_direction():$/;" f +test_axis_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_axis_error(self):$/;" m class:TestFOneWay +test_axis_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_axis_exc(self):$/;" m class:TestResize +test_axis_extent_arg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axis_extent_arg():$/;" f +test_axis_insertion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_axis_insertion(self, cls=np.ndarray):$/;" m class:TestApplyAlongAxis +test_axis_insertion_ma adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_axis_insertion_ma(self):$/;" m class:TestApplyAlongAxis +test_axis_keyword adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_axis_keyword(self):$/;" m class:TestMedian +test_axis_kwd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_axis_kwd(self):$/;" m class:TestMatrixNorms +test_axis_kwd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_axis_kwd(self):$/;" m class:TestVectorNorms +test_axis_methods_nomask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_axis_methods_nomask(self):$/;" m class:TestMaskedArrayMathMethods +test_axis_None adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_axis_None(self):$/;" m class:TestNormalitytests +test_axis_out_of_bounds adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_axis_out_of_bounds(self):$/;" m class:TestUfunc +test_axis_out_of_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_axis_out_of_range(self):$/;" m class:TestExpandDims +test_axis_reverse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_axis_reverse(self):$/;" m class:TestArrayTools +test_axis_rolling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_axis_rolling(self):$/;" m class:TestSTFT +test_axis_rolling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_axis_rolling(self):$/;" m class:TestWelch +test_axis_set_tick_params_labelsize_labelcolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axis_set_tick_params_labelsize_labelcolor():$/;" f +test_axis_slice adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_axis_slice(self):$/;" m class:TestArrayTools +test_axis_spec adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_axis_spec(self):$/;" m class:TestRepeat +test_axis_tuple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_axis_tuple(self):$/;" m class:TestExpandDims +test_axis_valid adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_axis_valid(axis):$/;" f +test_axline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axline(fig_test, fig_ref):$/;" f +test_axline_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axline_args():$/;" f +test_axpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_axpy(self):$/;" m class:TestCBLAS1Simple +test_axpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_axpy(self):$/;" m class:TestFBLAS1Simple +test_axvspan_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_axvspan_epoch():$/;" f +test_a_centered adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_a_centered(self):$/;" m class:Test_measurements_stats +test_a_eq_0_x_gt_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_a_eq_0_x_gt_0(self):$/;" m class:TestGammainc +test_a_eq_0_x_gt_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_a_eq_0_x_gt_0(self):$/;" m class:TestGammaincc +test_a_is_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_a_is_1(self):$/;" m class:TestExponWeib +test_a_is_1_c_is_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_a_is_1_c_is_1(self):$/;" m class:TestExponWeib +test_a_negative_integer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_a_negative_integer(self, a, b, x, result):$/;" m class:TestHyp1f1 +test_A_nosquare_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_A_nosquare_fails(self):$/;" m class:Test_abcd_normalize +test_b adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_b(self):$/;" m class:Test_measurements_stats +test_b8_bool adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_b8_bool(self):$/;" m class:TestB8 +test_b8_bool_array adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_b8_bool_array(self):$/;" m class:TestB8 +test_b8_bool_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_b8_bool_compound(self):$/;" m class:TestB8 +test_b8_bool_compound_nested adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_b8_bool_compound_nested(self):$/;" m class:TestB8 +test_backend_call adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^def test_backend_call(func, np_func, mock):$/;" f +test_Backend_enum_class adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_Backend_enum_class(self):$/;" m class:DistributedTest._DistTestBase +test_backend_fallback_headful adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_backend_fallback_headful(tmpdir):$/;" f +test_backend_fallback_headless adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_backend_fallback_headless(tmpdir):$/;" f +test_backend_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_backend_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_backend_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_backend_group(self):$/;" m class:DistributedTest._DistTestBase +test_backend_plan adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_backend.py /^def test_backend_plan(func, mock):$/;" f +test_backing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_backing(self):$/;" m class:TestDrivers +test_backprop adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_backprop(self):$/;" m class:TestReShapeOps +test_backprop adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_backprop(self):$/;" m class:TestLengthsToShapeOps +test_backwards_compatibility adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_backwards_compatibility(self):$/;" m class:TestSetState +test_backwards_compatibility adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_backwards_compatibility(self):$/;" m class:TestSetState +test_backwards_flow adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_backwards_flow():$/;" f +test_backwards_nested_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backwards_nested_python_udf(self):$/;" m class:DistAutogradTest +test_backward_accumulate_grads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_accumulate_grads(self):$/;" m class:DistAutogradTest +test_backward_autograd_engine_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_autograd_engine_error(self):$/;" m class:DistAutogradTest +test_backward_compat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_backward_compat(self):$/;" m class:TestFreqs +test_backward_compat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_backward_compat(self):$/;" m class:TestFreqs_zpk +test_backward_compat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_backward_compat(self):$/;" m class:TestFreqz +test_backward_compat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_backward_compat(self):$/;" m class:TestFreqz_zpk +test_backward_compat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_backward_compat(self):$/;" m class:TestGroupDelay +test_backward_complex_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_complex_python_udf(self):$/;" m class:DistAutogradTest +test_backward_ddp_inside adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_backward_ddp_inside(self):$/;" m class:DdpUnderDistAutogradTest +test_backward_ddp_outside adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_backward_ddp_outside(self):$/;" m class:DdpUnderDistAutogradTest +test_backward_ddp_outside_uneven_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_backward_ddp_outside_uneven_inputs(self):$/;" m class:DdpUnderDistAutogradTest +test_backward_diff adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_backward_diff(self):$/;" m class:TestC2D +test_backward_different_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_different_dtypes(self):$/;" m class:DistAutogradTest +test_backward_different_tensor_dims adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_different_tensor_dims(self):$/;" m class:DistAutogradTest +test_backward_invalid_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_invalid_args(self):$/;" m class:DistAutogradTest +test_backward_multiple_output_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_multiple_output_tensors(self):$/;" m class:DistAutogradTest +test_backward_multiple_roots adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_multiple_roots(self):$/;" m class:DistAutogradTest +test_backward_multiple_round_trips adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_multiple_round_trips(self):$/;" m class:DistAutogradTest +test_backward_node_failure adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_node_failure(self):$/;" m class:DistAutogradTest +test_backward_node_failure_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_node_failure_python_udf(self):$/;" m class:DistAutogradTest +test_backward_no_ddp adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_backward_no_ddp(self):$/;" m class:DdpUnderDistAutogradTest +test_backward_no_grad_on_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_no_grad_on_tensor(self):$/;" m class:DistAutogradTest +test_backward_python_udf_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_python_udf_error(self):$/;" m class:DistAutogradTest +test_backward_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_rref(self):$/;" m class:DistAutogradTest +test_backward_rref_multi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_rref_multi(self):$/;" m class:DistAutogradTest +test_backward_rref_nested adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_rref_nested(self):$/;" m class:DistAutogradTest +test_backward_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_simple(self):$/;" m class:DistAutogradTest +test_backward_simple_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_simple_python_udf(self):$/;" m class:DistAutogradTest +test_backward_simple_script_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_simple_script_call(self):$/;" m class:DistAutogradTest +test_backward_simple_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_simple_self(self):$/;" m class:DistAutogradTest +test_backward_unused_send_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_unused_send_function(self):$/;" m class:DistAutogradTest +test_backward_unused_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_unused_tensors(self):$/;" m class:DistAutogradTest +test_backward_verify_hooks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_verify_hooks(self):$/;" m class:DistAutogradTest +test_backward_without_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_without_context(self):$/;" m class:DistAutogradTest +test_backward_without_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_backward_without_rpc(self):$/;" m class:DistAutogradTest +test_bad adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_bad(self):$/;" m class:TestSqrtM +test_badargs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_badargs(self):$/;" m class:TestGradient +test_badcall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_badcall(self):$/;" m class:TestInterpolativeDecomposition +test_badsubplotgrid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_badsubplotgrid():$/;" f +test_badtype_parsing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_badtype_parsing(self):$/;" m class:TestHeader +test_bad_afm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_bad_afm(afm_data):$/;" f +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_arg(self):$/;" m class:TestBlockDiag +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestAnderson +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestAnsari +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestBartlett +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestKstatVar +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestMvsdist +test_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_arg(self):$/;" m class:TestShapiro +test_bad_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_bad_args(self):$/;" m class:TestPrintOptions +test_bad_args adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_bad_args(self):$/;" m class:_TestNorm2D +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bad_args(self):$/;" m class:TestFirls +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bad_args(self):$/;" m class:TestMinimumPhase +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bad_args(self):$/;" m class:TestRemez +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_bad_args(self):$/;" m class:TestDecimate +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_bad_args(self):$/;" m class:TestHilbert +test_bad_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_bad_args(self):$/;" m class:TestHilbert2 +test_bad_array_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_bad_array_interface(self):$/;" m class:TestRegression +test_bad_array_like_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_bad_array_like_attributes(self, attribute, error):$/;" m class:TestCreation +test_bad_array_like_bad_length adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_bad_array_like_bad_length(self, error):$/;" m class:TestCreation +test_bad_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_bad_bounds():$/;" f +test_bad_callbacks adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def test_bad_callbacks():$/;" f +test_bad_center_value adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_center_value(self):$/;" m class:TestFligner +test_bad_center_value adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_center_value(self):$/;" m class:TestLevene +test_bad_conditioned_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_bad_conditioned_fit(Poly):$/;" f +test_bad_convolve_and_correlate_origins adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_bad_convolve_and_correlate_origins():$/;" f +test_bad_count adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ def test_bad_count(self):$/;" m class:TestCount +test_bad_cutoff adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bad_cutoff(self):$/;" m class:TestFirWinMore +test_bad_data adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_bad_data(self):$/;" m class:TestODR +test_bad_dims adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_bad_dims(self):$/;" m class:Test_boxplot_stats +test_bad_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bad_filter(self):$/;" m class:TestTf2zpk +test_bad_first_arg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_bad_first_arg(self):$/;" m class:Test_delete_masked_points +test_bad_first_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_first_arg(self):$/;" m class:TestConvolutionMatrix +test_bad_geneig adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_bad_geneig(self):$/;" m class:TestEig +test_bad_header adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_bad_header():$/;" f +test_bad_index adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bad_index(self):$/;" m class:_TestFancyIndexing +test_bad_index_assign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bad_index_assign(self):$/;" m class:_TestFancyIndexingAssign +test_bad_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_bad_input(self):$/;" m class:TestRvDiscrete +test_bad_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_bad_input(self):$/;" m class:TestMatrixNormal +test_bad_inputs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bad_inputs(self):$/;" m class:TestSplu +test_bad_in_shapes adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_bad_in_shapes(self):$/;" m class:ShapePolyTest +test_bad_k adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_bad_k(self):$/;" m class:BaseQRdelete +test_bad_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_keyword(self):$/;" m class:TestFligner +test_bad_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_keyword(self):$/;" m class:TestLevene +test_bad_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_keyword(self):$/;" m class:TestMedianTest +test_bad_keyword_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_bad_keyword_arg(self):$/;" m class:TestNorm +test_bad_len_x adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_len_x(self):$/;" m class:TestBinomP +test_bad_line adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_bad_line(self):$/;" m class:TestLoadTxt +test_bad_locator_subs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_bad_locator_subs(sub):$/;" f +test_bad_magic_args adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_bad_magic_args():$/;" f +test_bad_masked_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_bad_masked_sizes():$/;" f +test_bad_mode adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_mode(self):$/;" m class:TestConvolutionMatrix +test_bad_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_bad_module(self):$/;" m class:RemoteModuleTest +test_bad_n adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_n(self):$/;" m class:TestConvolutionMatrix +test_bad_n adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_n(self):$/;" m class:TestBinomP +test_bad_nan_policy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_nan_policy(self):$/;" m class:TestMedianTest +test_bad_nan_policy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_nan_policy(self, test_func, x):$/;" m class:TestCircFuncs +test_bad_noverlap adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_bad_noverlap(self):$/;" m class:TestCSD +test_bad_noverlap adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_bad_noverlap(self):$/;" m class:TestWelch +test_bad_number_of_array_header_fields adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_bad_number_of_array_header_fields(self):$/;" m class:TestMMIOArray +test_bad_number_of_coordinate_header_fields adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_bad_number_of_coordinate_header_fields(self):$/;" m class:TestMMIOCoordinate +test_bad_num_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_num_args(self):$/;" m class:TestFligner +test_bad_n_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_n_samples(self):$/;" m class:TestMedianTest +test_bad_out_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_bad_out_shape(self):$/;" m class:TestConcatenate +test_bad_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_bad_p(self):$/;" m class:BaseQRdelete +test_bad_p adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_bad_p():$/;" f +test_bad_p adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_p(self):$/;" m class:TestBinomP +test_bad_param adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_bad_param(self):$/;" m class:TestBuiltin +test_bad_pass_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bad_pass_zero(self):$/;" m class:TestFirWinMore +test_bad_path_option adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_bad_path_option():$/;" f +test_bad_plot_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bad_plot_args():$/;" f +test_bad_shape adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_bad_shape(self):$/;" m class:TestSolveBanded +test_bad_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bad_shape(self):$/;" m class:TestSpsolveTriangular +test_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_bad_shapes(self):$/;" m class:TestSolveHBanded +test_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_shapes(self):$/;" m class:TestCompanion +test_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_bad_shapes(self):$/;" m class:TestLeslie +test_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_bad_shapes(self):$/;" m class:TestFOneWay +test_bad_size_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_bad_size_zi(self):$/;" m class:_TestLinearFilter +test_bad_ties adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_bad_ties(self):$/;" m class:TestMedianTest +test_bad_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_bad_type(self, pad_width, dtype, mode):$/;" m class:TestPadWidth +test_bad_utf8 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_bad_utf8():$/;" f +test_bad_which adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_bad_which(self):$/;" m class:BaseQRdelete +test_bad_zi_shape adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_bad_zi_shape(self, dt):$/;" m class:TestSOSFilt +test_BagObj adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_BagObj(self):$/;" m class:TestSavezLoad +test_bailout adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_bailout(self):$/;" m class:TestVisit +TEST_BAILOUTS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_BAILOUTS = args.test_bailouts$/;" v +test_ball_point_ints adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_ball_point_ints(kdtree_type):$/;" f +test_banded_ode_solvers adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def test_banded_ode_solvers():$/;" f +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestButter +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestButtord +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestCheb1ord +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestCheb2ord +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestCheby1 +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestCheby2 +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestEllip +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandpass(self):$/;" m class:TestEllipord +test_bandpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bandpass(self):$/;" m class:TestFirWinMore +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestButter +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestButtord +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestCheb1ord +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestCheb2ord +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestCheby1 +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestCheby2 +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestEllip +test_bandstop adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bandstop(self):$/;" m class:TestEllipord +test_bandstop_multi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_bandstop_multi(self):$/;" m class:TestFirWinMore +test_bar3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_bar3d():$/;" f +test_bar3d_colors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_bar3d_colors():$/;" f +test_bar3d_lightsource adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_bar3d_lightsource():$/;" f +test_bar3d_notshaded adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_bar3d_notshaded():$/;" f +test_bar3d_shaded adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_bar3d_shaded():$/;" f +test_bararg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^ def test_bararg(self):$/;" m class:TestMultiGammaLn +test_barbs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_barbs():$/;" f +test_barbs_flip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_barbs_flip():$/;" f +test_barbs_pivot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_barbs_pivot():$/;" f +test_barb_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_barb_limits():$/;" f +test_barb_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_barb_units():$/;" f +test_barh_decimal_center adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_barh_decimal_center(fig_test, fig_ref):$/;" f +test_barh_decimal_height adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_barh_decimal_height(fig_test, fig_ref):$/;" f +test_barh_tick_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_barh_tick_label():$/;" f +test_barrier adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_barrier(self, comm_size, device_option):$/;" m class:TestCase +test_barrier adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_full_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_full_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_group(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_group_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_group_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_timeout_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_timeout_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_timeout_global adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_timeout_global(self):$/;" m class:DistributedTest._DistTestBase +test_barrier_timeout_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_barrier_timeout_group(self):$/;" m class:DistributedTest._DistTestBase +test_bartlett adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_bartlett(self):$/;" m class:TestFilterwindows +test_bar_broadcast_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_broadcast_args():$/;" f +test_bar_color_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_color_cycle():$/;" f +test_bar_color_none_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_color_none_alpha():$/;" f +test_bar_decimal_center adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_decimal_center(fig_test, fig_ref):$/;" f +test_bar_decimal_width adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_decimal_width(fig_test, fig_ref):$/;" f +test_bar_edgecolor_none_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_edgecolor_none_alpha():$/;" f +test_bar_errbar_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_errbar_zorder():$/;" f +test_bar_pandas adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_pandas(pd):$/;" f +test_bar_pandas_indexed adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_pandas_indexed(pd):$/;" f +test_bar_ticklabel_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_ticklabel_fail():$/;" f +test_bar_tick_label_multiple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_tick_label_multiple():$/;" f +test_bar_tick_label_multiple_old_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_tick_label_multiple_old_alignment():$/;" f +test_bar_tick_label_single adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_tick_label_single():$/;" f +test_bar_timedelta adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_timedelta():$/;" f +test_bar_uint8 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bar_uint8():$/;" f +test_base3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_base3(self):$/;" m class:TestBaseRepr +test_base_attr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_base_attr(self):$/;" m class:TestStructured +test_base_dtype_with_object_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_base_dtype_with_object_type(self):$/;" m class:TestString +test_base_entropy_transposed adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_base_entropy_transposed(self):$/;" m class:TestEntropy +test_base_entropy_with_axis_0_is_equal_to_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_base_entropy_with_axis_0_is_equal_to_default(self):$/;" m class:TestEntropy +test_base_metadata_copied adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_base_metadata_copied(self):$/;" m class:TestMetadata +test_base_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_base_range(self):$/;" m class:TestBaseRepr +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_basic(self, fig_test, fig_ref, x):$/;" m class:TestSubplotMosaic +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self):$/;" m class:TestAutoMinorLocator +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self):$/;" m class:TestFormatStrFormatter +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self):$/;" m class:TestLinearLocator +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self):$/;" m class:TestLogLocator +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self):$/;" m class:TestMultipleLocator +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, base, value, expected):$/;" m class:TestLogFormatterSciNotation +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, format, input, expected):$/;" m class:TestStrMethodFormatter +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, labelOnlyBase, base, exponent, locs, positions,$/;" m class:TestLogFormatterExponent +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, vmin, vmax, expected):$/;" m class:TestMaxNLocator +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, x):$/;" m class:TestLogitFormatter +test_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic(self, xmax, decimals, symbol,$/;" m class:TestPercentFormatter +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_basic(self):$/;" m class:TestArray2String +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_basic(self):$/;" m class:TestPrintOptions +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_basic(self):$/;" m class:TestDateTimeData +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_basic(self):$/;" m class:TestNonTupleNDIndexDeprecation +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestGeomspace +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestLinspace +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestLogspace +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_basic(self):$/;" m class:TestFinfo +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_basic(self):$/;" m class:TestIinfo +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_basic(self):$/;" m class:TestMultipleEllipsisError +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_basic(self):$/;" m class:TestNonIntegerArrayLike +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_basic(self):$/;" m class:TestSubclasses +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestAlen +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestChoose +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestClip +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestNewaxis +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestRepeat +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestResize +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestVdot +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestView +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self):$/;" m class:TestWhere +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self, byteorder, dtype):$/;" m class:TestFromBuffer +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_basic(self, dtype):$/;" m class:TestLexsort +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_basic(self):$/;" m class:TestIterNested +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_basic(self):$/;" m class:TestStdVar +test_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_basic(self):$/;" m class:TestStdVarComplex +test_basic adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def test_basic(self):$/;" m class:TestExecCommand +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAll +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAmax +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAmin +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAngle +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAny +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestAverage +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestCopy +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestCov +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestCumprod +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestCumsum +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestDiff +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestExtins +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestGradient +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestInsert +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestInterp +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestMedian +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestPercentile +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestProd +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestPtp +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestQuantile +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestRot90 +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestSelect +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic(self):$/;" m class:TestTrimZeros +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_basic(self):$/;" m class:TestFillDiagonal +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_basic(self):$/;" m class:TestGrid +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_basic(self):$/;" m class:TestNdenumerate +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_basic(self):$/;" m class:TestRavelUnravelIndex +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_basic(self):$/;" m class:TestNanFunctions_Quantile +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_basic(self):$/;" m class:TestMayShareMemory +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_basic(self):$/;" m class:TestSqueeze +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_basic(self):$/;" m class:TestTile +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_basic(self):$/;" m class:TestEye +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_basic(self):$/;" m class:TestFliplr +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_basic(self):$/;" m class:TestFlipud +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_basic(self):$/;" m class:TestVander +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_basic(self):$/;" m class:TestCommonType +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_basic(self):$/;" m class:TestIscomplexobj +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_basic(self):$/;" m class:TestIsrealobj +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_basic(self):$/;" m class:TestIsscalar +test_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_basic(self):$/;" m class:TestRealIfClose +test_basic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_basic(self):$/;" m class:TestApplyOverAxes +test_basic adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_basic(self):$/;" m class:TestAlgebra +test_basic adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_basic(self):$/;" m class:TestCasting +test_basic adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_basic(self):$/;" m class:TestCtor +test_basic adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_basic(self):$/;" m class:TestIndexing +test_basic adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_basic(self):$/;" m class:TestProperties +test_basic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_basic(self):$/;" m class:TestMultinomial +test_basic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_basic(self):$/;" m class:TestMultinomial +test_basic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_basic(self):$/;" m class:TestSetState +test_basic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_basic(self):$/;" m class:TestMultinomial +test_basic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_basic(self):$/;" m class:TestSetState +test_basic adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_basic(self):$/;" m class:TestLoadLibrary +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_basic(self):$/;" m class:TestKhatriRao +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestBlockDiag +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestCirculant +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestCompanion +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestHadamard +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestHankel +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestHilbert +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestInvHilbert +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestKron +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestLeslie +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestToeplitz +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestTri +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestTril +test_basic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_basic(self):$/;" m class:TestTriu +test_basic adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_basic(self):$/;" m class:Test_measurements_select +test_basic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_basic(self):$/;" m class:BaseMixin +test_basic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def test_basic():$/;" f +test_basic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_basic(self):$/;" m class:TestLeastSq +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestBilinear +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestBilinear_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestButter +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestCheby1 +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestCheby2 +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestEllip +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestFreqs +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestFreqs_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestFreqz +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestFreqz_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2bp +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2bp_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2bs +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2bs_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2hp +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2hp_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2lp +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestLp2lp_zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestSos2Tf +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestSos2Zpk +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestTf2Sos +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic(self):$/;" m class:TestZpk2Sos +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_basic(self):$/;" m class:TestSS2TF +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_basic(self):$/;" m class:TestArgrel +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_basic(self):$/;" m class:TestPeakProminences +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_basic(self):$/;" m class:TestPeakWidths +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestCSpline1DEval +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestDeconvolve +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestDetrend +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestFiltFilt +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestLFilterZI +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestMedFilt +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestOrderFilt +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestResample +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:TestWiener +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic(self):$/;" m class:_TestConvolve +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBartHann +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBartlett +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBlackman +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBlackmanHarris +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBohman +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestBoxcar +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestChebWin +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestDPSS +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestFlatTop +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestGaussian +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestGeneralCosine +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestGeneralHamming +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestHamming +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestHann +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestKaiser +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestNuttall +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestParzen +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestTriang +test_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_basic(self):$/;" m class:TestTukey +test_basic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^def test_basic():$/;" f +test_basic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def test_basic(self):$/;" m class:TestAsLinearOperator +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestKolmogi +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestKolmogorov +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestKolmogp +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestSmirnov +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestSmirnovi +test_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_basic(self):$/;" m class:TestSmirnovp +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestBayes_mvs +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestBoxcoxNormplot +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestBoxcox_llf +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestMedianTest +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestMvsdist +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestPpccPlot +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestProbplot +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_basic(self):$/;" m class:TestShapiro +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_basic(self):$/;" m class:TestRankData +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_basic(self):$/;" m class:TestTieCorrect +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestCorrPearsonr +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestFindRepeats +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestFisherExact +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestFOneWay +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestIQR +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestKruskal +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestMode +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestPowerDivergence +test_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic(self):$/;" m class:TestScoreatpercentile +test_basic0d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basic0d(self):$/;" m class:TestMaskedArray +test_basic1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_basic1(self):$/;" m class:TestSolveCirculant +test_basic1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basic1d(self):$/;" m class:TestMaskedArray +test_basic2 adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_basic2(self):$/;" m class:TestLoadLibrary +test_basic2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_basic2(self):$/;" m class:TestSolveCirculant +test_basic2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basic2d(self):$/;" m class:TestMaskedArray +test_basic3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_basic3(self):$/;" m class:TestSolveCirculant +test_basicattributes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basicattributes(self):$/;" m class:TestMaskedArray +test_basics adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_basics(self):$/;" m class:Jax2TfTest +test_basic_annotate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_basic_annotate():$/;" f +test_basic_arithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basic_arithmetic(self):$/;" m class:TestMaskedArrayArithmetic +test_basic_artificial_vars adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_basic_artificial_vars(self):$/;" m class:LinprogCommonTests +test_basic_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic_FIR(self):$/;" m class:TestDecimate +test_basic_function_with_dynamic_programing_optimization adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_basic_function_with_dynamic_programing_optimization(self):$/;" m class:TestMultiDot +test_basic_function_with_three_arguments adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_basic_function_with_three_arguments(self):$/;" m class:TestMultiDot +test_basic_function_with_two_arguments adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_basic_function_with_two_arguments(self):$/;" m class:TestMultiDot +test_basic_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_basic_IIR(self):$/;" m class:TestDecimate +test_basic_logit_correctness adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_basic_logit_correctness(self):$/;" m class:BaseLinearLogitFnTest +test_basic_logit_correctness adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_basic_logit_correctness(self):$/;" m class:BaseLinearLogitFnTest +test_basic_lookup adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_basic_lookup():$/;" f +test_basic_lr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic_lr(self):$/;" m class:TestFlip +test_basic_major adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_basic_major(self, lims, expected_low_ticks):$/;" m class:TestLogitLocator +test_basic_masked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_basic_masked(self):$/;" m class:TestPowerDivergence +test_basic_nonsvd adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_basic_nonsvd(self):$/;" m class:TestCond +test_basic_property adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_basic_property(self):$/;" m class:TestCholesky +test_basic_reshape adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^ def test_basic_reshape(self):$/;" m class:TestSparseMatrixReshapeOp +test_basic_reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_basic_reshape(self):$/;" m class:TestReShapeOps +test_basic_reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_basic_reshape(self):$/;" m class:TestLengthsToShapeOps +test_basic_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/basic_rnn_test.py /^ def test_basic_rnn(self, seed, seq_length, batch_size, input_size, hidden_size,$/;" m class:BasicRNNCellTest +test_basic_table_parse adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_basic_table_parse():$/;" f +test_basic_ud adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_basic_ud(self):$/;" m class:TestFlip +test_basic_ufuncs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_basic_ufuncs(self):$/;" m class:TestMaskedArrayArithmetic +test_basic_whole adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic_whole(self):$/;" m class:TestFreqz +test_basic_whole adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_basic_whole(self):$/;" m class:TestFreqz_zpk +test_basic_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_basic_with_gradient(self):$/;" m class:TestLeastSq +test_basis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_basis(Poly):$/;" f +test_basis_element_quadratic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_basis_element_quadratic(self):$/;" m class:TestBSpline +test_basis_element_rndm adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_basis_element_rndm(self):$/;" m class:TestBSpline +test_basis_func adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_basis_func(self):$/;" m class:TestLatexRepr +test_batchnorm_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_batchnorm_correctness(self, distribution, fused, optimizer):$/;" m class:TestDistributionStrategyWithNormalizationLayer +test_batch_box_cox adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def test_batch_box_cox(self, inputs, gc, dc):$/;" m class:TestBatchBoxCox +test_batch_bucketize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^ def test_batch_bucketize(self, x, seed, gc, dc):$/;" m class:TestBatchBucketize +test_batch_bucketized_one_hot adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def test_batch_bucketized_one_hot(self, x, seed, gc, dc):$/;" m class:TestOneHotOps +test_batch_bucketize_example adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_bucketize_op_test.py /^ def test_batch_bucketize_example(self, gc, dc):$/;" m class:TestBatchBucketize +test_batch_bucket_one_hot_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_batch_bucket_one_hot_op(self):$/;" m class:TorchIntegration +test_batch_bucket_one_hot_shape_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def test_batch_bucket_one_hot_shape_inference(self, x, seed, gc, dc):$/;" m class:TestOneHotOps +test_batch_dense_to_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^ def test_batch_dense_to_sparse(self, batch_size, dense_last_dim, gc, dc):$/;" m class:TestBatchSparseToDense +test_batch_gather_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_batch_gather_ops(self, inputs, gc, dc):$/;" m class:TestBatchGatherOps +test_batch_gather_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_batch_gather_ops(self, rows_num, cols_num, index_num, gc, dc):$/;" m class:TestGatherFused8BitRowwise +test_batch_gather_op_match_outer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_batch_gather_op_match_outer(self, batch_num, rows_num, index_num, gc, dc):$/;" m class:TestGatherOps +test_batch_matmul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_batch_matmul(self, C, M, K, N, trans_a, trans_b, dtype, gc, dc):$/;" m class:TestBatchMatMul +test_batch_moments_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def test_batch_moments_2d(self, N, C, H, W, order, gc, dc):$/;" m class:TestBatchMomentsOp +test_batch_moments_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_moments_op_test.py /^ def test_batch_moments_3d(self, N, C, T, H, W, order, gc, dc):$/;" m class:TestBatchMomentsOp +test_batch_one_hot adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def test_batch_one_hot(self, x, gc, dc):$/;" m class:TestOneHotOps +test_batch_permutation adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_permutation_dnnlowp_op_test.py /^ def test_batch_permutation(self, N, gc, dc):$/;" m class:DNNLowPBatchPermutationOpTest +test_batch_sparse_to_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_sparse_to_dense_op_test.py /^ def test_batch_sparse_to_dense($/;" m class:TestBatchSparseToDense +test_batch_to_space adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_batch_to_space(self, n, c, h, w, pad, block_size, gc, dc):$/;" m class:TestOperators +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestButter +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestCheby1 +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestCheby2 +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestEllip +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestIIRComb +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestIIRNotch +test_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ba_output(self):$/;" m class:TestIIRPeak +test_bbox_aspect_axes_init adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bbox_aspect_axes_init():$/;" f +test_bbox_as_strings adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_bbox_as_strings():$/;" f +test_bbox_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_bbox_clipping():$/;" f +test_bbox_image_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_bbox_image_inverted():$/;" f +test_bbox_inches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_bbox_inches():$/;" f +test_bbox_inches_tight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_bbox_inches_tight(tmpdir):$/;" f +test_bbox_inches_tight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_bbox_inches_tight():$/;" f +test_bbox_inches_tight_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_bbox_inches_tight_clipping():$/;" f +test_bbox_inches_tight_raster adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_bbox_inches_tight_raster():$/;" f +test_bbox_inches_tight_suptile_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_bbox_inches_tight_suptile_legend():$/;" f +test_bbox_intersection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_bbox_intersection():$/;" f +test_bbox_transform adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^ def test_bbox_transform($/;" m class:TestBBoxTransformOp +test_bbox_transform adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_bbox_transform($/;" m class:TorchIntegration +test_bbox_transform_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bbox_transform_test.py /^ def test_bbox_transform_batch($/;" m class:TestBBoxTransformOp +test_bdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bdtr(self):$/;" m class:TestCephes +test_bdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bdtrc(self):$/;" m class:TestCephes +test_bdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bdtri(self):$/;" m class:TestCephes +test_bdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bdtrik(self):$/;" m class:TestCephes +test_bdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_bdtrik(self):$/;" m class:TestCDFlib +test_bdtrin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bdtrin(self):$/;" m class:TestCephes +test_bdtrin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_bdtrin(self):$/;" m class:TestCDFlib +test_bdtr_bdtrc_sum_to_one adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_bdtr_bdtrc_sum_to_one(self):$/;" m class:TestBdtrc +test_bdtr_bdtri_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_bdtr_bdtri_roundtrip(self):$/;" m class:TestBdtri +test_BD_mismatch_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_BD_mismatch_fails(self):$/;" m class:Test_abcd_normalize +test_bei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bei(self):$/;" m class:TestCephes +test_bei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bei(self):$/;" m class:TestKelvin +test_bei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_bei(self):$/;" m class:TestSystematic +test_beip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beip(self):$/;" m class:TestCephes +test_beip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beip(self):$/;" m class:TestKelvin +test_beip_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beip_zeros(self):$/;" m class:TestKelvin +test_bei_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bei_zeros(self):$/;" m class:TestKelvin +test_benchmark adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_benchmark(self):$/;" m class:Base +test_ber adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ber(self):$/;" m class:TestCephes +test_ber adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ber(self):$/;" m class:TestKelvin +test_ber adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ber(self):$/;" m class:TestSystematic +test_bernoulli adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bernoulli(self):$/;" m class:TestBernoulli +test_bernoulli adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_bernoulli(self):$/;" m class:TestSystematic +test_bernoulli_jsd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/jsd_ops_test.py /^ def test_bernoulli_jsd(self, n, gc, dc):$/;" m class:TestJSDOps +test_bernstein adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_bernstein(self):$/;" m class:TestBSpline +test_berp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_berp(self):$/;" m class:TestCephes +test_berp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_berp(self):$/;" m class:TestKelvin +test_berp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_berp_zeros(self):$/;" m class:TestKelvin +test_ber_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ber_zeros(self):$/;" m class:TestKelvin +test_besseli adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besseli(self):$/;" m class:TestSystematic +test_besseli_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besseli_complex(self):$/;" m class:TestSystematic +test_besselj adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besselj(self):$/;" m class:TestSystematic +test_besselj_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besselj_complex(self):$/;" m class:TestSystematic +test_besselk adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besselk(self):$/;" m class:TestSystematic +test_besselk_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besselk_complex(self):$/;" m class:TestSystematic +test_besselk_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_besselk_int(self):$/;" m class:TestSystematic +test_besselpoly adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_besselpoly(self):$/;" m class:TestBesselpoly +test_besselpoly adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_besselpoly(self):$/;" m class:TestCephes +test_bessely adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_bessely(self):$/;" m class:TestSystematic +test_bessely_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_bessely_complex(self):$/;" m class:TestSystematic +test_bessely_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_bessely_int(self):$/;" m class:TestSystematic +test_bessel_poly adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bessel_poly(self):$/;" m class:TestBessel +test_bessel_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_bessel_zeros(self):$/;" m class:TestBessel +test_best_solution_retrieval adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_best_solution_retrieval(self):$/;" m class:TestDifferentialEvolutionSolver +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_beta(self):$/;" m class:TestBroadcast +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_beta(self):$/;" m class:TestRandomDist +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_beta(self):$/;" m class:TestBroadcast +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_beta(self):$/;" m class:TestRandomDist +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_beta(self):$/;" m class:TestBroadcast +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_beta(self):$/;" m class:TestRandomDist +test_beta adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_beta(self):$/;" m class:RNG +test_beta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beta(self):$/;" m class:TestBeta +test_beta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beta(self):$/;" m class:TestCephes +test_beta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_beta(self):$/;" m class:TestSystematic +test_beta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_beta():$/;" f +test_beta adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_beta(self):$/;" m class:TestExpect +test_betabinom_a_and_b_unity adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_betabinom_a_and_b_unity():$/;" f +test_betabinom_bernoulli adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_betabinom_bernoulli():$/;" f +test_betainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betainc(self):$/;" m class:TestBeta +test_betainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betainc(self):$/;" m class:TestCephes +test_betainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_betainc(self):$/;" m class:TestSystematic +test_betaincinv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betaincinv(self):$/;" m class:TestBeta +test_betaincinv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betaincinv(self):$/;" m class:TestCephes +test_betaln adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betaln(self):$/;" m class:TestBeta +test_betaln adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_betaln(self):$/;" m class:TestCephes +test_beta_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_beta_inf(self):$/;" m class:TestCephes +test_beta_small_parameters adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_beta_small_parameters(self):$/;" m class:TestRegression +test_beta_small_parameters adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_beta_small_parameters(self):$/;" m class:TestRegression +test_beta_small_parameters adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_beta_small_parameters(self):$/;" m class:TestRegression +test_bfgs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs(self):$/;" m class:CheckOptimizeParameterized +test_bfgs_double_evaluations adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_double_evaluations(self):$/;" m class:TestOptimizeSimple +test_bfgs_gh_2169 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_gh_2169(self):$/;" m class:TestOptimizeSimple +test_bfgs_infinite adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_infinite(self):$/;" m class:CheckOptimizeParameterized +test_bfgs_nan adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_nan(self):$/;" m class:TestOptimizeSimple +test_bfgs_nan_return adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_nan_return(self):$/;" m class:TestOptimizeSimple +test_bfgs_numerical_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_bfgs_numerical_jacobian(self):$/;" m class:TestOptimizeSimple +test_BFGS_skip_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def test_BFGS_skip_update(self):$/;" m class:TestHessianUpdateStrategy +test_bfloat16_constant adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_bfloat16_constant(self):$/;" m class:Jax2TfTest +test_bfloat16_passed_by_tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_bfloat16_passed_by_tf(self):$/;" m class:Jax2TfTest +test_bfloat16_returned_by_jax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_bfloat16_returned_by_jax(self):$/;" m class:Jax2TfTest +test_bias adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_bias(self):$/;" m class:TestCorrCoef +test_bias adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_bias(self):$/;" m class:TestCorrcoef +test_bidiagonal_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_bidiagonal_sparse(self):$/;" m class:TestExpM +test_big adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_big(self):$/;" m class:TestPascal +test_big_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_big_arrays(self):$/;" m class:TestSavezLoad +test_big_binary adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_big_binary(self):$/;" m class:TestIO +test_big_decorators_horizontal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_big_decorators_horizontal():$/;" f +test_big_decorators_vertical adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_big_decorators_vertical():$/;" f +test_big_endian_structure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_big_endian_structure(self):$/;" m class:TestFromCTypes +test_big_endian_structure_packed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_big_endian_structure_packed(self):$/;" m class:TestFromCTypes +test_big_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_big_indices(self):$/;" m class:TestRavelUnravelIndex +test_big_number_std adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_big_number_std(self):$/;" m class:TestBinnedStatistic +test_big_problem adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_big_problem():$/;" f +test_big_problem_with_parameters adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_big_problem_with_parameters():$/;" f +test_big_tie adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_big_tie(self):$/;" m class:TestRankData +test_bilinear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_bilinear(self):$/;" m class:TestC2D +test_bilinearity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_bilinearity(self):$/;" m class:TestLSQBivariateSpline +test_binary adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_binary(self, inputs, out, gc, dc):$/;" f function:_test_binary file: +test_binary adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_binary(self):$/;" m class:TestIO +test_binary_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_binary_broadcast(self, inputs, in_place, gc, dc):$/;" f function:_test_binary_broadcast file: +test_binary_classes_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_evaluation_batch(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_evaluation_batch(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_evaluation_for_simple_data(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_evaluation_for_simple_data(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_evaluation_weights(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_evaluation_weights(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_binary_classes_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_input_fn_from_parse_example(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classes_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_input_fn_from_parse_example(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classes_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_numpy_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classes_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_numpy_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classes_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_binary_classes_pandas_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classes_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_binary_classes_pandas_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_binary_classification adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_binary_classification(self):$/;" m class:BaseDNNClassifierTrainTest +test_binary_classification adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_binary_classification(self):$/;" m class:BaseDNNClassifierTrainTest +test_binary_classification_float_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_binary_classification_float_labels(self):$/;" m class:BaseDNNClassifierTrainTest +test_binary_classification_float_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_binary_classification_float_labels(self):$/;" m class:BaseDNNClassifierTrainTest +test_binary_closing01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_closing01(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_closing02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_closing02(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_closing_noninteger_brute_force_passes_when_true adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^def test_binary_closing_noninteger_brute_force_passes_when_true():$/;" f +test_binary_closing_noninteger_iterations adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^def test_binary_closing_noninteger_iterations():$/;" f +test_binary_decode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_binary_decode(self):$/;" m class:LoadTxtBase +test_binary_decode_autodtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_binary_decode_autodtype(self):$/;" m class:TestFromTxt +test_binary_dilation01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation01(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation02(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation03(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation04(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation05(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation06(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation07(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation08(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation09(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation10(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation11(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation12(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation13(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation14(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation15(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation16 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation16(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation17 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation17(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation18 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation18(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation19 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation19(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation20 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation20(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation21 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation21(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation22 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation22(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation23 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation23(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation24 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation24(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation25 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation25(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation26 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation26(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation27 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation27(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation28 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation28(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation29 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation29(self):$/;" m class:TestNdimageMorphology +test_binary_dilation30 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation30(self):$/;" m class:TestNdimageMorphology +test_binary_dilation31 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation31(self):$/;" m class:TestNdimageMorphology +test_binary_dilation32 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation32(self):$/;" m class:TestNdimageMorphology +test_binary_dilation33 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation33(self):$/;" m class:TestNdimageMorphology +test_binary_dilation34 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation34(self):$/;" m class:TestNdimageMorphology +test_binary_dilation35 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_dilation35(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_dilation_noninteger_iterations adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^def test_binary_dilation_noninteger_iterations():$/;" f +test_binary_erosion01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion01(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion02(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion03(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion04(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion05(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion06(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion07(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion08(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion09(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion10(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion11(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion12(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion13(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion14(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion15(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion16 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion16(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion17 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion17(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion18 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion18(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion19 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion19(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion20 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion20(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion21 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion21(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion22 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion22(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion23 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion23(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion24 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion24(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion25 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion25(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion26 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion26(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_erosion27 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion27(self):$/;" m class:TestNdimageMorphology +test_binary_erosion28 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion28(self):$/;" m class:TestNdimageMorphology +test_binary_erosion29 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion29(self):$/;" m class:TestNdimageMorphology +test_binary_erosion30 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion30(self):$/;" m class:TestNdimageMorphology +test_binary_erosion31 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion31(self):$/;" m class:TestNdimageMorphology +test_binary_erosion32 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion32(self):$/;" m class:TestNdimageMorphology +test_binary_erosion33 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion33(self):$/;" m class:TestNdimageMorphology +test_binary_erosion34 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion34(self):$/;" m class:TestNdimageMorphology +test_binary_erosion35 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion35(self):$/;" m class:TestNdimageMorphology +test_binary_erosion36 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion36(self):$/;" m class:TestNdimageMorphology +test_binary_erosion37 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion37(self):$/;" m class:TestNdimageMorphology +test_binary_erosion38 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion38(self):$/;" m class:TestNdimageMorphology +test_binary_erosion39 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion39(self):$/;" m class:TestNdimageMorphology +test_binary_erosion40 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_erosion40(self):$/;" m class:TestNdimageMorphology +test_binary_erosion_noninteger_iterations adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^def test_binary_erosion_noninteger_iterations():$/;" f +test_binary_fill_holes01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_fill_holes01(self):$/;" m class:TestNdimageMorphology +test_binary_fill_holes02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_fill_holes02(self):$/;" m class:TestNdimageMorphology +test_binary_fill_holes03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_fill_holes03(self):$/;" m class:TestNdimageMorphology +test_binary_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_binary_load(self):$/;" m class:TestLoadTxt +test_binary_opening01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_opening01(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_opening02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_opening02(self, dtype):$/;" m class:TestNdimageMorphology +test_binary_opening_noninteger_iterations adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^def test_binary_opening_noninteger_iterations():$/;" f +test_binary_predictions_params_from_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def test_binary_predictions_params_from_arg(self, n, gc, dc):$/;" m class:TestPiecewiseLinearTransform +test_binary_predictions_params_from_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def test_binary_predictions_params_from_input(self, n, gc, dc):$/;" m class:TestPiecewiseLinearTransform +test_binary_propagation01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_propagation01(self):$/;" m class:TestNdimageMorphology +test_binary_propagation02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_binary_propagation02(self):$/;" m class:TestNdimageMorphology +test_binary_PyUFunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_binary_PyUFunc(self, input_dtype, output_dtype, f=f2, x=0, y=1):$/;" m class:TestUfuncGenericLoops +test_binary_PyUFunc_On_Om_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_binary_PyUFunc_On_Om_method(self, foo=foo):$/;" m class:TestUfuncGenericLoops +test_binary_PyUFunc_OO_O adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_binary_PyUFunc_OO_O(self):$/;" m class:TestUfuncGenericLoops +test_binary_PyUFunc_OO_O_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_binary_PyUFunc_OO_O_method(self, foo=foo):$/;" m class:TestUfuncGenericLoops +test_binary_repr_0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_binary_repr_0(self):$/;" m class:TestRegression +test_binary_repr_0_width adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_binary_repr_0_width(self):$/;" m class:TestRegression +test_binary_tree adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_binary_tree(kmax):$/;" f +test_binary_ufunc_1d_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_binary_ufunc_1d_manual(self):$/;" m class:TestUFunc +test_binary_ufunc_accumulate_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_binary_ufunc_accumulate_fuzz(self):$/;" m class:TestUFunc +test_binary_ufunc_reduceat_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_binary_ufunc_reduceat_fuzz(self):$/;" m class:TestUFunc +test_binary_ufunc_reduceat_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_binary_ufunc_reduceat_manual(self):$/;" m class:TestUFunc +test_binary_ufunc_reduce_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_binary_ufunc_reduce_fuzz(self):$/;" m class:TestUFunc +test_bincount_minlength adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_bincount_minlength(self):$/;" m class:TestBincount +test_binom adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_binom(self):$/;" m class:TestCephes +test_binom adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_binom(self):$/;" m class:TestSystematic +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_binomial(self):$/;" m class:TestBroadcast +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_binomial(self):$/;" m class:TestRandomDist +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_binomial(self):$/;" m class:TestBroadcast +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_binomial(self):$/;" m class:TestRandomDist +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_binomial(self):$/;" m class:TestBroadcast +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_binomial(self):$/;" m class:TestRandomDist +test_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_binomial(self):$/;" m class:RNG +test_binomtest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_binomtest():$/;" f +test_binomtest2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_binomtest2():$/;" f +test_binomtest3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_binomtest3():$/;" f +test_binom_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_binom_2(self):$/;" m class:TestCephes +test_binom_exact adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_binom_exact(self):$/;" m class:TestCephes +test_binom_nooverflow_8346 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_binom_nooverflow_8346(self):$/;" m class:TestCephes +test_binops_d2D adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_binops_d2D(self):$/;" m class:TestMaskedArrayArithmetic +test_binop_custom_type adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_binop_custom_type(self):$/;" m class:_TestCommon +test_binop_custom_type_with_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_binop_custom_type_with_shape(self):$/;" m class:_TestCommon +test_binop_explicit_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_binop_explicit_zeros(self):$/;" m class:TestCSR +test_binparameter_combination adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_binparameter_combination(self):$/;" m class:TestHistogram2d +test_bins_errors adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_bins_errors(self):$/;" m class:TestHistogramdd +test_bin_array_dims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_bin_array_dims(self):$/;" m class:TestHistogram +test_bin_edge_cases adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_bin_edge_cases(self):$/;" m class:TestHistogram +test_bisect adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^def test_bisect():$/;" f +test_bisect adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_bisect(self):$/;" m class:TestBasic +test_bisect_percentil_op_large adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^ def test_bisect_percentil_op_large($/;" m class:TestBisectPercentileOp +test_bisect_percentil_op_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bisect_percentile_op_test.py /^ def test_bisect_percentil_op_simple(self):$/;" m class:TestBisectPercentileOp +test_bisplev_integer_overflow adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def test_bisplev_integer_overflow():$/;" f +test_bitwise_and adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_bitwise_and(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_bitwise_and adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_bitwise_and(self):$/;" m class:TestBoolScalar +test_bitwise_or adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_bitwise_or(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_bitwise_or adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_bitwise_or(self):$/;" m class:TestBoolScalar +test_bitwise_xor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_bitwise_xor(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_bitwise_xor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_bitwise_xor(self):$/;" m class:TestBoolScalar +test_bit_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_bit_fields(self):$/;" m class:TestFromCTypes +test_bi_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bi_zeros(self):$/;" m class:TestAiry +test_bi_zeros_big adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_bi_zeros_big(self):$/;" m class:TestAiry +test_blackman adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_blackman(self):$/;" m class:TestFilterwindows +test_black_tophat01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_black_tophat01(self):$/;" m class:TestNdimageMorphology +test_black_tophat02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_black_tophat02(self):$/;" m class:TestNdimageMorphology +test_black_tophat03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_black_tophat03(self):$/;" m class:TestNdimageMorphology +test_black_tophat04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_black_tophat04(self):$/;" m class:TestNdimageMorphology +test_blank adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_blank(self):$/;" m class:TestLogFormatterExponent +test_blas64_dot adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_blas64_dot():$/;" f +test_blas64_geqrf_lwork_smoketest adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_blas64_geqrf_lwork_smoketest():$/;" f +test_blasdot_uninitialized_memory adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_blasdot_uninitialized_memory(self):$/;" m class:TestRegression +test_blas_out adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_blas.py /^def test_blas_out():$/;" f +test_blended_collection_autolim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_blended_collection_autolim():$/;" f +test_blit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^def test_blit():$/;" f +test_blobs_dequeue_timeout adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_blobs_dequeue_timeout(self):$/;" m class:TestOperators +test_blobs_queue_threading adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_blobs_queue_threading(self, num_threads, num_elements,$/;" m class:TestOperators +test_blob_inplace adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_blob_inplace(self):$/;" m class:TestInferDevice +test_blocked adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_blocked(self):$/;" m class:TestBaseMath +test_blocksize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_blocksize(self):$/;" m class:TestDrivers +test_blocksizes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_blocksizes(self):$/;" m class:TestSqrtM +test_block_complicated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_complicated(self, block):$/;" m class:TestBlock +test_block_diag_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_block_diag_1(self):$/;" m class:TestConstructUtils +test_block_diag_basic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_block_diag_basic(self):$/;" m class:TestConstructUtils +test_block_diag_scalar_1d_args adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_block_diag_scalar_1d_args(self):$/;" m class:TestConstructUtils +test_block_diag_sparse_matrices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_block_diag_sparse_matrices(self):$/;" m class:TestConstructUtils +test_block_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^def test_block_dispatcher():$/;" f +test_block_docstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_block_docstring.py /^ def test_block_docstring(self):$/;" m class:TestBlockDocString +test_block_memory_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_memory_order(self, block):$/;" m class:TestBlock +test_block_mixed_1d_and_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_mixed_1d_and_2d(self, block):$/;" m class:TestBlock +test_block_simple_column_wise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_simple_column_wise(self, block):$/;" m class:TestBlock +test_block_simple_row_wise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_simple_row_wise(self, block):$/;" m class:TestBlock +test_block_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_block_suffix(self):$/;" m class:PrefixSuffixTest +test_block_total_size_estimate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_total_size_estimate(self, block):$/;" m class:TestBlock +test_block_with_1d_arrays_column_wise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_with_1d_arrays_column_wise(self, block):$/;" m class:TestBlock +test_block_with_1d_arrays_multiple_rows adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_with_1d_arrays_multiple_rows(self, block):$/;" m class:TestBlock +test_block_with_1d_arrays_row_wise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_with_1d_arrays_row_wise(self, block):$/;" m class:TestBlock +test_block_with_mismatched_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_block_with_mismatched_shape(self, block):$/;" m class:TestBlock +test_bmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_bmat(self):$/;" m class:TestConstructUtils +test_bmatrix_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bmatrix_smoketest(self):$/;" m class:TestLinsolve +test_bmat_nondefault_str adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_bmat_nondefault_str(self):$/;" m class:TestCtor +test_bmuf_distributed adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def test_bmuf_distributed(self, cpu_device, nesterov):$/;" m class:DistributedTest +Test_bode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class Test_bode(object):$/;" c +Test_bode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class Test_bode(object):$/;" c +TEST_BODY adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_fft_function.py /^TEST_BODY = r"""$/;" v +test_bogus_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_bogus_string():$/;" f +test_bold_font_output adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_bold_font_output():$/;" f +test_bold_font_output_with_none_fonttype adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_bold_font_output_with_none_fonttype():$/;" f +test_boltzmann_upper_bound adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_boltzmann_upper_bound():$/;" f +test_bool adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_bool(self):$/;" m class:TestTypes +test_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_bool(self):$/;" m class:TestRegression +test_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_bool(self):$/;" m class:TestExtraArgs +test_bool adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_bool(self):$/;" m class:TestIx_ +test_bool adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_bool(self):$/;" m class:TestEye +test_bool adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bool(self):$/;" m class:_NonCanonicalMixin +test_bool adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bool(self):$/;" m class:_TestCommon +test_boolean adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean(self):$/;" m class:TestMultiIndexingAutomated +test_boolean adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_boolean(self):$/;" m class:TestIndex +test_boolean_assignment_needs_api adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_assignment_needs_api(self):$/;" m class:TestIndexing +test_boolean_assignment_value_mismatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_assignment_value_mismatch(self):$/;" m class:TestIndexing +test_boolean_edgecase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_boolean_edgecase(self):$/;" m class:TestIndex +test_boolean_gather adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_boolean_gather(self):$/;" m class:JaxPrimitiveTest +test_boolean_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_boolean_indexing(self):$/;" m class:TestNewScalarIndexing +test_boolean_indexing_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_indexing_list(self):$/;" m class:TestIndexing +test_boolean_indexing_onedim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_indexing_onedim(self):$/;" m class:TestIndexing +test_boolean_indexing_twodim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_indexing_twodim(self):$/;" m class:TestIndexing +test_boolean_indexing_weirdness adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_indexing_weirdness(self):$/;" m class:TestBooleanIndexing +test_boolean_index_cast_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_index_cast_assign(self):$/;" m class:TestFancyIndexingCast +test_boolean_mask adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_boolean_mask(self, x, gc, dc):$/;" m class:TestBooleanMaskOp +test_boolean_mask_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_boolean_mask_gradient(self, x, gc, dc):$/;" m class:TestBooleanMaskOp +test_boolean_mask_indices adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_boolean_mask_indices(self, x, gc, dc):$/;" m class:TestBooleanMaskOp +test_boolean_return adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_boolean_return(self):$/;" m class:Test_Metropolis +test_boolean_shape_mismatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_boolean_shape_mismatch(self):$/;" m class:TestIndexing +test_bool_as_int_argument_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_bool_as_int_argument_errors(self):$/;" m class:TestBooleanIndexing +test_bool_commastring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_bool_commastring(self):$/;" m class:TestRecord +test_bool_conversion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_bool_conversion(self):$/;" m class:TestHistogram +test_bool_flat_indexing_invalid_nr_elements adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_bool_flat_indexing_invalid_nr_elements(self):$/;" m class:TestRegression +test_bool_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_bool_fromstring(self):$/;" m class:TestIO +test_bool_rollover adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bool_rollover(self):$/;" m class:_TestCommon +test_bool_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_bool_spacing(self):$/;" m class:TestPrintOptions +test_bool_subscript_crash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_bool_subscript_crash(self):$/;" m class:TestRegression +test_boost adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def test_boost(test):$/;" f +test_both adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_both(self):$/;" m class:TestExtins +test_both_abstract adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_both_abstract(self):$/;" m class:TestIsSubDType +test_bottle_neck_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_bottle_neck_graph():$/;" f +test_boundaries adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_boundaries(self, mode, expected_value):$/;" m class:TestNdimageInterpolation +test_boundaries2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_boundaries2(self, mode, expected_value):$/;" m class:TestNdimageInterpolation +test_BoundaryNorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_BoundaryNorm():$/;" f +test_boundarynorm_and_colorbarbase adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_boundarynorm_and_colorbarbase():$/;" f +test_boundary_spline_accuracy adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_boundary_spline_accuracy(self, mode, order):$/;" m class:TestNdimageInterpolation +test_boundary_tri_symmetry adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_boundary_tri_symmetry(self):$/;" m class:TestCloughTocher2DInterpolator +test_bounded_above_only_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounded_above_only_1(self):$/;" m class:LinprogCommonTests +test_bounded_above_only_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounded_above_only_2(self):$/;" m class:LinprogCommonTests +test_bounded_below_only_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounded_below_only_1(self):$/;" m class:LinprogCommonTests +test_bounded_below_only_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounded_below_only_2(self):$/;" m class:LinprogCommonTests +test_bounded_grad_proj adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_bounded_grad_proj(self, X, left_open, right_open, eps, ub, lb, gc, dc):$/;" m class:TestRegularizer +test_bounded_powell_outsidebounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_bounded_powell_outsidebounds():$/;" f +test_bounded_powell_vs_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_bounded_powell_vs_powell():$/;" f +test_bounds adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_bounds(self):$/;" m class:TestGeomspace +test_bounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_bounds(self):$/;" m class:TestInterp1D +test_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_bounds(self):$/;" m class:TestCurveFit +test_bounds_cases adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_bounds_cases():$/;" f +test_bounds_checking adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_bounds_checking(self, endpoint):$/;" m class:TestIntegers +test_bounds_checking adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_bounds_checking(self):$/;" m class:TestRandint +test_bounds_checking adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_bounds_checking(self):$/;" m class:TestRandint +test_bounds_checking adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_bounds_checking(self):$/;" m class:TestDifferentialEvolutionSolver +test_bounds_checking_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_bounds_checking_array(self, endpoint):$/;" m class:TestIntegers +test_bounds_clipping adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_bounds_clipping(self):$/;" m class:TestSLSQP +test_bounds_equal_but_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_equal_but_infeasible(self):$/;" m class:LinprogCommonTests +test_bounds_equal_but_infeasible2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_equal_but_infeasible2(self):$/;" m class:LinprogCommonTests +test_bounds_equal_no_presolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_equal_no_presolve(self):$/;" m class:LinprogCommonTests +test_bounds_fixed adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_fixed(self):$/;" m class:LinprogCommonTests +test_bounds_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_infeasible(self):$/;" m class:LinprogCommonTests +test_bounds_infeasible_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_infeasible_2(self):$/;" m class:LinprogCommonTests +test_bounds_infinity adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_infinity(self):$/;" m class:LinprogCommonTests +test_bounds_integrity adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_bounds_integrity(self):$/;" m class:TestDualAnnealing +test_bounds_mixed adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_mixed(self):$/;" m class:LinprogCommonTests +test_bounds_not_supported adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_bounds_not_supported(self):$/;" m class:TestLM +test_bounds_p0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_bounds_p0(self):$/;" m class:TestCurveFit +test_bounds_repr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^def test_bounds_repr():$/;" f +test_bounds_shape adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_bounds_shape(self):$/;" m class:BoundsMixin +test_bounds_simple adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bounds_simple(self):$/;" m class:LinprogCommonTests +test_bound_base_away_from_zero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def test_bound_base_away_from_zero(self, gc, dc):$/;" m class:TestBatchBoxCox +test_bound_equality_given2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_bound_equality_given2(self):$/;" m class:TestSLSQP +test_bound_switches adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_bound_switches(self):$/;" m class:TestApproxDerivativesDense +test_bound_validity adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_bound_validity(self):$/;" m class:TestDualAnnealing +test_boxarrow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_boxarrow():$/;" f +test_boxcar adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_boxcar(self):$/;" m class:TestGetWindow +test_boxcox adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_boxcox(self):$/;" m class:TestSystematic +test_boxcox1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_boxcox1p(self):$/;" m class:TestSystematic +test_boxcox1p_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox1p_basic():$/;" f +test_boxcox1p_nonfinite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox1p_nonfinite():$/;" f +test_boxcox1p_underflow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox1p_underflow():$/;" f +test_boxcox_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_boxcox_bad_arg(self):$/;" m class:TestBoxcox +test_boxcox_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox_basic():$/;" f +test_boxcox_nonfinite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox_nonfinite():$/;" f +test_boxcox_underflow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_boxcox_underflow():$/;" f +test_boxplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot():$/;" f +test_boxplot_autorange_whiskers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_autorange_whiskers():$/;" f +test_boxplot_bad_ci adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_bad_ci():$/;" f +test_boxplot_bad_medians adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_bad_medians():$/;" f +test_boxplot_dates_pandas adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_dates_pandas(pd):$/;" f +test_boxplot_marker_behavior adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_marker_behavior():$/;" f +test_boxplot_mod_artist_after_plotting adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_mod_artist_after_plotting():$/;" f +test_boxplot_not_single adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_not_single():$/;" f +test_boxplot_no_weird_whisker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_no_weird_whisker():$/;" f +test_boxplot_rc_parameters adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_rc_parameters():$/;" f +Test_boxplot_stats adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^class Test_boxplot_stats:$/;" c +test_boxplot_stats_autorange_false adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_boxplot_stats_autorange_false(self):$/;" m class:Test_boxplot_stats +test_boxplot_sym adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_sym():$/;" f +test_boxplot_sym2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_sym2():$/;" f +test_boxplot_with_CIarray adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_with_CIarray():$/;" f +test_boxplot_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_boxplot_zorder():$/;" f +test_box_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_box_aspect():$/;" f +test_box_aspect_custom_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_box_aspect_custom_position():$/;" f +test_box_with_nms_limits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_box_with_nms_limits($/;" m class:TorchIntegration +test_bp_from_pp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_bp_from_pp(self):$/;" m class:TestPolyConversions +test_bp_from_pp_random adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_bp_from_pp_random(self):$/;" m class:TestPolyConversions +test_brack adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_brack(self):$/;" m class:TestPpccMax +test_branches adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_branches(self):$/;" m class:TestComplexDivision +test_branch_cut adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_branch_cut(self):$/;" m class:TestExp1 +test_branch_cut adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_branch_cut(self, result):$/;" m class:TestExpi +test_branch_cut adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_branch_cut():$/;" f +test_branch_cuts adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_branch_cuts(self):$/;" m class:TestComplexFunctions +test_branch_cuts_complex64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_branch_cuts_complex64(self):$/;" m class:TestComplexFunctions +test_braycurtis adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_braycurtis():$/;" f +test_breakdown_underdetermined adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_breakdown_underdetermined(self):$/;" m class:TestLGMRES +test_breakdown_with_outer_v adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_breakdown_with_outer_v(self):$/;" m class:TestLGMRES +test_brent adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_brent(self):$/;" m class:TestOptimizeScalar +test_brenth adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^def test_brenth():$/;" f +test_brenth adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_brenth(self):$/;" m class:TestBasic +test_brentq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^def test_brentq():$/;" f +test_brentq adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_brentq(self):$/;" m class:TestBasic +test_brentq_full_output adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^def test_brentq_full_output():$/;" f +test_brent_negative_tolerance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_brent_negative_tolerance():$/;" f +test_briggs_helper_function adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_briggs_helper_function(self):$/;" m class:TestFractionalMatrixPower +test_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_broadcast(self, comm_size, blob_size, num_blobs, device_option,$/;" m class:TestCase +test_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^ def test_broadcast(self, X, root, device_option, gc, dc):$/;" m class:TestMPI +test_broadcast adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_broadcast(self):$/;" m class:ShapePolyPrimitivesTest +test_broadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_broadcast(self):$/;" m class:TestIterNested +test_broadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_broadcast(self):$/;" m class:TestUfunc +test_broadcast adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_broadcast(self):$/;" m class:TestFinancial +test_broadcast adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_broadcast(self):$/;" m class:TestPutAlongAxis +test_broadcast adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_broadcast(self):$/;" m class:TestTakeAlongAxis +test_broadcast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_broadcast(self):$/;" m class:TestRectBivariateSpline +test_broadcast adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_broadcast1(self):$/;" m class:TestChoose +test_broadcast1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_broadcast1(self):$/;" m class:TestRepeat +test_broadcast2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_broadcast2(self):$/;" m class:TestChoose +test_broadcast2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_broadcast2(self):$/;" m class:TestRepeat +test_broadcastable adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_broadcastable(self):$/;" m class:_TestConvolve +test_broadcastable_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_broadcastable_input(self):$/;" m class:TestRegularGridInterpolator +test_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_broadcasting(self):$/;" m class:TestCross +test_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_broadcasting(self):$/;" m class:TestSelect +test_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_broadcasting(self):$/;" m class:TestNct +test_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_broadcasting(self):$/;" m class:TestMultivariateNormal +test_broadcasting1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_broadcasting1(self):$/;" m class:TestFreqz +test_broadcasting2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_broadcasting2(self):$/;" m class:TestFreqz +test_broadcasting3 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_broadcasting3(self):$/;" m class:TestFreqz +test_broadcasting4 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_broadcasting4(self):$/;" m class:TestFreqz +test_broadcasting_contraction adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_broadcasting_contraction():$/;" f +test_broadcasting_contraction2 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_broadcasting_contraction2():$/;" f +test_broadcasting_contraction3 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_broadcasting_contraction3():$/;" f +test_broadcasting_contraction4 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_broadcasting_contraction4():$/;" f +test_broadcasting_dot_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_broadcasting_dot_cases(self):$/;" m class:TestEinsum +test_broadcasting_shapes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_broadcasting_shapes(self):$/;" m class:TestCross +test_broadcast_Add adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_broadcast_Add(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_broadcast_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_broadcast_arrays():$/;" f +test_broadcast_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_broadcast_decimal(self):$/;" m class:TestFinancial +test_broadcast_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_broadcast_error(self):$/;" m class:TestVecString +test_broadcast_error_kwargs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_broadcast_error_kwargs(self):$/;" m class:TestBroadcast +test_broadcast_flat_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_broadcast_flat_assignment(self):$/;" m class:TestRegression +test_broadcast_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast_gh7933_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_broadcast_gh7933_regression():$/;" f +test_broadcast_gh9990_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_broadcast_gh9990_regression():$/;" f +test_broadcast_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast_group(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast_in_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_broadcast_in_args(self):$/;" m class:TestBroadcast +test_broadcast_kwargs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_broadcast_kwargs():$/;" f +test_broadcast_Mul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_broadcast_Mul(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_broadcast_multigpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast_multigpu(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast_object_list adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_broadcast_object_list(self):$/;" m class:DistributedTest._DistTestBase +test_broadcast_powt adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_broadcast_powt(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_broadcast_scalar adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_broadcast_scalar(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_broadcast_shape adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_broadcast_shape():$/;" f +test_broadcast_single_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_broadcast_single_arg(self):$/;" m class:TestBroadcast +test_broadcast_size_error adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^def test_broadcast_size_error():$/;" f +test_broadcast_size_error adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^def test_broadcast_size_error():$/;" f +test_broadcast_size_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^def test_broadcast_size_scalar():$/;" f +test_broadcast_Sub adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_broadcast_Sub(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_broadcast_subspace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_broadcast_subspace(self):$/;" m class:TestBroadcastedAssignments +test_broadcast_to_raises adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_broadcast_to_raises():$/;" f +test_broadcast_to_succeeds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_broadcast_to_succeeds():$/;" f +test_broadcast_y adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_broadcast_y(self):$/;" m class:TestCurveFit +test_broaderrors_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_broaderrors_indexing(self):$/;" m class:TestIndexing +test_broken_barh_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_broken_barh_empty():$/;" f +test_broken_barh_timedelta adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_broken_barh_timedelta():$/;" f +test_broken_conversions adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_broken_conversions(self):$/;" m class:TestPolyConversions +test_broken_sequence_not_nd_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_broken_sequence_not_nd_index(self):$/;" m class:TestIndexing +test_broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden1(self):$/;" m class:TestJacobianDotSolve +test_broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden1(self):$/;" m class:TestLinear +test_broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden1(self):$/;" m class:TestNonlinOldTests +test_broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden1(self):$/;" m class:TestSecant +test_broyden1_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden1_update(self):$/;" m class:TestSecant +test_broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden2(self):$/;" m class:TestJacobianDotSolve +test_broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden2(self):$/;" m class:TestLinear +test_broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden2(self):$/;" m class:TestNonlinOldTests +test_broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden2(self):$/;" m class:TestSecant +test_broyden2_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_broyden2_update(self):$/;" m class:TestSecant +test_brunnermunzel_alternative_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_alternative_error(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_alternative_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_alternative_error(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_default(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_default(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_distribution_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_distribution_error(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_distribution_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_distribution_error(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_distribution_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_distribution_norm(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_distribution_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_distribution_norm(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_empty_imput adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_empty_imput(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_empty_imput adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_empty_imput(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_nan_input_omit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_nan_input_omit(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_nan_input_propagate adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_nan_input_propagate(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_nan_input_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_nan_input_raise(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_one_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_one_sided(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_one_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_one_sided(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_two_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_brunnermunzel_two_sided(self):$/;" m class:TestBrunnerMunzel +test_brunnermunzel_two_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_brunnermunzel_two_sided(self):$/;" m class:TestBrunnerMunzel +test_brute adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_brute(self):$/;" m class:TestBrute +test_brute_force_comparison_forward adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_brute_force_comparison_forward(self):$/;" m class:TestHausdorff +test_brute_force_comparison_reverse adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_brute_force_comparison_reverse(self):$/;" m class:TestHausdorff +test_bspline adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_bspline(self):$/;" m class:TestBSplines +test_bsr_1_block adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def test_bsr_1_block(self, op):$/;" m class:TestInt32Overflow +test_bsr_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bsr_matvec(self):$/;" m class:TestBSR +test_bsr_matvecs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bsr_matvecs(self):$/;" m class:TestBSR +test_bsr_n_block adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def test_bsr_n_block(self, op):$/;" m class:TestInt32Overflow +test_bsr_tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_bsr_tocsr(self):$/;" m class:TestBSR +test_btdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_btdtr(self):$/;" m class:TestCephes +test_btdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_btdtri(self):$/;" m class:TestCephes +test_btdtria adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_btdtria(self):$/;" m class:TestCephes +test_btdtria adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_btdtria(self):$/;" m class:TestCDFlib +test_btdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_btdtrib(self):$/;" m class:TestCephes +test_btdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_btdtrib(self):$/;" m class:TestCDFlib +test_bucketize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/bucketize_op_test.py /^ def test_bucketize_op(self, x, gc, dc):$/;" m class:TestBucketizeOp +test_bucketize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_bucketize_op(self):$/;" m class:TorchIntegration +test_buffer adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_buffer(method):$/;" f +test_buffer_hashlib adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_buffer_hashlib(self):$/;" m class:TestRegression +test_buffer_size adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_buffer_size(fig_test, fig_ref):$/;" f +test_bug_10124 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_10124(self):$/;" m class:LinprogCommonTests +test_bug_10349 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_10349(self):$/;" m class:LinprogCommonTests +test_bug_10349 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_bug_10349 = LCT.test_bug_10349$/;" v class:RRTests +test_bug_10466 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_10466(self):$/;" m class:TestLinprogIPSparseUmfpack +test_bug_10466 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_10466(self):$/;" m class:LinprogCommonTests +test_bug_11886 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^def test_bug_11886():$/;" f +test_Bug_2543 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_Bug_2543():$/;" f +test_bug_5400 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_5400(self):$/;" m class:LinprogCommonTests +test_bug_5400 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_5400(self):$/;" m class:LinprogRSTests +test_bug_5400 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_5400(self):$/;" m class:TestLinprogSimplexBland +test_bug_5400 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_5400(self):$/;" m class:TestLinprogSimplexDefault +test_bug_5400 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_5400(self):$/;" m class:TestLinprogSimplexNoPresolve +test_bug_6139 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6139(self):$/;" m class:LinprogCommonTests +test_bug_6139 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6139(self):$/;" m class:TestAutoscaleIP +test_bug_6139 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6139(self):$/;" m class:TestLinprogIPSparse +test_bug_6139 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6139(self):$/;" m class:TestLinprogIPSparsePresolve +test_bug_6139 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_bug_6139 = LinprogCommonTests.test_bug_6139$/;" v class:AutoscaleTests +test_bug_6139_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6139_low_tol(self):$/;" m class:TestLinprogSimplexNoPresolve +test_bug_6690 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6690(self):$/;" m class:LinprogCommonTests +test_bug_6690 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6690(self):$/;" m class:TestLinprogIPSparse +test_bug_6690 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_6690(self):$/;" m class:TestLinprogIPSparsePresolve +test_bug_6690 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_bug_6690 = LinprogCommonTests.test_bug_6690$/;" v class:AutoscaleTests +test_bug_7044 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_7044(self):$/;" m class:LinprogCommonTests +test_bug_7044 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_bug_7044 = LCT.test_bug_7044$/;" v class:RRTests +test_bug_7237 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_7237(self):$/;" m class:LinprogCommonTests +test_bug_7237 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_bug_7237 = LinprogCommonTests.test_bug_7237$/;" v class:AutoscaleTests +test_bug_7237_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_7237_low_tol(self):$/;" m class:TestLinprogSimplexDefault +test_bug_7237_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_7237_low_tol(self):$/;" m class:TestLinprogSimplexNoPresolve +test_bug_8174 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8174(self):$/;" m class:LinprogCommonTests +test_bug_8174_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8174_2(self):$/;" m class:LinprogCommonTests +test_bug_8174_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8174_low_tol(self):$/;" m class:TestLinprogSimplexBland +test_bug_8174_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8174_low_tol(self):$/;" m class:TestLinprogSimplexDefault +test_bug_8174_low_tol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8174_low_tol(self):$/;" m class:TestLinprogSimplexNoPresolve +test_bug_8278 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bug_8278(self):$/;" m class:TestFactorized +test_bug_8278 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bug_8278(self):$/;" m class:TestLinsolve +test_bug_8561 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8561(self):$/;" m class:LinprogCommonTests +test_bug_8662 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8662(self):$/;" m class:LinprogCommonTests +test_bug_8662 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8662(self):$/;" m class:LinprogRSTests +test_bug_8663 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8663(self):$/;" m class:LinprogCommonTests +test_bug_8664 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8664(self):$/;" m class:LinprogCommonTests +test_bug_8664 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8664(self):$/;" m class:TestLinprogIPSpecific +test_bug_8973 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8973(self):$/;" m class:LinprogCommonTests +test_bug_8973_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_bug_8973_2(self):$/;" m class:LinprogCommonTests +test_bug_9793 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_bug_9793(self):$/;" m class:TestInterpolativeDecomposition +test_builder_tuple adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_builder_tuple(self):$/;" m class:PythonOpTest +test_build_err_msg_custom_names adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_build_err_msg_custom_names(self):$/;" m class:TestBuildErrorMessage +test_build_err_msg_custom_precision adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_build_err_msg_custom_precision(self):$/;" m class:TestBuildErrorMessage +test_build_err_msg_defaults adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_build_err_msg_defaults(self):$/;" m class:TestBuildErrorMessage +test_build_err_msg_no_verbose adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_build_err_msg_no_verbose(self):$/;" m class:TestBuildErrorMessage +test_build_import adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_mingw32ccompiler.py /^def test_build_import():$/;" f +test_build_quadratic_1d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_build_quadratic_1d(self):$/;" m class:TestQuadraticFunction +test_build_rpc_profiling_key adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_build_rpc_profiling_key(self):$/;" m class:RpcTest +test_builtin adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_builtin(self, t):$/;" m class:TestPickling +test_builtin_abs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_builtin_abs(self):$/;" m class:TestAbs +test_builtin_long adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_builtin_long(self):$/;" m class:TestRationalFunctions +test_builtin_remote_message_dropped_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_builtin_remote_message_dropped_timeout(self):$/;" m class:FaultyAgentRpcTest +test_builtin_remote_message_dropped_timeout_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_builtin_remote_message_dropped_timeout_to_self(self):$/;" m class:FaultyAgentRpcTest +test_builtin_remote_ret adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_builtin_remote_ret(self):$/;" m class:RpcTest +test_builtin_remote_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_builtin_remote_self(self):$/;" m class:RpcTest +test_burkardt_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_1(self):$/;" m class:TestExpM +test_burkardt_10 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_10(self):$/;" m class:TestExpM +test_burkardt_11 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_11(self):$/;" m class:TestExpM +test_burkardt_12 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_12(self):$/;" m class:TestExpM +test_burkardt_13 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_13(self):$/;" m class:TestExpM +test_burkardt_14 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_14(self):$/;" m class:TestExpM +test_burkardt_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_2(self):$/;" m class:TestExpM +test_burkardt_3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_3(self):$/;" m class:TestExpM +test_burkardt_4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_4(self):$/;" m class:TestExpM +test_burkardt_5 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_5(self):$/;" m class:TestExpM +test_burkardt_6 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_6(self):$/;" m class:TestExpM +test_burkardt_7 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_7(self):$/;" m class:TestExpM +test_burkardt_8 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_8(self):$/;" m class:TestExpM +test_burkardt_9 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_burkardt_9(self):$/;" m class:TestExpM +test_burr12_ppf_small_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_burr12_ppf_small_arg():$/;" f +test_burr_equivalence adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_burr_equivalence(self):$/;" m class:TestMielke +test_burr_nan_mean_var_9544 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_burr_nan_mean_var_9544(self):$/;" m class:TestBurr +test_burr_stats_9544 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_burr_stats_9544(self):$/;" m class:TestBurr +test_bvector_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_bvector_smoketest(self):$/;" m class:TestLinsolve +test_bvlc_alexnet adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_bvlc_alexnet(self):$/;" m class:TensorRTOpTest +test_bvlc_googlenet adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_bvlc_googlenet(self):$/;" m class:TestCaffe2End2End +test_bvlc_reference_caffenet adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_bvlc_reference_caffenet(self):$/;" m class:TestCaffe2End2End +test_bvlc_reference_rcnn_ilsvrc13 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_bvlc_reference_rcnn_ilsvrc13(self):$/;" m class:TestCaffe2End2End +test_bvp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_bvp(self):$/;" m class:BaseMixin +test_bxp_bad_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_bad_positions():$/;" f +test_bxp_bad_widths adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_bad_widths():$/;" f +test_bxp_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_baseline():$/;" f +test_bxp_custombox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_custombox():$/;" f +test_bxp_customcap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_customcap():$/;" f +test_bxp_custommedian adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_custommedian():$/;" f +test_bxp_customoutlier adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_customoutlier():$/;" f +test_bxp_custompatchartist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_custompatchartist():$/;" f +test_bxp_custompositions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_custompositions():$/;" f +test_bxp_customwhisker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_customwhisker():$/;" f +test_bxp_customwidths adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_customwidths():$/;" f +test_bxp_horizontal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_horizontal():$/;" f +test_bxp_nobox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_nobox():$/;" f +test_bxp_nocaps adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_nocaps():$/;" f +test_bxp_no_flier_stats adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_no_flier_stats():$/;" f +test_bxp_patchartist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_patchartist():$/;" f +test_bxp_percentilewhis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_percentilewhis():$/;" f +test_bxp_rangewhis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_rangewhis():$/;" f +test_bxp_scalarwidth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_scalarwidth():$/;" f +test_bxp_showcustommean adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_showcustommean():$/;" f +test_bxp_showmean adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_showmean():$/;" f +test_bxp_showmeanasline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_showmeanasline():$/;" f +test_bxp_shownotches adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_shownotches():$/;" f +test_bxp_with_xlabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_with_xlabels():$/;" f +test_bxp_with_ylabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_bxp_with_ylabels():$/;" f +test_byte adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_byte(self):$/;" m class:TestScalars +test_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_byteorder(self, dtype):$/;" m class:TestPutmask +test_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_byteorder(self, dtype):$/;" m class:TestTake +test_byteorders adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_byteorders(self):$/;" m class:TestDtypedescr +test_byteorder_check adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_byteorder_check():$/;" f +test_byteorder_inside_struct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_byteorder_inside_struct(self):$/;" m class:TestPEP3118Dtype +test_bytes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_bytes(self):$/;" m class:TestRandomDist +test_bytes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_bytes(self):$/;" m class:TestRandomDist +test_bytes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_bytes(self):$/;" m class:TestRandomDist +test_bytes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_bytes(self):$/;" m class:RNG +test_bytes adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def test_bytes(self):$/;" m class:AutoFormatTest +test_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_bytes(self):$/;" m class:TestScalars +test_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_bytes():$/;" f +test_BytesIO adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_BytesIO(self):$/;" m class:TestFileObj +test_bytestr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_bytestr(self):$/;" m class:TestObjectIndex +test_byteswap adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_byteswap():$/;" f +test_byteswap_complex_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_byteswap_complex_scalar(self):$/;" m class:TestRegression +test_bytes_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_bytes_fields(self):$/;" m class:TestRecord +test_byte_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^ def test_byte_bounds(self):$/;" m class:TestByteBounds +test_byte_gatts adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_byte_gatts():$/;" f +test_byte_order_median adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_byte_order_median():$/;" f +test_byview adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_byview(self):$/;" m class:TestMRecords +test_bzip2_py3 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_bzip2_py3(self):$/;" m class:TestMMIOCoordinate +test_b_centered adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_b_centered(self):$/;" m class:Test_measurements_stats +test_b_less_than_a adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_b_less_than_a(self):$/;" m class:TestQuad +test_b_less_than_a_2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_b_less_than_a_2(self):$/;" m class:TestQuad +test_b_less_than_a_3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_b_less_than_a_3(self):$/;" m class:TestQuad +test_b_less_than_a_full_output adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_b_less_than_a_full_output(self):$/;" m class:TestQuad +test_b_overwrites adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_b_overwrites(self):$/;" m class:TestTRMM +test_b_shapes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^def test_b_shapes():$/;" f +test_c0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_c0(self):$/;" m class:TestGenpareto +test_c2d_ss adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_c2d_ss(self):$/;" m class:TestC2dLti +test_c2d_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_c2d_tf(self):$/;" m class:TestC2dLti +test_c2_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/test/inference_lstm_op_test.py /^ def test_c2_lstm($/;" m class:TestC2LSTM +test_cabs_inf_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_cabs_inf_nan(self):$/;" m class:TestCabs +test_cache adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_cache(self):$/;" m class:TestVectorize +test_cache adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_cache(self):$/;" m class:TestNdpointer +test_CachedHTTPFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_CachedHTTPFile(self):$/;" m class:TestRepositoryExists +test_cached_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_cached_reader(self):$/;" m class:TestDBFileReader +test_cache_hidden adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_cache_hidden(self):$/;" m class:TestSharedMemory +test_caffe2_cpu_vs_numpy adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def test_caffe2_cpu_vs_numpy(self):$/;" m class:TestYellowFin +test_caffe2_gpu_vs_numpy adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def test_caffe2_gpu_vs_numpy(self):$/;" m class:TestYellowFin +test_caffe2_pytorch_eq adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^ def test_caffe2_pytorch_eq(self):$/;" m class:TestHeatmapMaxKeypointOp +test_caffe2_to_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_caffe2_to_onnx(self):$/;" m class:TestConversion +test_caffe2_to_onnx_value_info adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_caffe2_to_onnx_value_info(self):$/;" m class:TestConversion +test_calculate_population_energies adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_calculate_population_energies(self):$/;" m class:TestDifferentialEvolutionSolver +test_calculating_input_params_no_steps_no_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calculating_input_params_no_steps_no_batch_size(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_calculating_input_params_no_steps_with_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calculating_input_params_no_steps_with_batch_size($/;" m class:TestDistributionStrategyWithNumpyArrays +test_calculating_input_params_with_steps_no_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calculating_input_params_with_steps_no_batch_size($/;" m class:TestDistributionStrategyWithNumpyArrays +test_calculating_input_params_with_steps_with_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calculating_input_params_with_steps_with_batch_size($/;" m class:TestDistributionStrategyWithNumpyArrays +test_call adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_call(Poly):$/;" f +test_call adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_call(self):$/;" m class:TestCall +test_callable_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_callable_cdf(self):$/;" m class:TestCvm +test_callable_covariance_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_callable_covariance_dataset(self):$/;" m class:TestGaussianKDECustom +test_callable_singledim_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_callable_singledim_dataset(self):$/;" m class:TestGaussianKDECustom +test_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_callback(self):$/;" m class:LinprogCommonTests +test_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_callback(self):$/;" m class:LinprogHiGHSTests +test_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_callback(self):$/;" m class:TestSLSQP +test_callback adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_callback(self):$/;" m class:TestGMRES +test_callbackregistry_custom_exception_handler adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_callbackregistry_custom_exception_handler(monkeypatch, cb, excp):$/;" f +test_callbackregistry_default_exception_handler adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_callbackregistry_default_exception_handler(monkeypatch):$/;" f +test_callbacks adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def test_callbacks():$/;" f +test_callbacks_in_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_callbacks_in_eval(self, distribution):$/;" m class:TestDistributionStrategyWithCallbacks +test_callbacks_in_fit adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_callbacks_in_fit(self, distribution):$/;" m class:TestDistributionStrategyWithCallbacks +test_callbacks_in_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_callbacks_in_predict(self, distribution):$/;" m class:TestDistributionStrategyWithCallbacks +test_callback_chain adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_callback_chain(self):$/;" m class:JitRpcTest +test_callback_chain adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_chain(self):$/;" m class:RpcTest +test_callback_complete adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_callback_complete(self):$/;" m class:Test_callback_registry +test_callback_in_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_in_rpc(self):$/;" m class:RpcTest +test_callback_multi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_multi(self):$/;" m class:RpcTest +test_callback_none adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_none(self):$/;" m class:RpcTest +Test_callback_registry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^class Test_callback_registry:$/;" c +test_callback_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_callback_simple(self):$/;" m class:JitRpcTest +test_callback_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_simple(self):$/;" m class:RpcTest +test_callback_stop adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_callback_stop(self):$/;" m class:TestDualAnnealing +test_callback_terminates adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_callback_terminates(self):$/;" m class:TestDifferentialEvolutionSolver +test_callback_type adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_callback_type(self):$/;" m class:TestGMRES +test_callback_with_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_with_error(self):$/;" m class:RpcTest +test_callback_with_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_callback_with_exception(self):$/;" m class:JitRpcTest +test_callback_with_ret adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_with_ret(self):$/;" m class:RpcTest +test_callback_wrong_arg_num adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_wrong_arg_num(self):$/;" m class:RpcTest +test_callback_wrong_arg_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_callback_wrong_arg_type(self):$/;" m class:RpcTest +test_callback_x_monotonic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_callback_x_monotonic(self):$/;" m class:TestGMRES +test_calling_conventions adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_calling_conventions(self):$/;" m class:TestVoxels +test_calling_model_on_same_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calling_model_on_same_dataset(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_calling_model_with_mixed_precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calling_model_with_mixed_precision(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_calling_model_with_nested_numpy_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calling_model_with_nested_numpy_arrays(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_calling_model_with_numpy_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_calling_model_with_numpy_arrays(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_callstatement adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ def test_callstatement(self):$/;" m class:TestCallstatement +test_call_fork_in_jit_with_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_call_fork_in_jit_with_profiling(self):$/;" m class:JitRpcTest +test_call_illegal_pos adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_call_illegal_pos(self):$/;" m class:ManualEditsTest +test_call_method_on_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_call_method_on_rref(self):$/;" m class:RpcTest +test_call_no_pos adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_call_no_pos(self):$/;" m class:ManualEditsTest +test_call_python_function_remotely_from_script_not_supported adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_call_python_function_remotely_from_script_not_supported(self):$/;" m class:JitRpcOpTest +test_call_rpc_with_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_call_rpc_with_profiling(self):$/;" m class:JitRpcTest +test_call_script_function_that_not_exists_remotely_from_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_call_script_function_that_not_exists_remotely_from_script(self):$/;" m class:JitRpcOpTest +test_call_script_function_that_raises_remotely_from_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_call_script_function_that_raises_remotely_from_script(self):$/;" m class:JitRpcOpTest +test_call_within_randomstate adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_call_within_randomstate(self):$/;" m class:TestRegression +test_call_within_randomstate adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_call_within_randomstate(self):$/;" m class:TestRegression +test_call_within_randomstate adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_call_within_randomstate(self):$/;" m class:TestRegression +test_call_with_cast_to_complex_without_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_call_with_cast_to_complex_without_umfpack(self):$/;" m class:TestFactorized +test_call_with_cast_to_complex_with_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_call_with_cast_to_complex_with_umfpack(self):$/;" m class:TestFactorized +test_call_with_incorrectly_sized_matrix_without_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_call_with_incorrectly_sized_matrix_without_umfpack(self):$/;" m class:TestFactorized +test_call_with_incorrectly_sized_matrix_with_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_call_with_incorrectly_sized_matrix_with_umfpack(self):$/;" m class:TestFactorized +test_canberra adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_canberra():$/;" f +test_cannot_factorize_nonsquare_matrix_without_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_cannot_factorize_nonsquare_matrix_without_umfpack(self):$/;" m class:TestFactorized +test_cannot_infer_backend_from_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_cannot_infer_backend_from_options(self):$/;" m class:RpcTest +test_cannot_seed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_cannot_seed(self):$/;" m class:TestSeed +test_canonical adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_canonical():$/;" f +test_canvas_change adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_canvas_change():$/;" f +test_canvas_reinit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_canvas_reinit():$/;" f +test_can_blas adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_blas.py /^def test_can_blas(inp, benchmark):$/;" f +test_can_blas_on_healed_broadcast_dimensions adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_can_blas_on_healed_broadcast_dimensions():$/;" f +test_can_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_can_cast(self):$/;" m class:TestTypes +test_can_cast_simple_to_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_can_cast_simple_to_structured(self):$/;" m class:TestTypes +test_can_cast_structured_to_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_can_cast_structured_to_simple(self):$/;" m class:TestTypes +test_can_cast_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_can_cast_values(self):$/;" m class:TestTypes +test_can_ignore_signature adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_can_ignore_signature(self):$/;" m class:TestUfunc +test_can_init_with_dithering adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_can_init_with_dithering(self):$/;" m class:TestDifferentialEvolutionSolver +test_can_optimize_outer_products adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_can_optimize_outer_products(optimize):$/;" f +test_capitalize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_capitalize(self):$/;" m class:TestMethods +test_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_capstyle():$/;" f +test_cap_and_joinstyle_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_cap_and_joinstyle_image():$/;" f +test_cartopy_backcompat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_cartopy_backcompat():$/;" f +test_cascade adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_cascade(self):$/;" m class:TestWavelets +test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ test_cases = [("ascii", ["hello", "world", "hi"]),$/;" v class:TestStrCategoryFormatter +test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ test_cases = [("unicode", ["Здравствуйте мир"]),$/;" v class:TestStrCategoryConverter +test_cases adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ test_cases = [('single', (["hello world"], [0])),$/;" v class:TestUnitData +TEST_CASES adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ TEST_CASES = CASES$/;" v class:LinalgTestCase +TEST_CASES adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^ TEST_CASES = CASES$/;" v class:MatrixTestCase +test_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_cases(self):$/;" m class:TestSolveLyapunov +test_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_cases(self):$/;" m class:TestSolveSylvester +test_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_cases(self):$/;" m class:TestPascal +test_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cases(self):$/;" m class:TestTrapezoid +test_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^def test_cases():$/;" f +test_case_sensitivity adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_case_sensitivity(self):$/;" m class:TestNameValidator +test_cast adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_cast(self, a, src, dst, use_name, gc, dc):$/;" m class:TestOperators +test_cast adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_cast(self):$/;" m class:TestCaffe2Basic +test_cast adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_cast(Poly1, Poly2):$/;" f +test_cast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_cast(self):$/;" m class:TestPCHIP +test_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_casting(self):$/;" m class:TestStructured +test_casting_error adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_casting_error(self):$/;" m class:TestDigitize +test_casting_out_param adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_casting_out_param(self):$/;" m class:TestUfunc +test_cast_equivalence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_cast_equivalence(self):$/;" m class:TestFancyIndexingEquivalence +test_cast_from_bytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_cast_from_bytes(self):$/;" m class:TestBool +test_cast_from_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_cast_from_unicode(self):$/;" m class:TestBool +test_cast_from_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_cast_from_void(self):$/;" m class:TestBool +test_cast_int_float adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^ def test_cast_int_float(self, gc, dc):$/;" m class:TestCastOp +test_cast_int_float_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^ def test_cast_int_float_empty(self, gc, dc):$/;" m class:TestCastOp +test_cast_int_to_string adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cast_op_test.py /^ def test_cast_int_to_string(self, data, gc, dc):$/;" m class:TestCastOp +test_cast_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_cast_overflow(self):$/;" m class:TestDateTime +test_cast_to_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_cast_to_string(self):$/;" m class:TestAssignment +test_catch_no_raise adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_catch_no_raise(self):$/;" m class:TestRaises +test_cauchypoint_equalsto_newtonpoint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_cauchypoint_equalsto_newtonpoint(self):$/;" m class:TestModifiedDogleg +test_cauchypv_weight adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_cauchypv_weight(self):$/;" m class:TestQuad +test_caught_exception_doesnt_terminate adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_caught_exception_doesnt_terminate(self, x):$/;" m class:PythonOpTest +test_cbar_minorticks_for_rc_xyminortickvisible adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_cbar_minorticks_for_rc_xyminortickvisible():$/;" f +test_cbrt adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_cbrt(self, X, in_place, gc, dc):$/;" m class:TestElementwiseOps +test_cbrt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_cbrt(self):$/;" m class:TestCbrt +test_cbrt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cbrt(self):$/;" m class:TestCephes +test_cbrt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cbrt(self):$/;" m class:TestTrigonometric +test_cbrtmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cbrtmore(self):$/;" m class:TestTrigonometric +test_cbrt_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_cbrt_grad(self, X, in_place, gc, dc):$/;" m class:TestElementwiseOps +test_cbrt_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_cbrt_scalar(self):$/;" m class:TestCbrt +test_cc_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_cc_diff(self):$/;" m class:TestOverwrite +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestBetaPrime +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestKSTwo +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestPearson3 +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestRandInt +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestRvDiscrete +test_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf(self):$/;" m class:TestSkellam +test_cdf_10 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_10(self):$/;" m class:TestCvm +test_cdf_1000 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_1000(self):$/;" m class:TestCvm +test_cdf_4 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_4(self):$/;" m class:TestCvm +test_cdf_above_one adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_above_one(self):$/;" m class:TestHypergeom +test_cdf_bounds adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_bounds(self):$/;" m class:TestGenExpon +test_cdf_expon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_expon(self):$/;" m class:TestKappa4 +test_cdf_genextreme adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_genextreme(self):$/;" m class:TestKappa4 +test_cdf_genpareto adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_genpareto(self):$/;" m class:TestKappa4 +test_cdf_gumbel_r adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_gumbel_r(self):$/;" m class:TestKappa4 +test_cdf_inf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_inf(self):$/;" m class:TestCvm +test_cdf_large_n adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_large_n(self):$/;" m class:TestCvm +test_cdf_large_x adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_large_x(self):$/;" m class:TestSkewNorm +test_cdf_logistic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_logistic(self):$/;" m class:TestKappa4 +test_cdf_nolan_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_nolan_samples(self):$/;" m class:TestLevyStable +test_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf(self):$/;" m class:TestBradford +test_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf(self):$/;" m class:TestGumbelL +test_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf(self):$/;" m class:TestHistogram +test_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf(self):$/;" m class:TestInvGamma +test_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf(self):$/;" m class:TestLogistic +test_cdf_ppf_next adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf_next(self):$/;" m class:TestRvDiscrete +test_cdf_ppf_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_ppf_roundtrip(self):$/;" m class:TestGenpareto +test_cdf_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_R(self):$/;" m class:TestNormInvGauss +test_cdf_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_sf(self):$/;" m class:TestGeom +test_cdf_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_sf(self):$/;" m class:TestKSTwo +test_cdf_sf_small_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_sf_small_values(self):$/;" m class:TestSkewNorm +test_cdf_sf_sqrtn adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_sf_sqrtn(self):$/;" m class:TestKSTwo +test_cdf_sqrtn adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_sqrtn(self):$/;" m class:TestKSTwo +test_cdf_support adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_cdf_support(self):$/;" m class:TestCvm +test_cdf_uniform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cdf_uniform(self):$/;" m class:TestKappa4 +test_cdist_calling_conventions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_calling_conventions(self):$/;" m class:TestCdist +test_cdist_cosine_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_cosine_random(self):$/;" m class:TestCdist +test_cdist_custom_notdouble adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_custom_notdouble(self):$/;" m class:TestCdist +test_cdist_dtype_equivalence adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_dtype_equivalence(self):$/;" m class:TestCdist +test_cdist_euclidean_random_unicode adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_euclidean_random_unicode(self):$/;" m class:TestCdist +test_cdist_extra_args adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_extra_args(self):$/;" m class:TestCdist +test_cdist_mahalanobis adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_mahalanobis(self):$/;" m class:TestCdist +test_cdist_minkowski_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_minkowski_random(self, p):$/;" m class:TestCdist +test_cdist_out adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cdist_out(self):$/;" m class:TestCdist +test_cdll adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ test_cdll = load_library('_multiarray_tests', np.core._multiarray_tests.__file__)$/;" v +test_cdll adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ test_cdll = None$/;" v +test_CD_mismatch_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_CD_mismatch_fails(self):$/;" m class:Test_abcd_normalize +test_cef adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_cef(self):$/;" m class:TestOrdQZ +test_ceil adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ceil_op_test.py /^ def test_ceil(self, X, gc, dc, engine):$/;" m class:TestCeil +test_ceildiv_128_64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_ceildiv_128_64():$/;" f +test_cell_with_one_thing_in_it adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_cell_with_one_thing_in_it():$/;" f +test_center adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_center(self):$/;" m class:TestMethods +test_center_mean_with_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_center_mean_with_nan(self, axis, expected):$/;" m class:TestMedianAbsDeviation +test_center_not_callable adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_center_not_callable(self):$/;" m class:TestMedianAbsDeviation +test_center_of_mass01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass01():$/;" f +test_center_of_mass02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass02():$/;" f +test_center_of_mass03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass03():$/;" f +test_center_of_mass04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass04():$/;" f +test_center_of_mass05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass05():$/;" f +test_center_of_mass06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass06():$/;" f +test_center_of_mass07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass07():$/;" f +test_center_of_mass08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass08():$/;" f +test_center_of_mass09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_center_of_mass09():$/;" f +test_cffi adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_cffi(self):$/;" m class:Base +test_cffi adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^def test_cffi():$/;" f +test_cg adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_cg(self):$/;" m class:CheckOptimizeParameterized +test_cg_cornercase adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_cg_cornercase(self):$/;" m class:CheckOptimizeParameterized +test_ch2_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_ch2_inf():$/;" f +test_chain adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_chain(size, backend):$/;" f +test_chain_2 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_chain_2(size, backend):$/;" f +test_chain_2_growth adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_chain_2_growth(backend):$/;" f +test_chain_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_chain_sharing(size, backend):$/;" f +test_change_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_change_dpi():$/;" f +test_change_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_change_epoch():$/;" f +test_channel_shuffle adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/channel_shuffle_op_test.py /^ def test_channel_shuffle(self, size, input_channels, batch_size, group, stride, pad, kernel,/;" m class:ChannelShuffleTest +test_channel_shuffle adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^ def test_channel_shuffle(self, N, G, K, H, W, order, gc, dc):$/;" m class:ChannelShuffleOpsTest +test_channel_shuffle adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^ def test_channel_shuffle(self, channels_per_group, groups, n, order, gc, dc):$/;" m class:DNNLowPChannelShuffleOpsTest +test_channel_shuffle_fast_path adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/channel_shuffle_dnnlowp_op_test.py /^ def test_channel_shuffle_fast_path(self, channels_per_group, n, gc, dc):$/;" m class:DNNLowPChannelShuffleOpsTest +test_channel_stats_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def test_channel_stats_2d(self, N, C, H, W, order, gc, dc):$/;" m class:TestChannelStatsOp +test_channel_stats_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_stats_op_test.py /^ def test_channel_stats_3d(self, N, C, D, H, W, order, gc, dc):$/;" m class:TestChannelStatsOp +test_char adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_string.py /^ def test_char(self):$/;" m class:TestString +test_character_array_strip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_character_array_strip(self):$/;" m class:TestRegression +test_chararray_rstrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_chararray_rstrip(self):$/;" m class:TestRegression +test_chars_strings adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_utils.py /^def test_chars_strings():$/;" f +test_char_array_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_char_array_creation(self):$/;" m class:TestRegression +test_char_dump adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_char_dump(self):$/;" m class:TestRegression +test_char_radd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def test_char_radd(self):$/;" m class:TestCharacter +test_char_repeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def test_char_repeat(self):$/;" m class:TestCharacter +test_char_vs_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_char_vs_string(self):$/;" m class:TestPEP3118Dtype +test_chdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chdtr(self):$/;" m class:TestCephes +test_chdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chdtrc(self):$/;" m class:TestCephes +test_chdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chdtri(self):$/;" m class:TestCephes +test_chdtriv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chdtriv(self):$/;" m class:TestCephes +test_chdtriv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_chdtriv(self):$/;" m class:TestCDFlib +test_cheb2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_cheb2poly(self):$/;" m class:TestMisc +test_chebadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebadd(self):$/;" m class:TestArithmetic +test_chebder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebder(self):$/;" m class:TestDerivative +test_chebder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebder_axis(self):$/;" m class:TestDerivative +test_chebdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebdiv(self):$/;" m class:TestArithmetic +test_chebdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebdomain(self):$/;" m class:TestConstants +test_chebfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebfit(self):$/;" m class:TestFitting +test_chebfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebfromroots(self):$/;" m class:TestMisc +test_chebgrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebgrid2d(self):$/;" m class:TestEvaluation +test_chebgrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebgrid3d(self):$/;" m class:TestEvaluation +test_chebint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebint(self):$/;" m class:TestIntegral +test_chebint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebint_axis(self):$/;" m class:TestIntegral +test_chebline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebline(self):$/;" m class:TestMisc +test_chebmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebmul(self):$/;" m class:TestArithmetic +test_chebmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebmulx(self):$/;" m class:TestArithmetic +test_chebone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebone(self):$/;" m class:TestConstants +test_chebpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebpow(self):$/;" m class:TestArithmetic +test_chebpts1 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebpts1(self):$/;" m class:TestMisc +test_chebpts2 adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebpts2(self):$/;" m class:TestMisc +test_chebroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebroots(self):$/;" m class:TestMisc +test_chebsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebsub(self):$/;" m class:TestArithmetic +test_chebtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebtrim(self):$/;" m class:TestMisc +test_chebval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebval(self):$/;" m class:TestEvaluation +test_chebval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebval2d(self):$/;" m class:TestEvaluation +test_chebval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebval3d(self):$/;" m class:TestEvaluation +test_chebvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebvander(self):$/;" m class:TestVander +test_chebvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebvander2d(self):$/;" m class:TestVander +test_chebvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebvander3d(self):$/;" m class:TestVander +test_chebx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebx(self):$/;" m class:TestConstants +test_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_chebyc(self):$/;" m class:TestCheby +test_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyc(self):$/;" m class:TestPolys +test_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyc(self):$/;" m class:TestRecurrence +test_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_chebys(self):$/;" m class:TestCheby +test_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebys(self):$/;" m class:TestPolys +test_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebys(self):$/;" m class:TestRecurrence +test_chebyshev_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_chebyshev_str(self):$/;" m class:TestRepr +test_chebyshev_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_chebyshev_str(self):$/;" m class:TestStr +test_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chebyt(self):$/;" m class:TestSystematic +test_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_chebyt(self):$/;" m class:TestCheby +test_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyt(self):$/;" m class:TestPolys +test_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyt(self):$/;" m class:TestRecurrence +test_chebyt_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chebyt_int(self):$/;" m class:TestSystematic +test_chebyt_symmetry adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_chebyt_symmetry():$/;" f +test_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chebyu(self):$/;" m class:TestSystematic +test_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_chebyu(self):$/;" m class:TestCheby +test_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyu(self):$/;" m class:TestPolys +test_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_chebyu(self):$/;" m class:TestRecurrence +test_chebyu_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chebyu_int(self):$/;" m class:TestSystematic +test_chebzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_chebzero(self):$/;" m class:TestConstants +test_cheb_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_even(self):$/;" m class:TestGetWindow +test_cheb_even_high_attenuation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_even_high_attenuation(self):$/;" m class:TestChebWin +test_cheb_even_low_attenuation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_even_low_attenuation(self):$/;" m class:TestChebWin +test_cheb_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_odd(self):$/;" m class:TestGetWindow +test_cheb_odd_high_attenuation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_odd_high_attenuation(self):$/;" m class:TestChebWin +test_cheb_odd_low_attenuation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_cheb_odd_low_attenuation(self):$/;" m class:TestChebWin +test_CheckButtons adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_CheckButtons():$/;" f +test_checkfinite adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_checkfinite(self):$/;" m class:TestLSQ +test_checkpoint_params adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_checkpoint_params(self):$/;" m class:DataParallelModelTest +test_checkpoint_wrapper_types adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_checkpoint_wrapper_types(self):$/;" m class:Jax2TfTest +test_checktitles adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_checktitles(self):$/;" m class:TestStackArrays +test_check_01 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_01(self):$/;" m class:TestReflect +test_check_01 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_01(self):$/;" m class:TestSymmetric +test_check_01 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_01(self):$/;" m class:TestWrap +test_check_02 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_02(self):$/;" m class:TestReflect +test_check_02 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_02(self):$/;" m class:TestSymmetric +test_check_02 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_02(self):$/;" m class:TestWrap +test_check_03 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_03(self):$/;" m class:TestReflect +test_check_03 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_03(self):$/;" m class:TestSymmetric +test_check_2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_2d(self):$/;" m class:TestLinearRamp +test_check_arguments adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_check_arguments(self):$/;" m class:TestCaffe2Basic +test_check_bunch_of_radio_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_check_bunch_of_radio_buttons():$/;" f +test_check_COLA adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_check_COLA(self):$/;" m class:TestSTFT +test_check_constant adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant(self):$/;" m class:TestConstant +test_check_constant_float adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_float(self):$/;" m class:TestConstant +test_check_constant_float2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_float2(self):$/;" m class:TestConstant +test_check_constant_float3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_float3(self):$/;" m class:TestConstant +test_check_constant_odd_pad_amount adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_odd_pad_amount(self):$/;" m class:TestConstant +test_check_constant_pad_2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_pad_2d(self):$/;" m class:TestConstant +test_check_constant_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_constant_zeros(self):$/;" m class:TestConstant +test_check_derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_check_derivative(self):$/;" m class:TestApproxDerivativesDense +test_check_derivative adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_check_derivative(self):$/;" m class:TestApproxDerivativeSparse +test_check_equal_default_value adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_check_equal_default_value(self):$/;" m class:TestDeviceOption +test_check_equal_node_name adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_check_equal_node_name(self):$/;" m class:TestDeviceOption +test_check_failed_messages adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_check_failed_messages(self):$/;" m class:FaultyAgentRpcTest +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_check_finite(self):$/;" m class:TestInterp +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestDet +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestInv +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestLstsq +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestPinv +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestSolve +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestSolveBanded +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestSolveHBanded +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_check_finite(self):$/;" m class:TestSolveTriangular +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestEig +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestHessenberg +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestLU +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestLUSolve +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestQR +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestQZ +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestRQ +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestSchur +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestSVDVals +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_check_finite(self):$/;" m class:TestSVD_GESDD +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_check_finite(self):$/;" m class:TestCholesky +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_check_finite(self):$/;" m class:TestCholeskyBanded +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_check_finite(self):$/;" m class:BaseQRdelete +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_check_finite(self):$/;" m class:BaseQRinsert +test_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_check_finite(self):$/;" m class:BaseQRupdate +test_check_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_check_grad():$/;" f +test_check_large_integers adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_large_integers(self):$/;" m class:TestConstant +test_check_large_pad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_large_pad(self):$/;" m class:TestReflect +test_check_large_pad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_large_pad(self):$/;" m class:TestSymmetric +test_check_large_pad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_large_pad(self):$/;" m class:TestWrap +test_check_large_pad_odd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_large_pad_odd(self):$/;" m class:TestSymmetric +test_check_maximum_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_maximum_1(self):$/;" m class:TestStatistic +test_check_maximum_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_maximum_2(self):$/;" m class:TestStatistic +test_check_maximum_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_maximum_stat_length(self):$/;" m class:TestStatistic +test_check_mean_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_mean_2(self):$/;" m class:TestStatistic +test_check_mean_shape_one adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_mean_shape_one(self):$/;" m class:TestStatistic +test_check_mean_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_mean_stat_length(self):$/;" m class:TestStatistic +test_check_median adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_median(self):$/;" m class:TestStatistic +test_check_median_01 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_median_01(self):$/;" m class:TestStatistic +test_check_median_02 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_median_02(self):$/;" m class:TestStatistic +test_check_median_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_median_stat_length(self):$/;" m class:TestStatistic +test_check_minimum_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_minimum_1(self):$/;" m class:TestStatistic +test_check_minimum_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_minimum_2(self):$/;" m class:TestStatistic +test_check_minimum_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_minimum_stat_length(self):$/;" m class:TestStatistic +test_check_negative_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_negative_stat_length(self, mode, stat_length):$/;" m class:TestStatistic +test_check_NOLA adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_check_NOLA(self):$/;" m class:TestSTFT +test_check_object_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_object_array(self):$/;" m class:TestConstant +test_check_odd_method adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_odd_method(self):$/;" m class:TestReflect +test_check_odd_method adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_odd_method(self):$/;" m class:TestSymmetric +test_check_on_fields adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_check_on_fields(self):$/;" m class:TestFillingValues +test_check_on_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_check_on_scalar(self):$/;" m class:TestFillingValues +test_check_radio_buttons_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_check_radio_buttons_image():$/;" f +test_check_random_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_check_random_state():$/;" f +test_check_reference adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_check_reference(self):$/;" m class:TestResize +test_check_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_check_shape(target, test_shape):$/;" f +test_check_shape adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_shape(self):$/;" m class:TestReflect +test_check_shape adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_shape(self):$/;" m class:TestSymmetric +test_check_shape_overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_check_shape_overflow(self):$/;" m class:TestSparseUtils +test_check_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_simple(self):$/;" m class:TestEdge +test_check_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_simple(self):$/;" m class:TestLinearRamp +test_check_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_simple(self):$/;" m class:TestReflect +test_check_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_simple(self):$/;" m class:TestSymmetric +test_check_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_simple(self):$/;" m class:TestWrap +test_check_weakref adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_check_weakref(self):$/;" m class:TestResize +test_check_width_shape_1_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_check_width_shape_1_2(self):$/;" m class:TestEdge +test_chi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chi(self):$/;" m class:TestSystematic +test_chi2c_smalldf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_chi2c_smalldf():$/;" f +test_chi2_contingency_bad_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_chi2_contingency_bad_args():$/;" f +test_chi2_contingency_g adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_chi2_contingency_g():$/;" f +test_chi2_contingency_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_chi2_contingency_R():$/;" f +test_chi2_contingency_trivial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_chi2_contingency_trivial():$/;" f +test_chi2_inv_smalldf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_chi2_inv_smalldf():$/;" f +test_chi2_smalldf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_chi2_smalldf():$/;" f +test_chiquare_data_types adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_chiquare_data_types(n, dtype):$/;" f +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_chisquare(self):$/;" m class:TestBroadcast +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_chisquare(self):$/;" m class:TestRandomDist +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_chisquare(self):$/;" m class:TestBroadcast +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_chisquare(self):$/;" m class:TestRandomDist +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_chisquare(self):$/;" m class:TestBroadcast +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_chisquare(self):$/;" m class:TestRandomDist +test_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_chisquare(self):$/;" m class:RNG +test_chisquare_masked_arrays adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_chisquare_masked_arrays():$/;" f +test_chi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_chi_complex(self):$/;" m class:TestSystematic +test_chndtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chndtr(self):$/;" m class:TestCephes +test_chndtridf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chndtridf(self):$/;" m class:TestCephes +test_chndtridf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_chndtridf(self):$/;" m class:TestCDFlib +test_chndtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chndtrinc(self):$/;" m class:TestCephes +test_chndtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_chndtrinc(self):$/;" m class:TestCDFlib +test_chndtrix adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_chndtrix(self):$/;" m class:TestCephes +test_chndtrix adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_chndtrix(self):$/;" m class:TestCDFlib +test_choice_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_exceptions(self):$/;" m class:TestRandomDist +test_choice_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_exceptions(self):$/;" m class:TestRandomDist +test_choice_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_exceptions(self):$/;" m class:TestRandomDist +test_choice_large_sample adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_large_sample(self):$/;" m class:TestRandomDist +test_choice_multidimensional_custom_axis adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_multidimensional_custom_axis(self):$/;" m class:TestRandomDist +test_choice_multidimensional_default_axis adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_multidimensional_default_axis(self):$/;" m class:TestRandomDist +test_choice_nan_probabilities adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_nan_probabilities(self):$/;" m class:TestRandomDist +test_choice_nan_probabilities adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_nan_probabilities(self):$/;" m class:TestRandomDist +test_choice_nan_probabilities adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_nan_probabilities(self):$/;" m class:TestRandomDist +test_choice_noninteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_noninteger(self):$/;" m class:TestRandomDist +test_choice_noninteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_noninteger(self):$/;" m class:TestRandomDist +test_choice_noninteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_noninteger(self):$/;" m class:TestRandomDist +test_choice_nonuniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_nonuniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_nonuniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_nonuniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_nonuniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_nonuniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_nonuniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_nonuniform_replace(self):$/;" m class:TestRandomDist +test_choice_nonuniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_nonuniform_replace(self):$/;" m class:TestRandomDist +test_choice_nonuniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_nonuniform_replace(self):$/;" m class:TestRandomDist +test_choice_p_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_p_non_contiguous(self):$/;" m class:TestRandomDist +test_choice_p_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_p_non_contiguous(self):$/;" m class:TestRandomDist +test_choice_retun_dtype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_choice_retun_dtype(self):$/;" m class:TestRegression +test_choice_return_shape adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_return_shape(self):$/;" m class:TestRandomDist +test_choice_return_shape adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_return_shape(self):$/;" m class:TestRandomDist +test_choice_return_shape adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_return_shape(self):$/;" m class:TestRandomDist +test_choice_return_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_return_type(self):$/;" m class:TestRandomDist +test_choice_sum_of_probs_tolerance adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_choice_sum_of_probs_tolerance(self):$/;" m class:TestRegression +test_choice_sum_of_probs_tolerance adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_choice_sum_of_probs_tolerance(self):$/;" m class:TestRegression +test_choice_sum_of_probs_tolerance adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_choice_sum_of_probs_tolerance(self):$/;" m class:TestRegression +test_choice_uniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_uniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_uniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_uniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_uniform_noreplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_uniform_noreplace(self):$/;" m class:TestRandomDist +test_choice_uniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_choice_uniform_replace(self):$/;" m class:TestRandomDist +test_choice_uniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_choice_uniform_replace(self):$/;" m class:TestRandomDist +test_choice_uniform_replace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_choice_uniform_replace(self):$/;" m class:TestRandomDist +test_cholesky adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cholesky(self):$/;" m class:TestOverwrite +test_cholesky adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_cholesky(self):$/;" m class:TestLinprogIPSpecific +test_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_cholesky(self):$/;" f function:_test_cholesky file: +test_cholesky_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cholesky_banded(self):$/;" m class:TestOverwrite +test_choose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_choose(self):$/;" m class:TestMethods +test_choose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_choose(self):$/;" m class:TestNonarrayArgs +test_choose adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_choose(self):$/;" m class:TestMaskedArrayFunctions +test_choose_conv_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_choose_conv_method():$/;" f +test_choose_mod_raise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_choose_mod_raise(self):$/;" m class:TestWritebackIfCopy +test_choose_solver adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def test_choose_solver():$/;" f +test_choose_with_out adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_choose_with_out(self):$/;" m class:TestMaskedArrayFunctions +test_cho_factor adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cho_factor(self):$/;" m class:TestOverwrite +test_cho_factor_empty_square adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cho_factor_empty_square(self):$/;" m class:TestEmptyArray +test_cho_inv_batch adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cho_inv_batch(self):$/;" m class:TestInvwishart +test_cho_solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cho_solve(self):$/;" m class:TestOverwrite +test_cho_solve_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_cho_solve_banded(self):$/;" m class:TestOverwrite +test_chunksize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_chunksize():$/;" f +test_chunks_mismatch adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_chunks_mismatch(self):$/;" m class:TestCreateChunked +test_chunks_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_chunks_scalar(self):$/;" m class:TestCreateChunked +test_chunk_cache adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_chunk_cache(self):$/;" m class:TestDA +test_ci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ci(self):$/;" m class:TestSystematic +test_circfuncs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circfuncs(self, test_func, expected):$/;" m class:TestCircFuncs +test_circfuncs_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circfuncs_array_like(self, test_func, expected):$/;" m class:TestCircFuncs +test_circfuncs_close adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circfuncs_close(self, test_func, numpy_func):$/;" m class:TestCircFuncs +test_circfuncs_small adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circfuncs_small(self):$/;" m class:TestCircFuncs +test_circfuncs_unit8 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circfuncs_unit8(self):$/;" m class:TestCircFuncs +test_circmean_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circmean_axis(self):$/;" m class:TestCircFuncs +test_circmean_range adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circmean_range(self):$/;" m class:TestCircFuncs +test_circmean_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circmean_scalar(self):$/;" m class:TestCircFuncs +test_circstd_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circstd_axis(self):$/;" m class:TestCircFuncs +test_circular adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_circular(self, dt):$/;" m class:TestNeighborhoodIter +test_circular_contour_warning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_circular_contour_warning():$/;" f +test_circular_refs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_circular_refs(self):$/;" m class:TestInterp1D +test_circvar_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_circvar_axis(self):$/;" m class:TestCircFuncs +test_ci_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ci_complex(self):$/;" m class:TestSystematic +test_ckdtree_memuse adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_ckdtree_memuse():$/;" f +test_ckdtree_parallel adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_ckdtree_parallel(kdtree_type, monkeypatch):$/;" f +test_ckdtree_return_types adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_ckdtree_return_types(self):$/;" m class:sparse_distance_matrix_consistency +test_ckdtree_view adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_ckdtree_view():$/;" f +test_ckpt_name_and_load_model_from_ckpts adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_ckpt_name_and_load_model_from_ckpts(self):$/;" m class:TestCheckpoint +test_ckpt_save_failure adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_ckpt_save_failure(self):$/;" m class:TestCheckpoint +test_clabel_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_clabel_zorder(use_clabeltext, contour_zorder, clabel_zorder):$/;" f +test_clamp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_clamp(self):$/;" m class:TestPutOps +test_clamp_with_out_of_bounds adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_clamp_with_out_of_bounds(self):$/;" m class:TestPutOps +test_clapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_clapack(self):$/;" m class:TestLapack +test_classdef_nested_imports adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_classdef_nested_imports(self):$/;" m class:ScopeTest +test_classes adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_classes():$/;" f +test_classifier_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_classifier_basic_warm_starting(self):$/;" m class:BaseDNNWarmStartingTest +test_classifier_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_classifier_basic_warm_starting(self):$/;" m class:BaseLinearWarmStartingTest +test_classifier_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_classifier_basic_warm_starting(self):$/;" m class:BaseDNNWarmStartingTest +test_classifier_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_classifier_basic_warm_starting(self):$/;" m class:BaseLinearWarmStartingTest +test_class_methods adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_class_methods(self):$/;" m class:ScopeTest +test_cleanup_closepoly adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_cleanup_closepoly():$/;" f +test_cleanup_temporaries adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_cleanup_temporaries(method_name, tmpdir):$/;" f +test_clean_context_during_backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_clean_context_during_backward(self):$/;" m class:DistAutogradTest +test_clear adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_clear(self):$/;" m class:TestAdditionalMappingFuncs +test_clear_and_catch_warnings adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_clear_and_catch_warnings():$/;" f +test_clear_and_catch_warnings_inherit adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_clear_and_catch_warnings_inherit():$/;" f +test_clf_keyword adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_clf_keyword():$/;" f +test_clip adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^ def test_clip(self, X, min_, max_, inplace, gc, dc):$/;" m class:TestClip +test_clip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_clip(self):$/;" m class:TestTake +test_clip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip(self):$/;" m class:TestNonarrayArgs +test_clip adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_clip(self):$/;" m class:TestMaskedArrayMethods +test_clip adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_clip(self):$/;" m class:TestArrayMethods +test_clipmodes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_clipmodes(self):$/;" m class:TestRavelUnravelIndex +test_clipper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_clipper():$/;" f +test_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_clipping():$/;" f +test_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_clipping():$/;" f +test_clipping_full adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_clipping_full():$/;" f +test_clipping_of_log adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_clipping_of_log():$/;" f +test_clipping_with_nans adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_clipping_with_nans():$/;" f +test_clip_all_none adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_all_none(self):$/;" m class:TestClip +test_clip_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_complex(self):$/;" m class:TestClip +test_clip_default adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_op_test.py /^ def test_clip_default(self, X, inplace, gc, dc):$/;" m class:TestClip +test_clip_func_takes_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_func_takes_out(self):$/;" m class:TestClip +test_clip_inplace_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_inplace_array(self):$/;" m class:TestClip +test_clip_inplace_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_inplace_simple(self):$/;" m class:TestClip +test_clip_invalid_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_invalid_casting(self):$/;" m class:TestClip +test_clip_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_nan(self):$/;" m class:TestClip +test_clip_non_contig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_non_contig(self):$/;" m class:TestClip +test_clip_out adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_clip_out(self):$/;" m class:TestMaskedArrayMethods +test_clip_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_clip_path.py /^def test_clip_path():$/;" f +test_clip_problem_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_problem_cases(self, arr, amin, amax, exp):$/;" m class:TestClip +test_clip_property adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_property(self, data, shape):$/;" m class:TestClip +test_clip_scalar_nan_propagation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_scalar_nan_propagation(self, arr, amin, amax):$/;" m class:TestClip +test_clip_statistic_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_clip_statistic_range(self, mode):$/;" m class:TestConditionalShortcuts +test_clip_tensor_by_scaling adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/clip_tensor_op_test.py /^ def test_clip_tensor_by_scaling(self, n, d, threshold, additional_threshold,$/;" m class:TestClipTensorByScalingOp +test_clip_to_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_clip_to_bbox():$/;" f +test_clip_value_min_max_flip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_value_min_max_flip(self, amin, amax):$/;" m class:TestClip +test_clip_with_out_array_int32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_array_int32(self):$/;" m class:TestClip +test_clip_with_out_array_outint32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_array_outint32(self):$/;" m class:TestClip +test_clip_with_out_memory_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_memory_overlap(self):$/;" m class:TestClip +test_clip_with_out_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_simple(self):$/;" m class:TestClip +test_clip_with_out_simple2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_simple2(self):$/;" m class:TestClip +test_clip_with_out_simple_int32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_simple_int32(self):$/;" m class:TestClip +test_clip_with_out_transposed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_clip_with_out_transposed(self):$/;" m class:TestClip +test_close adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_close(self):$/;" m class:TestClose +test_close adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_close(self):$/;" m class:TestCloseInvalidatesOpenObjectIDs +test_close adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_close(self):$/;" m class:TestFileProperty +test_closed_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_closed_file(self):$/;" m class:TestClose +test_closeness adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_closeness(self):$/;" m class:TestAlmostEqual +test_closeness adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_closeness(self):$/;" m class:TestArrayAlmostEqual +test_close_connection adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_close_connection(self, comm_size, device_option):$/;" m class:TestCase +test_close_equivalent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_close_equivalent():$/;" f +test_close_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_close_file(self):$/;" m class:TestExternalLinks +test_close_multiple_default_driver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_close_multiple_default_driver(self):$/;" m class:TestClose +test_close_multiple_mpio_driver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_close_multiple_mpio_driver(self):$/;" m class:TestClose +test_close_raises adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_close_raises():$/;" f +test_close_to_FAIR adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^ def test_close_to_FAIR(self):$/;" m class:TestHeatmapMaxKeypointOp +test_closing_fid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_closing_fid(self):$/;" m class:TestSavezLoad +test_closing_new_arguments adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_closing_new_arguments(self):$/;" m class:TestBinaryOpeningClosing +test_closing_zipfile_after_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_closing_zipfile_after_load(self):$/;" m class:TestSavezLoad +test_clpmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_clpmn(self):$/;" m class:TestLegendreFunctions +test_clpmn_across_unit_circle adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_clpmn_across_unit_circle(self):$/;" m class:TestLegendreFunctions +test_clpmn_close_to_real_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_clpmn_close_to_real_2(self):$/;" m class:TestLegendreFunctions +test_clpmn_close_to_real_3 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_clpmn_close_to_real_3(self):$/;" m class:TestLegendreFunctions +test_clump_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_clump_masked(self):$/;" m class:TestGeneric +test_clump_unmasked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_clump_unmasked(self):$/;" m class:TestGeneric +test_cm1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_cm1(self):$/;" m class:TestGenpareto +test_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_cmap(cmap):$/;" f +test_cmap_and_norm_from_levels_and_colors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_cmap_and_norm_from_levels_and_colors():$/;" f +test_cmap_and_norm_from_levels_and_colors2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_cmap_and_norm_from_levels_and_colors2():$/;" f +test_cmplx adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_cmplx(self):$/;" m class:TestImag +test_cmplx adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_cmplx(self):$/;" m class:TestReal +test_cmplx adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_cmplx(self):$/;" m class:TestBSpline +test_cn adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_cn():$/;" f +test_cnames_get adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^ def test_cnames_get(self):$/;" m class:TestH5 +test_cnames_set adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^ def test_cnames_set(self):$/;" m class:TestH5 +test_cnames_set_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^ def test_cnames_set_exc(self):$/;" m class:TestH5 +test_cnn_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def test_cnn_correctness(self, distribution, use_numpy, use_validation_data):$/;" m class:DistributionStrategyCnnCorrectnessTest +test_cnn_correctness_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def test_cnn_correctness_with_partial_last_batch_eval(self, distribution,$/;" m class:DistributionStrategyCnnCorrectnessTest +test_cnn_model_helper_deprecated adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_cnn_model_helper_deprecated(self):$/;" m class:BrewTest +test_cnn_with_batch_norm_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def test_cnn_with_batch_norm_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategyCnnCorrectnessTest +test_cnn_with_batch_norm_correctness_and_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def test_cnn_with_batch_norm_correctness_and_partial_last_batch_eval($/;" m class:DistributionStrategyCnnCorrectnessTest +test_cnn_with_sync_batch_norm_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def test_cnn_with_sync_batch_norm_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategyCnnCorrectnessTest +test_cobyla_threadsafe adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_cobyla_threadsafe():$/;" f +test_coefficient_properties adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_rk.py /^def test_coefficient_properties(solver):$/;" f +test_coefficient_properties_dop853 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_rk.py /^def test_coefficient_properties_dop853():$/;" f +test_coercion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_coercion(self):$/;" m class:TestTypes +test_coercion_bytes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_coercion_bytes(self):$/;" m class:TestMaskedConstant +test_coercion_float adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_coercion_float(self):$/;" m class:TestMaskedConstant +test_coercion_int adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_coercion_int(self):$/;" m class:TestMaskedConstant +test_coercion_unicode adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_coercion_unicode(self):$/;" m class:TestMaskedConstant +test_cohere adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^def test_cohere():$/;" f +test_col2im_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/im2col_col2im_test.py /^ def test_col2im_gradients(self, batch_size, stride, pad, kernel,$/;" m class:TestReduceFrontSum +test_collapse adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_collapse(self):$/;" m class:TestEinsum +test_collapse adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_collapse(self):$/;" m class:TestWassersteinDistance +test_collapsed adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_collapsed():$/;" f +test_collection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_collection():$/;" f +test_collections_hashable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_collections_hashable(self):$/;" m class:TestHashing +test_collection_set_verts_array adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_collection_set_verts_array():$/;" f +test_collection_transform_of_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_collection_transform_of_none():$/;" f +test_collect_and_dist adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^ def test_collect_and_dist($/;" m class:TestCollectAndDistributeFpnRpnProposals +test_collect_and_distribute_fpn_rpn_proposals_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_collect_and_distribute_fpn_rpn_proposals_op(self, roi_counts):$/;" m class:TorchIntegration +test_collect_and_dist_separately adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^ def test_collect_and_dist_separately($/;" m class:TestCollectAndDistributeFpnRpnProposals +test_collect_tensor_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^ def test_collect_tensor_ops(self):$/;" m class:TestDatasetOps +test_colorbarbase adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbarbase():$/;" f +test_colorbar_autoticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_autoticks():$/;" f +test_colorbar_autotickslog adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_autotickslog():$/;" f +test_colorbar_axes_kw adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_axes_kw():$/;" f +test_colorbar_closed_patch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_closed_patch():$/;" f +test_colorbar_extension_length adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_extension_length():$/;" f +test_colorbar_extension_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_extension_shape():$/;" f +test_colorbar_format adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_format():$/;" f +test_colorbar_get_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_get_ticks():$/;" f +test_colorbar_get_ticks_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_get_ticks_2():$/;" f +test_colorbar_int adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_int(clim):$/;" f +test_colorbar_inverted_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_inverted_ticks():$/;" f +test_colorbar_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_label():$/;" f +test_colorbar_location adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_colorbar_location():$/;" f +test_colorbar_lognorm_extension adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_lognorm_extension():$/;" f +test_colorbar_log_minortick_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_log_minortick_labels():$/;" f +test_colorbar_minorticks_on_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_minorticks_on_off():$/;" f +test_colorbar_pos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_colorbar_pos():$/;" f +test_colorbar_positioning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_positioning():$/;" f +test_colorbar_powernorm_extension adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_powernorm_extension():$/;" f +test_colorbar_renorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_renorm():$/;" f +test_colorbar_scale_reset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_scale_reset():$/;" f +test_colorbar_single_scatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_single_scatter():$/;" f +test_colorbar_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_colorbar_ticks():$/;" f +test_colorcycle_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_colorcycle_basic():$/;" f +test_colormap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_colormap():$/;" f +test_colormap_copy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_copy():$/;" f +test_colormap_dict_deprecate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_dict_deprecate():$/;" f +test_colormap_endian adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_endian():$/;" f +test_colormap_global_set_warn adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_global_set_warn():$/;" f +test_colormap_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_invalid():$/;" f +test_colormap_return_types adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_return_types():$/;" f +test_colormap_reversing adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_colormap_reversing(name):$/;" f +test_color_alias adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_color_alias():$/;" f +test_color_length_mismatch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_color_length_mismatch():$/;" f +test_color_names adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_color_names():$/;" f +test_color_None adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_color_None():$/;" f +test_columnwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_columnwise_max(self, batch_size, m, n, gc, dc):$/;" m class:TestReductionOps +test_comb adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_comb(self):$/;" m class:TestCombinatorics +test_combinations adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_combinations(self):$/;" m class:TestArgmax +test_combinations adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_combinations(self):$/;" m class:TestArgmin +test_combinations_for_embedding_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def test_combinations_for_embedding_model():$/;" f +test_combinations_for_stateful_embedding_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^def test_combinations_for_stateful_embedding_model():$/;" f +test_combinations_with_tpu_strategies_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^def test_combinations_with_tpu_strategies_graph():$/;" f +test_combined_views_mapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_combined_views_mapping(self):$/;" m class:TestEinsum +test_combine_weights adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_combine_weights(self):$/;" m class:TestEnergyDistance +test_combine_weights adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_combine_weights(self):$/;" m class:TestWassersteinDistance +test_comb_with_np_int64 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_comb_with_np_int64(self):$/;" m class:TestCombinatorics +test_comb_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_comb_zeros(self):$/;" m class:TestCombinatorics +test_comma_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_comma_datetime(self):$/;" m class:TestRecord +test_commented_header adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_commented_header(self):$/;" m class:TestFromTxt +test_comments adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments(self):$/;" m class:TestFromTxt +test_comments_byte adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments_byte(self):$/;" m class:TestLoadTxt +test_comments_is_none adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments_is_none(self):$/;" m class:TestFromTxt +test_comments_multiple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments_multiple(self):$/;" m class:TestLoadTxt +test_comments_multi_chars adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments_multi_chars(self):$/;" m class:TestLoadTxt +test_comments_unicode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_comments_unicode(self):$/;" m class:TestLoadTxt +test_common_block adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_common.py /^ def test_common_block(self):$/;" m class:TestCommonBlock +test_common_input_validation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_common_input_validation(self):$/;" m class:TestQAPOnce +test_common_texification adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_common_texification(plain_text, escaped_text):$/;" f +test_compare adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_compare(optimize, string):$/;" f +test_compare adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_compare(string):$/;" f +test_compare adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_compare(self):$/;" m class:TestFirls +test_compare adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_compare(self):$/;" m class:TestRemez +test_compare_blas adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_compare_blas(optimize, string):$/;" f +test_compare_blas_greek adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_compare_blas_greek(optimize, string):$/;" f +test_compare_cpugpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_compare_cpugpu(self):$/;" m class:TestSoftmaxOps +test_compare_dense_and_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_compare_dense_and_sparse(self):$/;" m class:TestProjections +test_compare_dense_and_sparse2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_compare_dense_and_sparse2(self):$/;" m class:TestProjections +test_compare_generic_nat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_compare_generic_nat(self):$/;" m class:TestDateTime +test_compare_greek adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_compare_greek(optimize, string):$/;" f +test_compare_medians_ms adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_compare_medians_ms():$/;" f +test_compare_to_polyfit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_compare_to_polyfit(self):$/;" m class:TestRegression +test_compare_with_direct_fact adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_compare_with_direct_fact(self):$/;" m class:TestProjectCG +test_compare_with_trivial adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_compare_with_trivial(self):$/;" m class:TestLinkage +test_comparisons adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_comparisons(self):$/;" m class:TestProperties +test_comparison_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_comparison_ops(self):$/;" m class:TestOperators +test_compatible_sizes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_compatible_sizes():$/;" f function:test_are_validate_args file: +test_compile1 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_compile1(self):$/;" m class:TestSystemInfoReading +test_compile2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_compile2(self):$/;" m class:TestSystemInfoReading +test_compiled_bytes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_compiled_bytes(self):$/;" m class:Testfromregex +test_compiletime_checks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_compiletime_checks():$/;" f +test_compile_from_strings adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_compile_function.py /^def test_compile_from_strings(tmpdir, fsource):$/;" f +test_complete adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_complete():$/;" f +test_complete_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_complete_sharing(backend):$/;" f +test_complex adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_complex(self):$/;" m class:TestTypes +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^ def test_complex(self):$/;" m class:TestABC +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_complex(self):$/;" m class:TestEinsum +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_complex(self):$/;" m class:TestGeomspace +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_complex(self):$/;" m class:TestLinspace +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_complex(self):$/;" m class:TestCorrelate +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_complex(self, t):$/;" m class:TestMaximumSctype +test_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_complex(self):$/;" m class:TestExpm1 +test_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_complex(self):$/;" m class:TestCorrCoef +test_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_complex(self):$/;" m class:TestCov +test_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_complex(self):$/;" m class:TestPolynomial +test_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex(self):$/;" m class:TestIsfinite +test_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex(self):$/;" m class:TestIsnan +test_complex adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_complex(self):$/;" m class:TestAverage +test_complex adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex(self):$/;" m class:TestAlmostEqual +test_complex adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex(self):$/;" m class:TestEqual +test_complex adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_complex(transform, dtype):$/;" f +test_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_complex():$/;" f +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_complex(self):$/;" m class:TestInterp +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_complex(self):$/;" m class:TestLSQ +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_complex(self):$/;" m class:TestInterp1D +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_complex(self):$/;" m class:TestInterpN +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_complex(self):$/;" m class:TestRegularGridInterpolator +test_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_complex():$/;" f +test_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_complex(self):$/;" m class:TestSolveBanded +test_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_complex(self):$/;" m class:TestSolveCirculant +test_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^ def test_complex(self):$/;" m class:TestMatmulToeplitz +test_complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def test_complex():$/;" f +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_complex(self):$/;" m class:TestPlacePoles +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex(self):$/;" m class:TestCSpline1DEval +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex(self):$/;" m class:_TestConvolve +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex(self, axes):$/;" m class:TestFFTConvolve +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex(self):$/;" m class:TestCSD +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex(self):$/;" m class:TestPeriodogram +test_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex(self):$/;" m class:TestWelch +test_complex adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_complex(self):$/;" m class:TestExpmActionSimple +test_complex1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex1(self):$/;" m class:TestIsfinite +test_complex1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex1(self):$/;" m class:TestIsnan +test_complex128_fail adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex128_fail(self):$/;" m class:TestArrayAlmostEqualNulp +test_complex128_pass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex128_pass(self):$/;" m class:TestArrayAlmostEqualNulp +test_complex256 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_complex256(self):$/;" m class:TestCreateShape +test_complex32 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_complex32(self):$/;" m class:TestScalars +test_complex64 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_complex64(self):$/;" m class:TestScalars +test_complex64_alignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_complex64_alignment(self):$/;" m class:TestRegression +test_complex64_fail adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex64_fail(self):$/;" m class:TestArrayAlmostEqualNulp +test_complex64_pass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex64_pass(self):$/;" m class:TestArrayAlmostEqualNulp +test_complex_01 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_complex_01(self):$/;" m class:TestToeplitz +test_complex_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_complex_2d(self):$/;" m class:TestGriddata +test_complex_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex_32(self):$/;" m class:TestCSD +test_complex_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex_32(self):$/;" m class:TestPeriodogram +test_complex_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_complex_32(self):$/;" m class:TestWelch +test_complex_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_complex_args(self):$/;" m class:TestWfuncPointers +test_complex_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_complex_arrays(self):$/;" m class:TestSaveTxt +test_complex_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex_axes(self, axes):$/;" m class:TestFFTConvolve +test_complex_bad adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex_bad(self):$/;" m class:TestNanToNum +test_complex_bad2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex_bad2(self):$/;" m class:TestNanToNum +test_complex_boolean_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_complex_boolean_cast(self):$/;" m class:TestRegression +test_complex_coef adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_complex_coef(self):$/;" m class:TestPPolyCommon +test_complex_dispatch_realpart adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_complex_dispatch_realpart():$/;" f +test_complex_dotc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_complex_dotc(self):$/;" m class:TestFBLAS1Simple +test_complex_dotu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_complex_dotu(self):$/;" m class:TestFBLAS1Simple +test_complex_dtype_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_complex_dtype_str(self):$/;" m class:TestString +test_complex_good adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_complex_good(self):$/;" m class:TestNanToNum +test_complex_halley adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_complex_halley():$/;" f +test_complex_high_ord adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_complex_high_ord(self):$/;" m class:TestNorm_NonSystematic +test_complex_inf_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_complex_inf_nan(dtype):$/;" f +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_complex_input(self):$/;" m class:TestRfftn +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_complex_input(self):$/;" m class:_TestRFFTBase +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_complex_input(self):$/;" m class:_TestIRFFTBase +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_complex_input(self):$/;" m class:_TestRFFTBase +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_complex_input(self):$/;" m class:TestStep +test_complex_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex_input(self):$/;" m class:TestCorrelate2d +test_complex_interp adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_complex_interp(self):$/;" m class:TestInterp +test_complex_item adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex_item(self):$/;" m class:TestAlmostEqual +test_complex_item adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_complex_item(self):$/;" m class:TestEqual +test_complex_misformatted adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_complex_misformatted(self):$/;" m class:TestLoadTxt +test_complex_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_complex_nans(self):$/;" m class:TestFmax +test_complex_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_complex_nans(self):$/;" m class:TestFmin +test_complex_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_complex_nans(self):$/;" m class:TestMaximum +test_complex_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_complex_nans(self):$/;" m class:TestMinimum +test_complex_nan_comparisons adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_complex_nan_comparisons():$/;" f +test_complex_nan_maximum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_complex_nan_maximum(self):$/;" m class:TestRegression +test_complex_negative_exponent adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_complex_negative_exponent(self):$/;" m class:TestSaveTxt +test_complex_nonsymmetric_modes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_complex_nonsymmetric_modes():$/;" f +test_complex_no_repeat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex_no_repeat(self):$/;" m class:TestUniqueRoots +test_complex_repeat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex_repeat(self):$/;" m class:TestUniqueRoots +test_complex_roots_extra adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_complex_roots_extra(self):$/;" m class:TestUniqueRoots +test_complex_scalar_complex_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_complex_scalar_complex_cast(self):$/;" m class:TestRegression +test_complex_scalar_warning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_complex_scalar_warning(self):$/;" m class:TestRegression +test_complex_smoketest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_complex_smoketest(self):$/;" m class:TestLinearNDInterpolation +test_complex_spectrum_real_logm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_complex_spectrum_real_logm(self):$/;" m class:TestLogM +test_complex_step adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_complex_step(self):$/;" m class:TestConcatenator +test_complex_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_complex_types(tp):$/;" f +test_complex_type_print adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_complex_type_print(tp):$/;" f +test_complex_warning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_complex_warning(self):$/;" m class:TestWarnings +test_complex_write_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_complex_write_read(self):$/;" m class:TestMMIOCoordinate +test_complicated adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_complicated(self):$/;" m class:TestVertexNeighborVertices +test_compliment adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_erfinv.py /^ def test_compliment(self):$/;" m class:TestInverseErrorFunction +test_composite adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_composite(fmt, counted, composite_image, count):$/;" f +test_composite_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_composite_image():$/;" f +test_composite_learning_rate_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def test_composite_learning_rate_op(self, gc, min_num_iter, max_num_iter):$/;" m class:TestLearningRate +test_composite_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_composite_reader(self):$/;" m class:TestCompositeReader +test_composite_reader_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_composite_reader_builder(self):$/;" m class:TestCompositeReader +test_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_compound(self):$/;" m class:TestScalar +test_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_compound(self):$/;" m class:TestCreateFillvalue +test_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_compound(self):$/;" m class:TestVlen +test_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_compound(self):$/;" m class:TestSingleElement +test_compound_robustness adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_compound_robustness(self):$/;" m class:TestOffsets +test_compound_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def test_compound_simple(self):$/;" m class:TestTypeGeneration +test_compound_vlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_compound_vlen(self):$/;" m class:TestOffsets +test_compound_vlen_bool adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_compound_vlen_bool(self):$/;" m class:TestVlen +test_compound_vlen_enum adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_compound_vlen_enum(self):$/;" m class:TestVlen +test_compress adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_compress(self):$/;" m class:TestMethods +test_compress adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_compress(self):$/;" m class:TestNonarrayArgs +test_compress adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_compress(self):$/;" m class:TestMaskedArrayFunctions +test_compress adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_compress(self):$/;" m class:TestMaskedArrayMethods +test_compressed adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_compressed(self):$/;" m class:TestMaskedArrayFunctions +test_compressed adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_compressed(self):$/;" m class:TestMaskedArrayMethods +test_compressed adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_compressed(self):$/;" m class:TestMaskedMatrix +test_compressed adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_compressed(self):$/;" m class:TestCompressed +test_compressed_bz2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_compressed_bz2(self):$/;" m class:LoadTxtBase +test_compressed_gzip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_compressed_gzip(self):$/;" m class:LoadTxtBase +test_compressed_lzma adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_compressed_lzma(self):$/;" m class:LoadTxtBase +test_compressed_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_compressed_roundtrip():$/;" f +test_compression adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_compression():$/;" f +test_compression_number adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_compression_number(self):$/;" m class:TestCreateCompressionNumber +test_compression_number_invalid adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_compression_number_invalid(self):$/;" m class:TestCreateCompressionNumber +test_compress_nd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_compress_nd(self):$/;" m class:TestCompressFunctions +test_compress_rowcols adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_compress_rowcols(self):$/;" m class:TestCompressFunctions +test_compress_small_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_compress_small_type(self):$/;" m class:TestRegression +test_compute_assignments_dp adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_compute_assignments_dp(self):$/;" m class:MemongerTest +test_compute_assignments_dp1 adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_compute_assignments_dp1(self):$/;" m class:MemongerTest +test_compute_assignments_greedy adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_compute_assignments_greedy(self):$/;" m class:MemongerTest +test_compute_averaged_norm_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_averaged_norm_for_blobs(self):$/;" m class:ComputeNormForBlobsTest +test_compute_bc_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_compute_bc_jac():$/;" f +test_compute_equalization_scale adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^ def test_compute_equalization_scale(self, m, n, k, rnd_seed, gc, dc):$/;" m class:TestComputeEqualizationScaleOp +test_compute_equalization_scale_shape_inference adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/compute_equalization_scale_test.py /^ def test_compute_equalization_scale_shape_inference(self):$/;" m class:TestComputeEqualizationScaleOp +test_compute_factors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_compute_factors(self):$/;" m class:TestPartialFractionExpansion +test_compute_fraction_of_zero adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_compute_fraction_of_zero(self):$/;" m class:BaseLinearLogitFnTest +test_compute_fraction_of_zero_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_compute_fraction_of_zero_v2(self):$/;" m class:BaseLinearLogitFnTest +test_compute_fraction_of_zero_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_compute_fraction_of_zero_v2(self):$/;" m class:BaseLinearLogitFnTest +test_compute_fun_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_compute_fun_jac():$/;" f +test_compute_global_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_compute_global_jac():$/;" f +test_compute_histogram_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs_test.py /^ def test_compute_histogram_for_blobs(self):$/;" m class:ComputeHistogramForBlobsTest +test_compute_histogram_for_blobs_modify_output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs_test.py /^ def test_compute_histogram_for_blobs_modify_output_record(self):$/;" m class:ComputeHistogramForBlobsTest +test_compute_interference_graph_inplace_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_compute_interference_graph_inplace_ops(self):$/;" m class:MemongerTest +test_compute_jac_indices adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_compute_jac_indices():$/;" f +test_compute_l1_averaged_norm_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_l1_averaged_norm_for_blobs(self):$/;" m class:ComputeNormForBlobsTest +test_compute_l1_norm_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_l1_norm_for_blobs(self):$/;" m class:ComputeNormForBlobsTest +test_compute_norm_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_norm_for_blobs(self):$/;" m class:ComputeNormForBlobsTest +test_compute_norm_for_blobs_modify_output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_norm_for_blobs_modify_output_record(self):$/;" m class:ComputeNormForBlobsTest +test_compute_norm_for_blobs_no_print adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_norm_for_blobs_no_print(self):$/;" m class:ComputeNormForBlobsTest +test_compute_norm_row_index_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs_test.py /^ def test_compute_norm_row_index_for_blobs(self):$/;" m class:ComputeNormForBlobsTest +test_compute_statistics_for_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs_test.py /^ def test_compute_statistics_for_blobs(self):$/;" m class:ComputeStatisticsForBlobsTest +test_compute_statistics_for_blobs_modify_output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs_test.py /^ def test_compute_statistics_for_blobs_modify_output_record(self):$/;" m class:ComputeStatisticsForBlobsTest +test_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^ def test_concat(self, tensor_splits, gc, dc):$/;" m class:TestFunctional +test_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^ def test_concat(self, tensor_splits, gc, dc):$/;" m class:TestConcatSplitOps +test_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_concat(self):$/;" m class:TestCaffe2Basic +test_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def test_concat(self, tensor_splits, gc, dc):$/;" m class:TestConcatSplitOps +test_concatenate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_concatenate(self):$/;" m class:TestConcatenate +test_concatenate_alongaxis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_concatenate_alongaxis(self):$/;" m class:TestMaskedArray +test_concatenate_axis_None adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_concatenate_axis_None(self):$/;" m class:TestConcatenate +test_concatenate_basic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_concatenate_basic(self):$/;" m class:TestMaskedArray +test_concatenate_flexible adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_concatenate_flexible(self):$/;" m class:TestMaskedArray +test_concatenate_int32_overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_concatenate_int32_overflow(self):$/;" m class:TestConstructUtils +test_concatenation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_concatenation():$/;" f +test_concat_add_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^ def test_concat_add_axis(self, tensor_splits, gc, dc):$/;" m class:TestConcatSplitOps +test_concat_add_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def test_concat_add_axis(self, tensor_splits, gc, dc):$/;" m class:TestConcatSplitOps +test_concat_with_TensorCPU adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^ def test_concat_with_TensorCPU(self, tensor_splits, gc, dc):$/;" m class:TestConcatSplitOps +test_concise_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_concise_formatter():$/;" f +test_concise_formatter_formats adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_concise_formatter_formats():$/;" f +test_concise_formatter_tz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_concise_formatter_tz():$/;" f +test_concise_formatter_zformats adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_concise_formatter_zformats():$/;" f +test_concrete_shapes adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_concrete_shapes(self):$/;" m class:ShapeAsValueTest +test_concurrent_fail adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_concurrent_fail(self):$/;" m class:TestOde +test_concurrent_no_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_concurrent_no_gradient(self):$/;" m class:TestFSolve +test_concurrent_no_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_concurrent_no_gradient(self):$/;" m class:TestLeastSq +test_concurrent_ok adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_concurrent_ok(self):$/;" m class:TestOde +test_concurrent_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_concurrent_with_gradient(self):$/;" m class:TestFSolve +test_concurrent_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_concurrent_with_gradient(self):$/;" m class:TestLeastSq +test_cond adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_cond(self):$/;" m class:BrewTest +test_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond(self):$/;" m class:ControlFlowOpsTest +test_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_cond(self):$/;" m class:ShapeAsValueTest +test_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_cond(self):$/;" m class:ShapePolyTest +test_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_cond(self):$/;" f function:_test_cond file: +test_conditional adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conditional_test.py /^ def test_conditional(self, rows_num, gc, dc):$/;" m class:TestConditionalOp +test_cond_custom_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond_custom_jvp(self):$/;" m class:ControlFlowOpsTest +test_cond_custom_vjp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond_custom_vjp(self):$/;" m class:ControlFlowOpsTest +test_cond_multiple_results adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond_multiple_results(self):$/;" m class:ControlFlowOpsTest +test_cond_partial_eval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond_partial_eval(self):$/;" m class:ControlFlowOpsTest +test_cond_units adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_cond_units(self):$/;" m class:ControlFlowOpsTest +test_config adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^ def test_config(self):$/;" m class:TestH5 +test_configs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/partition_ops_test.py /^ def test_configs(self):$/;" m class:TestPartitionOps +test_conjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_conjugate(self):$/;" m class:TestNonNumericConjugate +test_conjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_conjugate(self):$/;" m class:TestMethods +test_connection_patch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_connection_patch():$/;" f +test_connection_patch_fig adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_connection_patch_fig(fig_test, fig_ref):$/;" f +test_connection_styles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_connection_styles():$/;" f +test_consistency adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_consistency(self):$/;" m class:sparse_distance_matrix_consistency +test_consistency adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_digamma.py /^def test_consistency():$/;" f +test_consistency adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spence.py /^def test_consistency():$/;" f +test_consistency_convolve_funcs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_consistency_convolve_funcs(self):$/;" m class:TestConvolve2d +test_consistency_correlate_funcs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_consistency_correlate_funcs(self):$/;" m class:TestCorrelate2d +test_consistency_with_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_consistency_with_neighbors(self):$/;" m class:sparse_distance_matrix_consistency +test_constant adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_constant(self):$/;" m class:TestFindPeaks +test_constant adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_constant(self):$/;" m class:TestIQR +test_ConstantDenseOutput adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_ConstantDenseOutput():$/;" f +test_constant_attitude adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_constant_attitude():$/;" f +test_constant_both adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_both(self):$/;" m class:TestParameters +test_constant_compound_int adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_compound_int(self):$/;" m class:TestParameters +test_constant_cost_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linear_assignment.py /^def test_constant_cost_matrix():$/;" f +test_constant_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_constant_fill(self, data, has_input, has_extra_shape, extra_shape,$/;" m class:TestOperators +test_constant_fill_from_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_constant_fill_from_tensor(self, data, gc, dc):$/;" m class:TestOperators +test_constant_fixed_width adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_constant_fixed_width(self):$/;" m class:TestLineSplitter +test_constant_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_constant_input(self):$/;" m class:TestCorrPearsonr +test_constant_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_constant_input(self, a, b, expected):$/;" m class:TestFOneWay +test_constant_integer_int adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_integer_int(self):$/;" m class:TestParameters +test_constant_integer_long adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_integer_long(self):$/;" m class:TestParameters +test_constant_no adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_no(self):$/;" m class:TestParameters +test_constant_non_compound_int adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_non_compound_int(self):$/;" m class:TestParameters +test_constant_real_double adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_real_double(self):$/;" m class:TestParameters +test_constant_real_single adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_real_single(self):$/;" m class:TestParameters +test_constant_sum adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^ def test_constant_sum(self):$/;" m class:TestParameters +test_constant_zero_default adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_constant_zero_default():$/;" f +test_constrained_layout1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout1():$/;" f +test_constrained_layout10 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout10():$/;" f +test_constrained_layout11 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout11():$/;" f +test_constrained_layout11rat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout11rat():$/;" f +test_constrained_layout12 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout12():$/;" f +test_constrained_layout13 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout13():$/;" f +test_constrained_layout14 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout14():$/;" f +test_constrained_layout15 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout15():$/;" f +test_constrained_layout16 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout16():$/;" f +test_constrained_layout17 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout17():$/;" f +test_constrained_layout18 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout18():$/;" f +test_constrained_layout19 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout19():$/;" f +test_constrained_layout2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout2():$/;" f +test_constrained_layout20 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout20():$/;" f +test_constrained_layout21 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout21():$/;" f +test_constrained_layout22 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout22():$/;" f +test_constrained_layout23 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout23():$/;" f +test_constrained_layout3 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout3():$/;" f +test_constrained_layout4 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout4():$/;" f +test_constrained_layout5 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout5():$/;" f +test_constrained_layout6 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout6():$/;" f +test_constrained_layout7 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout7():$/;" f +test_constrained_layout8 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout8():$/;" f +test_constrained_layout9 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_constrained_layout9():$/;" f +test_constraint_dictionary_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_constraint_dictionary_1(self):$/;" m class:TestOldToNew +test_constraint_dictionary_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_constraint_dictionary_2(self):$/;" m class:TestOldToNew +test_constraint_dictionary_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_constraint_dictionary_3(self):$/;" m class:TestOldToNew +test_constraint_population_feasibilities adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_constraint_population_feasibilities(self):$/;" m class:TestDifferentialEvolutionSolver +test_constraint_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_constraint_solve(self):$/;" m class:TestDifferentialEvolutionSolver +test_constraint_violation_fn adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_constraint_violation_fn(self):$/;" m class:TestDifferentialEvolutionSolver +test_constraint_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_constraint_wrapper(self):$/;" m class:TestDifferentialEvolutionSolver +test_constraint_wrapper_violation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_constraint_wrapper_violation(self):$/;" m class:TestDifferentialEvolutionSolver +test_construction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_construction(self):$/;" m class:TestDtypedescr +test_constructor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_constructor(self):$/;" m class:TestZeroRank +test_constructor adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_constructor(self):$/;" m class:TestSphericalVoronoi +test_constructor1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1(self):$/;" m class:TestBSR +test_constructor1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1(self):$/;" m class:TestCOO +test_constructor1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1(self):$/;" m class:TestCSC +test_constructor1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1(self):$/;" m class:TestCSR +test_constructor1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1(self):$/;" m class:TestDIA +test_constructor1_base adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor1_base(self):$/;" m class:_TestCommon +test_constructor2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor2(self):$/;" m class:TestBSR +test_constructor2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor2(self):$/;" m class:TestCOO +test_constructor2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor2(self):$/;" m class:TestCSC +test_constructor2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor2(self):$/;" m class:TestCSR +test_constructor3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor3(self):$/;" m class:TestBSR +test_constructor3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor3(self):$/;" m class:TestCOO +test_constructor3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor3(self):$/;" m class:TestCSC +test_constructor3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor3(self):$/;" m class:TestCSR +test_constructor4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor4(self):$/;" m class:TestBSR +test_constructor4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor4(self):$/;" m class:TestCOO +test_constructor4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor4(self):$/;" m class:TestCSC +test_constructor4 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor4(self):$/;" m class:TestCSR +test_constructor5 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor5(self):$/;" m class:TestCSC +test_constructor5 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor5(self):$/;" m class:TestCSR +test_constructor6 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor6(self):$/;" m class:TestCSC +test_constructor6 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor6(self):$/;" m class:TestCSR +test_constructor_incorrect_parameter adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_constructor_incorrect_parameter(self):$/;" m class:TestMakeTupleBunch +test_constructor_largecol adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor_largecol(self):$/;" m class:TestCSR +test_constructor_missing_parameter adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_constructor_missing_parameter(self):$/;" m class:TestMakeTupleBunch +test_constructor_smallcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_constructor_smallcol(self):$/;" m class:TestCSR +test_construct_fast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_construct_fast(self):$/;" m class:TestPPoly +test_construct_shortest_path adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_construct_shortest_path():$/;" f +test_const_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_const_ext(self):$/;" m class:TestArrayTools +test_containing_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_containing_list(self):$/;" m class:TestArrayRepr +test_contains adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_contains(self):$/;" m class:TestContains +test_contains adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_contains():$/;" f +test_contains adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_contains(n):$/;" f +test_contains_branch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_contains_branch(self):$/;" m class:TestBasicTransform +test_contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_contains_point():$/;" f +test_contains_points adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_contains_points():$/;" f +test_contains_points_negative_radius adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_contains_points_negative_radius():$/;" f +test_context adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context():$/;" f +test_context_cleanup_nested_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_context_cleanup_nested_rpc(self):$/;" m class:DistAutogradTest +test_context_cleanup_no_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_context_cleanup_no_tensors(self):$/;" m class:DistAutogradTest +test_context_cleanup_tensor_no_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_context_cleanup_tensor_no_grad(self):$/;" m class:DistAutogradTest +test_context_cleanup_tensor_with_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_context_cleanup_tensor_with_grad(self):$/;" m class:DistAutogradTest +test_context_cleanup_tensor_with_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_context_cleanup_tensor_with_grad(self):$/;" m class:FaultyAgentDistAutogradTest +test_context_manager adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_context_manager(self):$/;" m class:TestContextManager +test_context_manager adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_context_manager(self):$/;" m class:TestWarns +test_context_with_badparam adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context_with_badparam():$/;" f +test_context_with_dict adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context_with_dict():$/;" f +test_context_with_dict_after_namedstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context_with_dict_after_namedstyle():$/;" f +test_context_with_dict_before_namedstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context_with_dict_before_namedstyle():$/;" f +test_context_with_union_of_dict_and_namedstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_context_with_union_of_dict_and_namedstyle():$/;" f +test_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_contiguous(self):$/;" m class:TestFlat +test_contiguous adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_contiguous(self):$/;" m class:TestNotMasked +test_contiguous_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_contiguous_flags():$/;" f +test_contiguous_regions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_contiguous_regions():$/;" f +test_continuity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_continuity(self):$/;" m class:TestBSpline +test_continuity adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_continuity(self, sigma1, gamma1, sigma2, gamma2):$/;" m class:TestVoigtProfile +test_continuity_on_positive_real_axis adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_continuity_on_positive_real_axis(self):$/;" m class:TestExpi +test_continuous_squareness_and_shape adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_continuous_squareness_and_shape(self):$/;" m class:TestSolveLyapunov +test_contour3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_contour3d():$/;" f +test_contourf3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_contourf3d():$/;" f +test_contourf3d_fill adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_contourf3d_fill():$/;" f +test_contourf_decreasing_levels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contourf_decreasing_levels():$/;" f +test_contourf_log_extension adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contourf_log_extension():$/;" f +test_contourf_symmetric_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contourf_symmetric_locator():$/;" f +test_contour_addlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_addlines():$/;" f +test_contour_badlevel_fmt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_badlevel_fmt():$/;" f +test_contour_colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_contour_colorbar():$/;" f +test_contour_datetime_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_datetime_axis():$/;" f +test_contour_empty_levels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_empty_levels():$/;" f +test_contour_hatching adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_contour_hatching():$/;" f +test_contour_labels_size_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_labels_size_color():$/;" f +test_contour_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_linewidth($/;" f +test_contour_manual_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_manual_labels():$/;" f +test_contour_Nlevels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_Nlevels():$/;" f +test_contour_pre_transform_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_contour_pre_transform_limits():$/;" f +test_contour_shape_1d_valid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_shape_1d_valid():$/;" f +test_contour_shape_2d_valid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_shape_2d_valid():$/;" f +test_contour_shape_error adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_shape_error(args, message):$/;" f +test_contour_uneven adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_uneven():$/;" f +test_contour_uniform_z adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_contour_uniform_z():$/;" f +test_contract_expressions adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_contract_expressions(string, optimize, use_blas, out_spec):$/;" f +test_contract_expression_checks adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_edge_cases.py /^def test_contract_expression_checks():$/;" f +test_contract_expression_interleaved_input adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_contract_expression_interleaved_input():$/;" f +test_contract_expression_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_contract_expression_with_constants(string, constants):$/;" f +test_contract_inputs adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_contract_inputs():$/;" f +test_contract_path_supply_shapes adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_contract_path_supply_shapes():$/;" f +test_cont_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_cont_basic(distname, arg):$/;" f +test_cont_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^def test_cont_fit(distname, arg):$/;" f +test_converged adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_converged(self):$/;" m class:TestDifferentialEvolutionSolver +test_convergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_convergence(self):$/;" m class:TestDifferentialEvolutionSolver +test_convergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_convergence():$/;" f +test_convergence_small_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_convergence_small_matrix(self):$/;" m class:BaseMixin +test_convergence_with_only_one_tolerance_enabled adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_convergence_with_only_one_tolerance_enabled(self):$/;" m class:BaseMixin +test_conversion adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_conversion(Poly1, Poly2):$/;" f +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_conversion(self):$/;" m class:TestStateSpaceDisc +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_conversion(self):$/;" m class:TestTransferFunction +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_conversion(self):$/;" m class:TestZerosPolesGain +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_conversion(self):$/;" m class:TestStateSpace +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_conversion(self):$/;" m class:TestTransferFunction +test_conversion adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_conversion(self):$/;" m class:TestZerosPolesGain +test_conversions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_conversions():$/;" f +test_conversions adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_conversions(self):$/;" m class:TestPolySubclassing +test_conversions_masked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_conversions_masked():$/;" f +test_convert adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_convert(self):$/;" m class:TestVlen +test_convert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_convert(self, vals):$/;" m class:TestStrCategoryConverter +test_convert adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_convert(self):$/;" m class:TestDOK +test_converterDontEnforceUnusedInputs adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_converterDontEnforceUnusedInputs(self):$/;" m class:TestTransformations +test_converterDontEnforceUnusedOutputs adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_converterDontEnforceUnusedOutputs(self):$/;" m class:TestTransformations +test_converters_cornercases adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_cornercases(self):$/;" m class:TestFromTxt +test_converters_cornercases2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_cornercases2(self):$/;" m class:TestFromTxt +test_converters_decode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_decode(self):$/;" m class:LoadTxtBase +test_converters_nodecode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_nodecode(self):$/;" m class:LoadTxtBase +test_converters_with_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_with_usecols(self):$/;" m class:TestFromTxt +test_converters_with_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_with_usecols(self):$/;" m class:TestLoadTxt +test_converters_with_usecols_and_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_converters_with_usecols_and_names(self):$/;" m class:TestFromTxt +test_converts_64bit adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_converts_64bit(self, dtype=np.int64, with_function=False):$/;" m class:Jax2TfTest +test_converts_jax_arrays adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_converts_jax_arrays(self):$/;" m class:Jax2TfTest +test_convertToProto adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_convertToProto(self):$/;" m class:TestBindings +test_convert_argument_non_callable_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_argument_non_callable_error(self):$/;" m class:Jax2TfTest +test_convert_argument_non_tensor_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_argument_non_tensor_error(self):$/;" m class:Jax2TfTest +test_convert_end2end adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_convert_end2end(self):$/;" m class:TestConversion +test_convert_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_convert_fail(self, fvals):$/;" m class:TestStrCategoryConverter +test_convert_float_array adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_convert_float_array(self):$/;" m class:TestStrCategoryConverter +test_convert_nullary_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_nullary_func(self):$/;" m class:Jax2TfTest +test_convert_of_nested_dependent_jit adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_of_nested_dependent_jit(self):$/;" m class:Jax2TfTest +test_convert_of_nested_independent_jit adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_of_nested_independent_jit(self):$/;" m class:Jax2TfTest +test_convert_one_number adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_convert_one_number(self):$/;" m class:TestStrCategoryConverter +test_convert_one_string adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_convert_one_string(self, value):$/;" m class:TestStrCategoryConverter +test_convert_temperature adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_constants.py /^def test_convert_temperature():$/;" f +test_convert_under_transform_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_under_transform_error(self, transform="vmap"):$/;" m class:Jax2TfTest +test_convert_under_transform_error_non_tracer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_convert_under_transform_error_non_tracer(self, transform="vmap"):$/;" m class:Jax2TfTest +test_convex_hull adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_convex_hull(self):$/;" m class:TestUtilities +test_convex_hull adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ def test_convex_hull(self):$/;" m class:TestPlotting +test_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^ def test_convolution(self, stride, pad, kernel, size,$/;" m class:ConvTest +test_convolution_affch_folding adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_affch_folding($/;" m class:ConvFusionTest +test_convolution_bn_folding adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_bn_folding($/;" m class:ConvFusionTest +test_convolution_correctness adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_convolution_correctness(self, stride, pad, kernel, size,$/;" m class:NNPackOpsTest +test_convolution_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_convolution_gradients($/;" m class:TestConvolution +test_convolution_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/depthwise_3x3_conv_test.py /^ def test_convolution_gradients(self, pad, kernel, size,$/;" m class:Depthwise3x3ConvOpsTest +test_convolution_grouped_sum_relu_fusion adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_grouped_sum_relu_fusion(self, stride, pad, kernel, size,$/;" m class:ConvFusionTest +test_convolution_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_convolution_layout($/;" m class:TestConvolution +test_convolution_relu_fusion adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_relu_fusion(self, stride, pad, kernel, size,$/;" m class:ConvFusionTest +test_convolution_separate_stride_pad_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_convolution_separate_stride_pad_gradients($/;" m class:TestConvolution +test_convolution_separate_stride_pad_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_convolution_separate_stride_pad_layout($/;" m class:TestConvolution +test_convolution_sum_fusion adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_sum_fusion(self, stride, pad, kernel, size,$/;" m class:ConvFusionTest +test_convolution_sum_relu_fusion adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/convfusion_op_test.py /^ def test_convolution_sum_relu_fusion(self, stride, pad, kernel, size,$/;" m class:ConvFusionTest +test_convolution_sync adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_convolution_sync(self, net_type, num_workers, engine, gc, dc):$/;" m class:TestConvolution +test_convolution_transpose_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_transpose_test.py /^ def test_convolution_transpose_gradients(self, stride, pad, kernel, adj,$/;" m class:ConvTransposeTest +test_convolution_transpose_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_gradients(self, stride, pad, kernel, adj,$/;" m class:TestConvolutionTranspose +test_convolution_transpose_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_layout($/;" m class:TestConvolutionTranspose +test_convolution_transpose_layout_legacy_args adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_layout_legacy_args($/;" m class:TestConvolutionTranspose +test_convolution_transpose_separate_stride_pad_adj_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_separate_stride_pad_adj_gradient($/;" m class:TestConvolutionTranspose +test_convolution_transpose_separate_stride_pad_adj_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_separate_stride_pad_adj_layout($/;" m class:TestConvolutionTranspose +test_convolution_transpose_with_group adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_transpose_test.py /^ def test_convolution_transpose_with_group($/;" m class:TestConvolutionTranspose +test_convolve adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_convolve(self):$/;" m class:TestMaskedArrayFunctions +test_convolve_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_convolve_empty(self):$/;" m class:TestRegression +test_convolve_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_convolve_method(self, n=100):$/;" m class:TestConvolve +test_convolve_method_large_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_convolve_method_large_input(self):$/;" m class:TestConvolve +test_conv_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def test_conv_gradients($/;" m class:TestConvolution +test_conv_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ def test_conv_graph(self):$/;" m class:OnnxifiTest +test_conv_separate_stride_pad_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def test_conv_separate_stride_pad_gradients($/;" m class:TestConvolution +test_coords_order adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_coords_order(self):$/;" m class:TestBPolyFromDerivatives +test_coo_todense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def test_coo_todense(self):$/;" m class:TestInt32Overflow +test_copies adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_copies(self):$/;" m class:TestResize +test_coplanar adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_coplanar(self):$/;" m class:TestDelaunay +test_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_copy(self):$/;" m class:TestMethods +test_copy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_copy(self):$/;" m class:TestMaskedArray +test_copy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_copy(self):$/;" m class:TestMaskedConstant +test_copy adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_copy(Poly):$/;" f +test_copy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_copy(self):$/;" m class:TestFBLAS1Simple +test_copy adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_copy(self):$/;" m class:TestDetrend +test_copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_copy(self):$/;" m class:_TestCommon +test_copymodule_preserves_f_contiguity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_copymodule_preserves_f_contiguity(self):$/;" m class:TestRegression +test_copysign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_copysign():$/;" f +test_copyto adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_copyto():$/;" f +test_copyto_fromscalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_copyto_fromscalar():$/;" f +test_copyto_permut adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_copyto_permut():$/;" f +test_copy_0d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_copy_0d(self):$/;" m class:TestMaskedArray +test_copy_between_cpu_and_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_copy_between_cpu_and_gpu(self):$/;" m class:TorchIntegration +test_copy_dataset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_dataset(self):$/;" m class:TestCopy +test_copy_detection_corner_case adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_copy_detection_corner_case(self):$/;" m class:TestRegression +test_copy_detection_corner_case2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_copy_detection_corner_case2(self):$/;" m class:TestRegression +test_copy_detection_zero_dim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_copy_detection_zero_dim(self):$/;" m class:TestRegression +test_copy_docstring_and_deprecators adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^def test_copy_docstring_and_deprecators(recwarn):$/;" f +test_copy_external_links adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_external_links(self):$/;" m class:TestCopy +test_copy_from_ideep adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def test_copy_from_ideep(self):$/;" m class:CopyTest +test_copy_from_ideep_fallthrough adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def test_copy_from_ideep_fallthrough(self):$/;" m class:CopyTest +test_copy_from_ideep_zero_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def test_copy_from_ideep_zero_dim(self):$/;" m class:CopyTest +test_copy_gradient_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def test_copy_gradient_cpu(self):$/;" m class:CopyOpsTest +test_copy_gradient_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def test_copy_gradient_gpu(self):$/;" m class:CopyOpsTest +test_copy_gradient_multiple_gpus adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def test_copy_gradient_multiple_gpus(self):$/;" m class:CopyOpsTest +test_copy_group_to_group adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_group_to_group(self):$/;" m class:TestCopy +test_copy_group_to_path adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_group_to_path(self):$/;" m class:TestCopy +test_copy_immutable adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_copy_immutable(self):$/;" m class:TestMaskedArray +test_copy_on_python_builtins adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_copy_on_python_builtins(self):$/;" m class:TestMaskedArray +test_copy_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_copy_order():$/;" f +test_copy_path_to_group adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_path_to_group(self):$/;" m class:TestCopy +test_copy_path_to_path adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_path_to_path(self):$/;" m class:TestCopy +test_copy_refs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_refs(self):$/;" m class:TestCopy +test_copy_rows_to_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^ def test_copy_rows_to_tensor(self, input_tensor, gc, dc):$/;" m class:TestCopyRowsToTensor +test_copy_rows_to_tensor_invalid_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_rows_to_tensor_op_test.py /^ def test_copy_rows_to_tensor_invalid_input(self, input_tensor, gc, dc):$/;" m class:TestCopyRowsToTensor +test_copy_shallow adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_shallow(self):$/;" m class:TestCopy +test_copy_soft_links adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_soft_links(self):$/;" m class:TestCopy +test_copy_to_ideep adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def test_copy_to_ideep(self):$/;" m class:CopyTest +test_copy_to_ideep_zero_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def test_copy_to_ideep_zero_dim(self):$/;" m class:CopyTest +test_copy_without_attributes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_copy_without_attributes(self):$/;" m class:TestCopy +test_core adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_core(self):$/;" m class:TestDrivers +test_corecursive_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_corecursive_input(self):$/;" m class:TestDateTime +test_core_net_controlflow adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_core_net_controlflow(self):$/;" m class:TestBindings +test_core_net_nn_accessors adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_core_net_nn_accessors(self):$/;" m class:TestBindings +test_core_net_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_core_net_simple(self):$/;" m class:TestBindings +test_corner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_corner(self):$/;" m class:TestLinspace +test_cornercase adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_cornercase(self):$/;" m class:TestGCROTMK +test_cornercase adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_cornercase(self):$/;" m class:TestLGMRES +test_corner_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_corner_cases(self, x, sigma, gamma, desired):$/;" m class:TestVoigtProfile +test_corner_mask adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_corner_mask():$/;" f +test_correctness adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_correctness(self):$/;" m class:TestTaylor +test_correctness_of_add_loss_with_merge_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_correctness_of_add_loss_with_merge_call(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_correct_catch adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_correct_catch(self):$/;" m class:TestRaises +test_correct_eps adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^def test_correct_eps():$/;" f +test_correct_hash_dict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_correct_hash_dict(self):$/;" m class:TestRegression +test_correct_key adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_correct_key(backend, qt_core, qt_key, qt_mods, answer):$/;" f +test_correct_protocol5_error_message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_correct_protocol5_error_message(self):$/;" m class:TestPickling +test_correct_quantile_value adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_correct_quantile_value(self):$/;" m class:TestQuantile +test_correct_shapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_correct_shapes(self):$/;" m class:TestSubclassingExplicitShapes +test_correlate adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate(self):$/;" m class:TestThreading +test_correlate01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate01(self):$/;" m class:TestNdimageFilters +test_correlate01_overlap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate01_overlap(self):$/;" m class:TestNdimageFilters +test_correlate02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate02(self):$/;" m class:TestNdimageFilters +test_correlate03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate03(self):$/;" m class:TestNdimageFilters +test_correlate04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate04(self):$/;" m class:TestNdimageFilters +test_correlate05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate05(self):$/;" m class:TestNdimageFilters +test_correlate06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate06(self):$/;" m class:TestNdimageFilters +test_correlate07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate07(self):$/;" m class:TestNdimageFilters +test_correlate08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate08(self):$/;" m class:TestNdimageFilters +test_correlate09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate09(self):$/;" m class:TestNdimageFilters +test_correlate10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate10(self):$/;" m class:TestNdimageFilters +test_correlate11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate11(self):$/;" m class:TestNdimageFilters +test_correlate12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate12(self):$/;" m class:TestNdimageFilters +test_correlate13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate13(self, dtype_array, dtype_kernel):$/;" m class:TestNdimageFilters +test_correlate14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate14(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate15(self, dtype_array):$/;" m class:TestNdimageFilters +test_correlate16 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate16(self, dtype_array):$/;" m class:TestNdimageFilters +test_correlate17 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate17(self):$/;" m class:TestNdimageFilters +test_correlate18 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate18(self, dtype_array):$/;" m class:TestNdimageFilters +test_correlate19 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate19(self, dtype_array):$/;" m class:TestNdimageFilters +test_correlate1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d(self):$/;" m class:TestThreading +test_correlate1d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_correlate1d(self):$/;" m class:TestResample +test_correlate1d_complex_input adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_input(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate1d_complex_input_and_kernel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_input_and_kernel(self, dtype, dtype_output):$/;" m class:TestNdimageFilters +test_correlate1d_complex_input_and_kernel_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_input_and_kernel_cval(self, dtype,$/;" m class:TestNdimageFilters +test_correlate1d_complex_input_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_input_cval(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate1d_complex_kernel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_kernel(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate1d_complex_kernel_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate1d_complex_kernel_cval(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate20 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate20(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate21 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate21(self):$/;" m class:TestNdimageFilters +test_correlate22 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate22(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate23 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate23(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate24 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate24(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate25 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate25(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_correlate26 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate26(self):$/;" m class:TestNdimageFilters +test_correlate_complex_input adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_input(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate_complex_input_and_kernel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_input_and_kernel(self, dtype, dtype_output):$/;" m class:TestNdimageFilters +test_correlate_complex_input_and_kernel_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_input_and_kernel_cval(self, dtype,$/;" m class:TestNdimageFilters +test_correlate_complex_kernel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_kernel(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate_complex_kernel_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_kernel_cval(self, dtype_input, dtype_kernel,$/;" m class:TestNdimageFilters +test_correlate_complex_kernel_invalid_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_complex_kernel_invalid_cval(self, dtype_input,$/;" m class:TestNdimageFilters +test_correlate_mode_sequence adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_correlate_mode_sequence(self):$/;" m class:TestNdimageFilters +test_correlation adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_correlation(self):$/;" m class:TestSomeDistanceFunctions +test_correlation_lags adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_correlation_lags(mode, behind, input_size):$/;" f +test_correlation_positive adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_correlation_positive(self):$/;" m class:TestSomeDistanceFunctions +test_correspond_2_and_up adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_correspond_2_and_up(self):$/;" m class:TestCorrespond +test_correspond_4_and_up adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_correspond_4_and_up(self):$/;" m class:TestCorrespond +test_correspond_4_and_up_2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_correspond_4_and_up_2(self):$/;" m class:TestCorrespond +test_correspond_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_correspond_empty(self):$/;" m class:TestCorrespond +test_corrupted_data adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_corrupted_data():$/;" f +test_corrupted_data_check_can_be_disabled adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_corrupted_data_check_can_be_disabled():$/;" f +test_corr_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_corr_1(self):$/;" m class:TestCorrSpearmanr2 +test_cos adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_cos(self, input_tensor, gc, dc):$/;" m class:TestOperators +test_cosdg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cosdg(self):$/;" m class:TestCephes +test_cosdg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cosdg(self):$/;" m class:TestTrigonometric +test_cosdgmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cosdgmore(self):$/;" m class:TestTrigonometric +test_cosh adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^ def test_cosh(self, X, gc, dc):$/;" m class:TestHyperbolicOps +test_cosine adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_cosine(self):$/;" m class:TestSomeDistanceFunctions +test_cosine_embedding_criterion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cosine_embedding_criterion_op_test.py /^ def test_cosine_embedding_criterion(self, N, seed, margin, gc, dc):$/;" m class:TestCosineEmbeddingCriterion +test_cosine_similarity adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^ def test_cosine_similarity(self, n, dim, gc, dc):$/;" m class:DistanceTest +test_cosine_weighted_infinite adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_cosine_weighted_infinite(self):$/;" m class:TestQuad +test_cosm1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cosm1(self):$/;" m class:TestCephes +test_cosm1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cosm1(self):$/;" m class:TestTrigonometric +test_cospi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_cospi(self):$/;" m class:TestSystematic +test_cospi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_cospi_complex(self):$/;" m class:TestSystematic +test_cospi_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_cospi_zeros():$/;" f +test_cossin adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin(dtype_, m, p, q, swap_sign):$/;" f +test_cossin_error_empty_subblocks adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_empty_subblocks():$/;" f +test_cossin_error_incorrect_subblocks adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_incorrect_subblocks():$/;" f +test_cossin_error_missing_partitioning adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_missing_partitioning():$/;" f +test_cossin_error_non_iterable adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_non_iterable():$/;" f +test_cossin_error_non_square adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_non_square():$/;" f +test_cossin_error_partitioning adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_error_partitioning():$/;" f +test_cossin_mixed_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_mixed_types():$/;" f +test_cossin_separate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cossin.py /^def test_cossin_separate(dtype_):$/;" f +test_cotdg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cotdg(self):$/;" m class:TestCephes +test_cotdg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cotdg(self):$/;" m class:TestTrigonometric +test_cotdgmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_cotdgmore(self):$/;" m class:TestTrigonometric +test_count adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_count(self):$/;" m class:TestInformation +test_count adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ def test_count(self, kwargs):$/;" m class:TestCount +test_count adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_count(self):$/;" m class:TestOptionalArgs +test_counted_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_counted_string(self):$/;" m class:TestIO +test_counted_string_with_ws adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_counted_string_with_ws(self):$/;" m class:TestIO +test_counter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ test_counter = 0$/;" v class:TestCase +test_counter_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/counter_ops_test.py /^ def test_counter_ops(self):$/;" m class:TestCounterOps +test_countnonzero_axis_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_countnonzero_axis_empty(self):$/;" m class:TestNonzero +test_countnonzero_keepdims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_countnonzero_keepdims(self):$/;" m class:TestNonzero +test_count_blocks adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_spfuncs.py /^ def test_count_blocks(self):$/;" m class:TestSparseFunctions +test_count_func adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_count_func(self):$/;" m class:TestMaskedArrayArithmetic +test_count_limit_reader_without_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_count_limit_reader_without_limit(self):$/;" m class:TestReaderWithLimit +test_count_limit_reader_with_high_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_count_limit_reader_with_high_limit(self):$/;" m class:TestReaderWithLimit +test_count_limit_reader_with_low_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_count_limit_reader_with_low_limit(self):$/;" m class:TestReaderWithLimit +test_count_limit_reader_with_zero_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_count_limit_reader_with_zero_limit(self):$/;" m class:TestReaderWithLimit +test_count_mean_with_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_count_mean_with_matrix(self):$/;" m class:TestMaskedMatrix +test_count_nonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_count_nonzero(self):$/;" m class:TestBool +test_count_nonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_count_nonzero(self):$/;" m class:TestNonarrayArgs +test_count_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_count_nonzero(self):$/;" m class:_TestCommon +test_count_nonzero_all adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_count_nonzero_all(self):$/;" m class:TestBool +test_count_nonzero_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_count_nonzero_axis(self):$/;" m class:TestNonzero +test_count_nonzero_axis_all_dtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_count_nonzero_axis_all_dtypes(self):$/;" m class:TestNonzero +test_count_nonzero_axis_consistent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_count_nonzero_axis_consistent(self):$/;" m class:TestNonzero +test_count_nonzero_unaligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_count_nonzero_unaligned(self):$/;" m class:TestBool +test_count_on_python_builtins adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_count_on_python_builtins(self):$/;" m class:TestMaskedArrayArithmetic +test_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cov(self):$/;" m class:TestMultinomial +test_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cov(self):$/;" m class:TestMultivariateHypergeom +test_covariance_expansion adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_covariance_expansion(self):$/;" m class:TestMatrixNormal +test_coverage1_ticket_2100 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_coverage1_ticket_2100(self):$/;" m class:TestVectorize +test_cov_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cov_broadcasting(self):$/;" m class:TestMultinomial +test_cov_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cov_broadcasting(self):$/;" m class:TestMultivariateHypergeom +test_cov_edge_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_cov_edge_cases(self):$/;" m class:TestMultivariateHypergeom +test_cov_parameters adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_cov_parameters(self):$/;" m class:TestRegression +test_cpu2gpu_gpu2cpu_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def test_cpu2gpu_gpu2cpu_gradients(self):$/;" m class:CopyOpsTest +test_cpu2gpu_gpu2cpu_sparse_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/copy_ops_test.py /^ def test_cpu2gpu_gpu2cpu_sparse_gradients(self):$/;" m class:CopyOpsTest +test_crash_1580 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_crash_1580(self):$/;" m class:TestSVD_GESDD +test_crash_2609 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_crash_2609(self):$/;" m class:TestSVDVals +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_create(self):$/;" m class:TestAccess +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create(self):$/;" m class:TestCreateRequire +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create(self):$/;" m class:TestEnum +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create(self):$/;" m class:TestResize +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_create(self):$/;" m class:TestFileOpen +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create(self):$/;" m class:TestCreate +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create(self):$/;" m class:TestExternalLinks +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create(self):$/;" m class:TestRequire +test_create adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create(self):$/;" m class:TestSoftLinks +test_create adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_create(self):$/;" m class:TestZeroSizeFlexible +test_createUniqueDataNode adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_createUniqueDataNode(self):$/;" m class:TestBindings +test_create_blobs_queue_db_string adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def test_create_blobs_queue_db_string(self):$/;" m class:BlobsQueueDBTest +test_create_blobs_queue_db_string adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def test_create_blobs_queue_db_string(self):$/;" m class:BlobsQueueDBTest +test_create_blobs_queue_db_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def test_create_blobs_queue_db_tensor(self):$/;" m class:BlobsQueueDBTest +test_create_blobs_queue_db_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def test_create_blobs_queue_db_tensor(self):$/;" m class:BlobsQueueDBTest +test_create_blocksize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_create_blocksize(self):$/;" m class:TestUserblock +test_create_block_3_diagonal_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_create_block_3_diagonal_matrix():$/;" f +test_create_bytestring adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_bytestring(self):$/;" m class:TestCreateData +test_create_chunks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_chunks(self):$/;" m class:TestCreateChunked +test_create_dimensionscale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_create_dimensionscale(self):$/;" m class:TestH5DSBindings +test_create_exception adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create_exception(self):$/;" m class:TestCreate +test_create_exclusive adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_create_exclusive(self):$/;" m class:TestFileOpen +test_create_existing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_existing(self):$/;" m class:TestCreateRequire +test_create_extended adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_extended(self):$/;" m class:TestCreateData +test_create_extended adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_extended(self):$/;" m class:TestCreateShape +test_create_fillval adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_fillval(self):$/;" m class:TestCreateFillvalue +test_create_intermediate adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_create_intermediate(self):$/;" m class:TestCreate +test_create_local_script_class_rref_in_py adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_create_local_script_class_rref_in_py(self):$/;" m class:LocalRRefTest +test_create_local_script_module_rref_in_py adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_create_local_script_module_rref_in_py(self):$/;" m class:LocalRRefTest +test_create_lookup_table adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_create_lookup_table(N, result):$/;" f +test_create_map adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/map_ops_test.py /^ def test_create_map(self):$/;" m class:TestMap +test_create_param adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_create_param(self):$/;" m class:ParameterSharingTest +test_create_plan_from_proto_correctly adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_create_plan_from_proto_correctly(self):$/;" m class:TestCreatePlan +test_create_ref adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_ref(self):$/;" m class:TestRegionRefs +test_create_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_scalar(self):$/;" m class:TestCreateData +test_create_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_scalar(self):$/;" m class:TestCreateShape +test_create_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_create_simple(self):$/;" m class:TestCreateShape +test_creation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_creation(self):$/;" m class:TestStringConverter +test_creation_from_ndarray_with_padding adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_creation_from_ndarray_with_padding(self):$/;" m class:TestMaskedArray +test_creation_maskcreation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_creation_maskcreation(self):$/;" m class:TestMaskedArray +test_creation_ndmin adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_creation_ndmin(self):$/;" m class:TestMaskedArray +test_creation_ndmin_from_maskedarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_creation_ndmin_from_maskedarray(self):$/;" m class:TestMaskedArray +test_creation_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_creation_overflow(self):$/;" m class:TestDateTime +test_creation_with_list_of_maskedarrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_creation_with_list_of_maskedarrays(self):$/;" m class:TestMaskedArray +test_crf_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^ def test_crf_gradient(self, num_tags, num_words):$/;" m class:TestCRFOp +test_crf_viterbi adpepsenv/lib/python3.8/site-packages/caffe2/python/crf_viterbi_test.py /^ def test_crf_viterbi(self, num_tags, num_words):$/;" m class:TestCrfDecode +test_crf_with_loss_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^ def test_crf_with_loss_op(self, num_tags, num_words):$/;" m class:TestCRFOp +test_crop adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pad_test.py /^ def test_crop(self,$/;" m class:TestPad +test_cross1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_cross1d(self):$/;" m class:TestUfunc +test_crossover adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_crossover(self):$/;" m class:LinprogHiGHSTests +test_cross_entropy_and_unjoied_cross_entropy_relation adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def test_cross_entropy_and_unjoied_cross_entropy_relation($/;" m class:TestCrossEntropyOps +test_cross_figure_patch_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_cross_figure_patch_legend():$/;" f +test_cross_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_cross_nets(self):$/;" m class:TestInferDevice +test_cross_nets_no_change adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_cross_nets_no_change(self):$/;" m class:TestInferDevice +test_cross_polytope_regions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_cross_polytope_regions(self, dim):$/;" m class:TestSphericalVoronoi +test_crystalball_function adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_crystalball_function():$/;" f +test_crystalball_function_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_crystalball_function_moments():$/;" f +test_csc_empty_slices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csc.py /^def test_csc_empty_slices(matrix_input, axis, expected_shape):$/;" f +test_csc_getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csc.py /^def test_csc_getcol():$/;" f +test_csc_getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csc.py /^def test_csc_getrow():$/;" f +test_csd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_csd(self):$/;" m class:TestSpectral +test_csd_padding adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_csd_padding(self):$/;" m class:TestSpectral +test_csgraph_from_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_conversions.py /^def test_csgraph_from_dense():$/;" f +test_csgraph_to_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_conversions.py /^def test_csgraph_to_dense():$/;" f +test_cspline1d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_cspline1d(self):$/;" m class:TestBSplines +test_cspline1d_eval adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_cspline1d_eval(self):$/;" m class:TestBSplines +test_csr_bool_indexing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def test_csr_bool_indexing():$/;" f +test_csr_empty_slices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def test_csr_empty_slices(matrix_input, axis, expected_shape):$/;" f +test_csr_getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def test_csr_getcol():$/;" f +test_csr_getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def test_csr_getrow():$/;" f +test_csr_matmat_int64_overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_csr_matmat_int64_overflow():$/;" f +test_csr_rowslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def test_csr_rowslice():$/;" f +test_cs_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_cs_diff(self):$/;" m class:TestOverwrite +test_ctc_beam_search_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_beam_search_decoder_op_test.py /^ def test_ctc_beam_search_decoder($/;" m class:TestCTCBeamSearchDecoderOp +test_ctc_cost_cpu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^ def test_ctc_cost_cpu(self):$/;" m class:CTCOpsTest +test_ctc_cost_gpu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^ def test_ctc_cost_gpu(self):$/;" m class:CTCOpsTest +test_ctc_forward_only_cpu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^ def test_ctc_forward_only_cpu(self):$/;" m class:CTCOpsTest +test_ctc_forward_only_gpu adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^ def test_ctc_forward_only_gpu(self):$/;" m class:CTCOpsTest +test_ctc_greedy_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def test_ctc_greedy_decoder($/;" m class:TestCTCGreedyDecoderOp +test_ctc_greedy_decoder_no_merge_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ctc_greedy_decoder_op_test.py /^ def test_ctc_greedy_decoder_no_merge_arg($/;" m class:TestCTCGreedyDecoderOp +test_ctor adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ctor(self):$/;" m class:TestMaskedConstant +test_ctor adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_ctor(self):$/;" m class:TestBSpline +test_ctor adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ctor(self):$/;" m class:TestDOK +test_ctor_c adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_ctor_c(self):$/;" m class:TestPPolyCommon +test_ctx_mgr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_ctx_mgr(self):$/;" m class:TestContextManager +test_ctx_mgr_as_smth adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_ctx_mgr_as_smth(self):$/;" m class:TestContextManager +test_ctx_mgr_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_ctx_mgr_exceptions(self):$/;" m class:TestContextManager +test_ctx_mgr_restores adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_ctx_mgr_restores(self):$/;" m class:TestContextManager +test_ctypes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_ctypes(self):$/;" m class:Base +test_ctypes_as_parameter_holds_reference adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_as_parameter_holds_reference(self):$/;" m class:TestCTypes +test_ctypes_data_as_holds_reference adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_data_as_holds_reference(self, arr):$/;" m class:TestCTypes +test_ctypes_integer_via_memoryview adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_integer_via_memoryview(self):$/;" m class:TestNewBufferProtocol +test_ctypes_is_available adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_is_available(self):$/;" m class:TestCTypes +test_ctypes_is_not_available adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_is_not_available(self):$/;" m class:TestCTypes +test_ctypes_sine adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_ctypes_sine(self):$/;" m class:TestCtypesQuad +test_ctypes_struct_via_memoryview adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ctypes_struct_via_memoryview(self):$/;" m class:TestNewBufferProtocol +test_ctypes_variants adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_ctypes_variants(self):$/;" m class:TestCtypesQuad +test_CU adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_CU(self):$/;" m class:TestGCROTMK +test_cube adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_cube(self, X, gc, dc):$/;" m class:TestElementwiseOps +test_cube adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_cube(self):$/;" m class:Test_HalfspaceIntersection +test_cube_halfspace_intersection adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_cube_halfspace_intersection(self):$/;" m class:Test_HalfspaceIntersection +test_cubic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_cubic(self):$/;" m class:TestInterp1D +test_cubic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_cubic(self):$/;" m class:TestBSplines +test_CubicHermiteSpline_correctness adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_CubicHermiteSpline_correctness():$/;" f +test_CubicHermiteSpline_error_handling adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_CubicHermiteSpline_error_handling():$/;" f +test_cubic_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_cubic_deriv(self):$/;" m class:TestInterp +test_cubic_deriv_unstable adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_cubic_deriv_unstable(self):$/;" m class:TestInterp +TEST_CUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^TEST_CUDA = torch.cuda.is_available()$/;" v +test_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def test_cuda(self, test_case):$/;" m class:ModuleTest +test_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def test_cuda(self, test_case, dtype, extra_args=None):$/;" m class:CriterionTest +test_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_cuda(self):$/;" m class:RpcTest +TEST_CUDNN adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^TEST_CUDNN = TEST_CUDA and torch.backends.cudnn.is_acceptable(torch.tensor(1., device=CUDA_DEVIC/;" v +TEST_CUDNN_VERSION adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^TEST_CUDNN_VERSION = torch.backends.cudnn.version() if TEST_CUDNN else 0$/;" v +test_cull_markers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_cull_markers():$/;" f +test_cumfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_cumfreq():$/;" f +test_cumprod adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_cumprod(self):$/;" m class:TestArrayMethods +test_cumproduct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_cumproduct(self):$/;" m class:TestNonarrayArgs +test_cumsum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_cumsum(self):$/;" m class:TestUfunc +test_cumsum adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_cumsum(self):$/;" m class:TestArrayMethods +test_cumsumprod adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_cumsumprod(self):$/;" m class:TestMaskedArrayMathMethods +test_cumsumprod_with_output adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_cumsumprod_with_output(self):$/;" m class:TestMaskedArrayMathMethods +test_cumtrapz adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_cumtrapz(self):$/;" m class:TestCumulative_trapezoid +test_cupy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_cupy(string): # pragma: no cover$/;" f +test_cupy_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_cupy_with_constants(constants): # pragma: no cover$/;" f +test_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_cursor_data():$/;" f +test_cursor_dummy_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_cursor_dummy_axis(self, data, expected):$/;" m class:TestScalarFormatter +test_cursor_precision adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_cursor_precision(self, data, expected):$/;" m class:TestScalarFormatter +test_curvefit_covariance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_curvefit_covariance(self):$/;" m class:TestCurveFit +test_curvefit_simplecovariance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_curvefit_simplecovariance(self):$/;" m class:TestCurveFit +test_curvelinear3 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^def test_curvelinear3():$/;" f +test_curvelinear4 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^def test_curvelinear4():$/;" f +test_cur_loc tests/test_utils.py /^ def test_cur_loc(self):$/;" m class:TestTList +test_custom adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_custom(self):$/;" m class:TestOptimizeSimple +test_customcell adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_customcell():$/;" f +test_custom_array_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_custom_array_like(self):$/;" m class:TestUfunc +test_custom_branchbound adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_branchbound():$/;" f +test_custom_dp_can_optimize_for_outer_products adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_dp_can_optimize_for_outer_products():$/;" f +test_custom_dp_can_optimize_for_size adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_dp_can_optimize_for_size():$/;" f +test_custom_dp_can_set_cost_cap adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_dp_can_set_cost_cap():$/;" f +test_custom_dtype_duck adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_custom_dtype_duck(self):$/;" m class:TestIscomplexobj +test_custom_faulty_messages adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_custom_faulty_messages(self):$/;" m class:FaultyAgentRpcTest +test_custom_float_promotion adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^ def test_custom_float_promotion(self):$/;" m class:TestTypeFloatID +test_custom_gradient_transformation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_custom_gradient_transformation(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_custom_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_custom_jvp(self):$/;" m class:Jax2TfTest +test_custom_messages_to_delay adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_custom_messages_to_delay(self):$/;" m class:FaultyAgentRpcTest +test_custom_path_optimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_path_optimizer():$/;" f +test_custom_random_greedy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_random_greedy():$/;" f +test_custom_random_optimizer adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_custom_random_optimizer():$/;" f +test_custom_rel_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_custom_rel_step(self):$/;" m class:TestApproxDerivativesDense +test_custom_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^def test_custom_transform():$/;" f +test_custom_ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_custom_ufunc(self):$/;" m class:TestUfunc +test_custom_ufunc_forced_sig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_custom_ufunc_forced_sig(self):$/;" m class:TestUfunc +test_custom_vjp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_custom_vjp(self):$/;" m class:Jax2TfTest +test_custom_writer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_custom_writer(self):$/;" m class:TestSaveTxt +test_cutdeg adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_cutdeg(Poly):$/;" f +test_cut_tree adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_cut_tree():$/;" f +test_cwt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_cwt(self):$/;" m class:TestWavelets +test_cycle_reset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_cycle_reset():$/;" f +test_cyclic adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_cyclic(n, axis):$/;" f +test_cyclic_bland adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_cyclic_bland(self):$/;" m class:LinprogCommonTests +test_cyclic_bland adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_cyclic_bland(self):$/;" m class:TestLinprogRSCommon +test_cyclic_recovery adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_cyclic_recovery(self):$/;" m class:LinprogCommonTests +test_cython adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^def test_cython(tmp_path):$/;" f +test_cython_api adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^def test_cython_api(param):$/;" f +test_cython_api_completeness adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^def test_cython_api_completeness():$/;" f +test_cython_api_deprecation adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_deprecation.py /^def test_cython_api_deprecation():$/;" f +test_c_ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^def test_c_():$/;" f +test_C_and_F_simul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_C_and_F_simul(self):$/;" m class:TestRequire +test_c_continuity adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_c_continuity(self):$/;" m class:TestGenpareto +test_c_continuity_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_c_continuity_isf(self):$/;" m class:TestGenpareto +test_c_continuity_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_c_continuity_ppf(self):$/;" m class:TestGenpareto +test_c_copy_in_from_23casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_c_copy_in_from_23casttype(self):$/;" m class:TestSharedMemory +test_c_inout_23seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_c_inout_23seq(self):$/;" m class:TestSharedMemory +test_c_in_from_23casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_c_in_from_23casttype(self):$/;" m class:TestSharedMemory +test_c_in_from_23seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_c_in_from_23seq(self):$/;" m class:TestSharedMemory +test_c_workspace_constructor adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_c_workspace_constructor(self):$/;" m class:TestOperatorTraceback +test_c_workspace_runtime adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_c_workspace_runtime(self):$/;" m class:TestOperatorTraceback +test_d adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^def test_d():$/;" f +test_dag_net_forking adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_dag_net_forking(self, net_type, num_workers, gc, dc):$/;" m class:TestOperators +test_dapl adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_dapl(self):$/;" m class:TestLowOpen +test_darwin_example adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_darwin_example(self):$/;" m class:TestYeojohnsonNormmax +test_dash_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_dash_offset():$/;" f +test_dask adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_dask(string):$/;" f +test_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_data(self):$/;" m class:TestPlotTypes +test_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ test_data = [$/;" v class:TestLogFormatterMathtext +test_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ test_data = [$/;" v class:TestLogFormatterSciNotation +test_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ test_data = [$/;" v class:TestStrMethodFormatter +test_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_data(self):$/;" m class:TestQuotedNominal +test_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_data(self):$/;" m class:TestQuotedNominalSpaces +test_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_data(self):$/;" m class:TestRelationalAttribute +test_data adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_data(self):$/;" m class:TestRelationalAttributeLong +test_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_data(self):$/;" m class:TestBartlett +test_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_data(self):$/;" m class:TestBinomP +test_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_data(self):$/;" m class:TestFligner +test_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_data(self):$/;" m class:TestLevene +test_datacopied adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_datacopied(self):$/;" m class:TestDatacopied +test_datafriendly_add adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_add(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_add_arrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_add_arrays(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_div adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_div(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_mul adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_mul(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_mul_arrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_mul_arrays(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_pow adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_pow(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_sub adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_sub(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_datafriendly_sub_arrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_datafriendly_sub_arrays(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_dataset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def test_dataset(self):$/;" m class:TestRepr +test_dataset_external_batch_input_validation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_dataset_external_batch_input_validation($/;" m class:TestDistributionStrategyWithDatasets +test_dataset_intermediate_group adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_dataset_intermediate_group(self):$/;" m class:TestCreateData +test_dataset_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^ def test_dataset_ops(self):$/;" m class:TestDatasetOps +test_dataset_with_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_dataset_with_sample_weights(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_dataset_wrong_input_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_dataset_wrong_input_shape(self, distribution, mode):$/;" m class:TestDistributionStrategyWithDatasets +test_DataSourceOpen adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_DataSourceOpen(self):$/;" m class:TestOpenFunc +test_data_attr_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_data_attr_assignment(self):$/;" m class:TestArrayDataAttributeAssignmentDeprecation +test_data_couple_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/data_couple_op_test.py /^ def test_data_couple_op(self):$/;" m class:TestDataCoupleOp +test_data_kwarg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def test_data_kwarg(self, plotter, fig_test, fig_ref):$/;" m class:TestPlotTypes +test_data_path adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^test_data_path = pjoin(dirname(__file__), 'data')$/;" v +test_data_path adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_funcs.py /^test_data_path = os.path.join(os.path.dirname(__file__), 'data')$/;" v +TEST_DATA_PATH adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_pathological.py /^TEST_DATA_PATH = pjoin(dirname(__file__), 'data')$/;" v +TEST_DATA_PATH adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^TEST_DATA_PATH = pjoin(dirname(__file__), 'data')$/;" v +test_data_subclassing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_data_subclassing(self):$/;" m class:TestSubclassing +test_data_too_deep_c adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_too_deep_c(self):$/;" m class:TestDirichlet +test_data_vector_too_long adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_vector_too_long(self):$/;" m class:TestDirichlet +test_data_vector_too_short adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_vector_too_short(self):$/;" m class:TestDirichlet +test_data_with_negative_entries adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_with_negative_entries(self):$/;" m class:TestDirichlet +test_data_with_too_large_entries adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_with_too_large_entries(self):$/;" m class:TestDirichlet +test_data_with_zeros adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_with_zeros(self):$/;" m class:TestDirichlet +test_data_with_zeros_and_small_alpha adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_data_with_zeros_and_small_alpha(self):$/;" m class:TestDirichlet +test_date2num_dst adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date2num_dst():$/;" f +test_date2num_dst_pandas adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date2num_dst_pandas(pd):$/;" f +test_date2num_NaT adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date2num_NaT(dtype):$/;" f +test_date2num_NaT_scalar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date2num_NaT_scalar(units):$/;" f +test_DateFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_DateFormatter():$/;" f +test_dateheader adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_dateheader(self):$/;" m class:TestHeader +test_dateheader_unsupported adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_dateheader_unsupported(self):$/;" m class:TestHeader +test_datetime adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_datetime(self):$/;" m class:Test_delete_masked_points +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_datetime(self):$/;" m class:TestDatetime64Timezone +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_datetime(self, base, unit):$/;" m class:TestPickling +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_datetime(self):$/;" m class:TestLexsort +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_datetime(self):$/;" m class:TestMinMax +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_datetime(self):$/;" m class:TestExtraArgs +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_datetime(self):$/;" m class:TestHistogram +test_datetime adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_datetime(self):$/;" m class:TestEqual +test_datetime64 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_datetime64(self):$/;" m class:TestGradient +test_datetime64_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_datetime64_byteorder(self):$/;" m class:TestPickling +test_datetime64_in_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_datetime64_in_list():$/;" f +test_datetime_add adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_add(self):$/;" m class:TestDateTime +test_datetime_arange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_arange(self):$/;" m class:TestDateTime +test_datetime_arange_no_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_arange_no_dtype(self):$/;" m class:TestDateTime +test_datetime_array_find_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_array_find_type(self):$/;" m class:TestDateTime +test_datetime_array_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_array_str(self):$/;" m class:TestDateTime +test_datetime_as_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_as_string(self):$/;" m class:TestDateTime +test_datetime_as_string_timezone adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_as_string_timezone(self):$/;" m class:TestDateTime +test_datetime_busdaycalendar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_busdaycalendar(self):$/;" m class:TestDateTime +test_datetime_busday_holidays_count adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_busday_holidays_count(self):$/;" m class:TestDateTime +test_datetime_busday_holidays_offset adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_busday_holidays_offset(self):$/;" m class:TestDateTime +test_datetime_busday_offset adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_busday_offset(self):$/;" m class:TestDateTime +test_datetime_casting_rules adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_casting_rules(self):$/;" m class:TestDateTime +test_datetime_compare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_compare(self):$/;" m class:TestDateTime +test_datetime_compare_nat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_compare_nat(self):$/;" m class:TestDateTime +test_datetime_datetime_fails adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_datetime_datetime_fails():$/;" f +test_datetime_divide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_divide(self):$/;" m class:TestDateTime +test_datetime_dtype_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_dtype_creation(self):$/;" m class:TestDateTime +test_datetime_is_busday adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_is_busday(self):$/;" m class:TestDateTime +test_datetime_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_like(self):$/;" m class:TestDateTime +test_datetime_local_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_datetime_local_attribute(self):$/;" m class:TestDateAttribute +test_datetime_masked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_datetime_masked():$/;" f +test_datetime_maximum_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_maximum_reduce(self):$/;" m class:TestDateTime +test_datetime_memoryview adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_datetime_memoryview(self):$/;" m class:TestScalarPEP3118 +test_datetime_minmax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_minmax(self):$/;" m class:TestDateTime +test_datetime_missing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_datetime_missing(self):$/;" m class:TestDateAttribute +test_datetime_multiply adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_multiply(self):$/;" m class:TestDateTime +test_datetime_nat_argsort_stability adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_nat_argsort_stability(self, size):$/;" m class:TestDateTime +test_datetime_nat_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_nat_casting(self):$/;" m class:TestDateTime +test_datetime_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_datetime_rectangle():$/;" f +test_datetime_scalar_construction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_scalar_construction(self):$/;" m class:TestDateTime +test_datetime_scalar_construction_timezone adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_scalar_construction_timezone(self):$/;" m class:TestDateTime +test_datetime_string_conversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_string_conversion(self):$/;" m class:TestDateTime +test_datetime_subtract adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_subtract(self):$/;" m class:TestDateTime +test_datetime_timedelta_sort_nat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_timedelta_sort_nat(self, arr, expected, dtype):$/;" m class:TestDateTime +test_datetime_timezone adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_datetime_timezone(self):$/;" m class:TestDateAttribute +test_datetime_unary adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_unary(self):$/;" m class:TestDateTime +test_datetime_y2038 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_datetime_y2038(self):$/;" m class:TestDateTime +test_date_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_date_attribute(self):$/;" m class:TestDateAttribute +test_date_axhline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_axhline():$/;" f +test_date_axhspan adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_axhspan():$/;" f +test_date_axvline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_axvline():$/;" f +test_date_axvspan adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_axvspan():$/;" f +test_date_date2num_numpy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_date2num_numpy(t0, dtype):$/;" f +test_date_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_empty():$/;" f +test_date_formatter_callable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_formatter_callable():$/;" f +test_date_inverted_limit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_inverted_limit():$/;" f +test_date_numpyx adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_date_numpyx():$/;" f +test_date_timezone_x adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_date_timezone_x():$/;" f +test_date_timezone_x_and_y adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_date_timezone_x_and_y():$/;" f +test_date_timezone_y adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_date_timezone_y():$/;" f +test_daub adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_daub(self):$/;" m class:TestWavelets +test_dawsn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_dawsn(self):$/;" m class:TestCephes +test_dawsn_consistent adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_dawsn_consistent(self):$/;" m class:TestErf +test_dawsn_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_dawsn_nan_inf(self):$/;" m class:TestErf +test_DayLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_DayLocator():$/;" f +test_days_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_days_creation(self):$/;" m class:TestDateTime +test_days_to_pydate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_days_to_pydate(self):$/;" m class:TestDateTime +test_dblint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def test_dblint():$/;" f +test_db_fails_without_params adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_db_fails_without_params(self):$/;" m class:PredictorExporterTest +test_db_file_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_db_file_reader(self):$/;" m class:TestDBFileReader +test_dc adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_dc(self, nx, ny, dtype):$/;" m class:TestResample +test_dct adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dct(self):$/;" m class:TestOverwrite +test_dct1_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dct1_definition_ortho(rdt, mdata_x):$/;" f +test_dct2_definition_matlab adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dct2_definition_matlab(mdata_xy, rdt):$/;" f +test_dct3_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dct3_definition_ortho(mdata_x, rdt):$/;" f +test_dct4_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dct4_definition_ortho(mdata_x, rdt):$/;" f +Test_DCTN_IDCTN adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^class Test_DCTN_IDCTN(object):$/;" c +Test_DCTN_IDCTN adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class Test_DCTN_IDCTN(object):$/;" c +test_dctn_vs_2d_reference adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_dctn_vs_2d_reference(self, funcn, func, dct_type, norm):$/;" m class:Test_DCTN_IDCTN +test_dctn_vs_2d_reference adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dctn_vs_2d_reference(self, fforward, fforward_ref,$/;" m class:Test_DCTN_IDCTN +test_dct_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dct_complex(self):$/;" m class:TestComplex +test_dct_complex64 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dct_complex64(self):$/;" m class:TestComplex +test_ddof adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ddof(self):$/;" m class:TestStats +test_ddof adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_ddof(self):$/;" m class:TestCorrCoef +test_ddof adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_ddof(self):$/;" m class:TestNanFunctions_MeanVarStd +test_ddof adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ddof(self):$/;" m class:TestCorrcoef +test_ddof1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ddof1(self):$/;" m class:TestStdVar +test_ddof2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ddof2(self):$/;" m class:TestStdVar +test_ddof_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ddof_broadcasting(self):$/;" m class:TestPowerDivergence +test_ddof_corrcoef adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_ddof_corrcoef(self):$/;" m class:TestRegression +test_ddof_equal_to_number_of_observations adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ddof_equal_to_number_of_observations(self):$/;" m class:TestGeometricStandardDeviation +test_ddof_too_big adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ddof_too_big(self):$/;" m class:TestStats +test_ddof_too_big adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_ddof_too_big(self):$/;" m class:TestNanFunctions_MeanVarStd +test_ddp_comparison adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_ddp_comparison(self):$/;" m class:DdpComparisonTest +test_ddp_comparison_uneven_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_ddp_comparison_uneven_inputs(self):$/;" m class:DdpComparisonTest +test_ddp_dist_autograd_local_vs_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_ddp_dist_autograd_local_vs_remote(self):$/;" m class:DdpComparisonTest +test_ddp_dist_autograd_local_vs_remote_gpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_ddp_dist_autograd_local_vs_remote_gpu(self):$/;" m class:DdpComparisonTest +test_ddp_dist_autograd_sparse_grads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def test_ddp_dist_autograd_sparse_grads(self):$/;" m class:DdpComparisonTest +test_ddp_grad_div_uneven_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_grad_div_uneven_inputs(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_join_model_equivalence adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_join_model_equivalence(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_sync_params_and_buffers adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_sync_params_and_buffers(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_uneven_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_uneven_inputs(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_uneven_inputs_replicated_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_uneven_inputs_replicated_error(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_uneven_input_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_uneven_input_exception(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_uneven_input_join_disable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_uneven_input_join_disable(self):$/;" m class:DistributedTest._DistTestBase +test_ddp_unused_params_rebuild_buckets_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_ddp_unused_params_rebuild_buckets_exception(self):$/;" m class:DistributedTest._DistTestBase +test_dd_bincode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_bincode(self):$/;" m class:TestBinnedStatistic +test_dd_binned_statistic_result adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_binned_statistic_result(self):$/;" m class:TestBinnedStatistic +test_dd_binnumbers_unraveled adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_binnumbers_unraveled(self):$/;" m class:TestBinnedStatistic +test_dd_count adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_count(self):$/;" m class:TestBinnedStatistic +test_dd_max adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_max(self):$/;" m class:TestBinnedStatistic +test_dd_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_mean(self):$/;" m class:TestBinnedStatistic +test_dd_median adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_median(self):$/;" m class:TestBinnedStatistic +test_dd_min adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_min(self):$/;" m class:TestBinnedStatistic +test_dd_multi_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_multi_values(self):$/;" m class:TestBinnedStatistic +test_dd_range_errors adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_range_errors(self):$/;" m class:TestBinnedStatistic +test_dd_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_result_attributes(self):$/;" m class:TestBinnedStatistic +test_dd_std adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_std(self):$/;" m class:TestBinnedStatistic +test_dd_sum adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_sum(self):$/;" m class:TestBinnedStatistic +test_dd_zero_dedges adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_dd_zero_dedges(self):$/;" m class:TestBinnedStatistic +test_deadlock adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_deadlock(self):$/;" m class:RpcTest +test_dealloc_warning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dealloc_warning(self):$/;" m class:TestWritebackIfCopy +test_debug adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_debug(self):$/;" m class:TestBindings +test_debug_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_debug_info(self):$/;" m class:DistAutogradTest +test_debug_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_debug_info(self):$/;" m class:RpcTest +test_decimal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_decimal(self):$/;" m class:TestRationalFunctions +test_decimal_with_when adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_decimal_with_when(self):$/;" m class:TestFinancial +test_decimate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_result_type.py /^def test_decimate():$/;" f +test_decode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_decode(self):$/;" m class:TestMethods +test_decode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_decode():$/;" f +test_decompose adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_decompose(self):$/;" m class:TestOrdQZWorkspaceSize +test_decompose_ouc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_decompose_ouc(self):$/;" m class:TestOrdQZWorkspaceSize +test_decorator adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^def test_decorator():$/;" f +test_decorator_maxval_limit adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_decorator_maxval_limit(self):$/;" m class:Test64Bit +test_decorator_maxval_random adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_decorator_maxval_random(self):$/;" m class:Test64Bit +test_deepcopy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_deepcopy(self):$/;" m class:TestMaskedArray +test_deepcopy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_deepcopy(self):$/;" m class:TestMaskedConstant +test_deepcopy_empty_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_deepcopy_empty_object_array(self):$/;" m class:TestRegression +test_deepcopy_F_order_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_deepcopy_F_order_object_array(self):$/;" m class:TestRegression +test_deepcopy_on_0d_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_deepcopy_on_0d_array(self):$/;" m class:TestRegression +test_deep_hierarchy adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_deep_hierarchy(self):$/;" m class:ParameterSharingTest +test_deep_nonragged_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_deep_nonragged_object(self):$/;" m class:TestCreation +test_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_default(self):$/;" m class:TestFileOpen +test_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_default(self):$/;" m class:TestLibver +test_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_default(self):$/;" m class:TestNewLibver +test_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_default(request):$/;" f +test_default adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_default(self):$/;" m class:TestSeterr +test_default adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_default(self):$/;" m class:TestPiecewise +test_defaultPrivate adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^ def test_defaultPrivate(self, tmp_path):$/;" m class:TestPublicPrivate +test_defaultPublic adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^ def test_defaultPublic(self, tmp_path):$/;" m class:TestPublicPrivate +test_defaults adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_defaults(self):$/;" m class:TestCache +test_defaults adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_defaults(self):$/;" m class:TestStackArrays +test_defaults adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_defaults(self):$/;" m class:TestRectBivariateSpline +test_defaults adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_defaults(self):$/;" m class:TestRectSphereBivariateSpline +test_defaults_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_defaults_raise(self):$/;" m class:TestSubclassingNoShapes +test_default_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_default_1(self):$/;" m class:TestMintypecode +test_default_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_default_2(self):$/;" m class:TestMintypecode +test_default_3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_default_3(self):$/;" m class:TestMintypecode +test_default_a adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_default_a(self):$/;" m class:BaseAxpy +test_default_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_default_args(self, loc, shape, df, loc_ans, shape_ans, df_ans):$/;" m class:TestMultivariateT +test_default_arguments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_default_arguments(self):$/;" m class:TestMultivariateT +test_default_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_default_axis(self):$/;" m class:TestFlip +test_default_beta_y adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_default_beta_y(self):$/;" m class:BaseGemv +test_default_construction adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_default_construction():$/;" f +test_default_dtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_default_dtype(self):$/;" m class:TestCreateShape +test_default_edges adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_default_edges():$/;" f +test_default_extrap adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_default_extrap(self):$/;" m class:TestBSpline +test_default_field_format adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_default_field_format(self):$/;" m class:TestFromTxt +test_default_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_default_fill_value(self):$/;" m class:TestFillingValues +test_default_fill_value_complex adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_default_fill_value_complex():$/;" f +test_default_fill_value_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_default_fill_value_structured(self):$/;" m class:TestFillingValues +test_default_fill_value_void adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_default_fill_value_void(self):$/;" m class:TestFillingValues +test_default_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_default_hess(self):$/;" m class:TestTrustRegionConstr +test_default_indentation adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def test_default_indentation(self):$/;" m class:AutoFormatTest +test_default_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_default_inputs(self):$/;" m class:TestMatrixNormal +test_default_is_pcg64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_default_is_pcg64(self):$/;" m class:TestDefaultRNG +test_default_jac_and_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_default_jac_and_hess(self):$/;" m class:TestTrustRegionConstr +test_default_prepare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_default_prepare(self):$/;" m class:TestSpecialMethods +test_default_timeout_used adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_default_timeout_used(self):$/;" m class:RpcTest +test_default_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_default_units(self):$/;" m class:TestStrCategoryConverter +test_default_value adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_default_value(self):$/;" m class:TestPutOps +test_defective1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_defective1(self):$/;" m class:TestSignM +test_defective2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_defective2(self):$/;" m class:TestSignM +test_defective3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_defective3(self):$/;" m class:TestSignM +test_defective_matrix_breakdown adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_defective_matrix_breakdown(self):$/;" m class:TestGMRES +test_defective_precond_breakdown adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_defective_precond_breakdown(self):$/;" m class:TestGMRES +test_deferred_updating adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_deferred_updating(self):$/;" m class:TestDifferentialEvolutionSolver +test_definition adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestFFTFreq +test_definition adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestFFTShift +test_definition adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestRFFTFreq +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:TestFftn +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:TestFftnSingle +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestIFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestIRFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestRFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self, dtype, cdtype, maxnlp):$/;" m class:TestIfftn +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition(self, dtype, cdtype, maxnlp):$/;" m class:TestRfftn +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_definition(self, rdt, type, fftwdata_size):$/;" m class:TestDCT +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_definition(fftwdata_size, rdt, type):$/;" f +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:TestFftn +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:TestFftnSingle +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestIFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestIRFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self):$/;" m class:_TestRFFTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition(self, dtype, cdtype, maxnlp):$/;" m class:TestIfftn +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestFFTFreq +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestFFTShift +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^ def test_definition(self):$/;" m class:TestRFFTFreq +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestDiff +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestHilbert +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestIHilbert +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestITilbert +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestShift +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_definition(self):$/;" m class:TestTilbert +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition(self):$/;" m class:_TestDCTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition(self):$/;" m class:_TestDSTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition(self):$/;" m class:_TestIDCTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition(self):$/;" m class:_TestIDSTBase +test_definition adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_definition(self):$/;" m class:TestRandomCorrelation +test_definition_float16 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition_float16(self):$/;" m class:TestFftnSingle +test_definition_float16 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition_float16(self):$/;" m class:TestFftnSingle +test_definition_matlab adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_matlab(self):$/;" m class:_TestDCTIIBase +test_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_ortho(self):$/;" m class:_TestDCTIBase +test_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_ortho(self):$/;" m class:_TestDCTIIIBase +test_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_ortho(self):$/;" m class:_TestDCTIVBase +test_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_ortho(self):$/;" m class:_TestDSTIBase +test_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_definition_ortho(self):$/;" m class:_TestDSTIVBase +test_definition_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_definition_real(self):$/;" m class:_TestIFFTBase +test_definition_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_definition_real(self):$/;" m class:_TestIFFTBase +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_degenerate(self):$/;" m class:TestEigTridiagonal +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_degenerate(self):$/;" m class:TestSVD_GESDD +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_degenerate(self):$/;" m class:TestBessel +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_degenerate(self):$/;" m class:TestButter +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_degenerate(self):$/;" m class:TestCheby1 +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_degenerate(self):$/;" m class:TestCheby2 +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_degenerate(self):$/;" m class:TestEllip +test_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_degenerate(self):$/;" m class:TestDPSS +test_degenerate_barycentric_transforms adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_degenerate_barycentric_transforms(self):$/;" m class:TestUtilities +test_degenerate_case adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_degenerate_case(self):$/;" m class:TestHausdorff +test_degenerate_case_multidimensional adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_degenerate_case_multidimensional(self):$/;" m class:TestAkima1DInterpolator +test_degenerate_distributions adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_degenerate_distributions(self):$/;" m class:TestMultivariateNormal +test_degenerate_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_degenerate_input(self, start):$/;" m class:TestGeometricSlerp +test_degenerate_polygon adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_degenerate_polygon():$/;" f +test_degree adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_degree(Poly):$/;" f +test_degrees adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_degrees(self):$/;" m class:TestDegrees +test_degree_0 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_degree_0(self):$/;" m class:TestBSpline +test_degree_1 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_degree_1(self):$/;" m class:TestBSpline +test_del adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_del(self):$/;" m class:TestMemmap +test_delaunay adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_delaunay():$/;" f +test_delaunay adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ def test_delaunay(self):$/;" m class:TestPlotting +test_delaunay_duplicate_points adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_delaunay_duplicate_points():$/;" f +test_delaunay_insufficient_points adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_delaunay_insufficient_points(x, y):$/;" f +test_delaunay_points_in_line adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_delaunay_points_in_line():$/;" f +test_delaunay_robust adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_delaunay_robust():$/;" f +test_delayed adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_delayed(self):$/;" m class:TestBarycentric +test_delete adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_delete(self):$/;" m class:TestDelete +test_delete adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_delete(self):$/;" m class:TestDelete +test_delete_1x1_row_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_delete_1x1_row_col(self):$/;" m class:BaseQRdelete +test_delete_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_delete_exc(self):$/;" m class:TestDelete +test_delete_last_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_delete_last_1_col(self):$/;" m class:BaseQRdelete +test_delete_last_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_delete_last_1_row(self):$/;" m class:BaseQRdelete +test_delete_last_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_delete_last_p_col(self):$/;" m class:BaseQRdelete +test_delete_last_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_delete_last_p_row(self):$/;" m class:BaseQRdelete +Test_delete_masked_points adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^class Test_delete_masked_points:$/;" c +test_delete_node adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_delete_node(self):$/;" m class:TestBindings +test_delete_parameter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_delete_parameter():$/;" f +test_delete_subgraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_delete_subgraph(self):$/;" m class:TestBindings +test_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_delimiter(self):$/;" m class:TestSaveTxt +test_del_attr_handling adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def test_del_attr_handling():$/;" f +test_dendrogram_colors adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_dendrogram_colors(self):$/;" m class:TestDendrogram +test_dendrogram_plot adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_dendrogram_plot(self):$/;" m class:TestDendrogram +test_dendrogram_single_linkage_tdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_dendrogram_single_linkage_tdist(self):$/;" m class:TestDendrogram +test_dendrogram_truncate_mode adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_dendrogram_truncate_mode(self):$/;" m class:TestDendrogram +test_denominator adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_denominator(self):$/;" m class:TestTransferFunctionZConversion +test_denormals adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_denormals(self):$/;" m class:TestGCROTMK +test_denormals adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_denormals(self):$/;" m class:TestLGMRES +test_denormal_numbers adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_denormal_numbers(self):$/;" m class:TestLinspace +test_dense adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_dense(self):$/;" m class:TestCloughTocher2DInterpolator +test_dense1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_dense1(self):$/;" m class:RRCommonTests +test_dense2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_dense2(self):$/;" m class:RRCommonTests +test_dense3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_dense3(self):$/;" m class:RRCommonTests +test_densenet121 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_densenet121(self):$/;" m class:TestCaffe2End2End +test_densenet121 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_densenet121(self):$/;" m class:Test_PT_ONNX_TRT +test_densenet121 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_densenet121(self):$/;" m class:TensorRTOpTest +test_densenet161 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_densenet161(self):$/;" m class:Test_PT_ONNX_TRT +test_densenet169 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_densenet169(self):$/;" m class:Test_PT_ONNX_TRT +test_densenet201 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_densenet201(self):$/;" m class:Test_PT_ONNX_TRT +test_dense_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_dense_bounds(self):$/;" m class:BaseMixin +test_dense_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_dense_matrix(self):$/;" m class:TestOrthogonality +test_dense_no_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_dense_no_bounds(self):$/;" m class:BaseMixin +test_dense_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_dense_rank_deficient(self):$/;" m class:BaseMixin +test_dense_vector_to_id_list_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^ def test_dense_vector_to_id_list_op(self, inputs, gc, dc):$/;" m class:TestDenseVectorToIdList +test_dense_vector_to_id_list_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dense_vector_to_id_list_op_test.py /^ def test_dense_vector_to_id_list_ref(self):$/;" m class:TestDenseVectorToIdList +test_density adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_density(self):$/;" m class:TestHistogram +test_density adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_density(self):$/;" m class:TestHistogram2d +test_density_non_uniform_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_density_non_uniform_1d(self):$/;" m class:TestHistogramdd +test_density_non_uniform_2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_density_non_uniform_2d(self):$/;" m class:TestHistogramdd +test_density_normed_redundancy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_density_normed_redundancy(self):$/;" m class:TestHistogramdd +test_density_via_normed adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_density_via_normed(self):$/;" m class:TestHistogramdd +test_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_deprecated(self):$/;" m class:BuiltInRoundComplexDType +test_deprecated adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_deprecated(self):$/;" m class:TestUfunclike +test_deprecated adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_deprecated(self):$/;" m class:TestNoseDecorators +test_deprecated_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_deprecated_empty(self):$/;" m class:TestSelect +test_deprecated_pickleable adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^def test_deprecated_pickleable():$/;" f +test_deprecate_decorator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_deprecate_decorator():$/;" f +test_deprecate_decorator_message adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_deprecate_decorator_message():$/;" f +test_deprecate_fn adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_deprecate_fn():$/;" f +test_deprecate_help_indentation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_deprecate_help_indentation(old_func, new_func):$/;" f +test_deprecate_preserve_whitespace adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_deprecate_preserve_whitespace():$/;" f +test_deprecate_ragged_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^def test_deprecate_ragged_arrays():$/;" f +test_deprecate_unparsable_data_file adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_deprecate_unparsable_data_file(self, invalid_str):$/;" m class:TestFromStringAndFileInvalidData +test_deprecate_unparsable_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_deprecate_unparsable_string(self, invalid_str):$/;" m class:TestFromStringAndFileInvalidData +test_deprecation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^def test_deprecation():$/;" f +test_deprecation_available_ftypes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^ def test_deprecation_available_ftypes(self):$/;" m class:TestDeprecation +test_depthwise_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^ def test_depthwise_convolution(self, batch_size, gc, dc):$/;" m class:ConvTest +test_depth_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_depth_concat(self, ndim, axis, add_axis, num_inputs, gc, dc):$/;" m class:TestOperators +test_depth_concat_with_order adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_depth_concat_with_order(self, num_inputs, order, gc, dc):$/;" m class:TestOperators +test_dequeue_many adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline_test.py /^ def test_dequeue_many(self):$/;" m class:TestPipeline +test_deriv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_deriv(Poly):$/;" f +test_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative(self):$/;" m class:TestBPolyCalculus +test_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative(self):$/;" m class:TestPolySubclassing +test_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative(self):$/;" m class:TestPPoly +test_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_derivative(self):$/;" m class:TestKrogh +test_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivatives(self):$/;" m class:TestRectBivariateSpline +test_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivatives(self):$/;" m class:TestRectSphereBivariateSpline +test_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_derivatives(self):$/;" m class:TestKrogh +test_derivatives_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_derivatives_complex(self):$/;" m class:TestKrogh +test_derivatives_grid adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivatives_grid(self):$/;" m class:TestRectBivariateSpline +test_derivatives_grid adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivatives_grid(self):$/;" m class:TestRectSphereBivariateSpline +test_derivative_and_antiderivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivative_and_antiderivative(self):$/;" m class:TestUnivariateSpline +test_derivative_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative_eval(self):$/;" m class:TestPPoly +test_derivative_extrapolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_derivative_extrapolation(self):$/;" m class:TestUnivariateSpline +test_derivative_jumps adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_derivative_jumps(self):$/;" m class:TestBSpline +test_derivative_method adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_derivative_method(self):$/;" m class:TestBSpline +test_derivative_ppoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative_ppoly(self):$/;" m class:TestBPolyCalculus +test_derivative_rndm adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_derivative_rndm(self):$/;" m class:TestBSpline +test_derivative_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_derivative_simple(self):$/;" m class:TestPPoly +test_derivs_shapes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_derivs_shapes():$/;" f +test_deriv_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_deriv_1d(self):$/;" m class:TestNdPPoly +test_deriv_3d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_deriv_3d(self):$/;" m class:TestNdPPoly +test_deriv_3d_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_deriv_3d_simple(self):$/;" m class:TestNdPPoly +test_deriv_clpmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_deriv_clpmn(self):$/;" m class:TestLegendreFunctions +test_deriv_inplace adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_deriv_inplace(self):$/;" m class:TestBPolyCalculus +test_deriv_shapes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_deriv_shapes():$/;" f +test_deriv_spec adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_deriv_spec(self):$/;" m class:TestInterp +test_deriv_zero_warning adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_deriv_zero_warning(self):$/;" m class:TestBasic +test_der_antider adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_der_antider(self):$/;" m class:TestBPolyCalculus +test_descending adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_descending(self):$/;" m class:TestBPoly +test_descending adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_descending(self):$/;" m class:TestPPoly +test_describe adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_describe(self):$/;" m class:TestCompareWithStats +test_describe_axis_none adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_axis_none(self):$/;" m class:TestDescribe +test_describe_ddof adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_ddof(self):$/;" m class:TestDescribe +test_describe_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_empty(self):$/;" m class:TestDescribe +test_describe_numbers adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_numbers(self):$/;" m class:TestDescribe +test_describe_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_describe_result_attributes(self):$/;" m class:TestCompareWithStats +test_describe_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_result_attributes(self):$/;" m class:TestDescribe +test_describe_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_describe_scalar(self):$/;" m class:TestDescribe +test_description adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_description(self):$/;" m class:TestTags +test_descriptor_core adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^ def test_descriptor_core(self):$/;" m class:TestFileID +test_descriptor_sec2 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^ def test_descriptor_sec2(self):$/;" m class:TestFileID +test_descr_has_trailing_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_descr_has_trailing_void(self):$/;" m class:TestDtypeAttributes +test_descr_to_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_descr_to_dtype(dt):$/;" f +test_destroy_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_destroy_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_destroy_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_destroy_group(self):$/;" m class:DistributedTest._DistTestBase +test_det adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_det(self):$/;" m class:TestOverwrite +test_det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_det(self):$/;" f function:_test_det file: +test_detach_dimensionscale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_detach_dimensionscale(self):$/;" m class:TestH5DSBindings +test_detach_scale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_detach_scale(self):$/;" m class:TestDimensionsHighLevel +test_detections_per_im adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_detections_per_im(self, det_per_im, gc):$/;" m class:TestBoxWithNMSLimitOp +test_detections_per_im_same_thresh adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_detections_per_im_same_thresh(self, det_per_im, gc):$/;" m class:TestBoxWithNMSLimitOp +test_detections_per_im_same_thresh_multiclass adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_detections_per_im_same_thresh_multiclass(self, num_classes, gc):$/;" m class:TestBoxWithNMSLimitOp +test_determinism_check adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^def test_determinism_check(objects, fmt, usetex):$/;" f +test_determinism_source_date_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^def test_determinism_source_date_epoch(fmt, string):$/;" f +test_deterministic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_deterministic(self):$/;" m class:Test2opt +test_detrend_0D_d0_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_0D_d0_ValueError(self):$/;" m class:TestDetrend +test_detrend_1D_d1_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_1D_d1_ValueError(self):$/;" m class:TestDetrend +test_detrend_2D_d2_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_2D_d2_ValueError(self):$/;" m class:TestDetrend +test_detrend_2D_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_2D_default(self):$/;" m class:TestDetrend +test_detrend_2D_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_2D_none(self):$/;" m class:TestDetrend +test_detrend_bad_key_str_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_bad_key_str_ValueError(self):$/;" m class:TestDetrend +test_detrend_bad_key_var_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_bad_key_var_ValueError(self):$/;" m class:TestDetrend +test_detrend_detrend_linear_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_linear_0D_off(self):$/;" m class:TestDetrend +test_detrend_detrend_linear_1d_slope_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_linear_1d_slope_off(self):$/;" m class:TestDetrend +test_detrend_detrend_linear_1d_slope_off_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_linear_1d_slope_off_axis1(self):$/;" m class:TestDetrend +test_detrend_detrend_linear_1d_slope_off_axis1_notranspose adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_linear_1d_slope_off_axis1_notranspose(self):$/;" m class:TestDetrend +test_detrend_detrend_mean_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_mean_0D_off(self):$/;" m class:TestDetrend +test_detrend_detrend_mean_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_mean_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_detrend_mean_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_mean_2D_axis0(self):$/;" m class:TestDetrend +test_detrend_detrend_none_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_detrend_none_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external(self):$/;" m class:TestCSD +test_detrend_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external(self):$/;" m class:TestWelch +test_detrend_external_nd_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external_nd_0(self):$/;" m class:TestCSD +test_detrend_external_nd_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external_nd_0(self):$/;" m class:TestWelch +test_detrend_external_nd_m1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external_nd_m1(self):$/;" m class:TestCSD +test_detrend_external_nd_m1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_external_nd_m1(self):$/;" m class:TestWelch +test_detrend_linear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_linear(self):$/;" m class:TestCSD +test_detrend_linear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_detrend_linear(self):$/;" m class:TestWelch +test_detrend_linear_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_0D_off(self):$/;" m class:TestDetrend +test_detrend_linear_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_linear_1d_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_1d_off(self):$/;" m class:TestDetrend +test_detrend_linear_1d_slope adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_1d_slope(self):$/;" m class:TestDetrend +test_detrend_linear_1d_slope_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_1d_slope_off(self):$/;" m class:TestDetrend +test_detrend_linear_1d_slope_off_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_1d_slope_off_list(self):$/;" m class:TestDetrend +test_detrend_linear_2D_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_linear_2D_ValueError(self):$/;" m class:TestDetrend +test_detrend_mean_0D_d0_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_0D_d0_ValueError(self):$/;" m class:TestDetrend +test_detrend_mean_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_0D_off(self):$/;" m class:TestDetrend +test_detrend_mean_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_off(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_slope adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_slope(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_slope_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_slope_off(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_slope_off_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_slope_off_axis0(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_slope_off_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_slope_off_list(self):$/;" m class:TestDetrend +test_detrend_mean_1D_base_slope_off_list_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_base_slope_off_list_axis0(self):$/;" m class:TestDetrend +test_detrend_mean_1D_d1_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_d1_ValueError(self):$/;" m class:TestDetrend +test_detrend_mean_1D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_1D_zeros(self):$/;" m class:TestDetrend +test_detrend_mean_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_axis0(self):$/;" m class:TestDetrend +test_detrend_mean_2D_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_axis1(self):$/;" m class:TestDetrend +test_detrend_mean_2D_axism1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_axism1(self):$/;" m class:TestDetrend +test_detrend_mean_2D_d2_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_d2_ValueError(self):$/;" m class:TestDetrend +test_detrend_mean_2D_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_default(self):$/;" m class:TestDetrend +test_detrend_mean_2D_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_none(self):$/;" m class:TestDetrend +test_detrend_mean_2D_none_T adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_mean_2D_none_T(self):$/;" m class:TestDetrend +test_detrend_none_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_0D_off(self):$/;" m class:TestDetrend +test_detrend_none_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_none_0D_zeros_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_0D_zeros_axis1(self):$/;" m class:TestDetrend +test_detrend_none_1D_base adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_1D_base(self):$/;" m class:TestDetrend +test_detrend_none_1D_base_slope_off_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_1D_base_slope_off_list(self):$/;" m class:TestDetrend +test_detrend_none_1D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_1D_off(self):$/;" m class:TestDetrend +test_detrend_none_1D_slope adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_1D_slope(self):$/;" m class:TestDetrend +test_detrend_none_2D adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_2D(self):$/;" m class:TestDetrend +test_detrend_none_2D_T adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_none_2D_T(self):$/;" m class:TestDetrend +test_detrend_str_constant_2D_none_T adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_constant_2D_none_T(self):$/;" m class:TestDetrend +test_detrend_str_default_2D_axis1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_default_2D_axis1(self):$/;" m class:TestDetrend +test_detrend_str_linear_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_linear_0D_off(self):$/;" m class:TestDetrend +test_detrend_str_linear_1d_slope_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_linear_1d_slope_off(self):$/;" m class:TestDetrend +test_detrend_str_linear_2d_slope_off_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_linear_2d_slope_off_axis0(self):$/;" m class:TestDetrend +test_detrend_str_linear_2d_slope_off_axis0_notranspose adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_linear_2d_slope_off_axis0_notranspose(self):$/;" m class:TestDetrend +test_detrend_str_mean_0D_off adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_mean_0D_off(self):$/;" m class:TestDetrend +test_detrend_str_mean_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_mean_0D_zeros(self):$/;" m class:TestDetrend +test_detrend_str_mean_2D_axis0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_mean_2D_axis0(self):$/;" m class:TestDetrend +test_detrend_str_none_0D_zeros adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_detrend_str_none_0D_zeros(self):$/;" m class:TestDetrend +test_det_and_ortho adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_det_and_ortho(self):$/;" m class:TestOrthoGroup +test_det_and_ortho adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_det_and_ortho(self):$/;" m class:TestSpecialOrthoGroup +test_dev0_a_b_rc_mixed adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_dev0_a_b_rc_mixed():$/;" f +test_dev0_a_b_rc_mixed adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_dev0_a_b_rc_mixed():$/;" f +test_dev0_version adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_dev0_version():$/;" f +test_dev0_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_dev0_version():$/;" f +test_device_inference_function adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_device_inference_function(self):$/;" m class:TestInferDevice +test_device_scope_check adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_device_scope_check(self):$/;" m class:DataParallelModelTest +test_dev_a_b_rc_mixed adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_dev_a_b_rc_mixed():$/;" f +test_dev_a_b_rc_mixed adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_dev_a_b_rc_mixed():$/;" f +test_dev_version adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_dev_version():$/;" f +test_dev_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_dev_version():$/;" f +Test_dfreqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^class Test_dfreqresp(object):$/;" c +test_dft adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^def test_dft():$/;" f +test_Dfun_can_raise adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_Dfun_can_raise(self):$/;" m class:TestFSolve +test_Dfun_can_raise adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_Dfun_can_raise(self):$/;" m class:TestLeastSq +test_dgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_dgbtrf(self):$/;" m class:TestEigBanded +test_dgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_dgbtrs(self):$/;" m class:TestEigBanded +test_diag adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_diag(self):$/;" m class:TestEye +test_diag adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_diag(self):$/;" m class:TestMaskedArrayMathMethods +test_diag adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_diag(self):$/;" m class:TestTri +test_diag adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_diag(self):$/;" m class:TestTril +test_diag adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_diag(self):$/;" m class:TestTriu +test_diag2d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_diag2d(self):$/;" m class:TestEye +test_diag2d adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_diag2d(self):$/;" m class:TestTri +test_diagbroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_diagbroyden(self):$/;" m class:TestJacobianDotSolve +test_diagbroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_diagbroyden(self):$/;" m class:TestNonlinOldTests +test_diagonal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_diagonal(self):$/;" m class:TestMethods +test_diagonal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_diagonal(self):$/;" m class:TestNonarrayArgs +test_diagonal adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_numeric.py /^def test_diagonal():$/;" f +test_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_diagonal():$/;" f +test_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_diagonal(self):$/;" m class:TestBSRNonCanonical +test_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_diagonal(self):$/;" m class:_TestCommon +test_diagonal_data_types adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_diagonal_data_types():$/;" f +test_diagonal_fill_op_float adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_diagonal_fill_op_float(self, shape, gc, dc):$/;" m class:TestFillerOperator +test_diagonal_fill_op_int adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_diagonal_fill_op_int(self, gc, dc):$/;" m class:TestFillerOperator +test_diagonal_memleak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_diagonal_memleak(self):$/;" m class:TestMethods +test_diagonal_view adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_diagonal_view(self):$/;" m class:TestMaskedArrayMethods +test_diagonal_view_notwriteable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_diagonal_view_notwriteable(self):$/;" m class:TestMethods +test_diags adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags(self):$/;" m class:TestConstructUtils +test_diags_bad adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_bad(self):$/;" m class:TestConstructUtils +test_diags_default adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_default(self):$/;" m class:TestConstructUtils +test_diags_default_bad adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_default_bad(self):$/;" m class:TestConstructUtils +test_diags_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_dtype(self):$/;" m class:TestConstructUtils +test_diags_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_empty(self):$/;" m class:TestConstructUtils +test_diags_one_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_one_diagonal(self):$/;" m class:TestConstructUtils +test_diags_vs_diag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_diags_vs_diag(self):$/;" m class:TestConstructUtils +test_diag_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_diag_bounds(self):$/;" m class:TestDiag +test_diag_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^def test_diag_indices():$/;" f +test_diag_indices_from adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_diag_indices_from(self):$/;" m class:TestDiagIndicesFrom +test_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_diag_part(self):$/;" f function:_test_diag_part file: +test_diamond adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_diamond():$/;" f +test_dia_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def test_dia_matvec(self):$/;" m class:TestInt32Overflow +test_dict_as_opts adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_dict_as_opts(self):$/;" m class:TestNQuad +test_dict_unpack adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^ def test_dict_unpack(self, plotter, fig_test, fig_ref):$/;" m class:TestPlotTypes +test_dicyclic adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_dicyclic(n, axis):$/;" f +test_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_diff(self):$/;" m class:TestOverwrite +test_differential_evolution adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_differential_evolution(self):$/;" m class:TestDifferentialEvolutionSolver +test_different_field_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_different_field_order(self):$/;" m class:TestJoinBy +test_different_names adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_different_names(self):$/;" m class:TestRecord +test_different_ndims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_different_ndims(self, block):$/;" m class:TestBlock +test_different_ndims_depths adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_different_ndims_depths(self, block):$/;" m class:TestBlock +test_different_number_of_nodes adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^ def test_different_number_of_nodes(self):$/;" m class:CheckAstEqualityTest +test_different_titles adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_different_titles(self):$/;" m class:TestRecord +test_different_unit_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_different_unit_comparison(self):$/;" m class:TestDateTime +test_diff_cell_table adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_diff_cell_table():$/;" f +test_diff_input_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_diff_input_types(self):$/;" m class:TestOrdQZ +test_diff_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_diff_step(self):$/;" m class:BaseMixin +test_digamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_digamma(self):$/;" m class:TestSystematic +test_digamma_boundary adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_digamma_boundary():$/;" f +test_digamma_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_digamma_complex(self):$/;" m class:TestSystematic +test_digamma_negreal adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_digamma_negreal():$/;" f +test_digamma_roots adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_digamma_roots():$/;" f +test_dijkstra_indices_min_only adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_dijkstra_indices_min_only(directed, SP_ans, indices):$/;" f +test_dijkstra_limit adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_dijkstra_limit():$/;" f +test_dilation_scalar_size adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_dilation_scalar_size(self):$/;" m class:TestDilateFix +test_dilation_square_structure adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_dilation_square_structure(self):$/;" m class:TestDilateFix +TEST_DILL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_DILL = _check_module_exists('dill')$/;" v +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_dimensions(self):$/;" m class:TestInterpolate +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def test_dimensions(self):$/;" m class:TestInterpolate +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimensions adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_dimensions(self):$/;" m class:TestCompanion +test_dimesions adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_dimesions(self):$/;" m class:TestNewScalarIndexing +test_dimpulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dimpulse(self):$/;" m class:TestDLTI +test_diophantine_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_diophantine_fuzz():$/;" f +test_diophantine_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_diophantine_overflow():$/;" f +test_directed adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_directed():$/;" f +test_directed_sparse_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_directed_sparse_zero():$/;" f +test_direction adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_direction():$/;" f +test_diric adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_diric(self):$/;" m class:TestCephes +test_dirichlet adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet(self):$/;" m class:TestRandomDist +test_dirichlet adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_dirichlet(self):$/;" m class:TestRandomDist +test_dirichlet adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_dirichlet(self):$/;" m class:TestRandomDist +test_dirichlet adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_dirichlet(self):$/;" m class:RNG +test_dirichlet_alpha_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet_alpha_non_contiguous(self):$/;" m class:TestRandomDist +test_dirichlet_alpha_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_dirichlet_alpha_non_contiguous(self):$/;" m class:TestRandomDist +test_dirichlet_bad_alpha adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet_bad_alpha(self):$/;" m class:TestRandomDist +test_dirichlet_bad_alpha adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_dirichlet_bad_alpha(self):$/;" m class:TestRandomDist +test_dirichlet_bad_alpha adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_dirichlet_bad_alpha(self):$/;" m class:TestRandomDist +test_dirichlet_moderately_small_alpha adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet_moderately_small_alpha(self):$/;" m class:TestRandomDist +test_dirichlet_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet_size(self):$/;" m class:TestRandomDist +test_dirichlet_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_dirichlet_size(self):$/;" m class:TestRandomDist +test_dirichlet_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_dirichlet_size(self):$/;" m class:TestRandomDist +test_dirichlet_small_alpha adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_dirichlet_small_alpha(self):$/;" m class:TestRandomDist +test_diric_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_diric_broadcasting(self):$/;" m class:TestCephes +test_dir_testing adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_dir_testing():$/;" f +test_disabled_execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_disabled_execution_step(self):$/;" m class:TestOperators +test_disable_gil_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_disable_gil_profiling(self):$/;" m class:RpcTest +test_disable_track_times adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_disable_track_times(self):$/;" m class:TestTrackTimes +test_disable_xla adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_disable_xla(self):$/;" m class:JaxPrimitiveTest +test_disambiguate_grad_if_op_output adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad_test.py /^ def test_disambiguate_grad_if_op_output(self):$/;" m class:TestControl +test_disconnected_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_disconnected_graph():$/;" f +test_discontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_discontiguous(self):$/;" m class:TestFlat +test_discontiguous adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_discontiguous(kdtree_type):$/;" f +TEST_DISCOVER adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_DISCOVER = args.discover_tests$/;" v +test_discrete_approx adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_discrete_approx(self):$/;" m class:TestC2D +test_discrete_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def test_discrete_basic(distname, arg, first_case):$/;" f +test_discrete_kwds adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_discrete_kwds(self):$/;" m class:TestExpect +test_disjoint_zero_length_segment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_disjoint_zero_length_segment():$/;" f +test_disp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_disp(self):$/;" m class:TestSqrtM +test_disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_disp(self):$/;" m class:LinprogCommonTests +test_disp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^ def test_disp(self, capsys):$/;" m class:TestKrylovQuadraticSubproblem +test_dispatch adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_dispatch(self):$/;" m class:TestHistogram2d +test_displaced_spine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_displaced_spine():$/;" f +test_dist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_dist(self):$/;" m class:TestPpccMax +test_dist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_dist(self):$/;" m class:TestPpccPlot +test_distance_condition adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_distance_condition(self):$/;" m class:TestFindPeaks +test_distance_l1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_l1():$/;" f +test_distance_l2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_l2():$/;" f +test_distance_linf adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_linf():$/;" f +test_distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_matrix():$/;" f +test_distance_matrix_looping adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_matrix_looping():$/;" f +test_distance_transform_bf01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf01(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_bf02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf02(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_bf03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf03(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_bf04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf04(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_bf05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf05(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_bf06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_bf06(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_cdt01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_cdt01(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_cdt02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_cdt02(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_cdt03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_cdt03(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_edt01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_edt01(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_edt02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_edt02(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_edt03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_edt03(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_edt4 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_edt4(self, dtype):$/;" m class:TestNdimageMorphology +test_distance_transform_edt5 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_distance_transform_edt5(self):$/;" m class:TestNdimageMorphology +test_distance_vectorization adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_distance_vectorization():$/;" f +test_distinct_value_and_weight_lengths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_distinct_value_and_weight_lengths(self):$/;" m class:TestCdfDistanceValidation +test_DistributedDataParallel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallelCPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallelCPU(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallelCPU_grad_is_view adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallelCPU_grad_is_view(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_non_default_stream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_non_default_stream(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_requires_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_requires_grad(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_SyncBatchNorm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_SyncBatchNorm(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_SyncBatchNorm_2D_Input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_SyncBatchNorm_2D_Input(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_SyncBatchNorm_Diff_Input_Sizes_gradient adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_SyncBatchNorm_Diff_Input_Sizes_gradient(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_SyncBatchNorm_Diff_Input_Sizes_Running_Value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_SyncBatchNorm_Diff_Input_Sizes_Running_Value(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_SyncBatchNorm_Single_Input_Per_Process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_SyncBatchNorm_Single_Input_Per_Process(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedDataParallel_with_grad_is_view adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedDataParallel_with_grad_is_view(self):$/;" m class:DistributedTest._DistTestBase +test_DistributedSampler_padding adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_DistributedSampler_padding(self):$/;" m class:DistributedTest._DistTestBase +test_distributed_annotations adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_distributed_annotations(self):$/;" m class:TestBindings +test_distributed_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distributed_dataset(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_distributed_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distributed_datasets_from_function(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_distributed_device_map adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_distributed_device_map(self):$/;" m class:TestBindings +test_distribution_strategy_one_dimensional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_one_dimensional(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_on_deferred_sequential_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_distribution_strategy_on_deferred_sequential_model($/;" m class:TestDistributionStrategyErrorCases +test_distribution_strategy_on_functional_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_on_functional_model($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_on_sequential_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_on_sequential_model($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_on_subclassed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_distribution_strategy_on_subclassed_model($/;" m class:TestDistributionStrategyErrorCases +test_distribution_strategy_with_add_metric_in_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_with_add_metric_in_call($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_with_add_metric_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_with_add_metric_object($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_with_add_metric_outside_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_with_add_metric_outside_call($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_with_loss_reduction_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_with_loss_reduction_types($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_strategy_with_symbolic_add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_distribution_strategy_with_symbolic_add_loss($/;" m class:TestDistributionStrategyWithKerasModels +test_distribution_too_many_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_distribution_too_many_args():$/;" f +test_dist_autograd_profiling adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_dist_autograd_profiling(self):$/;" m class:DistAutogradTest +test_dist_backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def test_dist_backward(self):$/;" m class:JitDistAutogradTest +test_dist_init_decorator adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_dist_init_decorator(self):$/;" m class:RpcTest +test_dist_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_dist_keyword(self):$/;" m class:TestProbplot +test_dist_optim adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def test_dist_optim(self):$/;" m class:DistOptimizerTest +test_dist_optim_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def test_dist_optim_exception(self):$/;" m class:DistOptimizerTest +test_dist_optim_exception_on_constructor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def test_dist_optim_exception_on_constructor(self):$/;" m class:DistOptimizerTest +test_dist_optim_functional adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def test_dist_optim_functional(self):$/;" m class:DistOptimizerTest +test_dist_perm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_dist_perm(self):$/;" m class:TestMGCStat +test_div adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_div(self):$/;" m class:TestOperators +test_div adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_div(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_DivergingNorm_deprecated adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_DivergingNorm_deprecated():$/;" f +test_divide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def test_divide(self):$/;" m class:TestErrstate +test_divider_append_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_divider_append_axes():$/;" f +test_divide_err adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_divide_err(self):$/;" m class:TestSeterr +test_divide_on_different_shapes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_divide_on_different_shapes(self):$/;" m class:TestMaskedArrayArithmetic +test_division_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_division_complex(self):$/;" m class:TestDivision +test_division_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_division_int(self):$/;" m class:TestDivision +test_divisor_conversion_as adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_as(self):$/;" m class:TestDateTime +test_divisor_conversion_day adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_day(self):$/;" m class:TestDateTime +test_divisor_conversion_fs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_fs(self):$/;" m class:TestDateTime +test_divisor_conversion_hour adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_hour(self):$/;" m class:TestDateTime +test_divisor_conversion_minute adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_minute(self):$/;" m class:TestDateTime +test_divisor_conversion_month adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_month(self):$/;" m class:TestDateTime +test_divisor_conversion_second adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_second(self):$/;" m class:TestDateTime +test_divisor_conversion_week adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_week(self):$/;" m class:TestDateTime +test_divisor_conversion_year adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_divisor_conversion_year(self):$/;" m class:TestDateTime +test_divmod adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_divmod(Poly):$/;" f +test_divmod_128_64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_divmod_128_64():$/;" f +test_div_legacy_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_div_legacy_grad(self, n, m, broadcast, gc, dc):$/;" m class:TestElementwiseOps +test_djbfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_djbfft(self):$/;" m class:_TestFFTBase +test_djbfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_djbfft(self):$/;" m class:_TestIFFTBase +test_djbfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_djbfft(self):$/;" m class:_TestIRFFTBase +test_djbfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_djbfft(self):$/;" m class:_TestRFFTBase +test_dlamch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_lapack.py /^ def test_dlamch(self):$/;" m class:TestLamch +test_dlsim adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dlsim(self):$/;" m class:TestDLTI +test_dlsim_simple1d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dlsim_simple1d(self):$/;" m class:TestDLTI +test_dlsim_simple2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dlsim_simple2d(self):$/;" m class:TestDLTI +test_dlsim_trivial adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dlsim_trivial(self):$/;" m class:TestDLTI +test_dlti_instantiation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dlti_instantiation(self):$/;" m class:TestDlti +test_dnnlowp_average_pool adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/pool_dnnlowp_op_test.py /^ def test_dnnlowp_average_pool($/;" m class:DNNLowPOpPoolTest +test_dnnlowp_batch_matmul_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^ def test_dnnlowp_batch_matmul_int(self, m, n, k, batch_size, gc, dc):$/;" m class:DNNLowPBatchMatMulOpTest +test_dnnlowp_batch_matmul_int_constant_B adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/batch_matmul_dnnlowp_op_test.py /^ def test_dnnlowp_batch_matmul_int_constant_B($/;" m class:DNNLowPBatchMatMulOpTest +test_dnnlowp_concat_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/concat_dnnlowp_op_test.py /^ def test_dnnlowp_concat_int($/;" m class:DNNLowPConcatOpTest +test_dnnlowp_conv1d_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^ def test_dnnlowp_conv1d_int($/;" m class:DNNLowPOpConvTest +test_dnnlowp_conv3d_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^ def test_dnnlowp_conv3d_int($/;" m class:DNNLowPOpConvTest +test_dnnlowp_conv_acc16_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^ def test_dnnlowp_conv_acc16_int($/;" m class:DNNLowPOpConvAcc16OpTest +test_dnnlowp_conv_acc16_outlier adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_acc16_op_test.py /^ def test_dnnlowp_conv_acc16_outlier($/;" m class:DNNLowPOpConvAcc16OpTest +test_dnnlowp_conv_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^ def test_dnnlowp_conv_int($/;" m class:DNNLowPOpConvTest +test_dnnlowp_conv_relu_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^ def test_dnnlowp_conv_relu_int($/;" m class:DNNLowPOpConvTest +test_dnnlowp_depthwise_3x3x3_conv adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^ def test_dnnlowp_depthwise_3x3x3_conv($/;" m class:DNNLowPOpConvDepthWiseTest +test_dnnlowp_depthwise_3x3_conv adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_depthwise_dnnlowp_op_test.py /^ def test_dnnlowp_depthwise_3x3_conv($/;" m class:DNNLowPOpConvDepthWiseTest +test_dnnlowp_dequantize adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/dequantize_dnnlowp_op_test.py /^ def test_dnnlowp_dequantize(self, size, is_empty, gc, dc):$/;" m class:DNNLowPDequantizeOpTest +test_dnnlowp_elementwise_add_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_add_broadcast(self, gc, dc):$/;" m class:DNNLowPAddOpTest +test_dnnlowp_elementwise_add_broadcast_axis adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_add_broadcast_axis(self, gc, dc):$/;" m class:DNNLowPAddOpTest +test_dnnlowp_elementwise_add_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_add_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_add_int($/;" m class:DNNLowPAddOpTest +test_dnnlowp_elementwise_linear_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_linear_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_linear_int($/;" m class:DNNLowPElementwiseLinearOpTest +test_dnnlowp_elementwise_mul_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_mul_broadcast(self, gc, dc):$/;" m class:DNNLowPMulOpTest +test_dnnlowp_elementwise_mul_broadcast_axis adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_mul_broadcast_axis(self, gc, dc):$/;" m class:DNNLowPMulOpTest +test_dnnlowp_elementwise_mul_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_mul_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_mul_int($/;" m class:DNNLowPMulOpTest +test_dnnlowp_elementwise_sum_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_sum_int(self, N, M, is_empty, gc, dc):$/;" m class:DNNLowPOpSumOpTest +test_dnnlowp_elementwise_sum_int_inplace adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_sum_int_inplace(self, N, M, gc, dc):$/;" m class:DNNLowPOpSumOpTest +test_dnnlowp_elementwise_sum_relu_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_sum_relu_int(self, N, M, gc, dc):$/;" m class:DNNLowPOpSumOpTest +test_dnnlowp_elementwise_sum_relu_int_inplace adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/elementwise_sum_dnnlowp_op_test.py /^ def test_dnnlowp_elementwise_sum_relu_int_inplace(self, N, M, gc, dc):$/;" m class:DNNLowPOpSumOpTest +test_dnnlowp_fully_connected_acc16_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^ def test_dnnlowp_fully_connected_acc16_int($/;" m class:DNNLowPFullyConnectedAcc16OpTest +test_dnnlowp_fully_connected_acc16_outlier adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_acc16_op_test.py /^ def test_dnnlowp_fully_connected_acc16_outlier($/;" m class:DNNLowPFullyConnectedAcc16OpTest +test_dnnlowp_fully_connected_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_dnnlowp_op_test.py /^ def test_dnnlowp_fully_connected_int($/;" m class:DNNLowPFullyConnectedOpTest +test_dnnlowp_gather adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/gather_dnnlowp_op_test.py /^ def test_dnnlowp_gather(self, dim1, dim2, is_empty, in_quantized, out_quantized, gc, dc):$/;" m class:DNNLowPGatherOpTest +test_dnnlowp_group_norm adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/group_norm_dnnlowp_op_test.py /^ def test_dnnlowp_group_norm($/;" m class:DNNLowPOpGroupNormTest +test_dnnlowp_lstm_unit adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/lstm_unit_dnnlowp_op_test.py /^ def test_dnnlowp_lstm_unit(self, N, D, forget_bias, gc, dc):$/;" m class:DNNLowPLSTMUnitOpTest +test_dnnlowp_max_pool adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/pool_dnnlowp_op_test.py /^ def test_dnnlowp_max_pool($/;" m class:DNNLowPOpPoolTest +test_dnnlowp_quantize adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/quantize_dnnlowp_op_test.py /^ def test_dnnlowp_quantize(self, size, is_empty, absorb, gc, dc):$/;" m class:DNNLowPQuantizeOpTest +test_dnnlowp_relu adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/relu_dnnlowp_op_test.py /^ def test_dnnlowp_relu(self, size, is_empty, gc, dc):$/;" m class:DNNLowPReluOpTest +test_dnnlowp_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/sigmoid_dnnlowp_op_test.py /^ def test_dnnlowp_sigmoid(self, size, is_empty, gc, dc):$/;" m class:DNNLowPSigmoidOpTest +test_dnnlowp_spatial_bn_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/spatial_batch_norm_dnnlowp_op_test.py /^ def test_dnnlowp_spatial_bn_int($/;" m class:DNNLowPOpSpatialBNTest +test_dnnlowp_tanh adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/tanh_dnnlowp_op_test.py /^ def test_dnnlowp_tanh(self, size, is_empty, gc, dc):$/;" m class:DNNLowPTanhOpTest +test_dnn_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_correctness(self, distribution, use_numpy, use_validation_data):$/;" m class:TestDistributionStrategyDnnCorrectness +test_dnn_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_correctness(self, distribution, use_numpy, use_validation_data):$/;" m class:TestDistributionStrategyDnnCorrectnessWithSubclassedModel +test_dnn_correctness_with_partial_last_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_correctness_with_partial_last_batch(self, distribution,$/;" m class:TestDistributionStrategyDnnCorrectness +test_dnn_correctness_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_correctness_with_partial_last_batch_eval(self, distribution,$/;" m class:TestDistributionStrategyDnnCorrectness +test_dnn_correctness_with_partial_last_batch_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_correctness_with_partial_last_batch_eval(self, distribution,$/;" m class:TestDistributionStrategyDnnCorrectnessWithSubclassedModel +test_dnn_with_dynamic_learning_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_with_dynamic_learning_rate(self, distribution):$/;" m class:TestDistributionStrategyDnnCorrectness +test_dnn_with_dynamic_learning_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_dnn_with_dynamic_learning_rate(self, distribution):$/;" m class:TestDistributionStrategyDnnCorrectnessWithSubclassedModel +test_dn_quarter_period adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_dn_quarter_period():$/;" f +test_doc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_doc(self):$/;" m class:TestAttributes +test_docformat adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^def test_docformat():$/;" f +test_docstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def test_docstring(self):$/;" m class:TestF77Callback +test_docstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_mixed.py /^ def test_docstring(self):$/;" m class:TestMixed +test_docstrings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_docstrings(self):$/;" m class:TestDocstring +test_docstrings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_docstrings():$/;" f +test_docstring_addition adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_docstring_addition():$/;" f +test_docstring_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_docstring_example(self):$/;" m class:LinprogCommonTests +test_docstring_examples adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_docstring_examples(self):$/;" m class:TestMedian +test_dogleg_accuracy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_dogleg_accuracy(self):$/;" m class:TestTrustRegionSolvers +test_dogleg_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_dogleg_callback(self):$/;" m class:TestTrustRegionSolvers +test_dok_divide_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_dok_divide_scalar(self):$/;" m class:TestDOK +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_domain(self):$/;" m class:TestBdtr +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_domain(self):$/;" m class:TestBdtrc +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_domain(self, k, n, p):$/;" m class:TestBdtri +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_domain(self, a, x):$/;" m class:TestGammainc +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_domain(self, a, x):$/;" m class:TestGammaincc +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_domain(self):$/;" m class:TestPdtr +test_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_domain(self):$/;" m class:TestPdtrc +test_domained_binops_d2D adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_domained_binops_d2D(self):$/;" m class:TestMaskedArrayArithmetic +test_domain_bounds adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_erfinv.py /^ def test_domain_bounds(self, f, x, y):$/;" m class:TestInverseErrorFunction +test_dont_mutate_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_dont_mutate_kwargs():$/;" f +test_dop853 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_dop853(self):$/;" m class:TestComplexOde +test_dop853 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_dop853(self):$/;" m class:TestOde +test_dopri5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_dopri5(self):$/;" m class:TestComplexOde +test_dopri5 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_dopri5(self):$/;" m class:TestOde +test_dot adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_dot(self):$/;" m class:TestContains +test_dot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot(self):$/;" m class:TestMethods +test_dot adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_dot(self):$/;" m class:TestMaskedArrayMathMethods +test_dot adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_dot(self):$/;" m class:TestCompressFunctions +test_dot adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_dot(self):$/;" m class:TestFBLAS1Simple +test_dot adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def test_dot(self):$/;" m class:TestAsLinearOperator +test_dot adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_dot(self):$/;" m class:TestLIL +test_dotcolumnvect1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotcolumnvect1(self):$/;" m class:TestDot +test_dotcolumnvect2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotcolumnvect2(self):$/;" m class:TestDot +test_dotmatmat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotmatmat(self):$/;" m class:TestDot +test_dotmatvec adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotmatvec(self):$/;" m class:TestDot +test_dotmatvec2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotmatvec2(self):$/;" m class:TestDot +test_dotvecmat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecmat(self):$/;" m class:TestDot +test_dotvecmat2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecmat2(self):$/;" m class:TestDot +test_dotvecmat3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecmat3(self):$/;" m class:TestDot +test_dotvecscalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecscalar(self):$/;" m class:TestDot +test_dotvecscalar2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecscalar2(self):$/;" m class:TestDot +test_dotvecvecinner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecvecinner(self):$/;" m class:TestDot +test_dotvecvecouter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dotvecvecouter(self):$/;" m class:TestDot +test_dot_2args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_2args(self):$/;" m class:TestDot +test_dot_3args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_3args(self):$/;" m class:TestDot +test_dot_3args_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_3args_errors(self):$/;" m class:TestDot +test_dot_alignment_sse2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dot_alignment_sse2(self):$/;" m class:TestRegression +test_dot_array_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_array_order(self):$/;" m class:TestDot +test_dot_big_stride adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dot_big_stride(self):$/;" m class:TestRegression +test_dot_equivalent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_equivalent(self, args):$/;" m class:TestMatmul +test_dot_matmul_inner_array_casting_fails adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_matmul_inner_array_casting_fails(self):$/;" m class:TestMethods +test_dot_matmul_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_matmul_out(self):$/;" m class:TestMethods +test_dot_negative_stride adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dot_negative_stride(self):$/;" m class:TestRegression +test_dot_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_out(self):$/;" m class:TestWritebackIfCopy +test_dot_out adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_dot_out(self):$/;" m class:TestCompressFunctions +test_dot_out_mem_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_out_mem_overlap(self):$/;" m class:TestMethods +test_dot_product adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_dot_product(self, inputs, gc, dc):$/;" m class:TestOperators +test_dot_product adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^ def test_dot_product(self, inputs, gc, dc):$/;" m class:DistanceTest +test_dot_product_with_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_dot_product_with_padding(self, N, M, K, pad_value, gc, dc):$/;" m class:TestOperators +test_dot_product_with_rep_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_dot_product_with_rep_padding(self, N, M, pad_value, gc, dc):$/;" m class:TestOperators +test_dot_returns_maskedarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_dot_returns_maskedarray(self):$/;" m class:TestCompressFunctions +test_dot_scalar_and_matrix_of_objects adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_dot_scalar_and_matrix_of_objects():$/;" f +test_dot_shape_mismatch adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_dot_shape_mismatch(self):$/;" m class:TestMaskedArrayMathMethods +test_dot_type_mismatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dot_type_mismatch(self):$/;" m class:TestMethods +test_double adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_double(self):$/;" m class:TestBoolCmp +test_double adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_double(self):$/;" m class:TestULP +test_double_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_double_args(self):$/;" m class:TestWfuncPointers +test_double_complex_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_double_complex_args(self):$/;" m class:TestWfuncPointers +test_double_integral adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_double_integral(self):$/;" m class:TestQuad +test_double_integral2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_double_integral2(self):$/;" m class:TestQuad +test_double_integral3 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_double_integral3(self):$/;" m class:TestQuad +test_double_integrator adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_double_integrator(self):$/;" m class:TestLsim +test_double_range adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_double_range(self):$/;" m class:TestVirtualSource +test_double_register adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_double_register(self):$/;" m class:BrewTest +test_double_resize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_double_resize():$/;" f +test_double_strided_range adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_double_strided_range(self):$/;" m class:TestVirtualSource +test_downcast_intp adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_downcast_intp(self):$/;" m class:Test64Bit +test_download_group_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_download_group_simple(self):$/;" m class:TestCheckpoint +test_do_not_modify_a_b_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_do_not_modify_a_b_FIR(self):$/;" m class:_TestLinearFilter +test_do_not_modify_a_b_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_do_not_modify_a_b_IIR(self):$/;" m class:_TestLinearFilter +test_do_not_rewrite_previous_keyword adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_do_not_rewrite_previous_keyword(self):$/;" m class:TestNanToNum +test_dpi_ratio_change adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_dpi_ratio_change():$/;" f +test_dp_edge_cases_all_singlet_indices adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_dp_edge_cases_all_singlet_indices():$/;" f +test_dp_edge_cases_dimension_1 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_dp_edge_cases_dimension_1():$/;" f +test_dragon4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_dragon4(self):$/;" m class:TestRealScalars +test_dragon4_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_dragon4_interface(self):$/;" m class:TestRealScalars +test_drange adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_drange():$/;" f +test_drawstyle_variants adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_drawstyle_variants():$/;" f +test_dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_dropout(self):$/;" m class:BrewTest +test_dropout_is_test adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^ def test_dropout_is_test(self, X, in_place, ratio, gc, dc):$/;" m class:DropoutTest +test_dropout_is_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^ def test_dropout_is_test(self, X, in_place, ratio, engine, gc, dc):$/;" m class:TestDropout +test_dropout_ratio0 adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/dropout_op_test.py /^ def test_dropout_ratio0(self, X, in_place, output_mask, gc, dc):$/;" m class:DropoutTest +test_dropout_ratio0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dropout_op_test.py /^ def test_dropout_ratio0(self, X, in_place, output_mask, engine, gc, dc):$/;" m class:TestDropout +test_drop_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_drop_fields(self):$/;" m class:TestRecFunctions +test_drop_in_replacement adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_drop_in_replacement(string):$/;" f +test_dsbev adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_dsbev(self):$/;" m class:TestEigBanded +test_dsbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_dsbevd(self):$/;" m class:TestEigBanded +test_dsbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_dsbevx(self):$/;" m class:TestEigBanded +test_dst adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dst(self):$/;" m class:TestOverwrite +test_dst1_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dst1_definition_ortho(rdt, mdata_x):$/;" f +test_dst4_definition_ortho adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_dst4_definition_ortho(rdt, mdata_x):$/;" f +test_dstep adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_dstep(self):$/;" m class:TestDLTI +test_dst_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dst_complex(self):$/;" m class:TestComplex +test_dst_complex64 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_dst_complex64(self):$/;" m class:TestComplex +test_dtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_dtype(self):$/;" m class:TestDtype +test_dtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_dtype(self):$/;" m class:TestDtypeAssignment +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_dtype(self, t):$/;" m class:TestBuiltin +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_dtype(self):$/;" m class:TestGeomspace +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_dtype(self):$/;" m class:TestLinspace +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_dtype(self):$/;" m class:TestLogspace +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype(self):$/;" m class:TestIO +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_dtype(self):$/;" m class:TestTri +test_dtype adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_dtype(self):$/;" m class:TestNdpointer +test_dtype adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_dtype(self):$/;" m class:TestBlockDiag +test_dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_dtype(self):$/;" m class:TestUnitImpulse +test_dtypeattr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtypeattr(self):$/;" m class:TestAttributes +test_dtypes adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_dtypes(self, dtype):$/;" m class:TestFFT1D +test_dtypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_dtypes(self):$/;" m class:TestRavelUnravelIndex +test_dtypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_dtypes(self):$/;" m class:TestVander +test_dtypes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_dtypes(self, dtype):$/;" m class:TestFFT1D +test_dtypes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_dtypes(self):$/;" m class:TestCubicSpline +test_dtypes adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_dtypes(self):$/;" m class:TestCurveFit +test_dtypes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_dtypes(self, dt, pairing):$/;" m class:TestZpk2Sos +test_dtypes2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_dtypes2(self):$/;" m class:TestCurveFit +test_dtypes_are_true adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def test_dtypes_are_true():$/;" f +test_dtypes_of_operator_sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_dtypes_of_operator_sum():$/;" f +test_dtype_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_bool(self):$/;" m class:TestIO +test_dtype_buffered adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_dtype_buffered(self):$/;" m class:TestIterNested +test_dtype_bytes_str_equivalence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_dtype_bytes_str_equivalence(self, value):$/;" m class:TestBuiltin +test_dtype_cast adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_dtype_cast(self):$/;" m class:TestLinsolve +test_dtype_close adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_dtype_close(self):$/;" m class:TestCreateRequire +test_dtype_coercion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_dtype_coercion(self):$/;" m class:TestDTypeCoercion +test_dtype_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_dtype_comparison(self):$/;" m class:TestDateTime +test_dtype_conflict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_dtype_conflict(self):$/;" m class:TestCreateRequire +test_dtype_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_dtype_copy(self):$/;" m class:TestIterNested +test_dtype_error adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_dtype_error(self):$/;" m class:TestNanFunctions_MeanVarStd +test_dtype_from_bytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_dtype_from_bytes(self):$/;" m class:TestBuiltin +test_dtype_from_char adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_dtype_from_char(self):$/;" m class:SharedNanFunctionsTestsMixin +test_dtype_from_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_from_dtype(self):$/;" m class:TestStats +test_dtype_from_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_dtype_from_dtype(self):$/;" m class:SharedNanFunctionsTestsMixin +test_dtype_from_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_from_input(self):$/;" m class:TestStats +test_dtype_from_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_dtype_from_input(self):$/;" m class:SharedNanFunctionsTestsMixin +test_dtype_from_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_dtype_from_input(self):$/;" m class:TestNanFunctions_MinMax +test_dtype_init adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_init():$/;" f member:TestRecord.test_multiple_field_name_occurrence file: +test_dtype_keyerrors_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_keyerrors_(self):$/;" m class:TestRegression +test_dtype_linewidth_wrapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_dtype_linewidth_wrapping(self):$/;" m class:TestPrintOptions +test_dtype_mix adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_mix(self):$/;" m class:TestWhere +test_dtype_names adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_names(self):$/;" m class:TestRegression +test_dtype_non_writable_attributes_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_dtype_non_writable_attributes_deletion(self):$/;" m class:TestDtypeAttributeDeletion +test_dtype_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_dtype_order(self):$/;" m class:TestCheckFinite +test_dtype_persistence adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_dtype_persistence(dtype, mode):$/;" f +test_dtype_posttuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_posttuple(self):$/;" m class:TestRegression +test_dtype_preservation adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_dtype_preservation(self):$/;" m class:_TestSlicing +test_dtype_promotion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_dtype_promotion(self):$/;" m class:TestDateTime +test_dtype_reference_leaks adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_dtype_reference_leaks(self):$/;" m class:TestBincount +test_dtype_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_repr(self):$/;" m class:TestRegression +test_dtype_scalar_squeeze adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_scalar_squeeze(self):$/;" m class:TestRegression +test_dtype_specifiers adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_dtype_specifiers():$/;" f +test_dtype_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_dtype_tuple(self):$/;" m class:TestRegression +test_dtype_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dtype_unicode():$/;" f member:TestRecord.test_multiple_field_name_unicode file: +test_dtype_with_converters adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_dtype_with_converters(self):$/;" m class:TestFromTxt +test_dtype_with_converters_and_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_dtype_with_converters_and_usecols(self):$/;" m class:TestFromTxt +test_dtype_with_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_dtype_with_object(self):$/;" m class:TestFromTxt +test_dtype_with_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_dtype_with_object(self):$/;" m class:TestLoadTxt +test_dtype_with_object_no_converter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_dtype_with_object_no_converter(self):$/;" m class:TestFromTxt +test_dtype_writable_attributes_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_dtype_writable_attributes_deletion(self):$/;" m class:TestDtypeAttributeDeletion +test_duck adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_duck(self):$/;" m class:TestIscomplexobj +test_ducktyping adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_ducktyping():$/;" f +test_duck_typed_values adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_duck_typed_values(self):$/;" m class:TestInterpN +test_duck_typed_values adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_duck_typed_values(self):$/;" m class:TestRegularGridInterpolator +test_dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_dummy_name(self):$/;" m class:TestCaffe2Basic +test_dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/helper_test.py /^ def test_dummy_name(self):$/;" m class:TestCaffe2Basic +test_dumps adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_dumps(self):$/;" m class:TestZeroSizeFlexible +test_dump_DDP_relevant_env_vars adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_dump_DDP_relevant_env_vars(self):$/;" m class:DistributedTest._DistTestBase +test_dump_error adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_dump_error(self):$/;" m class:TestPickle +test_dunder_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_dunder_round(self, dtype):$/;" m class:TestNonarrayArgs +test_dunder_round_accuracy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_dunder_round_accuracy(self):$/;" m class:TestNonarrayArgs +test_dunder_round_edgecases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_dunder_round_edgecases(self, val, ndigits):$/;" m class:TestNonarrayArgs +test_duplicate_evaluations adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_duplicate_evaluations(self, method):$/;" m class:TestOptimizeSimple +test_duplicate_field_names_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_duplicate_field_names_assign(self):$/;" m class:TestRegression +test_duplicate_keys adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_duplicate_keys(self):$/;" m class:TestJoinBy +test_duplicate_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_duplicate_name(self):$/;" m class:RpcTest +test_duplicate_operands adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/duplicate_operands_test.py /^ def test_duplicate_operands(self):$/;" m class:TestDuplicateOperands +test_duplicate_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_duplicate_options(self):$/;" m class:TestSystemInfoReading +test_duplicate_points adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_duplicate_points(self):$/;" m class:TestDelaunay +test_duplicate_point_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_duplicate_point_handling(self):$/;" m class:TestSphericalVoronoi +test_duplicate_title_and_name adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_duplicate_title_and_name(self):$/;" m class:TestRegression +test_dviread adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dviread.py /^def test_dviread():$/;" f +test_dynamicslice_3inputs_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_dynamicslice_3inputs_graph(self):$/;" m class:TestCaffe2Basic +test_dynamicslice_4inputs_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_dynamicslice_4inputs_graph(self):$/;" m class:TestCaffe2Basic +test_dynamic_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_dynamic_import(self):$/;" m class:GetUnusedImportsTest +test_dynamic_programing_optimization_and_out adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_dynamic_programing_optimization_and_out(self):$/;" m class:TestMultiDot +test_dynamic_programming_logic adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_dynamic_programming_logic(self):$/;" m class:TestMultiDot +test_dynamic_shapes adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_dynamic_shapes(self):$/;" m class:ShapeAsValueTest +test_e adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_e(self):$/;" m class:TestConstants +test_e1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_e1(self):$/;" m class:TestSystematic +test_e1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_e1_complex(self):$/;" m class:TestSystematic +test_easy_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_easy_dtype(self):$/;" m class:TestMiscFunctions +test_easy_structured_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_easy_structured_dtype(self):$/;" m class:TestFromTxt +test_eb_line_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eb_line_zorder():$/;" f +test_economic_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_1_col(self):$/;" m class:BaseQRdelete +test_economic_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_1_col(self):$/;" m class:BaseQRinsert +test_economic_1_col_bad_update adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_1_col_bad_update(self):$/;" m class:BaseQRinsert +test_economic_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_1_row(self):$/;" m class:BaseQRdelete +test_economic_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_1_row(self):$/;" m class:BaseQRinsert +test_economic_check_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_check_finite(self):$/;" m class:BaseQRupdate +test_economic_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_col(self):$/;" m class:BaseQRdelete +test_economic_p_col_eco adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_col_eco(self):$/;" m class:BaseQRinsert +test_economic_p_col_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_col_fat(self):$/;" m class:BaseQRinsert +test_economic_p_col_sqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_col_sqr(self):$/;" m class:BaseQRinsert +test_economic_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_row(self):$/;" m class:BaseQRinsert +test_economic_p_row_economic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_row_economic(self):$/;" m class:BaseQRdelete +test_economic_p_row_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_row_fat(self):$/;" m class:BaseQRdelete +test_economic_p_row_sqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_p_row_sqr(self):$/;" m class:BaseQRdelete +test_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_rank_1(self):$/;" m class:BaseQRupdate +test_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_economic_rank_p(self):$/;" m class:BaseQRupdate +test_edgeitems adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_edgeitems(self):$/;" m class:TestPrintOptions +test_edgeitems_kwarg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_edgeitems_kwarg(self):$/;" m class:TestArray2String +test_edges adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_edges(self):$/;" m class:TestNotMasked +test_edges_complex adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_edges_complex(self, size):$/;" m class:TestBindings +test_edges_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_edges_simple(self):$/;" m class:TestBindings +test_edge_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_edge_cases(self):$/;" m class:TestEinsum +test_edge_cases adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_edge_cases(self, method):$/;" m class:TestMultivariateHypergeometric +test_edge_cases adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_edge_cases(self):$/;" m class:TestPeakProminences +test_edge_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_edge_cases(self):$/;" m class:TestTriang +test_edge_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_edge_dtype(self):$/;" m class:TestHistogramdd +test_edge_paths adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_edge_paths(self):$/;" m class:TestEinsumPath +test_edge_style adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_edge_style(self):$/;" m class:TestVoxels +test_ediff1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_ediff1d(self):$/;" m class:TestSetOps +test_ediff1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ediff1d(self):$/;" m class:TestArraySetOps +test_ediff1d_forbidden_type_casts adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_ediff1d_forbidden_type_casts(self, ary, prepend, append):$/;" m class:TestSetOps +test_ediff1d_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_ediff1d_matrix():$/;" f +test_ediff1d_ndarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ediff1d_ndarray(self):$/;" m class:TestArraySetOps +test_ediff1d_scalar_handling adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_ediff1d_scalar_handling(self,$/;" m class:TestSetOps +test_ediff1d_tobegin adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ediff1d_tobegin(self):$/;" m class:TestArraySetOps +test_ediff1d_tobegin_toend adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ediff1d_tobegin_toend(self):$/;" m class:TestArraySetOps +test_ediff1d_toend adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_ediff1d_toend(self):$/;" m class:TestArraySetOps +test_eff1d_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_eff1d_casting(self):$/;" m class:TestRegression +test_ei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ei(self):$/;" m class:TestSystematic +test_eig adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eig(self):$/;" m class:TestOverwrite +test_eigen_bad_kwargs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_eigen_bad_kwargs():$/;" f +test_eigen_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_eigen_bad_shapes():$/;" f +test_eiger_high_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_eiger_high_level(self):$/;" m class:TestEigerHighLevel +test_eiger_low_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def test_eiger_low_level(self):$/;" m class:TestEigerLowLevel +test_eigh adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigh(self):$/;" m class:TestOverwrite +test_eigh adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigh(self, dim, dtype_, overwrite, lower, turbo, eigvals):$/;" m class:TestEigh +test_eigh_build adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_eigh_build(self):$/;" m class:TestRegression +test_eigh_integer adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigh_integer(self):$/;" m class:TestEigh +test_eigh_of_sparse adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigh_of_sparse(self):$/;" m class:TestEigh +test_eigh_tridiagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigh_tridiagonal(self):$/;" m class:TestEigTridiagonal +test_eigs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_eigs(matrices):$/;" f +test_eigsh_for_k_greater adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_eigsh_for_k_greater():$/;" f +test_eigs_consistency adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_eigs_consistency(n, atol):$/;" f +test_eigs_for_k_greater adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_eigs_for_k_greater():$/;" f +test_eigvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvals(self):$/;" m class:TestOverwrite +test_eigvalsh adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvalsh(self):$/;" m class:TestOverwrite +test_eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_eigvalsh(self):$/;" f function:_test_eigvalsh file: +test_eigvalsh_new_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvalsh_new_args(self):$/;" m class:TestEigh +test_eigvalsh_tridiagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvalsh_tridiagonal(self):$/;" m class:TestEigTridiagonal +test_eigvals_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvals_banded(self):$/;" m class:TestEigBanded +test_eigvals_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eigvals_banded(self):$/;" m class:TestOverwrite +test_eig_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eig_banded(self):$/;" m class:TestEigBanded +test_eig_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_eig_banded(self):$/;" m class:TestOverwrite +test_eig_build adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_eig_build(self):$/;" m class:TestRegression +test_einsum_all_contig_non_contig_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_all_contig_non_contig_output(self):$/;" m class:TestEinsum +test_einsum_broadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_broadcast(self):$/;" m class:TestEinsum +test_einsum_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_errors(self):$/;" m class:TestEinsum +test_einsum_failed_on_p9_and_s390x adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_failed_on_p9_and_s390x(self):$/;" m class:TestEinsum +test_einsum_fixedstridebug adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_fixedstridebug(self):$/;" m class:TestEinsum +test_einsum_fixed_collapsingbug adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_fixed_collapsingbug(self):$/;" m class:TestEinsum +test_einsum_misc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_misc(self):$/;" m class:TestEinsum +test_einsum_sums_cfloat128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_cfloat128(self):$/;" m class:TestEinsum +test_einsum_sums_cfloat64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_cfloat64(self):$/;" m class:TestEinsum +test_einsum_sums_clongdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_clongdouble(self):$/;" m class:TestEinsum +test_einsum_sums_float16 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_float16(self):$/;" m class:TestEinsum +test_einsum_sums_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_float32(self):$/;" m class:TestEinsum +test_einsum_sums_float64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_float64(self):$/;" m class:TestEinsum +test_einsum_sums_int16 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_int16(self):$/;" m class:TestEinsum +test_einsum_sums_int32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_int32(self):$/;" m class:TestEinsum +test_einsum_sums_int64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_int64(self):$/;" m class:TestEinsum +test_einsum_sums_int8 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_int8(self):$/;" m class:TestEinsum +test_einsum_sums_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_longdouble(self):$/;" m class:TestEinsum +test_einsum_sums_uint16 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_uint16(self):$/;" m class:TestEinsum +test_einsum_sums_uint32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_uint32(self):$/;" m class:TestEinsum +test_einsum_sums_uint64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_uint64(self):$/;" m class:TestEinsum +test_einsum_sums_uint8 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_sums_uint8(self):$/;" m class:TestEinsum +test_einsum_views adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_einsum_views(self):$/;" m class:TestEinsum +test_ei_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ei_complex(self):$/;" m class:TestSystematic +test_ElasticRod adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_ElasticRod():$/;" f +test_elastic_l1_norm_trimmed adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_elastic_l1_norm_trimmed(self, param_dim, k, l1, l2):$/;" m class:TestRegularizer +test_electrocardiogram adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_common.py /^def test_electrocardiogram():$/;" f +test_elementwiselinear adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_elementwiselinear(self):$/;" m class:TestCaffe2Basic +test_elementwise_avg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_elementwise_avg(self, n, gc, dc):$/;" m class:TestReductionOps +test_elementwise_divide adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_elementwise_divide(self):$/;" m class:_TestCommon +test_elementwise_int_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_elementwise_int_sum(self, n, gc, dc):$/;" m class:TestReductionOps +test_elementwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_elementwise_max(self, n, m, d, gc, dc):$/;" m class:TestUtilityOps +test_elementwise_max_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_elementwise_max_grad(self, n, m, d, gc, dc):$/;" m class:TestUtilityOps +test_elementwise_min adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_elementwise_min(self, n, m, d, gc, dc):$/;" m class:TestUtilityOps +test_elementwise_min_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_elementwise_min_grad(self, n, m, d, gc, dc):$/;" m class:TestUtilityOps +test_elementwise_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_elementwise_multiply(self):$/;" m class:_TestCommon +test_elementwise_multiply_broadcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_elementwise_multiply_broadcast(self):$/;" m class:_TestCommon +test_elementwise_power adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^ def test_elementwise_power(self, X, exponent, gc, dc):$/;" m class:TestMathOps +test_elementwise_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_elementwise_power(self):$/;" m class:_TestCommon +test_elementwise_sqrsum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_elementwise_sqrsum(self, n, dtype, gc, dc):$/;" m class:TestReductionOps +test_elementwise_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^ def test_elementwise_sum(self,$/;" m class:ElementwiseSumTest +test_elementwise_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_elementwise_sum(self, n, gc, dc):$/;" m class:TestReductionOps +test_elementwise_sum_fallback adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^ def test_elementwise_sum_fallback(self,$/;" m class:ElementwiseSumTest +test_element_len adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_element_len(self):$/;" m class:TestMaskedFields +test_element_not_present adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_element_not_present():$/;" f +test_elide_broadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_elide_broadcast(self):$/;" m class:TestTemporaryElide +test_elide_readonly adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_elide_readonly(self):$/;" m class:TestTemporaryElide +test_elide_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_elide_scalar(self):$/;" m class:TestTemporaryElide +test_elide_scalar_readonly adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_elide_scalar_readonly(self):$/;" m class:TestTemporaryElide +test_elide_updateifcopy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_elide_updateifcopy(self):$/;" m class:TestTemporaryElide +test_eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eliminate_zeros(self):$/;" m class:TestBSR +test_eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eliminate_zeros(self):$/;" m class:TestCOO +test_eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eliminate_zeros(self):$/;" m class:TestCSC +test_eliminate_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eliminate_zeros(self):$/;" m class:TestCSR +test_eliminate_zeros_all_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eliminate_zeros_all_zero(self):$/;" m class:TestBSR +test_ellipe adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipe(self):$/;" m class:TestCephes +test_ellipe adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipe(self):$/;" m class:TestEllip +test_ellipe adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipe(self):$/;" m class:TestSystematic +test_ellipeinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipeinc(self):$/;" m class:TestCephes +test_ellipeinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipeinc(self):$/;" m class:TestEllip +test_ellipeinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipeinc(self):$/;" m class:TestSystematic +test_ellipeinc_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipeinc_2(self):$/;" m class:TestEllip +test_ellipeinc_largephi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipeinc_largephi(self):$/;" m class:TestSystematic +test_ellipf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipf(self):$/;" m class:TestSystematic +test_ellipfun_cn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipfun_cn(self):$/;" m class:TestSystematic +test_ellipfun_dn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipfun_dn(self):$/;" m class:TestSystematic +test_ellipfun_sn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipfun_sn(self):$/;" m class:TestSystematic +test_ellipf_largephi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipf_largephi(self):$/;" m class:TestSystematic +test_ellipj adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipj(self):$/;" m class:TestCephes +test_ellipj adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipj(self):$/;" m class:TestEllip +test_ellipj_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipj_nan(self):$/;" m class:TestEllip +test_ellipk adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipk(self):$/;" m class:TestCephes +test_ellipk adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipk(self):$/;" m class:TestEllip +test_ellipk adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipk(self):$/;" m class:TestSystematic +test_ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipkinc(self):$/;" m class:TestCephes +test_ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipkinc(self):$/;" m class:TestEllip +test_ellipkinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipkinc(self):$/;" m class:TestSystematic +test_ellipkinc_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipkinc_2(self):$/;" m class:TestEllip +test_ellipkinc_largephi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ellipkinc_largephi(self):$/;" m class:TestSystematic +test_ellipkinc_singular adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ellipkinc_singular(self):$/;" m class:TestEllip +test_ellipse adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_ellipse():$/;" f +test_EllipseCollection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_EllipseCollection():$/;" f +test_ellipse_input1 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_ellipse_input1():$/;" f +test_ellipse_input2 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_ellipse_input2():$/;" f +test_ellipse_input3 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_ellipse_input3():$/;" f +test_ellipse_input4 adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_ellipse_input4():$/;" f +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:Test1DFloat +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:Test1DZeroFloat +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:TestEmpty +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:TestScalarArray +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:TestScalarCompound +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ellipsis(self):$/;" m class:TestScalarFloat +test_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_ellipsis(self):$/;" m class:TestVirtualSource +test_ellipsis_end adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_ellipsis_end(self):$/;" m class:TestVirtualSource +test_ellipsis_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_ellipsis_index(self):$/;" m class:TestIndexing +test_ellipsis_sandwich adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_ellipsis_sandwich(self):$/;" m class:TestVirtualSource +test_ellipsis_slicing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ellipsis_slicing(self):$/;" m class:_TestSlicing +test_ellipsis_start adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_ellipsis_start(self):$/;" m class:TestVirtualSource +test_ellipsis_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ellipsis_subscript(self):$/;" m class:TestScalarIndexing +test_ellipsis_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ellipsis_subscript(self):$/;" m class:TestZeroRank +test_ellipsis_subscript_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ellipsis_subscript_assignment(self):$/;" m class:TestZeroRank +test_ellip_harm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^def test_ellip_harm():$/;" f +test_ellip_harm_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^def test_ellip_harm_2():$/;" f +test_ellip_harm_invalid_p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^def test_ellip_harm_invalid_p():$/;" f +test_ellip_norm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^def test_ellip_norm():$/;" f +test_ellip_potential adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^def test_ellip_potential():$/;" f +test_elman_recurrent_network adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_elman_recurrent_network(self, t, n, d):$/;" m class:TestOperators +test_elu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_elu(self, X, alpha, in_place, engine, gc, dc):$/;" m class:TestActivations +test_embedding_bag_with_no_grad_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_embedding_bag_with_no_grad_tensors(self):$/;" m class:DistAutogradTest +test_embedding_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def test_embedding_model_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategyEmbeddingModelCorrectnessTest +test_embedding_time_distributed_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def test_embedding_time_distributed_model_correctness($/;" m class:DistributionStrategyEmbeddingModelCorrectnessTest +test_embed_limit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_embed_limit(method_name, caplog, tmpdir):$/;" f +test_empty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dims_dimensionproxy.py /^ def test_empty(self):$/;" m class:TestItems +test_empty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_empty(self):$/;" m class:TestContains +test_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_empty(self, fig_test, fig_ref, x, empty_sentinel):$/;" m class:TestSubplotMosaic +test_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_empty(fig_test, fig_ref):$/;" f +test_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty(self):$/;" m class:TestFromBuffer +test_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty(self):$/;" m class:TestStats +test_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_empty(self):$/;" m class:TestCreationFuncs +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_empty(self):$/;" m class:TestBincount +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_empty(self):$/;" m class:TestCorrCoef +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_empty(self):$/;" m class:TestCov +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_empty(self):$/;" m class:TestMedian +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_empty(self):$/;" m class:TestHistogram +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_empty(self):$/;" m class:TestHistogramdd +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_empty(self):$/;" m class:TestHistogramOptimBinNums +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_CumSumProd +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_MeanVarStd +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_Median +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_Percentile +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_empty(self):$/;" m class:TestNanFunctions_SumProd +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_empty(self):$/;" m class:TestApplyAlongAxis +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_empty(self):$/;" m class:TestTakeAlongAxis +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_empty(self):$/;" m class:TestTile +test_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_empty(self):$/;" m class:TestHistogram2d +test_empty adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty(self):$/;" m class:_TestNormGeneral +test_empty adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_empty(self):$/;" m class:TestMaskedArrayMethods +test_empty adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_empty(self):$/;" m class:TestMedian +test_empty adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_empty():$/;" f +test_empty adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^ def test_empty(self):$/;" m class:CheckAstEqualityTest +test_empty adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_empty():$/;" f +test_empty adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_empty(self):$/;" m class:TestKrogh +test_empty adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_empty(self):$/;" m class:TestSVDVals +test_empty adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_empty():$/;" f +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_empty(self):$/;" m class:TestArgrel +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_empty(self):$/;" m class:TestLocalMaxima1d +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_empty(self):$/;" m class:TestPeakProminences +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_empty(self):$/;" m class:TestPeakWidths +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_empty(self):$/;" m class:TestRidgeLines +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_empty(self):$/;" m class:TestFFTConvolve +test_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_empty(self):$/;" m class:TestOAConvolve +test_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_empty(self):$/;" m class:_NonCanonicalMixin +test_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_empty(self):$/;" m class:_TestCommon +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestBoxcox +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestBoxcoxNormplot +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestBoxcox_llf +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestPpccPlot +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestProbplot +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestYeojohnson +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self):$/;" m class:TestYeojohnson_llf +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty(self, test_func):$/;" m class:TestCircFuncs +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_empty(self):$/;" m class:TestTtest_1samp +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_empty(self):$/;" m class:TestTtest_ind +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_empty(self):$/;" m class:TestTtest_rel +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_empty(self):$/;" m class:TestRankData +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_empty(self):$/;" m class:TestTieCorrect +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty(self):$/;" m class:TestIQR +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty(self):$/;" m class:TestKruskal +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty(self):$/;" m class:TestMode +test_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty(self):$/;" m class:TestScoreatpercentile +test_emptysample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/emptysample_ops_test.py /^ def test_emptysample(self):$/;" m class:TestEmptySampleOps +test_empty_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_arg(self):$/;" m class:TestBartlett +test_empty_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_arg(self):$/;" m class:TestFligner +test_empty_argpartition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^ def test_empty_argpartition(self):$/;" m class:TestTake +test_empty_arithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_empty_arithmetic(self):$/;" m class:_TestCommon +test_empty_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_empty_array(self):$/;" m class:TestMemmap +test_empty_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_array(self):$/;" m class:TestSizeOf +test_empty_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^def test_empty_array():$/;" f +test_empty_arrays adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_empty_arrays():$/;" f +test_empty_arrays adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty_arrays(self):$/;" m class:TestCorrSpearmanr2 +test_empty_array_ravel adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_empty_array_ravel(self, mode):$/;" m class:TestRavelUnravelIndex +test_empty_array_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_empty_array_type(self):$/;" m class:TestRegression +test_empty_array_unravel adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_empty_array_unravel(self):$/;" m class:TestRavelUnravelIndex +test_empty_a_b adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty_a_b(self, m, n, n_rhs):$/;" m class:TestLstsq +test_empty_bar_chart_with_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_empty_bar_chart_with_legend():$/;" f +test_empty_bstring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_bstring_array_is_falsey(self):$/;" m class:TestBytestringArrayNonzero +test_empty_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty_cases(self):$/;" m class:TestPowerDivergence +test_empty_closed_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_empty_closed_path():$/;" f +test_empty_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_empty_constraint(self):$/;" m class:TestEmptyConstraint +test_empty_constraint_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_empty_constraint_1(self):$/;" m class:LinprogCommonTests +test_empty_constraint_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_empty_constraint_2(self):$/;" m class:LinprogCommonTests +test_empty_constraint_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_empty_constraint_3(self):$/;" m class:LinprogCommonTests +test_empty_contingency_row adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_contingency_row(self):$/;" m class:TestMedianTest +test_empty_create_via_Empty_class adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_empty_create_via_Empty_class(self):$/;" m class:TestCreateData +test_empty_create_via_None_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_empty_create_via_None_shape(self):$/;" m class:TestCreateData +test_empty_data adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_empty_data(self):$/;" m class:TestODR +test_empty_distribution adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty_distribution(self):$/;" m class:TestCdfDistanceValidation +test_empty_errorbar_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_empty_errorbar_legend():$/;" f +test_empty_eventplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_empty_eventplot():$/;" f +test_empty_fancy_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_empty_fancy_index(self):$/;" m class:TestIndexing +test_empty_field_after_tab adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_empty_field_after_tab(self):$/;" m class:TestLoadTxt +test_empty_file adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_empty_file(self):$/;" m class:TestFromTxt +test_empty_file adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_empty_file(self):$/;" m class:TestLoadTxt +test_empty_files_binary adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_files_binary(self):$/;" m class:TestIO +test_empty_files_text adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_files_text(self):$/;" m class:TestIO +test_empty_first_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_empty_first_arg(self):$/;" m class:TestConvolutionMatrix +test_empty_given_tensor_byte_string_to_uint8_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^ def test_empty_given_tensor_byte_string_to_uint8_fill(self, gc, dc):$/;" m class:TestGivenTensorByteStringToUInt8FillOps +test_empty_herm_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty_herm_cases(self):$/;" m class:HermitianTestCase +test_empty_identity adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty_identity(self):$/;" m class:TestSVD +test_empty_imshow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_empty_imshow(make_norm):$/;" f +test_empty_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^def test_empty_indexing():$/;" f +test_empty_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_empty_indices(self):$/;" m class:TestRavelUnravelIndex +test_empty_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_empty_input(self):$/;" m class:TestHstack +test_empty_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_empty_input(self):$/;" m class:TestVstack +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_empty_input(self):$/;" m class:TestLSQBivariateSpline +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_empty_input(self):$/;" m class:TestLSQSphereBivariateSpline +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_empty_input(self):$/;" m class:TestSmoothSphereBivariateSpline +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_empty_input(self):$/;" m class:TestUnivariateSpline +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input(self):$/;" m class:TestCSD +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input(self):$/;" m class:TestPeriodogram +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input(self):$/;" m class:TestWelch +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_input(self):$/;" m class:TestBayes_mvs +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_input(self):$/;" m class:TestKstat +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_input(self):$/;" m class:TestKstatVar +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_input(self):$/;" m class:TestMvsdist +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_input(self):$/;" m class:TestShapiro +test_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty_input(self):$/;" m class:TestRegression +test_empty_inputs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_empty_inputs(self):$/;" m class:BaseQRinsert +test_empty_inputs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_empty_inputs(self):$/;" m class:BaseQRupdate +test_empty_inputs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_empty_inputs(self):$/;" m class:TestCurveFit +test_empty_input_other_axis adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input_other_axis(self):$/;" m class:TestCSD +test_empty_input_other_axis adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input_other_axis(self):$/;" m class:TestPeriodogram +test_empty_input_other_axis adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_empty_input_other_axis(self):$/;" m class:TestWelch +test_empty_layout adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_empty_layout():$/;" f +test_empty_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_empty_like(self):$/;" m class:TestLikeFuncs +test_empty_lists adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_empty_lists(self, block):$/;" m class:TestBlock +test_empty_list_on_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_empty_list_on_structured(self):$/;" m class:TestRegression +test_empty_matrix_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_empty_matrix_arg(self):$/;" m class:TestBlockDiag +test_empty_matrix_input adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_empty_matrix_input(self):$/;" m class:TestExpM +test_empty_mat_error adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_empty_mat_error():$/;" f +test_empty_mul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_empty_mul(self):$/;" m class:TestRegression +test_empty_nonsq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty_nonsq_cases(self):$/;" m class:LinalgNonsquareTestCase +test_empty_npz adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_empty_npz():$/;" f +test_empty_partition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^ def test_empty_partition(self):$/;" m class:TestTake +test_empty_percentile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_empty_percentile(self):$/;" m class:TestRegression +test_empty_q adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_empty_q(self):$/;" m class:BaseQRdelete +test_empty_r adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_empty_r(self):$/;" m class:BaseQRdelete +test_empty_range_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def test_empty_range_check(self, tensor_splits, gc, dc):$/;" m class:TestGatherRanges +test_empty_rasterized adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_empty_rasterized():$/;" f +test_empty_result adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_result(self):$/;" m class:TestWhere +test_empty_result adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_empty_result(self):$/;" m class:TestFindRepeats +test_empty_rhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_empty_rhs(self):$/;" m class:TestSolve +test_empty_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_sample(self):$/;" m class:TestAndersonKSamp +test_empty_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_sample(self):$/;" m class:TestMedianTest +test_empty_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def test_empty_sequence(self):$/;" m class:TestRNNExecutor +test_empty_set_limits_with_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_empty_set_limits_with_units(quantity_converter):$/;" f +test_empty_shared_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_empty_shared_subplots():$/;" f +test_empty_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_empty_sparse():$/;" f +test_empty_sq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_empty_sq_cases(self):$/;" m class:LinalgSquareTestCase +test_empty_string adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_empty_string():$/;" f +test_empty_string_to_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_empty_string_to_object(self):$/;" m class:TestString +test_empty_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_empty_struct():$/;" f +test_empty_structure_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_structure_creation(self):$/;" m class:TestRecord +test_empty_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_subscript(self):$/;" m class:TestScalarIndexing +test_empty_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_subscript(self):$/;" m class:TestZeroRank +test_empty_subscript_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_subscript_assignment(self):$/;" m class:TestZeroRank +test_empty_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_empty_tensor(self):$/;" m class:TestLengthsToShapeOps +test_empty_ticks_fixed_loc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_empty_ticks_fixed_loc():$/;" f +test_empty_tuple_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_empty_tuple_index(self):$/;" m class:TestIndexing +test_empty_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_unicode(self):$/;" m class:TestCreation +test_empty_ustring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_ustring_array_is_falsey(self):$/;" m class:TestUnicodeArrayNonzero +test_empty_view adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_empty_view(self):$/;" m class:TestResize +test_empty_when_ties_ignored adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_empty_when_ties_ignored(self):$/;" m class:TestMedianTest +test_empty_with_minlength adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_empty_with_minlength(self):$/;" m class:TestBincount +test_empty_write_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_empty_write_read(self):$/;" m class:TestMMIOCoordinate +test_empty_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_empty_zi(self):$/;" m class:_TestLinearFilter +test_encode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_encode(self):$/;" m class:TestMethods +test_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_encode():$/;" f +test_encoded_fill_value adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_encoded_fill_value():$/;" f +test_encoding adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_encoding(self):$/;" m class:LoadTxtBase +test_endian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_endian(self):$/;" m class:TestUfunc +test_endianness adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_endianness():$/;" f +test_endian_bool_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_endian_bool_indexing(self):$/;" m class:TestRegression +test_endian_recarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_endian_recarray(self):$/;" m class:TestRegression +test_endian_where adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_endian_where(self):$/;" m class:TestRegression +test_endpoints adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_endpoints(self):$/;" m class:TestBSpline +test_endpoints adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_endpoints(self):$/;" m class:TestF +test_endpoints_7491 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_endpoints_7491(self):$/;" m class:TestBurr +test_endslopes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_endslopes(self):$/;" m class:TestPCHIP +test_endswith adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_endswith(self):$/;" m class:TestInformation +test_end_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_end_values(self):$/;" m class:TestLinearRamp +test_enforce_finite adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^ def test_enforce_finite(self, X, gc, dc):$/;" m class:TestEnforceFinite +test_enforce_finite_device_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/enforce_finite_op_test.py /^ def test_enforce_finite_device_check(self, X, gc, dc):$/;" m class:TestEnforceFinite +test_engformatter_usetex_useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_engformatter_usetex_useMathText():$/;" f +test_ensure_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ensure_array(self):$/;" m class:TestRequire +test_ensure_clipped adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_clipped_test.py /^ def test_ensure_clipped(self, X, in_place, sparse, indices, gc, dc):$/;" m class:TestEnsureClipped +test_ensure_cpu_output adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_cpu_output_op_test.py /^ def test_ensure_cpu_output(self, input, dev_options):$/;" m class:TestEnsureCPUOutputOp +test_ensure_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_ensure_dense(self, N, M, gc, dc):$/;" m class:TestOperators +test_entr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_entr():$/;" f +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy(self):$/;" m class:TestBernoulli +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy(self):$/;" m class:TestBinom +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy(self):$/;" m class:TestHistogram +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy(self):$/;" m class:TestHypergeom +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy(self):$/;" m class:TestRvDiscrete +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_entropy(self):$/;" m class:TestMultinomial +test_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_entropy(self):$/;" m class:TestMultivariateNormal +test_entropy_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_2d(self):$/;" m class:TestEntropy +test_entropy_2d_nondefault_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_2d_nondefault_axis(self):$/;" m class:TestEntropy +test_entropy_2d_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_2d_zero(self):$/;" m class:TestEntropy +test_entropy_base adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_base(self):$/;" m class:TestEntropy +test_entropy_base_2d_nondefault_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_base_2d_nondefault_axis(self):$/;" m class:TestEntropy +test_entropy_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_entropy_broadcasting(self):$/;" m class:TestMultinomial +test_entropy_init adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_entropy_init(self):$/;" m class:RNG +test_entropy_positive adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_positive(self):$/;" m class:TestEntropy +test_entropy_raises_value_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_raises_value_error(self):$/;" m class:TestEntropy +test_entropy_transposed adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_transposed(self):$/;" m class:TestEntropy +test_entropy_with_axis_0_is_equal_to_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_with_axis_0_is_equal_to_default(self):$/;" m class:TestEntropy +test_entropy_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_entropy_zero(self):$/;" m class:TestEntropy +test_enzo_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example(self):$/;" m class:LinprogCommonTests +test_enzo_example_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example_b(self):$/;" m class:LinprogCommonTests +test_enzo_example_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_enzo_example_b = LCT.test_enzo_example_b$/;" v class:RRTests +test_enzo_example_c_with_degeneracy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example_c_with_degeneracy(self):$/;" m class:LinprogCommonTests +test_enzo_example_c_with_infeasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example_c_with_infeasibility(self):$/;" m class:LinprogCommonTests +test_enzo_example_c_with_infeasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example_c_with_infeasibility(self):$/;" m class:TestLinprogIPSparsePresolve +test_enzo_example_c_with_unboundedness adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_enzo_example_c_with_unboundedness(self):$/;" m class:LinprogCommonTests +test_epath adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_epath(self):$/;" m class:TestExternalLinks +test_epoch2num adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_epoch2num():$/;" f +test_epps_singleton_1d_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_epps_singleton_1d_input(self):$/;" m class:TestEppsSingleton +test_epps_singleton_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_epps_singleton_array_like(self):$/;" m class:TestEppsSingleton +test_epps_singleton_nonfinite adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_epps_singleton_nonfinite(self):$/;" m class:TestEppsSingleton +test_epps_singleton_size adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_epps_singleton_size(self):$/;" m class:TestEppsSingleton +test_eq adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_eq(self, n, m, gc, dc):$/;" m class:TestElementwiseOps +test_eq adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_eq(same_matrix):$/;" f +test_eq adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_eq(self):$/;" m class:_TestCommon +test_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_gridspec.py /^def test_equal():$/;" f +test_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_equal(self):$/;" m class:TestComparisons +test_equal adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_equal(Poly):$/;" f +test_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_equal(self):$/;" m class:TestULP +test_equality adpepsenv/lib/python3.8/site-packages/h5py/tests/test_objects.py /^ def test_equality(self):$/;" m class:TestObjects +test_equality_approximated adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_equality_approximated(self):$/;" m class:TestSLSQP +test_equality_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_equality_given(self):$/;" m class:TestSLSQP +test_equality_given2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_equality_given2(self):$/;" m class:TestSLSQP +test_equality_of_two_equations adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_equality_of_two_equations(self):$/;" m class:TestKhatriRao +test_equalnan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_equalnan(self):$/;" m class:TestAllclose +test_equal_1dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_equal_1dperiod(self):$/;" m class:TestVectorstrength +test_equal_2dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_equal_2dperiod(self):$/;" m class:TestVectorstrength +test_equal_area_reconstitution adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_equal_area_reconstitution(self, poly):$/;" m class:TestSphericalVoronoi +test_equal_box_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_equal_box_aspect():$/;" f +test_equal_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_equal_edges(self):$/;" m class:TestHistogramdd +test_equal_mean_median adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_equal_mean_median(self):$/;" m class:TestLevene +test_equal_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_equal_nan(self):$/;" m class:TestIsclose +test_equal_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_equal_nan(self):$/;" m class:TestAssertAllclose +test_equal_nan_default adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_equal_nan_default(self):$/;" m class:TestAssertAllclose +test_equal_nbytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_equal_nbytes(self):$/;" m class:TestSizeOf +test_equal_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_equal_override():$/;" f +test_equal_size_ordering adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_equal_size_ordering(n, order):$/;" f +test_equal_split adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_equal_split(self):$/;" m class:TestSplit +test_equal_to_original adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_equal_to_original(self):$/;" m class:TestFFTShift +test_equiv adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_equiv(self):$/;" m class:DataParallelModelTest +test_equivalence adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_equivalence(self):$/;" m class:SparseMixin +test_equivalence adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_equivalence(self):$/;" m class:TestApproxDerivativeSparse +test_equivalence adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_equivalence(self):$/;" m class:TestSOSFiltFilt +test_equivalent_dtype_hashing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_equivalent_dtype_hashing(self):$/;" m class:TestBuiltin +test_equivalent_record adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_equivalent_record(self):$/;" m class:TestRecord +test_equivalent_record adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_equivalent_record(self):$/;" m class:TestSubarray +test_equivalent_to_arange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_equivalent_to_arange(self):$/;" m class:TestLinspace +test_equiv_recurrent adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_equiv_recurrent(self):$/;" m class:RecurrentNetworkParallelTest +test_equiv_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_equiv_sparse(self):$/;" m class:SparseDataParallelModelTest +test_eq_bcast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_eq_bcast(self, n, m, gc, dc):$/;" m class:TestElementwiseOps +test_eq_different_dimensions adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_different_dimensions(self):$/;" m class:TestMaskedArrayArithmetic +test_eq_for_numeric adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_for_numeric(self, dt1, dt2, fill):$/;" m class:TestMaskedArrayArithmetic +test_eq_for_strings adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_for_strings(self, dt, fill):$/;" m class:TestMaskedArrayArithmetic +test_eq_ne_structured_extra adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_ne_structured_extra(self):$/;" m class:TestMaskedArrayArithmetic +test_eq_on_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_on_structured(self):$/;" m class:TestMaskedArrayArithmetic +test_eq_string_and_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_eq_string_and_object_array(self):$/;" m class:TestRegression +test_eq_with_None adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_with_None(self):$/;" m class:TestMaskedArrayArithmetic +test_eq_with_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_eq_with_scalar(self):$/;" m class:TestMaskedArrayArithmetic +test_erepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_erepr(self):$/;" m class:TestExternalLinks +test_erf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/erf_op_test.py /^ def test_erf(self, X, gc, dc):$/;" m class:TestErfOp +test_erf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erf(self):$/;" m class:TestCephes +test_erf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erf(self):$/;" m class:TestErf +test_erf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erf(self):$/;" m class:TestSystematic +test_erfc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfc(self):$/;" m class:TestCephes +test_erfc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erfc(self):$/;" m class:TestSystematic +test_erfcx_consistent adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfcx_consistent(self):$/;" m class:TestErf +test_erfcx_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfcx_nan_inf(self):$/;" m class:TestErf +test_erfc_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erfc_complex(self):$/;" m class:TestSystematic +test_erfc_consistent adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfc_consistent(self):$/;" m class:TestErf +test_erfc_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfc_nan_inf(self):$/;" m class:TestErf +test_erfi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erfi(self):$/;" m class:TestSystematic +test_erfi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erfi_complex(self):$/;" m class:TestSystematic +test_erfi_consistent adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfi_consistent(self):$/;" m class:TestErf +test_erfi_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erfi_nan_inf(self):$/;" m class:TestErf +test_erf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_erf_complex(self):$/;" m class:TestSystematic +test_erf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_erf_complex():$/;" f +test_erf_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erf_nan_inf(self):$/;" m class:TestErf +test_erf_symmetry adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erf_symmetry(self):$/;" m class:TestCephes +test_erf_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_erf_zeros(self):$/;" m class:TestErf +test_erlang_runtimewarning adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_erlang_runtimewarning(self):$/;" m class:TestErlang +test_errcall adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def test_errcall(self):$/;" m class:TestErrstate +test_errobar_nonefmt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errobar_nonefmt():$/;" f +test_errobj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_errobj(self):$/;" m class:TestSeterr +test_errobj_noerrmask adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_errobj_noerrmask(self):$/;" m class:TestSeterr +test_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_error(self):$/;" m class:TestSizeOf +test_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_error(self):$/;" m class:TestWhere +test_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_error(self):$/;" m class:TestSizeOf +test_error adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_error(self):$/;" m class:Test_bode +test_error adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_error(self):$/;" m class:Test_dfreqresp +test_errorbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar():$/;" f +test_errorbar_colorcycle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_colorcycle():$/;" f +test_errorbar_cycle_ecolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_cycle_ecolor(fig_test, fig_ref):$/;" f +test_errorbar_inputs_shotgun adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_inputs_shotgun(kwargs):$/;" f +test_errorbar_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_limits():$/;" f +test_errorbar_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_offsets(fig_test, fig_ref):$/;" f +test_errorbar_remove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_container.py /^def test_errorbar_remove():$/;" f +test_errorbar_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_shape():$/;" f +test_errorbar_with_prop_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_errorbar_with_prop_cycle():$/;" f +test_errorhandler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def test_errorhandler(self):$/;" m class:TestLazyDynDepError +test_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_errors(self):$/;" m class:TestMoveaxis +test_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^ def test_errors(self, ftype):$/;" m class:TestAsIntegerRatio +test_errors adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_errors(self):$/;" m class:Test_init_nd_shape_and_axes +test_errors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_errors(self):$/;" m class:TestIIRNotch +test_errors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_errors(self):$/;" m class:TestIIRPeak +test_errors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_errors(self):$/;" m class:TestNormalize +test_errors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_errors(self):$/;" m class:TestPlacePoles +test_error_binnum_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_error_binnum_type (self):$/;" m class:TestHistogram +test_error_byteorder adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_error_byteorder(self):$/;" m class:TestIntegers +test_error_estimation adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_rk.py /^def test_error_estimation(solver_class):$/;" f +test_error_estimation_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_rk.py /^def test_error_estimation_complex(solver_class):$/;" f +TEST_ERROR_EXIT_CODE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ TEST_ERROR_EXIT_CODE = 10$/;" v class:MultiProcessTestCase +test_error_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_error_handling():$/;" f +test_error_infty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_infty(self):$/;" m class:TestMGCErrorWarnings +test_error_in_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_error_in_context(self):$/;" m class:DistAutogradTest +test_error_lowsamples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_lowsamples(self):$/;" m class:TestMGCErrorWarnings +test_error_message adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_error_message(self):$/;" m class:TestAlmostEqual +test_error_message_2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_error_message_2(self):$/;" m class:TestAlmostEqual +test_error_message_unsupported adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_error_message_unsupported(self):$/;" m class:TestNewBufferProtocol +test_error_nans adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_nans(self):$/;" m class:TestMGCErrorWarnings +test_error_notndarray adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_notndarray(self):$/;" m class:TestMGCErrorWarnings +test_error_not_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_error_not_1d(self, vals):$/;" m class:TestBincount +test_error_pointer_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_error_pointer_type(self):$/;" m class:TestNewBufferProtocol +test_error_raised_when_all_tolerances_below_eps adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_error_raised_when_all_tolerances_below_eps(self):$/;" m class:BaseMixin +test_error_raising adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_error_raising():$/;" f +test_error_reps adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_reps(self, reps):$/;" m class:TestMGCErrorWarnings +test_error_shape adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_shape(self):$/;" m class:TestMGCErrorWarnings +test_error_shape_mismatch adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_error_shape_mismatch(self):$/;" m class:TestDiagIndicesFrom +test_error_small_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_error_small_input(self):$/;" m class:TestDiagIndicesFrom +test_error_too_many_dims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_error_too_many_dims(self):$/;" m class:TestNewBufferProtocol +test_error_wrongdisttype adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_error_wrongdisttype(self):$/;" m class:TestMGCErrorWarnings +test_errstate adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_errstate():$/;" f +test_errstate_all_but_one adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_errstate_all_but_one():$/;" f +test_errstate_cpp_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_errstate_cpp_basic():$/;" f +test_errstate_c_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_errstate_c_basic():$/;" f +test_errstate_decorator adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def test_errstate_decorator(self):$/;" m class:TestErrstate +test_errstate_pyx_basic adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_errstate_pyx_basic():$/;" f +test_estimate_blocksize adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_spfuncs.py /^ def test_estimate_blocksize(self):$/;" m class:TestSparseFunctions +test_euclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_euclidean(self):$/;" m class:TestSomeDistanceFunctions +test_euclideans adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_euclideans():$/;" f +test_euclidean_linkage_value_error adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_euclidean_linkage_value_error():$/;" f +test_euclidean_pdist adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_euclidean_pdist(self):$/;" m class:TestUfunc +test_euler adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_euler(self):$/;" m class:TestC2D +test_euler adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_euler(self):$/;" m class:TestEuler +test_eulernum adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_eulernum(self):$/;" m class:TestSystematic +test_euler_gamma adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_euler_gamma(self):$/;" m class:TestConstants +test_eval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def test_eval(self):$/;" m class:SavedModelTest +test_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_eval(self):$/;" m class:TestAkima1DInterpolator +test_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_evaluate(self):$/;" m class:TestRectBivariateSpline +test_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_evaluate(self):$/;" m class:TestRectSphereBivariateSpline +test_evaluate_diff_dim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_evaluate_diff_dim(self):$/;" m class:TestGaussianKDEEvaluate +test_evaluate_dim_and_num adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_evaluate_dim_and_num(self):$/;" m class:TestGaussianKDEEvaluate +test_evaluate_equal_dim_and_num_lt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_evaluate_equal_dim_and_num_lt(self):$/;" m class:TestGaussianKDEEvaluate +test_evaluate_inv_dim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_evaluate_inv_dim(self):$/;" m class:TestGaussianKDEEvaluate +test_evaluate_point_dim_not_one adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_evaluate_point_dim_not_one(self):$/;" m class:TestGaussianKDEEvaluate +test_evaluate_quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_evaluate_quadratic(self):$/;" m class:TestQuadraticFunction +test_evaluate_with_dataset_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_evaluate_with_dataset_with_partial_batch(self, distribution,$/;" m class:TestDistributionStrategyWithDatasets +test_evaluate_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_evaluate_with_partial_batch(self, distribution, batch_size):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_batch(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_batch(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multiple_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_for_multiple_feature_columns(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multiple_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_for_multiple_feature_columns(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multiple_feature_columns_mix adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_for_multiple_feature_columns_mix(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multiple_feature_columns_mix adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_for_multiple_feature_columns_mix(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multi_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_for_multi_dimensions(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_multi_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_for_multi_dimensions(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_for_simple_data(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_for_simple_data(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_evaluation_weights(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_evaluation_weights(self):$/;" m class:BaseLinearRegressorEvaluationTest +test_eval_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_eval_2d(self):$/;" m class:TestAkima1DInterpolator +test_eval_3d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_eval_3d(self):$/;" m class:TestAkima1DInterpolator +test_eval_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_eval_chebyt():$/;" f +test_eval_genlaguerre_restriction adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_eval_genlaguerre_restriction():$/;" f +test_EventCollection_nosort adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_EventCollection_nosort():$/;" f +test_eventplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot():$/;" f +test_eventplot_colors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot_colors(colors):$/;" f +test_eventplot_defaults adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot_defaults():$/;" f +test_eventplot_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot_legend():$/;" f +test_eventplot_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot_orientation(data, orientation):$/;" f +test_eventplot_problem_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_eventplot_problem_kwargs(recwarn):$/;" f +test_events adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^ def test_events(self):$/;" m class:TensorboardTest +test_events adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_events():$/;" f +test_even_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_even_ext(self):$/;" m class:TestArrayTools +test_even_highpass_raises_value_error adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_even_highpass_raises_value_error(self):$/;" m class:TestFirWinMore +test_everything_returns_views adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_everything_returns_views(self):$/;" m class:TestIndexing +test_exact adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_exact(self):$/;" m class:TestAnsari +test_exactly_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_exactly_singular(self):$/;" m class:TestLogM +test_exact_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_exact_basic(self):$/;" m class:TestWilcoxon +test_exact_extents adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_exact_extents(path, extents):$/;" f +test_exact_pval adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_exact_pval(self):$/;" m class:TestWilcoxon +test_exact_p_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_exact_p_1(self, x):$/;" m class:TestWilcoxon +test_exact_tr_solver adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_exact_tr_solver(self):$/;" m class:SparseMixin +test_exact_values adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_exact_values():$/;" f +test_exact_vmin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_exact_vmin():$/;" f +test_example1a adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_example1a(self):$/;" m class:TestAndersonKSamp +test_example1b adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_example1b(self):$/;" m class:TestAndersonKSamp +test_example2a adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_example2a(self):$/;" m class:TestAndersonKSamp +test_example2b adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_example2b(self):$/;" m class:TestAndersonKSamp +test_example_comparison adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_example_comparison(self):$/;" m class:TestLinsolve +test_example_from_clrs_chapter_26_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_example_from_clrs_chapter_26_1():$/;" f +test_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_exc(self):$/;" m class:TestCreateFillvalue +test_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_exc(self):$/;" m class:TestContains +test_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_exc(self):$/;" m class:TestExternalLinks +test_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_exc(self):$/;" m class:TestSoftLinks +test_excalibur_high_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_excalibur_high_level(self):$/;" m class:TestExcaliburHighLevel +test_excalibur_low_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def test_excalibur_low_level(self):$/;" m class:TestExcaliburLowLevel +test_exception adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_exception(self):$/;" m class:PythonOpTest +test_exception adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_exception(self):$/;" m class:TestCWorkspace +test_exception adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_exception(self):$/;" m class:TestPercentile +test_exception adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_exception(self):$/;" m class:TestApproxDerivativeLinearOperator +test_exception adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_exception():$/;" f +test_exception adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_exception(self):$/;" m class:TestScoreatpercentile +test_exceptions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_exceptions():$/;" f +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_exceptions(self):$/;" m class:MatmulCommon +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_exceptions(self):$/;" m class:TestRollaxis +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_exceptions(self):$/;" m class:TestNegative +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_exceptions(self):$/;" m class:TestSubtract +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_exceptions(self):$/;" m class:TestConcatenate +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exceptions(self):$/;" m class:TestBool +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_exceptions(self):$/;" m class:TestAsPairs +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_exceptions(self):$/;" m class:TestInterp +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_exceptions(self):$/;" m class:TestTrilIndicesFrom +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_exceptions(self):$/;" m class:TestTriuIndicesFrom +test_exceptions adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_exceptions(self):$/;" m class:TestCtor +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^ def test_exceptions(self):$/;" m class:TestMatmulToeplitz +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_exceptions(self):$/;" m class:TestIIRDesign +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_exceptions(self):$/;" m class:TestLocalMaxima1d +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_exceptions(self):$/;" m class:TestPeakProminences +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_exceptions(self):$/;" m class:TestPeakWidths +test_exceptions adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_exceptions(self):$/;" m class:TestRatioUniforms +test_exceptions_bad_power adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_exceptions_bad_power(self, dt):$/;" m class:TestMatrixPower +test_exceptions_non_square adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_exceptions_non_square(self, dt):$/;" m class:TestMatrixPower +test_exceptions_not_invertible adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_exceptions_not_invertible(self, dt):$/;" m class:TestMatrixPower +test_exception_builder adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_exception_builder(self):$/;" m class:PythonOpTest +test_exception_close adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_exception_close(self):$/;" m class:TestFileObj +test_exception_nonfinite_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_exception_nonfinite_cov(self):$/;" m class:TestMultivariateNormal +test_exception_nonsquare_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_exception_nonsquare_cov(self):$/;" m class:TestMultivariateNormal +test_exception_non_psd_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_exception_non_psd_cov(self):$/;" m class:TestMultivariateNormal +test_exception_open adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_exception_open(self):$/;" m class:TestFileObj +test_exception_read adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_exception_read(self):$/;" m class:TestFileObj +test_exception_singular_cov adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_exception_singular_cov(self):$/;" m class:TestMultivariateNormal +test_exception_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_exception_write(self):$/;" m class:TestFileObj +test_exciting adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_exciting(self):$/;" m class:TestNonlinOldTests +test_excitingmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_excitingmixing(self):$/;" m class:TestJacobianDotSolve +test_excludelist adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_excludelist(self):$/;" m class:TestNameValidator +test_exc_missingfile adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_exc_missingfile(self):$/;" m class:TestExternalLinks +test_execution_order_ticket_1487 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_execution_order_ticket_1487(self):$/;" m class:TestVectorize +test_executor adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def test_executor(self, executor, model_name, batch_size, num_workers):$/;" m class:ExecutorCPUConvNetTest +test_executor adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def test_executor(self, executor, num_workers):$/;" m class:ExecutorGPUResNetTest +test_exec_command_stderr adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^def test_exec_command_stderr():$/;" f +test_exec_command_stdout adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^def test_exec_command_stdout():$/;" f +test_exec_node_default adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def test_exec_node_default(self):$/;" m class:AutoFormatTest +test_exotic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_exotic(self):$/;" m class:TestWhere +test_exotic_formats adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_exotic_formats(self):$/;" m class:TestMRecords +test_exotic_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_exotic_weights(self):$/;" m class:TestHistogram +test_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_exp(self, input_tensor, gc, dc):$/;" m class:TestOperators +test_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_exp(self, X, inplace, gc, dc):$/;" m class:TestElementwiseOps +test_exp adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_exp(self):$/;" m class:TestThread +test_exp adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_exp(self):$/;" m class:TestThread +test_exp adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_exp(self):$/;" m class:TestThread +test_exp10 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp10(self):$/;" m class:TestCephes +test_exp10 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp10(self):$/;" m class:TestExp +test_exp10more adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp10more(self):$/;" m class:TestExp +test_exp2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp2(self):$/;" m class:TestCephes +test_exp2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp2(self):$/;" m class:TestExp +test_exp2more adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_exp2more(self):$/;" m class:TestExp +test_exp2_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exp2_values(self):$/;" m class:TestExp2 +test_expand adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_expand(self):$/;" m class:TestEinsum +test_expandtabs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_expandtabs(self):$/;" m class:TestMethods +test_expand_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^ def test_expand_dims(self, squeeze_dims, inplace, gc, dc):$/;" m class:ExpandDimsSqueezeTest +test_expand_dims_fallback adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^ def test_expand_dims_fallback(self, squeeze_dims, inplace, gc, dc):$/;" m class:ExpandDimsSqueezeTest +test_expand_dims_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_expand_dims_matrix(self):$/;" m class:TestShape +test_expand_nonrand_shape1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def test_expand_nonrand_shape1(self, X, gc, dc):$/;" m class:TestExpandOp +test_expand_nonrand_shape2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def test_expand_nonrand_shape2(self, X, gc, dc):$/;" m class:TestExpandOp +test_expand_rand_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def test_expand_rand_shape(self, X, gc, dc):$/;" m class:TestExpandOp +test_expand_with_tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_expand_with_tight_layout():$/;" f +test_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_expect(self):$/;" m class:TestFrozen +test_expect1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_expect1(self):$/;" m class:TestRvDiscrete +test_expect2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_expect2(self):$/;" m class:TestRvDiscrete +test_expected_freq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_expected_freq():$/;" f +test_expected_src adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_expected_src(self):$/;" m class:RpcTest +test_expint adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_expint(self):$/;" m class:TestSystematic +test_expi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_expi_complex():$/;" f +test_explicit adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_explicit(self):$/;" m class:TestODR +test_explicit_module adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_explicit_module(self):$/;" m class:TestMakeTupleBunch +test_explicit_path adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_explicit_path():$/;" f +test_explicit_zero_causes_warning adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_explicit_zero_causes_warning():$/;" f +test_expm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_expm(matrices):$/;" f +test_expm adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_expm(self):$/;" m class:TestBSRNonCanonical +test_expm adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_expm(self):$/;" m class:_TestCommon +test_expm1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_expm1(self):$/;" m class:TestExpm1 +test_expm1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_expm1(self):$/;" m class:TestCephes +test_expm1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_expm1(self):$/;" m class:TestExp +test_expm1more adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_expm1more(self):$/;" m class:TestExp +test_expm1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_expm1_complex(self):$/;" m class:TestCephes +test_expm1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_expm1_complex(self):$/;" m class:TestSystematic +test_expm1_complex_hard adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_expm1_complex_hard(self):$/;" m class:TestCephes +test_expm_bad_condition_number adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_expm_bad_condition_number(self):$/;" m class:TestExpmConditionNumber +test_expm_cond_fuzz adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_expm_cond_fuzz(self):$/;" m class:TestExpmConditionNumber +test_expm_cond_smoke adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_expm_cond_smoke(self):$/;" m class:TestExpmConditionNumber +test_expm_frechet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_expm_frechet(self):$/;" m class:TestExpmFrechet +test_expm_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply(self):$/;" m class:TestExpmActionSimple +test_expm_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_expm_multiply(matrices):$/;" f +test_expm_multiply_interval_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply_interval_matrix(self):$/;" m class:TestExpmActionInterval +test_expm_multiply_interval_status_0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply_interval_status_0(self):$/;" m class:TestExpmActionInterval +test_expm_multiply_interval_status_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply_interval_status_1(self):$/;" m class:TestExpmActionInterval +test_expm_multiply_interval_status_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply_interval_status_2(self):$/;" m class:TestExpmActionInterval +test_expm_multiply_interval_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_expm_multiply_interval_vector(self):$/;" m class:TestExpmActionInterval +test_expn_large_n adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_expn_large_n():$/;" f +test_expon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_expon(self):$/;" m class:TestHalfgennorm +test_expon adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_expon(self):$/;" m class:TestAnderson +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_exponential(self):$/;" m class:TestBroadcast +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_exponential(self):$/;" m class:TestRandomDist +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_exponential(self):$/;" m class:TestBroadcast +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_exponential(self):$/;" m class:TestRandomDist +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_exponential(self):$/;" m class:TestBroadcast +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_exponential(self):$/;" m class:TestRandomDist +test_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_exponential(self):$/;" m class:RNG +test_exponential adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_exponential(self):$/;" m class:TestTaylor +test_exponential adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^def test_exponential():$/;" f +test_exponential_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_exponential_0(self):$/;" m class:TestRandomDist +test_exponential_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_exponential_0(self):$/;" m class:TestRandomDist +test_exponential_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_exponential_0(self):$/;" m class:TestRandomDist +test_exponential_model adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_exponential_model(self):$/;" m class:TestODR +test_exponpow_edge adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_exponpow_edge():$/;" f +test_expon_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_expon_fit(self):$/;" m class:TestFitMethod +test_expon_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^def test_expon_fit():$/;" f +test_export_discontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_discontiguous(self):$/;" m class:TestNewBufferProtocol +test_export_endian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_endian(self):$/;" m class:TestNewBufferProtocol +test_export_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_flags(self):$/;" m class:TestNewBufferProtocol +test_export_record adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_record(self):$/;" m class:TestNewBufferProtocol +test_export_simple_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_simple_1d(self):$/;" m class:TestNewBufferProtocol +test_export_simple_nd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_simple_nd(self):$/;" m class:TestNewBufferProtocol +test_export_subarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_export_subarray(self):$/;" m class:TestNewBufferProtocol +test_expr adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_expr(self):$/;" m class:TestDiff +test_exprel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_exprel(self):$/;" m class:TestSystematic +test_expression_prefix_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_expression_prefix_suffix(self):$/;" m class:PrefixSuffixTest +test_expr_large adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_expr_large(self):$/;" m class:TestDiff +test_exp_exp adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_exp_exp(self):$/;" m class:TestFortranFormatParser +test_exp_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exp_float32(self):$/;" m class:TestAVXFloat32Transcendental +test_exp_runs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_exp_runs(self):$/;" m class:TestDifferentialEvolutionSolver +test_exp_sinch_overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_exp_sinch_overflow(self):$/;" m class:TestExpM +test_exp_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exp_strides(self):$/;" m class:TestExp +test_exp_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exp_values(self):$/;" m class:TestExp +test_exp_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_exp_values(self):$/;" m class:TestSpecialFloats +test_extend adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extend(self):$/;" m class:TestAkima1DInterpolator +test_extend adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extend(self):$/;" m class:TestPPolyCommon +test_extend01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend01(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend02(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend03(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend04(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend05(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend06(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend07(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend08(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend09(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extend10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_extend10(self, mode, expected_value):$/;" m class:TestNdimageFilters +test_extended_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_extended_axis(self):$/;" m class:TestMedian +test_extended_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_extended_axis(self):$/;" m class:TestPercentile +test_extended_axis_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_extended_axis_invalid(self):$/;" m class:TestMedian +test_extended_axis_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_extended_axis_invalid(self):$/;" m class:TestPercentile +test_extended_axis_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_extended_axis_invalid(self):$/;" m class:TestNanFunctions_Median +test_extended_axis_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_extended_axis_invalid(self):$/;" m class:TestNanFunctions_Percentile +test_extend_colorbar_customnorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_extend_colorbar_customnorm():$/;" f +test_extend_descending adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extend_descending(self):$/;" m class:TestPPolyCommon +test_extend_diff_orders adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extend_diff_orders(self):$/;" m class:TestPPolyCommon +test_extend_dset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_extend_dset(self):$/;" m class:TestDatasetSwmrWrite +test_extend_dset_multiple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_extend_dset_multiple(self):$/;" m class:TestDatasetSwmrWrite +test_extensions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_extensions(self, mode):$/;" m class:TestUpfirdn +test_extension_incref_elide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_extension_incref_elide(self):$/;" m class:TestTemporaryElide +test_extension_incref_elide_stack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_extension_incref_elide_stack(self):$/;" m class:TestTemporaryElide +test_external adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_external(self):$/;" m class:TestExternal +test_external_inputs_merged_correctly adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_external_inputs_merged_correctly(self):$/;" m class:TestAppendNet +test_external_inputs_merged_correctlyB adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_external_inputs_merged_correctlyB(self):$/;" m class:TestAppendNet +test_external_invalid adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_external_invalid(self):$/;" m class:TestExternal +test_external_other adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_external_other(self):$/;" m class:TestExternal +test_external_transform_api adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_external_transform_api():$/;" f +test_extobj_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_extobj_refcount(self):$/;" m class:TestUfuncKwargs +test_extract adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def test_extract(self, T, n, d):$/;" m class:RecurrentNetworkTest +test_extract_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_extract_simple(self):$/;" m class:TestExtractPredictorNet +test_extrap adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_extrap(self):$/;" m class:TestBSpline +test_extrapolate_attr adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extrapolate_attr(self):$/;" m class:TestBPoly +test_extrapolate_attr adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_extrapolate_attr(self):$/;" m class:TestPPoly +test_extrapolation_modes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_extrapolation_modes(self):$/;" m class:TestSplev +test_extra_args adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_extra_args(self):$/;" m class:TestVirtualSource +test_extra_fields_per_instance adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_extra_fields_per_instance(self):$/;" m class:TestMakeTupleBunch +test_extra_field_names_is_optional adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_extra_field_names_is_optional(self):$/;" m class:TestMakeTupleBunch +test_extra_kwarg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_extra_kwarg(self):$/;" m class:TestSubclassingExplicitShapes +test_extra_kwargs_raise_or_warn adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_extra_kwargs_raise_or_warn():$/;" f +test_extra_params adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_extra_params(self):$/;" m class:TestFitMethod +test_extrema01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_extrema01():$/;" f +test_extrema02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_extrema02():$/;" f +test_extrema03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_extrema03():$/;" f +test_extrema04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_extrema04():$/;" f +test_extreme adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_extreme(self):$/;" m class:TestCorrCoef +test_extremely_large_input_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_extremely_large_input_values(self):$/;" m class:TestCorrPearsonr +test_extremes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_extremes(self):$/;" m class:TestDPSS +test_extremes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_extremes(self):$/;" m class:TestTukey +test_extremes_x adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_extremes_x(self):$/;" m class:TestExponNorm +test_extreme_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_extreme_values(self):$/;" m class:TestLogistic +test_extremum_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_extremum_fill_value(self):$/;" m class:TestFillingValues +test_extremum_fill_value_subdtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_extremum_fill_value_subdtype(self):$/;" m class:TestFillingValues +test_eye adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_eye():$/;" f +test_eye adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_eye(self):$/;" m class:TestConstructUtils +test_eye_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_eye_bounds(self):$/;" m class:TestEye +test_eye_one adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_eye_one(self):$/;" m class:TestConstructUtils +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_f(self):$/;" m class:TestBroadcast +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_f(self):$/;" m class:TestRandomDist +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_f(self):$/;" m class:TestBroadcast +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_f(self):$/;" m class:TestRandomDist +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_f(self):$/;" m class:TestBroadcast +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_f(self):$/;" m class:TestRandomDist +test_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_f(self):$/;" m class:RNG +test_f1_1_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_1_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f1_1_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_1_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f1_2_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_2_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f1_2_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_2_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f1_3_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_3_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f1_3_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f1_3_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f2py adpepsenv/lib/python3.8/site-packages/numpy/tests/test_scripts.py /^def test_f2py(f2py_cmd):$/;" f +test_f2py_init_compile adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_compile_function.py /^def test_f2py_init_compile(extra_args):$/;" f +test_f2py_init_compile_bad_cmd adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_compile_function.py /^def test_f2py_init_compile_bad_cmd():$/;" f +test_f2py_init_compile_failure adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_compile_function.py /^def test_f2py_init_compile_failure():$/;" f +test_f2_1_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f2_1_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f2_1_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f2_1_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f2_2_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f2_2_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f2_2_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f2_2_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f2_casting adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_f2_casting(self):$/;" m class:TestExplicitCast +test_f32_rounding adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_f32_rounding(self):$/;" m class:TestHistogram +test_f3_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f3_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f3_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f3_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f4_simplicial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f4_simplicial(self):$/;" m class:TestShgoSimplicialTestFunctions +test_f4_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f4_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f5_1_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f5_1_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_f5_2_sobol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_f5_2_sobol(self):$/;" m class:TestShgoSobolTestFunctions +test_fabs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_fabs(self):$/;" m class:TestCabs +test_face adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_common.py /^def test_face():$/;" f +test_factorial adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_factorial(self):$/;" m class:TestFactorialFunctions +test_factorial2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_factorial2(self):$/;" m class:TestFactorialFunctions +test_factorialk adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_factorialk(self):$/;" m class:TestFactorialFunctions +test_factorial_0d_return_type adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_factorial_0d_return_type(self, x, exact):$/;" m class:TestFactorialFunctions +test_factorizes_nonsquare_matrix_with_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_factorizes_nonsquare_matrix_with_umfpack(self):$/;" m class:TestFactorized +test_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_fail(self, x, match):$/;" m class:TestSubplotMosaic +test_fail adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_fail(self):$/;" m class:TestIscomplex +test_fail adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_fail(self):$/;" m class:TestIsreal +test_failed_conversions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_failed_conversions():$/;" f +test_failed_len_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_failed_len_sequence(self):$/;" m class:TestCreation +test_failing_ffmpeg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_failing_ffmpeg(tmpdir, monkeypatch):$/;" f +test_failing_latex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_failing_latex(tmpdir):$/;" f +test_failing_latex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_failing_latex():$/;" f +test_failing_op adpepsenv/lib/python3.8/site-packages/caffe2/python/test/executor_test.py /^ def test_failing_op(self):$/;" m class:ExecutorFailingOpTest +test_failing_out_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_failing_out_wrap(self):$/;" m class:TestSpecialMethods +test_failing_prepare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_failing_prepare(self):$/;" m class:TestSpecialMethods +test_failing_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_failing_wrap(self):$/;" m class:TestSpecialMethods +test_fails adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_fails(self):$/;" m class:TestAssertNoGcCycles +test_failure adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_failure(self):$/;" m class:TestDiag +test_failures adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_failures():$/;" f +test_fail_list_of_str adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_fail_list_of_str(self):$/;" m class:TestSubplotMosaic +test_falker adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_falker(self):$/;" m class:TestEig +test_false_len_iterable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_false_len_iterable(self):$/;" m class:TestCreation +test_false_len_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_false_len_sequence(self):$/;" m class:TestCreation +test_fancy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_fancy():$/;" f +test_fancy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_fancy(self):$/;" m class:TestDelete +test_fancyarrow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_fancyarrow():$/;" f +test_fancyarrow_dash adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_fancyarrow_dash():$/;" f +test_fancyarrow_dpi_cor_100dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_fancyarrow_dpi_cor_100dpi():$/;" f +test_fancyarrow_dpi_cor_200dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_fancyarrow_dpi_cor_200dpi():$/;" f +test_fancyarrow_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_fancyarrow_units():$/;" f +test_fancy_assignment_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_assignment_dtypes(self):$/;" m class:_TestFancyIndexingAssign +test_fancy_assign_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_assign_empty(self):$/;" m class:_TestFancyIndexingAssign +test_fancy_assign_list adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_assign_list(self):$/;" m class:_TestFancyMultidimAssign +test_fancy_assign_ndarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_assign_ndarray(self):$/;" m class:_TestFancyMultidimAssign +test_fancy_assign_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_assign_slice(self):$/;" m class:_TestFancyMultidimAssign +test_fancy_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_fancy_dtype(self):$/;" m class:TestFromTxt +test_fancy_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_fancy_dtype(self):$/;" m class:TestLoadTxt +test_fancy_dtype_alt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_fancy_dtype_alt(self):$/;" m class:TestFromTxt +test_fancy_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fancy_index(self):$/;" m class:TestRegression +test_fancy_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_fancy_indexing(self):$/;" m class:TestNewScalarIndexing +test_fancy_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_fancy_indexing():$/;" f +test_fancy_indexing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_2d_assign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_2d_assign(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_boolean adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_boolean(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_broadcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_broadcast(self):$/;" m class:TestCSC +test_fancy_indexing_broadcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_broadcast(self):$/;" m class:TestCSR +test_fancy_indexing_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_empty(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_lil adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_lil(self):$/;" m class:TestLIL +test_fancy_indexing_multidim_set adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_multidim_set(self):$/;" m class:_TestFancyMultidimAssign +test_fancy_indexing_ndarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_ndarray(self):$/;" m class:_TestFancyMultidim +test_fancy_indexing_randomized adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_randomized(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_regression_3087 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_regression_3087(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_seq_assign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_seq_assign(self):$/;" m class:_TestFancyIndexing +test_fancy_indexing_set adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_set(self):$/;" m class:_TestFancyIndexingAssign +test_fancy_indexing_sparse_boolean adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_fancy_indexing_sparse_boolean(self):$/;" m class:_TestFancyIndexing +test_fancy_on_read_only adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_fancy_on_read_only(self):$/;" m class:TestSubclasses +test_fancy_printoptions adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fancy_printoptions(self):$/;" m class:TestMaskedArray +test_fastCopyAndTranspose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_fastCopyAndTranspose():$/;" f +test_fast_memonger adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_fast_memonger(self, input_dim, output_dim, batch_size, do):$/;" m class:MemongerTest +test_fast_memonger_unique_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_fast_memonger_unique_outputs(self):$/;" m class:MemongerTest +test_fast_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_fast_power(self):$/;" m class:TestPower +test_fat_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_1_col(self):$/;" m class:BaseQRdelete +test_fat_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_1_col(self):$/;" m class:BaseQRinsert +test_fat_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_1_row(self):$/;" m class:BaseQRdelete +test_fat_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_1_row(self):$/;" m class:BaseQRinsert +test_fat_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_col(self):$/;" m class:BaseQRdelete +test_fat_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_col(self):$/;" m class:BaseQRinsert +test_fat_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_row(self):$/;" m class:BaseQRdelete +test_fat_p_row_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_row_fat(self):$/;" m class:BaseQRinsert +test_fat_p_row_sqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_row_sqr(self):$/;" m class:BaseQRinsert +test_fat_p_row_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_p_row_tall(self):$/;" m class:BaseQRinsert +test_fat_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_rank_1(self):$/;" m class:BaseQRupdate +test_fat_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_fat_rank_p(self):$/;" m class:BaseQRupdate +test_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_fc(self):$/;" m class:BrewTest +test_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_fc(self):$/;" m class:TestCaffe2Basic +test_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^ def test_fc(self, **kwargs):$/;" m class:TestFcOperator +test_fcluster adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_fcluster(self):$/;" m class:TestFcluster +test_fclusterdata adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_fclusterdata(self):$/;" m class:TestFcluster +test_fcluster_monocrit adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_fcluster_monocrit(self):$/;" m class:TestFcluster +test_fcompiler_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler.py /^def test_fcompiler_flags(monkeypatch):$/;" f +test_fc_2_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_fc_2_dims(self, n, m, k, gc, dc):$/;" m class:FcTest +test_fc_4_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_fc_4_dims(self, n, m, k, gc, dc):$/;" m class:FcTest +test_fc_4_dims_src adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_fc_4_dims_src(self, n, m, k, gc, dc):$/;" m class:FcTest +test_fc_external_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers_test.py /^ def test_fc_external_initializer(self):$/;" m class:InitializerTest +test_fc_fp16_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers_test.py /^ def test_fc_fp16_initializer(self):$/;" m class:InitializerTest +test_fc_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers_test.py /^ def test_fc_initializer(self):$/;" m class:InitializerTest +test_fc_transposed adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^ def test_fc_transposed(self, **kwargs):$/;" m class:TestFcOperator +test_fc_with_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_fc_with_axis(self, n, m, c, h, w, axis, gc, dc):$/;" m class:FcTest +test_fc_with_axis_w adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_fc_with_axis_w(self, n, o, i, h, w, axis_w, gc, dc):$/;" m class:FcTest +test_fdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fdtr(self):$/;" m class:TestCephes +test_fdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fdtrc(self):$/;" m class:TestCephes +test_fdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fdtri(self):$/;" m class:TestCephes +test_fdtridfd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fdtridfd(self):$/;" m class:TestCephes +test_fdtridfd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_fdtridfd(self):$/;" m class:TestCDFlib +test_fdtri_mysterious_failure adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fdtri_mysterious_failure(self):$/;" m class:TestCephes +test_features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^ def test_features(self):$/;" m class:AbstractTest +test_features_tensor_raises_value_error adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_features_tensor_raises_value_error(self):$/;" m class:BaseDNNModelFnTest +test_features_tensor_raises_value_error adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_features_tensor_raises_value_error(self):$/;" m class:BaseDNNModelFnTest +test_feed adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_feed(self, x):$/;" m class:PythonOpTest +test_feed_with_gc adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_feed_with_gc(self, x):$/;" m class:PythonOpTest +test_feed_with_helper_function adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_feed_with_helper_function(self, x):$/;" m class:PythonOpTest +test_fewer_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fewer_zeros(self):$/;" m class:TestSos2Zpk +test_fft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_fft(self):$/;" m class:TestFFT1D +test_fft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_fft(self):$/;" m class:TestFFTThreadSafe +test_fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_fft(self):$/;" m class:TestFFT1D +test_fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_fft(self):$/;" m class:TestFFTThreadSafe +test_fft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_fft2(self):$/;" m class:TestFFT1D +test_fft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_fft2(self):$/;" m class:TestFFT1D +test_fftn adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_fftn(self):$/;" m class:TestFFT1D +test_fftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_fftn(self):$/;" m class:TestFFT1D +test_fftn_ifftn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_fftn_ifftn(self, dtype, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_fftn_ifftn adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_fftn_ifftn(self, dtype, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_fftpack_equivalience adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^def test_fftpack_equivalience(func, type, norm):$/;" f +test_fftpack_import adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_import.py /^ def test_fftpack_import(self):$/;" m class:TestFFTPackImport +test_fft_function adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_fft_function.py /^def test_fft_function():$/;" f +test_fft_ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_fft_ifft(self, dtype, fftsize, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_fft_ifft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_fft_ifft(self, dtype, fftsize, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_fft_n adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_fft_n(self):$/;" m class:TestFFTShift +test_fft_n adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_fft_n(self):$/;" m class:TestFFTShift +test_fft_peaks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_fft_peaks():$/;" f +test_fft_with_order adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^def test_fft_with_order(dtype, order, fft):$/;" f +test_fft_with_order adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^def test_fft_with_order(dtype, order, fft):$/;" f +test_fft_wrapping adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fft_wrapping(self):$/;" m class:TestFreqz +test_fid adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_fid(self):$/;" m class:TestBackwardsCompat +test_fiedler adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^def test_fiedler():$/;" f +test_fiedler_companion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^def test_fiedler_companion():$/;" f +test_fiedler_large_12 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_fiedler_large_12():$/;" f +test_fiedler_small_8 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_fiedler_small_8():$/;" f +test_fieldless_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_fieldless_structured(self):$/;" m class:TestArrayRepr +test_fieldless_views adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_fieldless_views(self):$/;" m class:TestRecord +test_fieldless_void adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_fieldless_void():$/;" f +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:Test1DFloat +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:Test1DZeroFloat +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:TestEmpty +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:TestScalarArray +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:TestScalarCompound +test_fieldnames adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_fieldnames(self):$/;" m class:TestScalarFloat +test_fieldnames adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_fieldnames():$/;" f +test_fields_by_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_fields_by_index(self):$/;" m class:TestRecord +test_fields_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fields_strides(self):$/;" m class:TestRegression +test_field_access_by_title adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_field_access_by_title(self):$/;" m class:TestRegression +test_field_assignment_by_name adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_field_assignment_by_name(self):$/;" m class:TestRecFunctions +test_field_names adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_field_names(self):$/;" m class:TestRecord +test_field_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_field_order(self):$/;" m class:TestPEP3118Dtype +test_field_order_equality adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_field_order_equality(self):$/;" m class:TestBuiltin +test_field_rename adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_field_rename(self):$/;" m class:TestRecord +test_figaspect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_figaspect():$/;" f +test_figimage adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_figimage(suppressComposite):$/;" f +test_fignum_exists adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_fignum_exists():$/;" f +test_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_figure():$/;" f +test_figureimage_setdata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_figureimage_setdata():$/;" f +test_figureoptions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_figureoptions():$/;" f +test_figure_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_figure_label():$/;" f +test_figure_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_figure_legend():$/;" f +test_figure_repr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_figure_repr():$/;" f +test_fig_close adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_fig_close(backend):$/;" f +test_fig_signals adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_fig_signals(qt_core):$/;" f +test_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def test_file(self):$/;" m class:TestRepr +test_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_file(self):$/;" m class:TestFileObj +test_filelike adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_filelike(self):$/;" m class:TestData +test_filename adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_filename(self):$/;" m class:TestFilename +test_filename adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_filename(self):$/;" m class:TestMemmap +test_filename_fileobj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_filename_fileobj(self):$/;" m class:TestMemmap +test_filenotfound adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_filenotfound():$/;" f +test_file_is_closed_on_error adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_file_is_closed_on_error(self):$/;" m class:TestFromTxt +test_file_position_after_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_file_position_after_fromfile(self):$/;" m class:TestIO +test_file_position_after_tofile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_file_position_after_tofile(self):$/;" m class:TestIO +test_file_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_file_roundtrip(self):$/;" m class:TestSaveTxt +test_fill adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fill(self):$/;" m class:TestAttributes +test_fillbetween_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fillbetween_cycle():$/;" f +test_fillcycle_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_fillcycle_basic():$/;" f +test_fillcycle_ignore adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_fillcycle_ignore():$/;" f +test_filled adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_filled(self):$/;" m class:TestMRecords +test_filled_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_filled_like(self):$/;" m class:TestLikeFuncs +test_filled_with_flexible_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_filled_with_flexible_dtype(self):$/;" m class:TestMaskedArray +test_filled_with_f_order adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_filled_with_f_order(self):$/;" m class:TestMaskedArray +test_filled_with_mvoid adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_filled_with_mvoid(self):$/;" m class:TestMaskedArray +test_filled_with_nested_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_filled_with_nested_dtype(self):$/;" m class:TestMaskedArray +test_filled_with_object_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_filled_with_object_dtype(self):$/;" m class:TestMaskedArray +test_filler adpepsenv/lib/python3.8/site-packages/caffe2/python/filler_test.py /^ def test_filler(self):$/;" m class:TestFiller +test_filling_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_filling_values(self):$/;" m class:TestFromTxt +test_fillvalue adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue(self):$/;" m class:TestFillingValues +test_fillvalue adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_fillvalue(self):$/;" m class:_TestConvolve2d +test_fillvalue_as_arguments adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_as_arguments(self):$/;" m class:TestFillingValues +test_fillvalue_bytes_or_str adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_bytes_or_str(self):$/;" m class:TestFillingValues +test_fillvalue_conversion adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_conversion(self):$/;" m class:TestFillingValues +test_fillvalue_datetime_timedelta adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_datetime_timedelta(self):$/;" m class:TestFillingValues +test_fillvalue_deprecations adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_fillvalue_deprecations(self):$/;" m class:_TestConvolve2d +test_fillvalue_empty adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_fillvalue_empty(self):$/;" m class:_TestConvolve2d +test_fillvalue_exotic_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_exotic_dtype(self):$/;" m class:TestFillingValues +test_fillvalue_implicit_structured_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_implicit_structured_array(self):$/;" m class:TestFillingValues +test_fillvalue_individual_fields adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_individual_fields(self):$/;" m class:TestFillingValues +test_fillvalue_in_view adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fillvalue_in_view(self):$/;" m class:TestFillingValues +test_fillvalue_type adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_fillvalue_type(self):$/;" m class:TestRegularGridInterpolator +test_fill_betweenx_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fill_betweenx_input(y, x1, x2):$/;" f +test_fill_between_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fill_between_input(x, y1, y2):$/;" f +test_fill_between_interpolate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fill_between_interpolate():$/;" f +test_fill_between_interpolate_decreasing adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fill_between_interpolate_decreasing():$/;" f +test_fill_black_with_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_fill_black_with_alpha():$/;" f +test_fill_facecolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_fill_facecolor():$/;" f +test_fill_max_uint64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fill_max_uint64(self):$/;" m class:TestAttributes +test_fill_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fill_scalar(self):$/;" m class:TestUnicodeEncoding +test_fill_struct_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fill_struct_array(self):$/;" m class:TestAttributes +test_fill_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_fill_units():$/;" f +test_fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_fill_value(self):$/;" m class:TestInterp1D +test_fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_fill_value(self):$/;" m class:TestGriddata +test_fill_value_writeable adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_fill_value_writeable(self):$/;" m class:TestInterp1D +test_filtfilt_gust adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_filtfilt_gust():$/;" f +test_finalize_gets_full_info adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_finalize_gets_full_info(self):$/;" m class:TestSubclasses +test_find adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/find_op_test.py /^ def test_find(self, n, idxsize, gc, dc):$/;" m class:TestFindOperator +test_find adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_find(self):$/;" m class:TestInformation +test_find adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_find():$/;" f +test_finder_class adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ test_finder_class = NumpyDocTestFinder$/;" v class:NumpyDoctest +test_find_active_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_find_active_constraints(self):$/;" m class:TestBounds +test_find_all adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_find_all():$/;" f +test_find_common_type_boolean adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_find_common_type_boolean(self):$/;" m class:TestRegression +test_find_duplicate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^def test_find_duplicate():$/;" f +test_find_duplicates adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_find_duplicates(self):$/;" m class:TestRecFunctions +test_find_duplicates_ignoremask adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_find_duplicates_ignoremask(self):$/;" m class:TestRecFunctions +test_find_duplicate_elements adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_find_duplicate_elements(self, elements, gc, dc):$/;" m class:TestSequenceOps +test_find_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_find_invalid(tmpdir):$/;" f +test_find_objects01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects01():$/;" f +test_find_objects02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects02():$/;" f +test_find_objects03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects03():$/;" f +test_find_objects04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects04():$/;" f +test_find_objects05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects05():$/;" f +test_find_objects06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects06():$/;" f +test_find_objects07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects07():$/;" f +test_find_objects08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects08():$/;" f +test_find_objects09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_find_objects09():$/;" f +test_find_peaks_exact adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_find_peaks_exact(self):$/;" m class:TestFindPeaksCwt +test_find_peaks_nopeak adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_find_peaks_nopeak(self):$/;" m class:TestFindPeaksCwt +test_find_peaks_window_size adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_find_peaks_window_size(self):$/;" m class:TestFindPeaksCwt +test_find_peaks_withnoise adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_find_peaks_withnoise(self):$/;" m class:TestFindPeaksCwt +test_find_repeats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_find_repeats(self):$/;" m class:TestCompareWithStats +test_find_simplex adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_find_simplex(self):$/;" m class:TestUtilities +test_find_single adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_codata.py /^def test_find_single():$/;" f +test_find_ttc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_find_ttc():$/;" f +test_finfo_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_finfo_repr(self):$/;" m class:TestRepr +test_finite adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_finite(self):$/;" m class:TestEigVals +test_finiteness adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_finiteness():$/;" f function:test_are_validate_args file: +test_finite_differences adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_finite_differences(self):$/;" m class:TestOptimizeSimple +test_finite_difference_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_finite_difference_grad(self):$/;" m class:TestScalarFunction +test_finite_difference_hess_linear_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_finite_difference_hess_linear_operator(self):$/;" m class:TestScalarFunction +test_finite_difference_hess_linear_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_finite_difference_hess_linear_operator(self):$/;" m class:TestVectorialFunction +test_finite_difference_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_finite_difference_jac(self):$/;" m class:TestVectorialFunction +test_finite_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_finite_range(self):$/;" m class:TestHistogram +test_finite_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_finite_range(self):$/;" m class:TestHistogramdd +test_fir adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fir(self):$/;" m class:TestGroupDelay +test_firls adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_firls(self):$/;" m class:TestFirls +test_first_harmonics adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^def test_first_harmonics():$/;" f +test_first_order adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_first_order(self):$/;" m class:TestLsim +test_first_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_first_step():$/;" f +test_fir_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fir_ba_output(self):$/;" m class:TestGammatone +test_fisher adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_fisher(self):$/;" m class:TestCombinePvalues +test_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_fit(Poly):$/;" f +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self):$/;" m class:TestLevyStable +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, dist, sgn):$/;" m class:TestGumbel_r_l +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, loc_rvs, scale_rvs):$/;" m class:TestLogistic +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, rvs_loc, rvs_scale):$/;" m class:TestLaplace +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, rvs_loc, rvs_scale):$/;" m class:TestRayleigh +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, rvs_mu, rvs_loc, rvs_scale):$/;" m class:TestInvgauss +test_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit(self, rvs_shape, rvs_loc, rvs_scale):$/;" m class:TestPareto +test_fit_and_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_and_evaluate(self, distribution):$/;" m class:TestModelCapturesStrategy +test_fit_and_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_and_evaluate(self, distribution, model_fn, l1, l2):$/;" m class:TestDistributionStrategyWithMultipleAddLossAndMetricCalls +test_fit_bad_keyword_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_bad_keyword_args(self):$/;" m class:TestBeta +test_fit_bad_keyword_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_bad_keyword_args(self):$/;" m class:TestGamma +test_fit_comparison_super_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_comparison_super_method(self, rvs_loc, rvs_scale):$/;" m class:TestRayleigh +test_fit_comp_optimizer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_comp_optimizer(self, dist, loc_rvs, scale_rvs):$/;" m class:TestGumbel_r_l +test_fit_comp_optimizer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_comp_optimizer(self, loc_rvs, scale_rvs):$/;" m class:TestLogistic +test_fit_duplicated_fixed_parameter adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_duplicated_fixed_parameter(self):$/;" m class:TestBeta +test_fit_eval_and_predict_methods_on_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_eval_and_predict_methods_on_dataset($/;" m class:TestDistributionStrategyWithDatasets +test_fit_eval_and_predict_methods_on_dataset_without_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_eval_and_predict_methods_on_dataset_without_steps($/;" m class:TestDistributionStrategyWithDatasets +test_fit_eval_and_predict_with_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_eval_and_predict_with_optimizer(self, distribution, optimizer):$/;" m class:TestDistributionStrategyWithDatasets +test_fit_MLE_comp_optimzer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_MLE_comp_optimzer(self, rvs_loc, rvs_scale):$/;" m class:TestLaplace +test_fit_MLE_comp_optimzer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_MLE_comp_optimzer(self, rvs_mu, rvs_loc, rvs_scale):$/;" m class:TestInvgauss +test_fit_MLE_comp_optimzer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_MLE_comp_optimzer(self, rvs_shape, rvs_loc, rvs_scale):$/;" m class:TestPareto +test_fit_raise_errors adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_raise_errors(self):$/;" m class:TestInvgauss +test_fit_simple_non_random_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_simple_non_random_data(self):$/;" m class:TestLaplace +test_fit_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_warnings(self):$/;" m class:TestPareto +test_fit_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_warnings(self):$/;" m class:TestRayleigh +test_fit_with_dictionary_in_the_dataset_b135161171 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_with_dictionary_in_the_dataset_b135161171($/;" m class:TestDistributionStrategyWithDatasets +test_fit_with_tuple_and_dict_dataset_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_fit_with_tuple_and_dict_dataset_inputs(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_fit_w_non_finite_data_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fit_w_non_finite_data_values(self, dist, args):$/;" m class:TestFitMethod +test_fived adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_fived(self, sim_type, obs_stat, obs_pvalue):$/;" m class:TestMGCStat +test_fix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_fix(self):$/;" m class:TestUfunclike +test_fixed_ascii adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_fixed_ascii(self):$/;" m class:TestStrings +test_fixed_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_fixed_bytes(self):$/;" m class:TestStrings +test_fixed_limits adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_fixed_limits(self):$/;" m class:TestNQuad +test_fixed_lmbda adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_fixed_lmbda(self):$/;" m class:TestBoxcox +test_fixed_lmbda adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_fixed_lmbda(self):$/;" m class:TestYeojohnson +test_fixed_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_fixed_unicode(self):$/;" m class:TestStrings +test_fixed_utf8 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_fixed_utf8(self):$/;" m class:TestStrings +test_fixed_width_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_fixed_width_names(self):$/;" m class:TestFromTxt +test_fix_fit_2args_lognorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fix_fit_2args_lognorm(self):$/;" m class:TestFitMethod +test_fix_fit_beta adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fix_fit_beta(self):$/;" m class:TestFitMethod +test_fix_fit_gamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fix_fit_gamma(self):$/;" m class:TestFitMethod +test_fix_fit_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fix_fit_norm(self):$/;" m class:TestFitMethod +test_fix_invalid adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fix_invalid(self):$/;" m class:TestMaskedArray +test_fix_with_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_fix_with_subclass(self):$/;" m class:TestUfunclike +test_flags adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_flags(self):$/;" m class:TestNdpointer +test_flapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_flapack(self):$/;" m class:TestLapack +test_flat adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_flat(self):$/;" m class:TestMaskedArrayAttributes +test_flat adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_flat(self):$/;" m class:TestMaskedMatrix +test_flatiter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_flatiter():$/;" f +test_flatiter__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_flatiter__array__(self):$/;" m class:TestWritebackIfCopy +test_flatnotmasked_contiguous adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_flatnotmasked_contiguous(self):$/;" m class:TestGeneric +test_flatten adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flatten_op_test.py /^ def test_flatten(self, X, gc, dc):$/;" m class:TestFlatten +test_flatten adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_flatten(self):$/;" m class:TestCompress +test_flatten adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_flatten(self):$/;" m class:TestMethods +test_flatten adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^ def test_flatten(self):$/;" m class:TestSizeSumExample +test_flatten adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_flatten(self):$/;" m class:TestMergeArrays +test_flatten_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_flatten_dtype(self):$/;" m class:TestMiscFunctions +test_flatten_invalid_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_flatten_invalid_order(self):$/;" m class:TestOrderConverter +test_flatten_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_flatten_mask(self):$/;" m class:TestMaskedArrayFunctions +test_flatten_predict_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_flatten_predict_outputs(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_flatten_structured_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_flatten_structured_array(self):$/;" m class:TestMaskedArray +test_flatten_wflexible adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_flatten_wflexible(self):$/;" m class:TestMergeArrays +test_flat_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_flat_assignment(self):$/;" m class:TestRegression +test_flat_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_flat_byteorder(self):$/;" m class:TestRegression +test_flat_element_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_flat_element_deletion():$/;" f +test_flat_index_byteswap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_flat_index_byteswap(self):$/;" m class:TestRegression +test_flat_input_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def test_flat_input_convolution($/;" m class:TestConvolution +test_flat_maxima adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_flat_maxima(self):$/;" m class:TestLocalMaxima1d +test_fletcher32 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_fletcher32(self):$/;" m class:TestCreateFletcher32 +test_flexible_top_k adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^ def test_flexible_top_k(self, X, gc, dc):$/;" m class:TestFlexibleTopK +test_flexible_top_k_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/flexible_top_k_test.py /^ def test_flexible_top_k_grad(self, X, gc, dc):$/;" m class:TestFlexibleTopK +test_flip_axes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_flip_axes(self):$/;" m class:TestIterNested +test_float adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_float(self):$/;" m class:TestTypes +test_float adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_float(self):$/;" m class:TestCreateScaleOffset +test_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_float(self):$/;" m class:TestBoolCmp +test_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_float(self):$/;" m class:TestCorrelate +test_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_float(self, t):$/;" m class:TestMaximumSctype +test_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float(self):$/;" m class:TestRationalFunctions +test_float adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_float(self):$/;" m class:TestNanToNum +test_float16_fail adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float16_fail(self):$/;" m class:TestArrayAlmostEqualNulp +test_float16_ignore_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float16_ignore_nan(self):$/;" m class:TestArrayAlmostEqualNulp +test_float16_input_large adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_float16_input_large(self, size):$/;" m class:TestFftnSingle +test_float16_input_large adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_float16_input_large(self, size):$/;" m class:TestFftnSingle +test_float16_input_small adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_float16_input_small(self, size):$/;" m class:TestFftnSingle +test_float16_input_small adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_float16_input_small(self, size):$/;" m class:TestFftnSingle +test_float16_pass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float16_pass(self):$/;" m class:TestArrayAlmostEqualNulp +test_float32 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_float32(self):$/;" m class:TestScalars +test_float32 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_float32(self):$/;" m class:TestFSolve +test_float32 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_float32(self):$/;" m class:TestLeastSq +test_float32 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_float32(self):$/;" m class:TestExpit +test_float32 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_float32(self):$/;" m class:TestLogit +test_float32_fail adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float32_fail(self):$/;" m class:TestArrayAlmostEqualNulp +test_float32_ignore_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float32_ignore_nan(self):$/;" m class:TestArrayAlmostEqualNulp +test_float32_pass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float32_pass(self):$/;" m class:TestArrayAlmostEqualNulp +test_float64 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_float64(self):$/;" m class:TestScalars +test_float64 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_float64(self):$/;" m class:TestExpit +test_float64 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_float64(self):$/;" m class:TestLogit +test_float64_fail adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float64_fail(self):$/;" m class:TestArrayAlmostEqualNulp +test_float64_ignore_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float64_ignore_nan(self):$/;" m class:TestArrayAlmostEqualNulp +test_float64_pass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_float64_pass(self):$/;" m class:TestArrayAlmostEqualNulp +test_floating adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_floating(self):$/;" m class:TestFromString +test_floating_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_floating_exceptions(self):$/;" m class:TestFloatExceptions +test_floating_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_floating_overflow(self):$/;" m class:TestFromString +test_floating_point adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_floating_point(self):$/;" m class:TestFloatingPoint +test_floatmode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_floatmode(self):$/;" m class:TestPrintOptions +test_floats adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^ def test_floats(self):$/;" m class:TestABC +test_float_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_float_args(self):$/;" m class:TestWfuncPointers +test_float_fails_without_options adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_float_fails_without_options(self):$/;" m class:TestCreateScaleOffset +test_float_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_float_labels(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_float_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_float_labels(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_float_modulus_corner_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_float_modulus_corner_cases(self):$/;" m class:TestModulus +test_float_modulus_exact adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_float_modulus_exact(self):$/;" m class:TestModulus +test_float_modulus_roundoff adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_float_modulus_roundoff(self):$/;" m class:TestModulus +test_float_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_nans(self):$/;" m class:TestFmax +test_float_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_nans(self):$/;" m class:TestFmin +test_float_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_nans(self):$/;" m class:TestMaximum +test_float_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_nans(self):$/;" m class:TestMinimum +test_float_overflow_nowarn adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_float_overflow_nowarn(self):$/;" m class:TestPrintOptions +test_float_remainder_corner_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_remainder_corner_cases(self):$/;" m class:TestRemainder +test_float_remainder_exact adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_remainder_exact(self):$/;" m class:TestRemainder +test_float_remainder_roundoff adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_float_remainder_roundoff(self):$/;" m class:TestRemainder +test_float_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_float_repr(self):$/;" m class:TestRepr +test_float_round_tripping adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_float_round_tripping(self):$/;" m class:TestOffsets +test_float_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_float_spacing(self):$/;" m class:TestPrintOptions +test_float_special adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_float_special(self):$/;" m class:TestNanFunctions_Median +test_float_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_float_types(tp):$/;" f +test_float_type_print adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_float_type_print(tp):$/;" f +test_floor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/floor_op_test.py /^ def test_floor(self, X, gc, dc, engine):$/;" m class:TestFloor +test_floordiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_floordiv(Poly):$/;" f +test_floordiv_128_64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_floordiv_128_64():$/;" f +test_floor_division_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_floor_division_complex(self):$/;" m class:TestDivision +test_floor_division_signed_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_floor_division_signed_zero(self):$/;" m class:TestDivision +test_flop_cost adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_flop_cost():$/;" f +test_flush adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_flush(self):$/;" m class:TestFlush +test_flush adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_flush(self):$/;" m class:TestMemmap +test_flush_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_flush_raises(self):$/;" m class:TestSwmrNotAvailable +test_flush_rewind adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_flush_rewind():$/;" f +test_fminbound adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_fminbound(self):$/;" m class:TestOptimizeScalar +test_fminbound_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_fminbound_scalar(self):$/;" m class:TestOptimizeScalar +test_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^ def test_fn(*args, **kwargs):$/;" f function:override_qengines file: +test_foh adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_foh(self):$/;" m class:TestC2D +test_foldnorm_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_foldnorm_zero():$/;" f +test_fontconfig_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_fontconfig_pattern.py /^def test_fontconfig_pattern():$/;" f +test_fontconfig_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_texmanager.py /^def test_fontconfig_preamble():$/;" f +test_fontconfig_str adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_fontconfig_pattern.py /^def test_fontconfig_str():$/;" f +test_fontinfo adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_fontinfo():$/;" f +test_fontproperties_kwarg_precedence adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_fontproperties_kwarg_precedence():$/;" f +test_font_manager_weight_normalization adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_font_manager_weight_normalization():$/;" f +test_font_priority adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_font_priority():$/;" f +test_font_scaling adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_font_scaling():$/;" f +test_font_styles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_font_styles():$/;" f +test_footprint_all_zeros adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_footprint_all_zeros():$/;" f +test_forced_sig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_forced_sig(self):$/;" m class:TestUfunc +test_force_swmr_mode_off_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_force_swmr_mode_off_raises(self):$/;" m class:TestDatasetSwmrRead +test_force_swmr_mode_on_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_force_swmr_mode_on_raises(self):$/;" m class:TestDatasetSwmrRead +test_foreign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_foreign(self):$/;" m class:TestWhere +test_fork adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_fork():$/;" f +test_forked_cw adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_forked_cw(self, device_option):$/;" m class:TestCase +test_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_format():$/;" f +test_format adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_format(self):$/;" m class:TestSaveTxt +test_format adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_format(self):$/;" m class:TestMaskedArray +test_formatter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_formatter(self):$/;" m class:TestPrintOptions +test_formatters adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^def test_formatters(Formatter, regex, direction, factor, values):$/;" f +test_formatter_reset adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_formatter_reset(self):$/;" m class:TestPrintOptions +test_formatter_ticker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_formatter_ticker():$/;" f +test_formatting adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_formatting(self, x, label):$/;" m class:TestIndexFormatter +test_format_2_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_format_2_0(self):$/;" m class:RoundtripTest +test_format_cursor_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_format_cursor_data(data, text_without_colorbar, text_with_colorbar):$/;" f +test_format_data_short adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_format_data_short(self, N):$/;" m class:TestLogitFormatter +test_format_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_format_function(self):$/;" m class:TestArray2String +test_format_on_flex_array_element adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_format_on_flex_array_element(self):$/;" m class:TestRegression +test_format_shortcut adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tools.py /^def test_format_shortcut(rc_shortcut, expected):$/;" f +test_form_dict_keys adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_form_dict_keys(self):$/;" m class:Test_boxplot_stats +test_form_each_dict adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_form_each_dict(self):$/;" m class:Test_boxplot_stats +test_form_main_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_form_main_list(self):$/;" m class:Test_boxplot_stats +test_form_qTu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^def test_form_qTu():$/;" f +test_fortranfiles_mixed_record adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortranfiles_mixed_record():$/;" f +test_fortranfiles_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortranfiles_read():$/;" f +test_fortranfiles_write adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortranfiles_write():$/;" f +test_fortranfile_read_mixed_record adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortranfile_read_mixed_record():$/;" f +test_fortranfile_write_mixed_record adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortranfile_write_mixed_record(tmpdir):$/;" f +test_fortran_bogus_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_bogus_size(tmpdir):$/;" f +test_fortran_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_fortran_contiguous(self):$/;" m class:TestNonCContiguousViewDeprecation +test_fortran_eof_broken_record adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_eof_broken_record(tmpdir):$/;" f +test_fortran_eof_broken_size adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_eof_broken_size(tmpdir):$/;" f +test_fortran_eof_multidimensional adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_eof_multidimensional(tmpdir):$/;" f +test_fortran_eof_ok adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_eof_ok(tmpdir):$/;" f +test_fortran_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_fortran_order(self):$/;" m class:TestDiag +test_fortran_order_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fortran_order_buffer(self):$/;" m class:TestRegression +test_fortran_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_fortran.py /^def test_fortran_roundtrip(tmpdir):$/;" f +test_forward adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^ def test_forward(self, X, inplace, gc, dc):$/;" m class:TestNegateGradient +test_forward adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_forward(self):$/;" m class:TestDigitize +test_forward_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_forward_async(self):$/;" m class:RemoteModuleTest +test_forward_async_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_forward_async_script(self):$/;" m class:RemoteModuleTest +test_forward_binary_methods adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_forward_binary_methods(self):$/;" m class:TestNDArrayOperatorsMixin +test_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def test_forward_only($/;" f +test_forward_only_fast_simplenet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def test_forward_only_fast_simplenet($/;" f +test_forward_optim_tree_daggy adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_forward_optim_tree_daggy(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_forward_optim_tree_harder adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_forward_optim_tree_harder(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_forward_sync adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_forward_sync(self):$/;" m class:RemoteModuleTest +test_forward_sync_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_forward_sync_script(self):$/;" m class:RemoteModuleTest +test_forward_with_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_forward_with_kwargs(self):$/;" m class:RemoteModuleTest +test_for_already_singular_leading_submatrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_already_singular_leading_submatrix(self):$/;" m class:TestSingularLeadingSubmatrix +test_for_equal_names adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_for_equal_names(self):$/;" m class:TestRegression +test_for_first_element_equal_to_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_first_element_equal_to_zero(self):$/;" m class:TestSingularLeadingSubmatrix +test_for_ill_condiotioned_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_ill_condiotioned_matrix(self):$/;" m class:TestEstimateSmallestSingularValue +test_for_interior_convergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_interior_convergence(self):$/;" m class:TestIterativeSubproblem +test_for_interior_convergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^ def test_for_interior_convergence(self):$/;" m class:TestKrylovQuadraticSubproblem +test_for_jac_equal_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_jac_equal_zero(self):$/;" m class:TestIterativeSubproblem +test_for_jac_very_close_to_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_jac_very_close_to_zero(self):$/;" m class:TestIterativeSubproblem +test_for_object_scalar_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_for_object_scalar_creation(self):$/;" m class:TestRegression +test_for_random_entries adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_random_entries(self):$/;" m class:TestIterativeSubproblem +test_for_reference_leak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_for_reference_leak(self):$/;" m class:TestCreationFuncs +test_for_simetric_indefinite_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_simetric_indefinite_matrix(self):$/;" m class:TestSingularLeadingSubmatrix +test_for_the_easy_case adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_the_easy_case(self):$/;" m class:TestIterativeSubproblem +test_for_the_easy_case adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^ def test_for_the_easy_case(self):$/;" m class:TestKrylovQuadraticSubproblem +test_for_the_hard_case adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_exact.py /^ def test_for_the_hard_case(self):$/;" m class:TestIterativeSubproblem +test_for_the_hard_case adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^ def test_for_the_hard_case(self):$/;" m class:TestKrylovQuadraticSubproblem +test_for_very_close_to_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion_krylov.py /^ def test_for_very_close_to_zero(self):$/;" m class:TestKrylovQuadraticSubproblem +test_for_zero_length_in_choose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_for_zero_length_in_choose(self):$/;" m class:TestRegression +test_found_all adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_found_all(self):$/;" m class:ball_consistency +test_found_all adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_found_all(self):$/;" m class:two_trees_consistency +test_found_all_outside adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_found_all_outside(self):$/;" m class:_Test_random_ball_periodic +test_fourier_ellipsoid_1d_complex adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_ellipsoid_1d_complex(self):$/;" m class:TestNdimageFourier +test_fourier_ellipsoid_complex01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_ellipsoid_complex01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_ellipsoid_real01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_ellipsoid_real01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_gaussian_complex01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_gaussian_complex01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_gaussian_real01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_gaussian_real01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_shift_complex01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_shift_complex01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_shift_real01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_shift_real01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_uniform_complex01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_uniform_complex01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_uniform_real01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_uniform_real01(self, shape, dtype, dec):$/;" m class:TestNdimageFourier +test_fourier_zero_length_dims adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_fourier.py /^ def test_fourier_zero_length_dims(self, shape, dtype, test_func):$/;" m class:TestNdimageFourier +test_fp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_fp(self):$/;" m class:TestApproxDerivativesDense +test_fp16momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def test_fp16momentum_sgd(self, n, nesterov, gc, dc):$/;" m class:TestMomentumSGD +test_fp16_uniformfill_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_fp16_uniformfill_op(self, min, range, emb_size, dim_size, gc, dc):$/;" m class:TestFillerOperator +test_fp32_gh12991 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def test_fp32_gh12991():$/;" f +test_fp_exception_divbyzero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_fp_exceptions_test.py /^ def test_fp_exception_divbyzero(self):$/;" m class:OperatorFPExceptionsTest +test_fraction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_fraction(self):$/;" m class:TestRoundingFunctions +test_fraction adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_fraction(self):$/;" m class:TestPercentile +test_fraction adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_fraction(self):$/;" m class:TestQuantile +test_fraction adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_fraction(self):$/;" m class:TestScoreatpercentile +test_framealpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_framealpha():$/;" f +test_freeform_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_freeform_shape(self):$/;" m class:TestResize +Test_freqresp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class Test_freqresp(object):$/;" c +test_frequency adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_frequency(self):$/;" m class:TestLombscargle +test_frequency_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_frequency_response(self):$/;" m class:TestGammatone +test_frequency_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_frequency_response(self):$/;" m class:TestIIRNotch +test_frequency_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_frequency_response(self):$/;" m class:TestIIRPeak +test_frequency_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_frequency_response(self, ftype):$/;" m class:TestIIRComb +test_freq_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_freq_range(self):$/;" m class:Test_dfreqresp +test_freq_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_freq_range(self):$/;" m class:TestFreqs +test_freq_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_freq_range(self):$/;" m class:TestFreqs_zpk +test_freq_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_freq_range(self):$/;" m class:Test_freqresp +test_fresnel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnel(self):$/;" m class:TestCephes +test_fresnel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnel(self):$/;" m class:TestFresnel +test_fresnelc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_fresnelc(self):$/;" m class:TestSystematic +test_fresnelc_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnelc_zeros(self):$/;" m class:TestFresnel +test_fresnels adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_fresnels(self):$/;" m class:TestSystematic +test_fresnels_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnels_zeros(self):$/;" m class:TestFresnel +test_fresnel_inf1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnel_inf1(self):$/;" m class:TestFresnel +test_fresnel_inf2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnel_inf2(self):$/;" m class:TestFresnel +test_fresnel_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_fresnel_zeros(self):$/;" m class:TestFresnel +test_friedmanchisq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_friedmanchisq(self):$/;" m class:TestMisc +test_friedmanchisquare adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_friedmanchisquare():$/;" f +test_fromarrays adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_fromarrays(self):$/;" m class:TestMRecordsImport +test_fromarrays_nested_structured_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_fromarrays_nested_structured_arrays(self):$/;" m class:TestRecord +test_fromarrays_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fromarrays_unicode(self):$/;" m class:TestRecord +test_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromfile(self):$/;" m class:TestFileBased +test_fromfile_bogus adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromfile_bogus(self):$/;" m class:TestFileBased +test_fromfile_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromfile_complex(self):$/;" m class:TestFileBased +test_fromfile_offset adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fromfile_offset(self):$/;" m class:TestIO +test_fromfile_subarray_binary adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_fromfile_subarray_binary(self):$/;" m class:TestIO +test_fromfile_tofile_seeks adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fromfile_tofile_seeks(self):$/;" m class:TestRegression +test_fromflex adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_fromflex(self):$/;" m class:TestMaskedArrayMethods +test_fromiter_bytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fromiter_bytes(self):$/;" m class:TestRegression +test_fromiter_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fromiter_comparison(self):$/;" m class:TestRegression +test_frompyfunc_endian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_frompyfunc_endian(self):$/;" m class:TestRegression +test_frompyfunc_leaks adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_frompyfunc_leaks(self, name, incr):$/;" m class:TestLeaks +test_frompyfunc_many_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_frompyfunc_many_args(self):$/;" m class:TestRegression +test_frompyfunc_nout_0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_frompyfunc_nout_0(self):$/;" m class:TestRegression +test_fromrecords adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_fromrecords(self):$/;" m class:TestFromrecords +test_fromrecords adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_fromrecords(self):$/;" m class:TestMRecordsImport +test_fromrecords_0len adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_fromrecords_0len(self):$/;" m class:TestFromrecords +test_fromrecords_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_fromrecords_2d(self):$/;" m class:TestFromrecords +test_fromrecords_with_explicit_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_fromrecords_with_explicit_dtype(self):$/;" m class:TestFromrecords +test_fromrecords_wmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_fromrecords_wmask(self):$/;" m class:TestMRecordsImport +test_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_fromroots(Poly):$/;" f +test_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_fromstring(self):$/;" m class:TestFromstring +test_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_fromstring():$/;" f +test_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fromstring(self):$/;" m class:TestRegression +test_fromstring_best_effort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_best_effort(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_best_effort_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_best_effort_float(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_bogus adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_fromstring_bogus():$/;" f +test_fromstring_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_fromstring_complex():$/;" f +test_fromstring_crash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_fromstring_crash(self):$/;" m class:TestRegression +test_fromstring_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_fromstring_empty():$/;" f +test_fromstring_foreign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_foreign(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_foreign_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_foreign_repr(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_foreign_sep adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_foreign_sep(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_foreign_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_fromstring_foreign_value(self):$/;" m class:TestCommaDecimalPointLocale +test_fromstring_missing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_fromstring_missing():$/;" f +test_fromtextfile adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_fromtextfile(self):$/;" m class:TestMRecordsImport +test_from_1d_single_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_1d_single_mrp():$/;" f +test_from_1d_single_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_1d_single_rotvec():$/;" f +test_from_2d_single_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_2d_single_mrp():$/;" f +test_from_2d_single_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_2d_single_rotvec():$/;" f +test_from_array adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_from_array(self):$/;" m class:_TestCommon +test_from_attribute adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_from_attribute(self):$/;" m class:TestCreation +test_from_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_from_complex(self):$/;" m class:TestLoadTxt +test_from_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_from_derivatives(self):$/;" m class:TestPolySubclassing +test_from_dictproxy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_from_dictproxy(self):$/;" m class:TestRecord +test_from_dict_with_zero_width_field adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_from_dict_with_zero_width_field(self):$/;" m class:TestRecord +test_from_docstring adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_from_docstring(self):$/;" m class:TestDualAnnealing +test_from_euler_elementary_extrinsic_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_elementary_extrinsic_rotation():$/;" f +test_from_euler_extrinsic_rotation_312 adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_extrinsic_rotation_312():$/;" f +test_from_euler_extrinsic_rotation_313 adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_extrinsic_rotation_313():$/;" f +test_from_euler_intrinsic_rotation_312 adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_intrinsic_rotation_312():$/;" f +test_from_euler_intrinsic_rotation_313 adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_intrinsic_rotation_313():$/;" f +test_from_euler_rotation_order adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_rotation_order():$/;" f +test_from_euler_single_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_euler_single_rotation():$/;" f +test_from_float_hex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_from_float_hex(self):$/;" m class:TestLoadTxt +test_from_generic_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_generic_mrp():$/;" f +test_from_generic_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_generic_rotvec():$/;" f +test_from_list adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_from_list(self):$/;" m class:_TestCommon +test_from_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_from_matrix(self):$/;" m class:_TestCommon +test_from_matrix_calculation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_matrix_calculation():$/;" f +test_from_matrix_ortho_output adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_matrix_ortho_output():$/;" f +test_from_number adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_from_number(self):$/;" m class:TestExpFormat +test_from_number adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_from_number(self):$/;" m class:TestIntFormat +test_from_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_object_array(self):$/;" m class:TestBasic +test_from_object_array_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_object_array_unicode(self):$/;" m class:TestBasic +test_from_rotvec_small_angle adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_rotvec_small_angle():$/;" f +test_from_scratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_from_scratch(self):$/;" m class:BaseDNNRegressorTrainTest +test_from_scratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_from_scratch(self):$/;" m class:BaseDNNRegressorTrainTest +test_from_scratch_validate_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_from_scratch_validate_summary(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_scratch_validate_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_from_scratch_validate_summary(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_scratch_with_default_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_from_scratch_with_default_optimizer(self):$/;" m class:BaseDNNRegressorTrainTest +test_from_scratch_with_default_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_from_scratch_with_default_optimizer(self):$/;" m class:BaseDNNRegressorTrainTest +test_from_scratch_with_default_optimizer_binary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_from_scratch_with_default_optimizer_binary(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_scratch_with_default_optimizer_binary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_from_scratch_with_default_optimizer_binary(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_scratch_with_default_optimizer_multi_class adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_from_scratch_with_default_optimizer_multi_class(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_scratch_with_default_optimizer_multi_class adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_from_scratch_with_default_optimizer_multi_class(self):$/;" m class:BaseDNNClassifierTrainTest +test_from_single_1d_quaternion adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_single_1d_quaternion():$/;" f +test_from_single_2d_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_single_2d_matrix():$/;" f +test_from_single_2d_quaternion adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_single_2d_quaternion():$/;" f +test_from_single_3d_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_single_3d_matrix():$/;" f +test_from_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_from_sparse(self):$/;" m class:_TestCommon +test_from_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_from_spline(self):$/;" m class:TestPolySubclassing +test_from_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_from_spline(self):$/;" m class:TestPPoly +test_from_square_quat_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_from_square_quat_matrix():$/;" f +test_from_state_space adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_from_state_space(self):$/;" m class:Test_dfreqresp +test_from_state_space adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_from_state_space(self):$/;" m class:Test_bode +test_from_state_space adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_from_state_space(self):$/;" m class:Test_freqresp +test_from_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_string(self):$/;" m class:TestBasic +test_from_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_from_string(self):$/;" m class:TestCreation +test_from_string_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_string_array(self):$/;" m class:TestBasic +test_from_template adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_from_template.py /^def test_from_template():$/;" f +test_from_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_unicode(self):$/;" m class:TestBasic +test_from_unicode_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_from_unicode_array(self):$/;" m class:TestBasic +test_from_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_from_zpk(self):$/;" m class:Test_dfreqresp +test_from_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_from_zpk(self):$/;" m class:Test_freqresp +test_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen(self):$/;" m class:TestInvwishart +test_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen(self):$/;" m class:TestMultinomial +test_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen(self):$/;" m class:TestMultivariateHypergeom +test_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen(self):$/;" m class:TestMultivariateNormal +test_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen(self):$/;" m class:TestWishart +test_frozen_dirichlet adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen_dirichlet(self):$/;" m class:TestDirichlet +test_frozen_fit_ticket_1536 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_frozen_fit_ticket_1536():$/;" f +test_frozen_matrix adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen_matrix(self):$/;" m class:TestSpecialOrthoGroup +test_frozen_matrix_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_frozen_matrix_normal(self):$/;" m class:TestMatrixNormal +test_fshapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_fshapes(self):$/;" m class:TestFitMethod +test_fspath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_fspath(fmt, tmpdir):$/;" f +test_fstring adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_fstring(self):$/;" m class:FstringTest +test_fstring_escaping adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_fstring_escaping(self):$/;" m class:FstringTest +test_fs_nyq adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_fs_nyq(self):$/;" m class:TestFirwin2 +test_fs_nyq adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_fs_nyq(self):$/;" m class:TestFirWinMore +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestBessel +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestButter +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestButtord +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestCheb1ord +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestCheb2ord +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestCheby1 +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestCheby2 +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestEllip +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestEllipord +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestFreqz +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestFreqz_zpk +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestGroupDelay +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestIIRNotch +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestIIRPeak +test_fs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_fs_param(self):$/;" m class:TestSOSFreqz +test_ftrl_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_ftrl_sgd(self, inputs, in_place, alpha, beta, lambda1, lambda2,$/;" m class:TestOperators +test_ftrl_sgd_send_alpha_by_input adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_ftrl_sgd_send_alpha_by_input(self, inputs, in_place, alpha, beta,$/;" m class:TestOperators +test_full adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_full(self):$/;" m class:TestCreationFuncs +test_full adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_full(self):$/;" m class:TestTransferFunctionZConversion +test_fullheader1 adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_fullheader1(self):$/;" m class:TestHeader +test_fully_connected adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_fp16_test.py /^ def test_fully_connected(self, input_channels, output_channels, batch_size, empty_batch, gc,/;" m class:FullyConnectedFP16Test +test_fully_connected_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_fully_connected_axis(self, axis, num_output, engine, gc, dc):$/;" m class:TestOperators +test_fully_connected_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_fully_connected_graph():$/;" f +test_fully_masked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_fully_masked(self):$/;" m class:TestTtest_1samp +test_fully_masked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_fully_masked(self):$/;" m class:TestTtest_ind +test_fully_masked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_fully_masked(self):$/;" m class:TestTtest_rel +test_full_arc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_full_arc(offset):$/;" f +test_full_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_full_invalid():$/;" f +test_full_matrix adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_full_matrix(self):$/;" m class:TestInterp +test_full_output adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_full_output(self):$/;" m class:TestLeastSq +test_full_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_full_range(self, endpoint):$/;" m class:TestIntegers +test_full_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_full_range(self):$/;" m class:TestRandint +test_full_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_full_range(self):$/;" m class:TestRandint +test_full_range_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_full_range_array(self, endpoint):$/;" m class:TestIntegers +test_full_rank adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_full_rank(self):$/;" m class:TestInterpolativeDecomposition +test_full_reimport adpepsenv/lib/python3.8/site-packages/numpy/tests/test_reloading.py /^def test_full_reimport():$/;" f +test_full_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_full_result(self):$/;" m class:BaseMixin +test_full_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_full_result(self):$/;" m class:BaseMixin +test_full_result_single_fev adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_full_result_single_fev(self):$/;" m class:BaseMixin +test_full_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_full_slice(self):$/;" m class:TestVirtualSource +test_full_tt_svd adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core_test.py /^ def test_full_tt_svd(self):$/;" m class:TestTTSVD +test_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_fun(self):$/;" m class:LossFunctionMixin +test_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_func(self):$/;" f member:RpcTest.test_dist_init_decorator file: +test_funcanimation_cache_frame_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_funcanimation_cache_frame_data(cache_frame_data):$/;" f +test_funcformatter_auto_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_funcformatter_auto_formatter():$/;" f +test_function adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_function(self):$/;" m class:Jax2TfTest +test_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def test_function(iterator):$/;" f member:Model.make_test_function file: +test_functionality adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_functionality(self):$/;" m class:TestExpandDims +test_functiondef_nested_imports adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_functiondef_nested_imports(self):$/;" m class:ScopeTest +test_function_call_replace_all adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_replace_all():$/;" f +test_function_call_without_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_without_data(func):$/;" f +test_function_call_with_dict_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_with_dict_data(func):$/;" f +test_function_call_with_dict_data_not_in_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_with_dict_data_not_in_data(func):$/;" f +test_function_call_with_dict_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_with_dict_input(func):$/;" f +test_function_call_with_pandas_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_function_call_with_pandas_data(func, pd):$/;" f +test_function_is_callable adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_function_is_callable():$/;" f +test_function_maskedarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_function_maskedarray(self):$/;" m class:TestArgsort +test_function_ndarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_function_ndarray(self):$/;" m class:TestArgsort +test_function_not_on_callee adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_function_not_on_callee(self):$/;" m class:RpcTest +test_function_scale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_function_scale():$/;" f +test_function_zero_params adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_function_zero_params(self):$/;" m class:TestCurveFit +test_func_can_raise adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_func_can_raise(self):$/;" m class:TestFSolve +test_func_can_raise adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_func_can_raise(self):$/;" m class:TestLeastSq +test_func_is_classmethod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_func_is_classmethod(self):$/;" m class:TestCurveFit +test_func_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_func_read():$/;" f +test_fundamental_theorem_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_fundamental_theorem_0(self):$/;" m class:SphericalDerivativesTestCase +test_fundamental_theorem_7 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_fundamental_theorem_7(self):$/;" m class:SphericalDerivativesTestCase +test_funhash adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/funhash_op_test.py /^ def test_funhash(self, n_out, n_in, n_data, n_weight, n_alpha, sparsity,$/;" m class:TestFunHash +test_funhash adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_funhash_op_test.py /^ def test_funhash(self, n_out, n_in, n_data, n_weight, n_alpha, sparsity,$/;" m class:TestFunHash +test_fun_and_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_fun_and_grad(self):$/;" m class:TestScalarFunction +test_fun_and_jac_inconsistent_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_fun_and_jac_inconsistent_dimensions(self):$/;" m class:BaseMixin +test_fun_args_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_fun_args_ls(self):$/;" m class:TestDualAnnealing +test_fun_args_no_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_fun_args_no_ls(self):$/;" m class:TestDualAnnealing +test_fun_wrong_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_fun_wrong_dimensions(self):$/;" m class:BaseMixin +test_furthest_site adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_furthest_site(self):$/;" m class:TestDelaunay +test_furthest_site adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_furthest_site(self):$/;" m class:TestVoronoi +test_furthest_site_flag adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_furthest_site_flag(self):$/;" m class:TestVoronoi +test_fuse adpepsenv/lib/python3.8/site-packages/caffe2/python/test/fakefp16_transform_test.py /^ def test_fuse(self):$/;" m class:Transformer +test_Fused8BitRowwiseQuantizedToFloat adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_Fused8BitRowwiseQuantizedToFloat(self, input_data):$/;" m class:TorchIntegration +test_future_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_future_done(self):$/;" m class:RpcTest +test_future_done_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_future_done_exception(self):$/;" m class:RpcTest +test_future_in_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_future_in_rpc(self):$/;" m class:RpcTest +test_future_nested_callback adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_future_nested_callback(self):$/;" m class:RpcTest +test_future_passed_between_python_and_jit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_future_passed_between_python_and_jit(self):$/;" m class:FutureTypingTest +test_future_python_annotation adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_future_python_annotation(self):$/;" m class:FutureTypingTest +test_future_rcond adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_future_rcond(self):$/;" m class:TestLstsq +test_fuzz adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_fuzz(self):$/;" m class:TestExpmFrechet +test_fv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_fv(self):$/;" m class:TestFinancial +test_fv_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_fv_decimal(self):$/;" m class:TestFinancial +test_fweights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_fweights(self):$/;" m class:TestCov +test_f_contiguous_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_f_contiguous_array(self):$/;" m class:TestPickling +test_f_copy_in_from_23casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_f_copy_in_from_23casttype(self):$/;" m class:TestSharedMemory +test_f_decreasing_unsigned_int adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_f_decreasing_unsigned_int(self, f_dtype):$/;" m class:TestGradient +test_f_inout_23seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_f_inout_23seq(self):$/;" m class:TestSharedMemory +test_f_in_from_23casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_f_in_from_23casttype(self):$/;" m class:TestSharedMemory +test_f_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_f_moments(self):$/;" m class:TestF +test_f_signed_int_big_jump adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_f_signed_int_big_jump(self, f_dtype):$/;" m class:TestGradient +test_f_size adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def test_f_size(self):$/;" m class:TestRoot +test_g adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^def test_g():$/;" f +test_g77_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^ def test_g77_version(self):$/;" m class:TestG77Versions +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gamma(self):$/;" m class:TestBroadcast +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gamma(self):$/;" m class:TestRandomDist +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gamma(self):$/;" m class:TestBroadcast +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gamma(self):$/;" m class:TestRandomDist +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gamma(self):$/;" m class:TestBroadcast +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gamma(self):$/;" m class:TestRandomDist +test_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_gamma(self):$/;" m class:RNG +test_gamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gamma(self):$/;" m class:TestCephes +test_gamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gamma(self):$/;" m class:TestGamma +test_gamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gamma(self):$/;" m class:TestSystematic +test_gamma adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gamma(self):$/;" m class:TestFrozen +test_gammainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gammainc(self):$/;" m class:TestSystematic +test_gammainc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^def test_gammainc():$/;" f +test_gammaincc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gammaincc(self):$/;" m class:TestSystematic +test_gammaincc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_gammainc.py /^def test_gammaincc():$/;" f +test_gammainccinv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammainccinv(self):$/;" m class:TestCephes +test_gammainccinv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammainccinv(self):$/;" m class:TestGamma +test_gammaincinv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammaincinv(self):$/;" m class:TestGamma +test_gammainc_boundary adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_gammainc_boundary():$/;" f +test_gammaln adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammaln(self):$/;" m class:TestCephes +test_gammaln adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammaln(self):$/;" m class:TestGamma +test_gammaln adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gammaln(self):$/;" m class:TestSystematic +test_gammasgn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gammasgn(self):$/;" m class:TestCephes +test_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gamma_0(self):$/;" m class:TestRandomDist +test_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_gamma_0(self):$/;" m class:TestRegression +test_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gamma_0(self):$/;" m class:TestRandomDist +test_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gamma_0(self):$/;" m class:TestRandomDist +test_gamma_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gamma_complex(self):$/;" m class:TestSystematic +test_gamma_floats adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_gamma_floats(self):$/;" m class:RNG +test_gate_learningrate adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def test_gate_learningrate(self, gc, dc):$/;" m class:TestLearningRate +test_gather adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_gather(self):$/;" m class:ShapePolyPrimitivesTest +test_gather adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_gather(self):$/;" m class:DistributedTest._DistTestBase +test_gather_checks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_gather_checks(self):$/;" m class:DistributedTest._DistTestBase +test_gather_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_gather_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_gather_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_gather_group(self):$/;" m class:DistributedTest._DistTestBase +test_gather_object adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_gather_object(self):$/;" m class:DistributedTest._DistTestBase +test_gather_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_gather_ops(self, rows_num, index_num, gc, dc):$/;" m class:TestGatherOps +test_gather_ops_axis2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_gather_ops_axis2(self, batch_num, rows_num, index_num, gc, dc):$/;" m class:TestGatherOps +test_gather_ops_match_outer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_gather_ops_match_outer(self, batch_num, rows_num, index_num, gc, dc):$/;" m class:TestGatherOps +test_gather_op_match_outer_axis2_data4D_ind4D adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^ def test_gather_op_match_outer_axis2_data4D_ind4D($/;" m class:TestGatherOps +test_gather_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_gather_padding(self, start_pad_width, end_pad_width, args, gc, dc):$/;" m class:TestSequenceOps +test_gather_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def test_gather_ranges(self, boarders_and_data, gc, dc):$/;" m class:TestGatherRanges +test_gather_ranges_split adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def test_gather_ranges_split(self, tensor_splits, gc, dc):$/;" m class:TestGatherRanges +test_gather_ranges_to_dense_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_gather_ranges_to_dense_op(self):$/;" m class:TorchIntegration +test_gather_ranges_with_key_split adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def test_gather_ranges_with_key_split(self, tensor_splits, gc, dc):$/;" m class:TestGatherRanges +test_gather_rank_change adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_gather_rank_change(self):$/;" m class:JaxPrimitiveTest +test_gather_vmap adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_gather_vmap(self):$/;" m class:ShapePolyPrimitivesTest +test_gauss01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss01(self):$/;" m class:TestNdimageFilters +test_gauss02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss02(self):$/;" m class:TestNdimageFilters +test_gauss03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss03(self):$/;" m class:TestNdimageFilters +test_gauss04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss04(self):$/;" m class:TestNdimageFilters +test_gauss05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss05(self):$/;" m class:TestNdimageFilters +test_gauss06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss06(self):$/;" m class:TestNdimageFilters +test_gaussian_fill_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_gaussian_fill_op(self, gc, dc):$/;" m class:TestFillerOperator +test_gaussian_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_gaussian_filter():$/;" f +test_gaussian_gradient_magnitude01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gaussian_gradient_magnitude01(self, dtype):$/;" m class:TestNdimageFilters +test_gaussian_gradient_magnitude02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gaussian_gradient_magnitude02(self, dtype):$/;" m class:TestNdimageFilters +test_gaussian_kde_covariance_caching adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_gaussian_kde_covariance_caching(self):$/;" m class:TestGaussianKDE +test_gaussian_kde_covariance_caching adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_gaussian_kde_covariance_caching():$/;" f +test_gaussian_kde_monkeypatch adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_gaussian_kde_monkeypatch():$/;" f +test_gaussian_kde_subclassing adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_gaussian_kde_subclassing():$/;" f +test_gaussian_kernel1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_gaussian_kernel1d():$/;" f +test_gaussian_laplace01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gaussian_laplace01(self, dtype):$/;" m class:TestNdimageFilters +test_gaussian_laplace02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gaussian_laplace02(self, dtype):$/;" m class:TestNdimageFilters +test_gaussian_reset adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gaussian_reset(self):$/;" m class:TestSetState +test_gaussian_reset adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gaussian_reset(self):$/;" m class:TestSetState +test_gaussian_reset adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gaussian_reset(self):$/;" m class:TestSetState +test_gaussian_reset_in_media_res adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gaussian_reset_in_media_res(self):$/;" m class:TestSetState +test_gaussian_reset_in_media_res adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gaussian_reset_in_media_res(self):$/;" m class:TestSetState +test_gaussian_reset_in_media_res adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gaussian_reset_in_media_res(self):$/;" m class:TestSetState +test_gaussian_truncate adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_gaussian_truncate():$/;" f +test_gauss_inv adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_gauss_inv(self):$/;" m class:Base +test_gauss_memory_overlap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_gauss_memory_overlap(self):$/;" m class:TestNdimageFilters +test_gauss_spline adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_gauss_spline(self):$/;" m class:TestBSplines +test_gauss_spline_list adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_gauss_spline_list(self):$/;" m class:TestBSplines +test_gbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_gbmv(self):$/;" m class:TestFBLAS2Simple +test_gbt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_gbt(self):$/;" m class:TestC2D +test_gbt_with_sio_tf_and_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_gbt_with_sio_tf_and_zpk(self):$/;" m class:TestC2D +test_gca adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_gca():$/;" f +test_gcd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_gcd(self):$/;" m class:TestRationalFunctions +test_gcd_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_gcd_object(self):$/;" m class:TestRationalFunctions +test_gcd_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_gcd_overflow(self):$/;" m class:TestRationalFunctions +test_gc_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_gc_state():$/;" f +test_gdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtr(self):$/;" m class:TestCephes +test_gdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtrc(self):$/;" m class:TestCephes +test_gdtria adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtria(self):$/;" m class:TestCephes +test_gdtria adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_gdtria(self):$/;" m class:TestCDFlib +test_gdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtrib(self):$/;" m class:TestCephes +test_gdtrib adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_gdtrib(self):$/;" m class:TestCDFlib +test_gdtrix adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtrix(self):$/;" m class:TestCephes +test_gdtrix adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_gdtrix(self):$/;" m class:TestCDFlib +test_gdtr_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gdtr_inf(self):$/;" m class:TestCephes +test_ge adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ge(self):$/;" m class:_TestCommon +test_gebal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gebal(self):$/;" m class:TestFlapackSimple +test_geequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_geequ():$/;" f +test_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gegenbauer(self):$/;" m class:TestSystematic +test_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_gegenbauer(self):$/;" m class:TestGegenbauer +test_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_gegenbauer(self):$/;" m class:TestPolys +test_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_gegenbauer(self):$/;" m class:TestRecurrence +test_gegenbauer_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gegenbauer_complex(self):$/;" m class:TestSystematic +test_gegenbauer_complex_general adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gegenbauer_complex_general(self):$/;" m class:TestSystematic +test_gegenbauer_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_gegenbauer_int(self):$/;" m class:TestSystematic +test_gegenbauer_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_gegenbauer_nan(n, alpha, x):$/;" f +test_gehrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gehrd(self):$/;" m class:TestFlapackSimple +test_gejsv_edge_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gejsv_edge_arguments(dtype):$/;" f +test_gejsv_general adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gejsv_general(size, dtype, joba, jobu, jobv, jobr, jobp, jobt=0):$/;" f +test_gejsv_invalid_job_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gejsv_invalid_job_arguments(kwargs):$/;" f +test_gejsv_NAG adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gejsv_NAG(A, sva_expect, u_expect, v_expect):$/;" f +test_gels adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gels(self):$/;" m class:TestLeastSquaresSolvers +test_gelsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gelsd(self):$/;" m class:TestLeastSquaresSolvers +test_gelss adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gelss(self):$/;" m class:TestLeastSquaresSolvers +test_gelsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gelsy(self):$/;" m class:TestLeastSquaresSolvers +test_gelu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_gelu(self, X, fast_gelu, gc, dc):$/;" m class:TestActivations +test_gelu_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_gelu_op(self):$/;" m class:TorchIntegration +test_gelu_op_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_gelu_op_cuda(self):$/;" m class:TorchIntegration +test_gemm adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_gemm(self):$/;" m class:TestCaffe2Basic +test_gemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_gemm(self):$/;" m class:TestFBLAS3Simple +test_gemm_conversion adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_gemm_conversion(self):$/;" m class:TestCaffe2Basic +test_gemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_gemv(self):$/;" m class:TestFBLAS2Simple +test_general adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_general(self):$/;" m class:TestCubicSpline +test_generalized_eigh_lworks adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_generalized_eigh_lworks(pfx, driver):$/;" f +test_generalized_empty_herm_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_empty_herm_cases(self):$/;" m class:HermitianGeneralizedTestCase +test_generalized_empty_nonsq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_empty_nonsq_cases(self):$/;" m class:LinalgGeneralizedNonsquareTestCase +test_generalized_empty_sq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_empty_sq_cases(self):$/;" m class:LinalgGeneralizedSquareTestCase +test_generalized_herm_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_herm_cases(self):$/;" m class:HermitianGeneralizedTestCase +test_generalized_nonsq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_nonsq_cases(self):$/;" m class:LinalgGeneralizedNonsquareTestCase +test_generalized_raise_multiloop adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_generalized_raise_multiloop():$/;" f +test_generalized_sq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_generalized_sq_cases(self):$/;" m class:LinalgGeneralizedSquareTestCase +test_general_nonsymmetric_starting_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_general_nonsymmetric_starting_vector():$/;" f +test_generate_A adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_expn_asy.py /^def test_generate_A():$/;" f +test_generate_limitations_doc adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_generate_limitations_doc(self):$/;" m class:JaxPrimitiveTest +test_generate_primitives_coverage_doc adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax_primitives_coverage_test.py /^ def test_generate_primitives_coverage_doc(self):$/;" m class:JaxPrimitiveTest +test_generate_proposals adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_generate_proposals(self, A, H, W, img_count):$/;" m class:TorchIntegration +test_generate_proposals_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_generate_proposals_cuda(self, A, H, W, img_count):$/;" m class:TorchIntegration +test_generate_structure01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_generate_structure01(self):$/;" m class:TestNdimageMorphology +test_generate_structure02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_generate_structure02(self):$/;" m class:TestNdimageMorphology +test_generate_structure03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_generate_structure03(self):$/;" m class:TestNdimageMorphology +test_generate_structure04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_generate_structure04(self):$/;" m class:TestNdimageMorphology +test_generator adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_generator(self):$/;" m class:TestHstack +test_generator adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_generator(self):$/;" m class:TestVstack +test_generator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_generator(self):$/;" m class:TestColumnStack +test_generator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_generator(self):$/;" m class:TestDstack +test_generator_source adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_generator_source(self):$/;" m class:TestLoadTxt +test_generator_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_generator_sum(self):$/;" m class:TestGeneratorSum +test_generic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_generic(self):$/;" m class:TestIsneginf +test_generic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_generic(self):$/;" m class:TestIsposinf +test_generic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_generic(self):$/;" m class:TestNanToNum +test_genericGraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_genericGraph(self):$/;" m class:TestBindings +test_generic_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^def test_generic_filter():$/;" f +test_generic_filter01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_generic_filter01(self, dtype):$/;" m class:TestNdimageFilters +test_generic_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^def test_generic_filter1d():$/;" f +test_generic_filter1d01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_generic_filter1d01(self, dtype):$/;" m class:TestNdimageFilters +test_generic_gradient_magnitude01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_generic_gradient_magnitude01(self):$/;" m class:TestNdimageFilters +test_generic_laplace01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_generic_laplace01(self, dtype):$/;" m class:TestNdimageFilters +test_generic_methods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_generic_methods(self):$/;" m class:TestMaskedArrayMethods +test_generic_quat_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_generic_quat_matrix():$/;" f +test_generic_rank1 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_generic_rank1(self):$/;" m class:TestArrayEqual +test_generic_rank3 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_generic_rank3(self):$/;" m class:TestArrayEqual +test_genextreme_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_genextreme_entropy():$/;" f +test_genextreme_give_no_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_genextreme_give_no_warnings():$/;" f +test_genextreme_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_genextreme_sf_isf():$/;" f +test_genfromtxt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_genfromtxt(self):$/;" m class:TestFileBased +test_genfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_genfromtxt(self):$/;" m class:TestPathUsage +test_gengamma_edge adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_gengamma_edge():$/;" f +test_genhalflogistic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_genhalflogistic(self):$/;" m class:TestExpect +test_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_genlaguerre(self):$/;" m class:TestLaguerre +test_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_genlaguerre(self):$/;" m class:TestPolys +test_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_genlaguerre(self):$/;" m class:TestRecurrence +test_genlaguerre_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_genlaguerre_nan(n, alpha, x):$/;" f +test_gennorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gennorm(self):$/;" m class:TestHalfgennorm +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_geometric(self):$/;" m class:TestBroadcast +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_geometric(self):$/;" m class:TestRandomDist +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_geometric(self):$/;" m class:TestBroadcast +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_geometric(self):$/;" m class:TestRandomDist +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_geometric(self):$/;" m class:TestBroadcast +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_geometric(self):$/;" m class:TestRandomDist +test_geometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_geometric(self):$/;" m class:RNG +test_geometric_convergence adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_geometric_convergence(self, a, b, x, result):$/;" m class:TestHyp1f1 +test_geometric_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_geometric_exceptions(self):$/;" m class:TestRandomDist +test_geometric_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_geometric_exceptions(self):$/;" m class:TestRandomDist +test_geometric_transform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^def test_geometric_transform():$/;" f +test_geometric_transform01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform01(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform02(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform03(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform04(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform05(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_geometric_transform06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform06(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform07(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform08(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform10(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform13(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform14(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform15(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform16 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform16(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform17 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform17(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform18 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform18(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform19 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform19(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform20 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform20(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform21 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform21(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform22 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform22(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform23 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform23(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform24 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform24(self, order):$/;" m class:TestNdimageInterpolation +test_geometric_transform_endianness_with_output_parameter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform_endianness_with_output_parameter(self):$/;" m class:TestNdimageInterpolation +test_geometric_transform_grid_constant_order1 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform_grid_constant_order1(self):$/;" m class:TestNdimageInterpolation +test_geometric_transform_vs_padded adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform_vs_padded(self, order, mode):$/;" m class:TestNdimageInterpolation +test_geometric_transform_with_string_output adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_geometric_transform_with_string_output(self):$/;" m class:TestNdimageInterpolation +test_geqrfp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_geqrfp(dtype, matrix_size):$/;" f +test_geqrfp_errors_with_empty_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_geqrfp_errors_with_empty_array():$/;" f +test_geqrfp_lwork adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_geqrfp_lwork(dtype, shape):$/;" f +test_geqrf_lwork adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_geqrf_lwork(dtype, shape):$/;" f +test_geqrt_gemqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_geqrt_gemqrt(self):$/;" m class:TestBlockedQR +test_ger adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_ger(self):$/;" m class:TestFBLAS2Simple +test_get adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_get(self):$/;" m class:TestMRecords +test_getattr_warning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^def test_getattr_warning():$/;" f +test_getc2_gesc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_getc2_gesc2():$/;" f +test_getcol adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getcol(self):$/;" m class:_TestCommon +test_getdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_getdomain(self):$/;" m class:TestDomain +test_getdtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_getdtype(self):$/;" m class:TestSparseUtils +test_getelement adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getelement(self):$/;" m class:_NonCanonicalCSMixin +test_getelement adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getelement(self):$/;" m class:_TestGetSet +test_geterr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_geterr():$/;" f +test_getfield adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_getfield():$/;" f +test_getfullargspec_no_self adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_getfullargspec_no_self():$/;" f +test_getitem adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_getitem(self):$/;" m class:TestCApiAccess +test_getitem adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_getitem(self):$/;" m class:TestMemmap +test_getitem adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_getitem(self):$/;" m class:TestMaskedFields +test_getitem adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_getitem(self):$/;" m class:TestMaskedObjectArray +test_getitem adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_getitem():$/;" f +test_getmaskarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_getmaskarray(self):$/;" m class:TestMaskedFields +test_getnnz_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getnnz_axis(self):$/;" m class:TestDIA +test_getnnz_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getnnz_axis(self):$/;" m class:_NonCanonicalMixin +test_getnnz_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getnnz_axis(self):$/;" m class:_TestGetNnzAxis +test_getrow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_getrow(self):$/;" m class:_TestCommon +Test_GetSet_NumericOps adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class Test_GetSet_NumericOps(_DeprecationTestCase):$/;" c +test_getstate adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_getstate(self):$/;" m class:Base +test_gettightbbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_gettightbbox():$/;" f +test_gettightbbox_ignoreNaN adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_gettightbbox_ignoreNaN():$/;" f +test_get_access_list adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_get_access_list(self):$/;" m class:TestLowOpen +test_get_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_get_backend(self):$/;" m class:DistributedTest._DistTestBase +test_get_blas_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^def test_get_blas_funcs():$/;" f +test_get_blas_funcs_alias adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^def test_get_blas_funcs_alias():$/;" f +test_get_class adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_get_class(self):$/;" m class:TestGet +test_get_complete_net adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper_test.py /^ def test_get_complete_net(self):$/;" m class:ModelHelperTest +test_get_complete_net_type adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper_test.py /^ def test_get_complete_net_type(self):$/;" m class:ModelHelperTest +test_get_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_get_default(self):$/;" m class:TestGet +test_get_default_filename adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_get_default_filename(tmpdir):$/;" f +test_get_dimension adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_dimension(self):$/;" m class:TestDimensionManager +test_get_dimension_scale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_dimension_scale(self):$/;" m class:TestDimensionsHighLevel +test_get_entry_from_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs_test.py /^ def test_get_entry_from_blobs(self):$/;" m class:GetEntryFromBlobsTest +test_get_entry_from_blobs_modify_output_record adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs_test.py /^ def test_get_entry_from_blobs_modify_output_record(self):$/;" m class:GetEntryFromBlobsTest +test_get_familyname_guessed adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_get_familyname_guessed():$/;" f +test_get_fieldstructure adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_get_fieldstructure(self):$/;" m class:TestRecFunctions +test_get_fontconfig_fonts adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_get_fontconfig_fonts():$/;" f +test_get_gradients adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def test_get_gradients(self):$/;" m class:JitDistAutogradTest +test_get_gridspec adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_get_gridspec():$/;" f +test_get_horiz_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_get_horiz_slice(self):$/;" m class:_TestSlicing +test_get_id adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_get_id(self):$/;" m class:TestAccess +test_get_index_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_get_index_dtype(self):$/;" m class:TestSparseUtils +test_get_items adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_items(self):$/;" m class:TestDimensionsHighLevel +test_get_key adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def test_get_key(self):$/;" m class:TestLayers +test_get_keys adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_keys(self):$/;" m class:TestDimensionsHighLevel +test_get_label adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_label(self):$/;" m class:TestDimensionsHighLevel +test_get_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_get_labels():$/;" f +test_get_link adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_get_link(self):$/;" m class:TestGet +test_get_link_class adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_get_link_class(self):$/;" m class:TestGet +test_get_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_get_names(self):$/;" m class:TestRecFunctions +test_get_names_flat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_get_names_flat(self):$/;" m class:TestRecFunctions +test_get_numeric_ops adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_get_numeric_ops(self):$/;" m class:Test_GetSet_NumericOps +test_get_packed_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_get_packed_offsets(wd_list, total, sep, mode):$/;" f +test_get_packed_offsets_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_get_packed_offsets_equal(wd_list, total, sep, expected):$/;" f +test_get_packed_offsets_equal_total_none_sep_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_get_packed_offsets_equal_total_none_sep_none():$/;" f +test_get_packed_offsets_expand adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_get_packed_offsets_expand(wd_list, total, sep, expected):$/;" f +test_get_packed_offsets_fixed adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_get_packed_offsets_fixed(wd_list, total, sep, expected):$/;" f +test_get_params adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_get_params(self):$/;" m class:BrewTest +test_get_rank adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_get_rank(self):$/;" m class:DistributedTest._DistTestBase +test_get_rank_size_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_get_rank_size_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_get_rank_size_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_get_rank_size_group(self):$/;" m class:DistributedTest._DistTestBase +test_get_rotation_float adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_float():$/;" f +test_get_rotation_int adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_int():$/;" f +test_get_rotation_mod360 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_mod360():$/;" f +test_get_rotation_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_none():$/;" f +test_get_rotation_raises adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_raises():$/;" f +test_get_rotation_string adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_get_rotation_string():$/;" f +test_get_set_draggable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_get_set_draggable():$/;" f +test_get_shared_lib_extension adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_get_shared_lib_extension(self):$/;" m class:TestSharedExtension +test_get_signature adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_get_signature(self):$/;" m class:TestUfunc +test_get_slices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_get_slices(self):$/;" m class:_TestSlicing +test_get_state_warning adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_get_state_warning(self):$/;" m class:TestSetState +test_get_tightbbox_polar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_get_tightbbox_polar():$/;" f +test_get_timeout adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ def test_get_timeout(self):$/;" m class:TestFileStoreHandlerOp +test_get_timeout adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^ def test_get_timeout(self):$/;" m class:TestRedisStoreHandlerOp +test_get_timeout adpepsenv/lib/python3.8/site-packages/caffe2/distributed/store_ops_test_util.py /^ def test_get_timeout(cls, create_store_handler_fn):$/;" m class:StoreOpsTests +test_get_values adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_get_values(self):$/;" m class:TestDimensionsHighLevel +test_get_vert_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_get_vert_slice(self):$/;" m class:_TestSlicing +test_get_window_extent_for_AxisImage adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_get_window_extent_for_AxisImage():$/;" f +test_get_worker_infos adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_get_worker_infos(self):$/;" m class:RpcTest +test_gfortran_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^ def test_gfortran_version(self):$/;" m class:TestGFortranVersions +test_gftrl_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_gftrl_sgd(self, inputs, in_place, alpha, beta, lambda1, lambda2,$/;" m class:TestOperators +test_gft_from_gzip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_gft_from_gzip(self):$/;" m class:TestFromTxt +test_gft_using_filename adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_gft_using_filename(self):$/;" m class:TestFromTxt +test_gft_using_generator adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_gft_using_generator(self):$/;" m class:TestFromTxt +test_gglse adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gglse():$/;" f +test_gh10771 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_gh10771(self):$/;" m class:TestOptimizeSimple +test_gh10880 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_report.py /^def test_gh10880():$/;" f +test_gh11184 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh11184(self):$/;" m class:TestKSTwoSamples +test_gh11184_bigger adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh11184_bigger(self):$/;" m class:TestKSTwoSamples +test_gh11207 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_gh11207(self):$/;" m class:TestOptimizeScalar +test_gh11389 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^def test_gh11389():$/;" f +test_gh12218 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh12218(self):$/;" m class:TestKSTwoSamples +test_gh12696 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_gh12696():$/;" f +test_gh1320_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_gh1320_regression():$/;" f +test_gh1758 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_gh1758(self):$/;" m class:TestSLSQP +test_gh2002_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_gh2002_regression():$/;" f +test_gh3014 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh3014(self):$/;" m class:TestFisherExact +test_gh4866 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_gh4866(self):$/;" m class:TestSqrtM +test_gh5336 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_gh5336(self):$/;" m class:TestSqrtM +test_gh5686 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_gh5686():$/;" f +test_gh5927 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_gh5927(self):$/;" m class:TestBinnedStatistic +test_GH7495 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_GH7495(self):$/;" m class:Test_Metropolis +test_gh7839 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_gh7839(self):$/;" m class:TestSqrtM +test_gh8904_zeroder_at_root_fails adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh8904_zeroder_at_root_fails():$/;" f +test_gh9254_flag_if_maxiter_exceeded adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh9254_flag_if_maxiter_exceeded(maximum_iterations, flag_expected):$/;" f +test_gh9551_raise_error_if_disp_true adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh9551_raise_error_if_disp_true():$/;" f +test_gh9640 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_gh9640(self):$/;" m class:TestSLSQP +test_gh_11099 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_gh_11099(self, a, b, x, desired):$/;" m class:TestHyp1f1 +test_gh_11111 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh_11111(self):$/;" m class:TestCorrSpearmanr2 +test_gh_11299_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_11299_rvs(self):$/;" m class:TestTruncnorm +test_gh_11315 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gamma.py /^ def test_gh_11315(self):$/;" m class:TestRgamma +test_gh_1489_trac_962_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_1489_trac_962_rvs(self):$/;" m class:TestTruncnorm +test_gh_15395 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def test_gh_15395(self):$/;" m class:TestInherit +test_gh_2466 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^def test_gh_2466():$/;" f +test_gh_2477_large_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_2477_large_values(self):$/;" m class:TestTruncnorm +test_gh_2477_small_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_2477_small_values(self):$/;" m class:TestTruncnorm +test_gh_2691 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_gh_2691(self):$/;" m class:TestDpotr +test_gh_3054 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_gh_3054(self):$/;" m class:TestEig +test_gh_3492 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_gh_3492(self):$/;" m class:TestHyp1f1 +test_gh_3593 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_gh_3593(self):$/;" m class:TestHyp1f1 +test_gh_4511_regression adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_gh_4511_regression(self):$/;" m class:TestDifferentialEvolutionSolver +test_gh_4915 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_gh_4915(self):$/;" m class:TestUniqueRoots +test_gh_5039 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_gh_5039(self):$/;" m class:TestSVD_GESDD +test_gh_5430 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_gh_5430(self):$/;" m class:TestBPolyFromDerivatives +test_gh_5430 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_gh_5430():$/;" f +test_gh_5555 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh_5555():$/;" f +test_gh_5557 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh_5557():$/;" f +test_gh_6536 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_gh_6536():$/;" f +test_gh_6676 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_gh_6676(self):$/;" m class:TestSLSQP +test_gh_6721 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_gh_6721():$/;" f +test_gh_6873 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_gh_6873(self):$/;" m class:TestBoxcox +test_gh_6873 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_gh_6873(self):$/;" m class:TestBoxcox_llf +test_gh_7909 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_gh_7909(self):$/;" m class:TestBessel +test_gh_8111 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh_8111(self):$/;" m class:TestCorrSpearmanr +test_gh_8881 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh_8881():$/;" f +test_gh_9103 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh_9103(self):$/;" m class:TestCorrSpearmanr +test_gh_9403_medium_tail_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_9403_medium_tail_values(self):$/;" m class:TestTruncnorm +test_gh_9403_nontail_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_9403_nontail_values(self):$/;" m class:TestTruncnorm +test_gh_9608_preserve_array_shape adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_gh_9608_preserve_array_shape():$/;" f +test_gh_issue_3025 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_gh_issue_3025():$/;" f +test_gh_issue_6061_windows_overflow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_gh_issue_6061_windows_overflow(self):$/;" m class:TestCorrSpearmanr2 +test_gh_pr_10949_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_gh_pr_10949_argcheck(self):$/;" m class:TestErlang +test_gh_pr_4806 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_gh_pr_4806():$/;" f +test_gid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_gid():$/;" f +test_given_colors_levels_and_extends adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_given_colors_levels_and_extends():$/;" f +test_given_directory adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^def test_given_directory():$/;" f +test_given_tensor_byte_string_to_uint8_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_byte_string_to_uint8_fill_op_test.py /^ def test_given_tensor_byte_string_to_uint8_fill(self, X, gc, dc):$/;" m class:TestGivenTensorByteStringToUInt8FillOps +test_given_tensor_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/given_tensor_fill_op_test.py /^ def test_given_tensor_fill(self, X, t, gc, dc):$/;" m class:TestGivenTensorFillOps +test_global_avg_pool_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_global_avg_pool_nchw(self, op_type, sz, batch_size, engine, gc, dc):$/;" m class:TestPooling +test_global_max_pool_nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_global_max_pool_nchw(self, op_type, sz,$/;" m class:TestPooling +test_global_norm_based_gradient_clipping adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def test_global_norm_based_gradient_clipping(self):$/;" m class:LRModificationTestBase +test_global_pooling adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_global_pooling(self, size, input_channels, batch_size,$/;" m class:TestPooling +test_global_pooling_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_global_pooling_3d(self, kernel, size, input_channels,$/;" m class:TestPooling +test_glu_old adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^ def test_glu_old(self, X_axis, gc, dc):$/;" m class:TestGlu +test_gmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_gmean(self):$/;" m class:TestCompareWithStats +test_gmres_basic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_gmres_basic():$/;" f +test_golden adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_golden(self):$/;" m class:TestOptimizeScalar +test_gompertz_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_gompertz_accuracy():$/;" f +test_good2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_good2d(self, incremental):$/;" m class:TestConvexHull +test_good2d_incremental_changes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_good2d_incremental_changes(self, new_gen, expected,$/;" m class:TestConvexHull +test_good2d_inside adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_good2d_inside(self, incremental):$/;" m class:TestConvexHull +test_good2d_no_option adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_good2d_no_option(self, incremental):$/;" m class:TestConvexHull +test_good3d adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_good3d(self, incremental):$/;" m class:TestConvexHull +test_goodvalues adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_goodvalues(self):$/;" m class:TestIsfinite +test_goodvalues adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_goodvalues(self):$/;" m class:TestIsinf +test_goodvalues adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_goodvalues(self):$/;" m class:TestIsnan +test_good_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_good_bounds():$/;" f +test_googlenet adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_googlenet(self):$/;" m class:Test_PT_ONNX_TRT +test_gpaths adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^ def test_gpaths(self):$/;" m class:TestGpaths +test_gpu_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_gpu_simple(self):$/;" m class:DistAutogradTest +test_gpu_to_cpu_continuation adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_gpu_to_cpu_continuation(self):$/;" m class:DistAutogradTest +test_gpu_to_cpu_continuation_gpu_root adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_gpu_to_cpu_continuation_gpu_root(self):$/;" m class:DistAutogradTest +test_graceful_shutdown_with_uneven_workload adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_graceful_shutdown_with_uneven_workload(self):$/;" m class:RpcTest +test_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/negate_gradient_op_test.py /^ def test_grad(self, size):$/;" m class:TestNegateGradient +test_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_grad(self):$/;" m class:LossFunctionMixin +test_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_gradient(self, x, in_place, gc, dc):$/;" m class:PythonOpTest +test_gradient adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def test_gradient(self):$/;" m class:SavedModelTest +test_gradients adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_gradients(self, with_function=True):$/;" m class:Jax2TfTest +test_gradients_are_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_gradients_are_none(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_gradients_disabled adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_gradients_disabled(self, with_function=False):$/;" m class:Jax2TfTest +test_gradients_pytree adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_gradients_pytree(self, with_function=True):$/;" m class:Jax2TfTest +test_gradients_pytree adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_gradients_pytree(self):$/;" m class:ShapePolyTest +test_gradients_with_custom_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_gradients_with_custom_jvp(self, with_function=True):$/;" m class:Jax2TfTest +test_gradients_with_custom_vjp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_gradients_with_custom_vjp(self, with_function=True):$/;" m class:Jax2TfTest +test_gradient_clipping adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_gradient_clipping(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_gradient_clipping_by_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_norm_compute_norm_ratio adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm_compute_norm_ratio(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_norm_excluding_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm_excluding_blobs(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_norm_including_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm_including_blobs(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_norm_l1_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm_l1_norm(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_norm_using_param_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_norm_using_param_norm(self):$/;" m class:GradientClippingTest +test_gradient_clipping_by_value adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping_test.py /^ def test_gradient_clipping_by_value(self):$/;" m class:GradientClippingTest +test_gradient_disabled adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def test_gradient_disabled(self):$/;" m class:SavedModelTest +test_gradient_gnev adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_gradient_gnev(self):$/;" m class:TestDualAnnealing +test_gradient_multiple adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_gradient_multiple(self, inputs, gc, dc):$/;" m class:PythonOpTest +test_gradient_multiple_with_indices adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_gradient_multiple_with_indices(self, inputs, gc, dc):$/;" m class:PythonOpTest +test_gradient_optim adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_gradient_optim(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_gradient_optim_tree adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_gradient_optim_tree(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_grad_copy_sparse_indices_extra_ref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_grad_copy_sparse_indices_extra_ref(self):$/;" m class:DistAutogradTest +test_grad_only_on_return_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_grad_only_on_return_value(self):$/;" m class:DistAutogradTest +test_grad_only_on_return_value_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_grad_only_on_return_value_remote(self):$/;" m class:DistAutogradTest +test_graph_breadth_first adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_traversal.py /^def test_graph_breadth_first():$/;" f +test_graph_breadth_first_trivial_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_traversal.py /^def test_graph_breadth_first_trivial_graph():$/;" f +test_graph_depth_first adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_traversal.py /^def test_graph_depth_first():$/;" f +test_graph_depth_first_trivial_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_traversal.py /^def test_graph_depth_first_trivial_graph():$/;" f +test_graph_for_builtin_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_builtin_call(self):$/;" m class:DistAutogradTest +test_graph_for_builtin_remote_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_builtin_remote_call(self):$/;" m class:DistAutogradTest +test_graph_for_python_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_python_call(self):$/;" m class:DistAutogradTest +test_graph_for_python_remote_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_python_remote_call(self):$/;" m class:DistAutogradTest +test_graph_for_py_nested_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_py_nested_call(self):$/;" m class:DistAutogradTest +test_graph_for_py_nested_call_itself adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_py_nested_call_itself(self):$/;" m class:DistAutogradTest +test_graph_for_py_nested_remote_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_py_nested_remote_call(self):$/;" m class:DistAutogradTest +test_graph_for_py_nested_remote_call_itself adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_graph_for_py_nested_remote_call_itself(self):$/;" m class:DistAutogradTest +test_graph_reverse_cuthill_mckee adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_reordering.py /^def test_graph_reverse_cuthill_mckee():$/;" f +test_graph_reverse_cuthill_mckee_ordering adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_reordering.py /^def test_graph_reverse_cuthill_mckee_ordering():$/;" f +test_graph_structural_rank adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_reordering.py /^def test_graph_structural_rank():$/;" f +test_grayscale_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_grayscale_alpha():$/;" f +test_greater adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_greater(self):$/;" m class:TestComparisons +test_greater_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_greater_equal(self):$/;" m class:TestComparisons +test_greedy_edge_cases adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_greedy_edge_cases():$/;" f +test_grey_closing01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_closing01(self):$/;" m class:TestNdimageMorphology +test_grey_closing02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_closing02(self):$/;" m class:TestNdimageMorphology +test_grey_dilation01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_dilation01(self):$/;" m class:TestNdimageMorphology +test_grey_dilation02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_dilation02(self):$/;" m class:TestNdimageMorphology +test_grey_dilation03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_dilation03(self):$/;" m class:TestNdimageMorphology +test_grey_erosion01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_erosion01(self):$/;" m class:TestNdimageMorphology +test_grey_erosion01_overlap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_erosion01_overlap(self):$/;" m class:TestNdimageMorphology +test_grey_erosion02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_erosion02(self):$/;" m class:TestNdimageMorphology +test_grey_erosion03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_erosion03(self):$/;" m class:TestNdimageMorphology +test_grey_gray adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_grey_gray():$/;" f +test_grey_opening01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_opening01(self):$/;" m class:TestNdimageMorphology +test_grey_opening02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_grey_opening02(self):$/;" m class:TestNdimageMorphology +test_grid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_grid():$/;" f +test_gridspec_make_colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_gridspec_make_colorbar():$/;" f +test_group adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def test_group(self):$/;" m class:TestRepr +test_grouper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_grouper():$/;" f +test_grouper_private adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_grouper_private():$/;" f +test_groupwise_dnnlowp_conv_acc16_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^ def test_groupwise_dnnlowp_conv_acc16_int($/;" m class:GroupWiseDNNLowPOpConvAcc16OpTest +test_groupwise_dnnlowp_conv_acc16_outlier adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_acc16_op_test.py /^ def test_groupwise_dnnlowp_conv_acc16_outlier($/;" m class:GroupWiseDNNLowPOpConvAcc16OpTest +test_groupwise_dnnlowp_conv_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^ def test_groupwise_dnnlowp_conv_int($/;" m class:GroupWiseDNNLowPOpConvTest +test_groupwise_dnnlowp_conv_relu_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_groupwise_dnnlowp_op_test.py /^ def test_groupwise_dnnlowp_conv_relu_int($/;" m class:GroupWiseDNNLowPOpConvTest +test_group_columns adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^def test_group_columns():$/;" f +test_group_completions adpepsenv/lib/python3.8/site-packages/h5py/tests/test_completions.py /^ def test_group_completions(self):$/;" m class:TestCompletions +test_group_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_conv_test.py /^ def test_group_convolution($/;" m class:TestGroupConvolution +test_group_l1_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_group_l1_norm(self, output_dim, input_num, reg_weight):$/;" m class:TestRegularizer +test_group_name adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_name(name):$/;" f +test_group_norm_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def test_group_norm_2d($/;" m class:TestGroupNormOp +test_group_norm_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def test_group_norm_3d($/;" m class:TestGroupNormOp +test_group_norm_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/group_norm_op_test.py /^ def test_group_norm_grad($/;" m class:TestGroupNormOp +test_group_no_duplicates adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_no_duplicates(name, size):$/;" f +test_group_order_positive adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_order_positive(name):$/;" f +test_group_poles adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_group_poles(self):$/;" m class:TestPartialFractionExpansion +test_group_sizes adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_sizes(name, size):$/;" f +test_group_symmetry adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_symmetry(name, size):$/;" f +test_group_type adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_group_type(name):$/;" f +test_gru_main adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def test_gru_main(self, seed, **kwargs):$/;" m class:GRUCellTest +test_gru_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def test_gru_model_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategyGruModelCorrectnessTest +test_gru_unit_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^ def test_gru_unit_op(self, seed, input_tensor, fwd_only,$/;" m class:GRUCellTest +test_gsl adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def test_gsl(test):$/;" f +test_gssv_badinput adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_gssv_badinput(self):$/;" m class:TestLinsolve +test_gt adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_gt(self):$/;" m class:_TestCommon +test_gtsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gtsvx(dtype, trans_bool, fact):$/;" f +test_gtsvx_error_incompatible_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gtsvx_error_incompatible_size(dtype, trans_bool, fact):$/;" f +test_gtsvx_error_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gtsvx_error_singular(dtype, trans_bool, fact):$/;" f +test_gtsvx_NAG adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gtsvx_NAG(du, d, dl, b, x):$/;" f +test_gttrf_gttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gttrf_gttrs(dtype):$/;" f +test_gttrf_gttrs_NAG_f07cdf_f07cef_f07crf_f07csf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_gttrf_gttrs_NAG_f07cdf_f07cef_f07crf_f07csf(du, d, dl, du_exp, d_exp,$/;" f +test_gt_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_gt_128():$/;" f +test_gufunc_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_gufunc_override(self):$/;" m class:TestSpecialMethods +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gumbel(self):$/;" m class:TestBroadcast +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gumbel(self):$/;" m class:TestRandomDist +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gumbel(self):$/;" m class:TestBroadcast +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gumbel(self):$/;" m class:TestRandomDist +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gumbel(self):$/;" m class:TestBroadcast +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gumbel(self):$/;" m class:TestRandomDist +test_gumbel adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_gumbel(self):$/;" m class:RNG +test_gumbel adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_gumbel(self):$/;" m class:TestAnderson +test_gumbel_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_gumbel_0(self):$/;" m class:TestRandomDist +test_gumbel_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_gumbel_0(self):$/;" m class:TestRandomDist +test_gumbel_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_gumbel_0(self):$/;" m class:TestRandomDist +test_gumbel_l adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_gumbel_l(self):$/;" m class:TestAnderson +test_gumbel_r adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_gumbel_r(self):$/;" m class:TestAnderson +test_gust_scalars adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_gust_scalars(self):$/;" m class:TestFiltFilt +test_gust_simple adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_gust_simple(self):$/;" m class:TestFiltFilt +test_gzip adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_gzip(self):$/;" m class:TestCreateGzip +test_gzip_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_gzip_exc(self):$/;" m class:TestCreateGzip +test_gzip_implicit adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_gzip_implicit(self):$/;" m class:TestCreateGzip +test_gzip_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_gzip_load():$/;" f +test_gzip_loadtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_gzip_loadtxt():$/;" f +test_gzip_loadtxt_from_string adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_gzip_loadtxt_from_string():$/;" f +test_gzip_number adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_gzip_number(self):$/;" m class:TestCreateGzip +test_gzip_py3 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_gzip_py3(self):$/;" m class:TestMMIOCoordinate +test_gzip_simple adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_gzip_simple():$/;" f +test_h1vp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_h1vp(self):$/;" m class:TestHyper +test_h2vp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_h2vp(self):$/;" m class:TestHyper +test_haar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_haar(self):$/;" m class:TestOrthoGroup +test_haar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_haar(self):$/;" m class:TestSpecialOrthoGroup +test_haar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_haar(self):$/;" m class:TestUnitaryGroup +test_hadamard_like_products adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_hadamard_like_products(self):$/;" m class:TestEinsum +test_halfnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_halfnorm(self):$/;" m class:TestHalfgennorm +Test_HalfspaceIntersection adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class Test_HalfspaceIntersection(object):$/;" c +test_half_array_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_array_interface(self):$/;" m class:TestHalf +test_half_coercion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_coercion(self):$/;" m class:TestHalf +test_half_conversions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_conversions(self):$/;" m class:TestHalf +test_half_conversion_denormal_round_even adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_conversion_denormal_round_even(self, float_t, uint_t, bits):$/;" m class:TestHalf +test_half_conversion_rounding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_conversion_rounding(self, float_t, shift, offset):$/;" m class:TestHalf +test_half_correctness adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_correctness(self):$/;" m class:TestHalf +test_half_fpe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_fpe(self):$/;" m class:TestHalf +test_half_funcs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_funcs(self):$/;" m class:TestHalf +test_half_integer_real_part adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^def test_half_integer_real_part():$/;" f +test_half_ordering adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_ordering(self):$/;" m class:TestHalf +test_half_rounding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_rounding(self):$/;" m class:TestHalf +test_half_ufuncs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_ufuncs(self):$/;" m class:TestHalf +test_half_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_half_values(self):$/;" m class:TestHalf +test_halley_by_name adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_halley_by_name(self):$/;" m class:TestBasic +test_halley_collections adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_halley_collections(self):$/;" m class:TestBasic +test_hamming adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_hamming(self):$/;" m class:TestFilterwindows +test_hamming_string_array adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_hamming_string_array():$/;" f +test_hamming_unequal_length adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_hamming_unequal_length():$/;" f +test_handler_numpoints adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_handler_numpoints():$/;" f +test_handle_antipodes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_handle_antipodes(self, start, end, expected):$/;" m class:TestGeometricSlerp +test_handle_send_exceptions adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_handle_send_exceptions(self):$/;" m class:RpcTest +test_hankel1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel1(self):$/;" m class:TestCephes +test_hankel1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel1(self):$/;" m class:TestHankel +test_hankel1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hankel1(self):$/;" m class:TestSystematic +test_hankel1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel1e(self):$/;" m class:TestCephes +test_hankel1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel1e(self):$/;" m class:TestHankel +test_hankel2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel2(self):$/;" m class:TestCephes +test_hankel2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel2(self):$/;" m class:TestHankel +test_hankel2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hankel2(self):$/;" m class:TestSystematic +test_hankel2e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankel2e(self):$/;" m class:TestCephes +test_hankl2e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hankl2e(self):$/;" m class:TestHankel +test_hanning adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_hanning(self):$/;" m class:TestFilterwindows +test_hardcoded adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_hardcoded(self):$/;" m class:TestBessel +test_hardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_hardmask(self):$/;" m class:TestMaskedArrayAttributes +test_hardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_hardmask(self):$/;" m class:TestMRecords +test_hardmask_again adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_hardmask_again(self):$/;" m class:TestMaskedArrayAttributes +test_hardmask_oncemore_yay adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_hardmask_oncemore_yay(self):$/;" m class:TestMaskedArrayAttributes +test_hard_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_hard_sigmoid(self, X, inplace, alpha, beta, engine, gc, dc):$/;" m class:TestElementwiseOps +test_hash adpepsenv/lib/python3.8/site-packages/h5py/tests/test_objects.py /^ def test_hash(self):$/;" m class:TestObjects +test_hash adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_hash(self):$/;" m class:TestMakeTupleBunch +test_hashable_keys adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_hashable_keys(self, fig_test, fig_ref):$/;" m class:TestSubplotMosaic +test_hashable_object_input_format adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_hashable_object_input_format():$/;" f +test_has_canonical_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_has_canonical_format(self):$/;" m class:TestCSR +test_has_device_option adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_has_device_option(self):$/;" m class:TestBindings +test_has_elements adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_has_elements(self, x, y, gc, dc):$/;" m class:TestOperators +test_has_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_has_helper(self):$/;" m class:BrewTest +test_has_nested_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_has_nested_dtype(self):$/;" m class:TestMiscFunctions +test_has_sorted_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_has_sorted_indices(self):$/;" m class:TestCSR +test_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_hatch():$/;" f +test_hatching adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_hatching():$/;" f +test_hatching adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_hatching():$/;" f +test_hatching_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_hatching_legend():$/;" f +test_hbox_divider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_hbox_divider():$/;" f +test_hb_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_hb_read(self):$/;" m class:TestPaths +test_hb_write adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_hb_write(self):$/;" m class:TestPaths +test_hdmedian adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_hdmedian():$/;" f +test_hdquantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^ def test_hdquantiles(self):$/;" m class:TestQuantiles +test_hdquantiles_sd adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^ def test_hdquantiles_sd(self):$/;" m class:TestQuantiles +test_header adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_header(self):$/;" m class:TestFromTxt +test_header_footer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_header_footer(self):$/;" m class:TestSaveTxt +test_Heap adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_Heap():$/;" f +test_heap_pointer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_heap_pointer(self):$/;" m class:TestScalars +TEST_HEARTBEAT_INTERVAL_MS adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^TEST_HEARTBEAT_INTERVAL_MS = 100$/;" v +test_heaviside adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_heaviside(self):$/;" m class:TestHeavside +test_heequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_heequb():$/;" f +test_hegst adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_hegst():$/;" f +test_height_condition adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_height_condition(self):$/;" m class:TestFindPeaks +test_height_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_gridspec.py /^def test_height_ratios():$/;" f +test_hemm_zc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_hemm_zc(self):$/;" m class:TestSyHe +test_her2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_her2(self):$/;" m class:TestFBLAS2Simple +test_her2k_zr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_her2k_zr(self):$/;" m class:TestSyHe +test_herk_zr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_herk_zr(self):$/;" m class:TestSyHe +test_herm2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_herm2poly(self):$/;" m class:TestMisc +test_hermadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermadd(self):$/;" m class:TestArithmetic +test_hermder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermder(self):$/;" m class:TestDerivative +test_hermder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermder_axis(self):$/;" m class:TestDerivative +test_hermdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermdiv(self):$/;" m class:TestArithmetic +test_hermdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermdomain(self):$/;" m class:TestConstants +test_herme2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_herme2poly(self):$/;" m class:TestMisc +test_hermeadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeadd(self):$/;" m class:TestArithmetic +test_hermeder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeder(self):$/;" m class:TestDerivative +test_hermeder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeder_axis(self):$/;" m class:TestDerivative +test_hermediv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermediv(self):$/;" m class:TestArithmetic +test_hermedomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermedomain(self):$/;" m class:TestConstants +test_hermefit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermefit(self):$/;" m class:TestFitting +test_hermefromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermefromroots(self):$/;" m class:TestMisc +test_hermegrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermegrid2d(self):$/;" m class:TestEvaluation +test_hermegrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermegrid3d(self):$/;" m class:TestEvaluation +test_hermeint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeint(self):$/;" m class:TestIntegral +test_hermeint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeint_axis(self):$/;" m class:TestIntegral +test_hermeline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeline(self):$/;" m class:TestMisc +test_hermemul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermemul(self):$/;" m class:TestArithmetic +test_hermemulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermemulx(self):$/;" m class:TestArithmetic +test_hermeone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeone(self):$/;" m class:TestConstants +test_hermepow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermepow(self):$/;" m class:TestArithmetic +test_hermeroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeroots(self):$/;" m class:TestMisc +test_hermesub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermesub(self):$/;" m class:TestArithmetic +test_hermetrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermetrim(self):$/;" m class:TestMisc +test_hermeval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeval(self):$/;" m class:TestEvaluation +test_hermeval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeval2d(self):$/;" m class:TestEvaluation +test_hermeval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermeval3d(self):$/;" m class:TestEvaluation +test_hermevander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermevander(self):$/;" m class:TestVander +test_hermevander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermevander2d(self):$/;" m class:TestVander +test_hermevander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermevander3d(self):$/;" m class:TestVander +test_hermex adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermex(self):$/;" m class:TestConstants +test_hermezero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_hermezero(self):$/;" m class:TestConstants +test_hermfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermfit(self):$/;" m class:TestFitting +test_hermfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermfromroots(self):$/;" m class:TestMisc +test_hermgrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermgrid2d(self):$/;" m class:TestEvaluation +test_hermgrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermgrid3d(self):$/;" m class:TestEvaluation +test_hermint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermint(self):$/;" m class:TestIntegral +test_hermint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermint_axis(self):$/;" m class:TestIntegral +test_hermite adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_hermite(self):$/;" m class:TestKrogh +test_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hermite(self):$/;" m class:TestSystematic +test_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_hermite(self):$/;" m class:TestHermite +test_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_hermite(self):$/;" m class:TestPolys +test_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_hermite(self):$/;" m class:TestRecurrence +test_hermiteE_repr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_hermiteE_repr(self):$/;" m class:TestRepr +test_hermiteE_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_hermiteE_str(self):$/;" m class:TestStr +test_hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_hermitenorm(self):$/;" m class:TestHermite +test_hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_hermitenorm(self):$/;" m class:TestPolys +test_hermite_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_hermite_domain():$/;" f +test_hermite_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_hermite_nan(n, x):$/;" f +test_hermite_repr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_hermite_repr(self):$/;" m class:TestRepr +test_hermite_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_hermite_str(self):$/;" m class:TestStr +test_hermitian adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_hermitian(self):$/;" m class:TestSolve +test_hermitian adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_hermitian():$/;" f +test_hermitian_modes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_hermitian_modes():$/;" f +test_hermline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermline(self):$/;" m class:TestMisc +test_hermmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermmul(self):$/;" m class:TestArithmetic +test_hermmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermmulx(self):$/;" m class:TestArithmetic +test_hermone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermone(self):$/;" m class:TestConstants +test_hermpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermpow(self):$/;" m class:TestArithmetic +test_hermroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermroots(self):$/;" m class:TestMisc +test_hermsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermsub(self):$/;" m class:TestArithmetic +test_hermtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermtrim(self):$/;" m class:TestMisc +test_hermval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermval(self):$/;" m class:TestEvaluation +test_hermval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermval2d(self):$/;" m class:TestEvaluation +test_hermval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermval3d(self):$/;" m class:TestEvaluation +test_hermvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermvander(self):$/;" m class:TestVander +test_hermvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermvander2d(self):$/;" m class:TestVander +test_hermvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermvander3d(self):$/;" m class:TestVander +test_hermx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermx(self):$/;" m class:TestConstants +test_hermzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_hermzero(self):$/;" m class:TestConstants +test_herm_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_herm_cases(self):$/;" m class:HermitianTestCase +test_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_hess(self):$/;" m class:TestRosen +test_hessenberg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_hessenberg(self):$/;" m class:TestOverwrite +test_hessian_initialization adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def test_hessian_initialization(self):$/;" m class:TestHessianUpdateStrategy +test_hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_hessp(self):$/;" m class:TestTrustRegionConstr +test_hetero_shape_handling adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_hetero_shape_handling(self):$/;" m class:TestFillDiagonal +test_hetrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_hetrd(self, n, real_dtype, complex_dtype):$/;" m class:TestHetrd +test_hetrd_with_zero_dim_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_hetrd_with_zero_dim_array(self, complex_dtype):$/;" m class:TestHetrd +test_hexbin_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hexbin_empty():$/;" f +test_hexbin_extent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hexbin_extent():$/;" f +test_hexbin_log adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hexbin_log():$/;" f +test_hexbin_pickable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hexbin_pickable():$/;" f +test_hex_shorthand_notation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_hex_shorthand_notation():$/;" f +test_hfft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_hfft(self):$/;" m class:TestFFT1D +test_hfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_hfft(self):$/;" m class:TestFFT1D +test_hfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_hfft(self):$/;" m class:TestFFTThreadSafe +test_hfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_hfft2(self):$/;" m class:TestFFT1D +test_hfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_hfftn(self):$/;" m class:TestFFT1D +test_hidden adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_hidden(self):$/;" m class:TestSharedMemory +test_hidden_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_constrainedlayout.py /^def test_hidden_axes():$/;" f +test_higher_dimensions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_higher_dimensions(self, dim):$/;" m class:TestSphericalVoronoi +test_higher_f_rejected adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_higher_f_rejected(self):$/;" m class:Test_Storage +test_highlevel_access adpepsenv/lib/python3.8/site-packages/h5py/tests/test_deprecation.py /^ def test_highlevel_access(self):$/;" m class:TestDeprecations +test_highorder adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_highorder(self):$/;" m class:TestArgrel +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestButter +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestButtord +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestCheb1ord +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestCheb2ord +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestCheby1 +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestCheby2 +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestEllip +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_highpass(self):$/;" m class:TestEllipord +test_highpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_highpass(self):$/;" m class:TestFirWinMore +test_high_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_high_derivative(self):$/;" m class:TestKrogh +test_high_dim adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_high_dim(self):$/;" m class:TestDualAnnealing +test_high_dim_no_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_high_dim_no_ls(self):$/;" m class:TestDualAnnealing +test_high_order adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_high_order(self):$/;" m class:TestBessel +test_hilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_hilbert(self):$/;" m class:TestOverwrite +test_hilbert adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_hilbert(self):$/;" m class:TestMinimumPhase +test_hilbert adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_hilbert(self):$/;" m class:TestRemez +test_hilbert_axisN adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_hilbert_axisN(self):$/;" m class:TestHilbert +test_hilbert_theoretical adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_hilbert_theoretical(self):$/;" m class:TestHilbert +test_hill_learning_rate_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def test_hill_learning_rate_op(self, gc, dc):$/;" m class:TestLearningRate +test_himmelblau adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_himmelblau(self):$/;" m class:TestNewtonCg +test_hinting_factor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_hinting_factor(factor):$/;" f +test_hinting_factor_backends adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_hinting_factor_backends():$/;" f +test_hist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^def test_hist():$/;" f +test_hist2d adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist2d():$/;" f +test_hist2d_density adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist2d_density():$/;" f +test_hist2d_transpose adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist2d_transpose():$/;" f +test_histogram01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_histogram01():$/;" f +test_histogram02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_histogram02():$/;" f +test_histogram03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_histogram03():$/;" f +test_histogramdd_too_many_bins adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_histogramdd_too_many_bins(self):$/;" m class:TestRegression +test_histogram_bin_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_histogram_bin_edges(self):$/;" m class:TestHistogram +test_histogram_bin_to_fewer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_bin_to_fewer(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_bin_to_more adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_bin_to_more(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_bin_to_two adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_bin_to_two(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_device_consistency adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_device_consistency(self, rows, cols, gc, dc):$/;" m class:TestSelfBinningHistogramBase +test_histogram_empty_input_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_empty_input_tensor(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_insufficient_bins adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_insufficient_bins(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_min_max_equal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_min_max_equal(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_min_max_equal_nonzero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_min_max_equal_nonzero(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_multi_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_multi_input(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram_very_small_range_for_stride_underflow adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def test_histogram_very_small_range_for_stride_underflow(self):$/;" m class:TestSelfBinningHistogramBase +test_histogram__device_consistency adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__device_consistency(self, rows, cols, gc, dc):$/;" m class:TestHistogram +test_histogram__empty_input_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__empty_input_tensor(self):$/;" m class:TestHistogram +test_histogram__insufficient_bin_edges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__insufficient_bin_edges(self):$/;" m class:TestHistogram +test_histogram__non_increasing_bin_edges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__non_increasing_bin_edges(self):$/;" m class:TestHistogram +test_histogram__valid_inputs_0 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__valid_inputs_0(self):$/;" m class:TestHistogram +test_histogram__valid_inputs_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def test_histogram__valid_inputs_1(self, num_tensors, num_bin_edges):$/;" m class:TestHistogram +test_hist_auto_bins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_auto_bins():$/;" f +test_hist_bar_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_bar_empty():$/;" f +test_hist_datetime_datasets adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_datetime_datasets():$/;" f +test_hist_datetime_datasets_bins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_datetime_datasets_bins(bins_preprocess):$/;" f +test_hist_density adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_density():$/;" f +test_hist_emptydata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_emptydata():$/;" f +test_hist_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_labels():$/;" f +test_hist_log adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_log():$/;" f +test_hist_log_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_log_2(fig_test, fig_ref):$/;" f +test_hist_log_barstacked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_log_barstacked():$/;" f +test_hist_nan_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_nan_data():$/;" f +test_hist_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_offset():$/;" f +test_hist_range_and_density adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_range_and_density():$/;" f +test_hist_stacked_bar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_bar():$/;" f +test_hist_stacked_density adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_density():$/;" f +test_hist_stacked_step adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_step():$/;" f +test_hist_stacked_stepfilled adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_stepfilled():$/;" f +test_hist_stacked_stepfilled_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_stepfilled_alpha():$/;" f +test_hist_stacked_stepfilled_bottom_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_stepfilled_bottom_geometry():$/;" f +test_hist_stacked_stepfilled_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_stepfilled_geometry():$/;" f +test_hist_stacked_step_bottom_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_step_bottom_geometry():$/;" f +test_hist_stacked_step_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_step_geometry():$/;" f +test_hist_stacked_weighted adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stacked_weighted():$/;" f +test_hist_step adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step():$/;" f +test_hist_stepfilled_bottom_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stepfilled_bottom_geometry():$/;" f +test_hist_stepfilled_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_stepfilled_geometry():$/;" f +test_hist_step_bottom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_bottom():$/;" f +test_hist_step_bottom_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_bottom_geometry():$/;" f +test_hist_step_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_empty():$/;" f +test_hist_step_filled adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_filled():$/;" f +test_hist_step_geometry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_geometry():$/;" f +test_hist_step_horiz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_step_horiz():$/;" f +test_hist_unequal_bins_density adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_unequal_bins_density():$/;" f +test_hist_with_empty_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_with_empty_input(data, expected_number_of_hists):$/;" f +test_hist_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hist_zorder(histtype, zorder):$/;" f +test_hitrate_reset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^ def test_hitrate_reset(self):$/;" m class:TestCacheConfig +test_hits_boundary adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_hits_boundary(self):$/;" m class:TestProjectCG +test_hit_or_miss01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_hit_or_miss01(self, dtype):$/;" m class:TestNdimageMorphology +test_hit_or_miss02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_hit_or_miss02(self, dtype):$/;" m class:TestNdimageMorphology +test_hit_or_miss03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_hit_or_miss03(self, dtype):$/;" m class:TestNdimageMorphology +test_hlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hlines():$/;" f +test_hlines_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_hlines_default():$/;" f +test_hmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_hmean(self):$/;" m class:TestCompareWithStats +test_hold_write tests/test_utils.py /^ def test_hold_write(self):$/;" m class:TestTList +test_homomorphic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_homomorphic(self):$/;" m class:TestMinimumPhase +test_horizontal_rois adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def test_horizontal_rois(self, H, W, C, num_rois, pooled_size, gc, dc):$/;" m class:RoIAlignRotatedOp +test_horiz_violinplot_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_baseline():$/;" f +test_horiz_violinplot_custompoints_10 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_custompoints_10():$/;" f +test_horiz_violinplot_custompoints_200 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_custompoints_200():$/;" f +test_horiz_violinplot_showall adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_showall():$/;" f +test_horiz_violinplot_showextrema adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_showextrema():$/;" f +test_horiz_violinplot_showmeans adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_showmeans():$/;" f +test_horiz_violinplot_showmedians adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_horiz_violinplot_showmedians():$/;" f +test_host_training_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_host_training_loop(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_host_training_loop_dataset_unknown_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_host_training_loop_dataset_unknown_size(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_host_training_loop_last_partial_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_host_training_loop_last_partial_execution(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_host_training_loop_truncate_to_epoch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_host_training_loop_truncate_to_epoch(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_hours adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_hours(self):$/;" m class:TestDateTime +test_hrectangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_hrectangular(self):$/;" m class:TestLU +test_hrectangular_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_hrectangular_complex(self):$/;" m class:TestLU +test_hsm_forward adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def test_hsm_forward(self):$/;" m class:TestHsm +test_hsm_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def test_hsm_gradient(self, gc, dc):$/;" m class:TestHsm +test_hsm_run_once adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def test_hsm_run_once(self):$/;" m class:TestHsm +test_hsm_search adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def test_hsm_search(self):$/;" m class:TestHsm +test_hstack adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_hstack(self):$/;" m class:TestConstructUtils +test_hstack_invalid_dims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_hstack_invalid_dims(self):$/;" m class:TestRegression +test_https_imread_smoketest adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_https_imread_smoketest():$/;" f +test_huber adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_huber():$/;" f +test_huffman_tree_hierarchy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^ def test_huffman_tree_hierarchy(self):$/;" m class:TestHsm +test_huge_arange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_huge_arange(self):$/;" m class:TestRegression +test_huge_range_log adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_huge_range_log(fig_test, fig_ref):$/;" f +test_hull_consistency_tri adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_hull_consistency_tri(self, name):$/;" m class:TestConvexHull +test_hurwitz_zeta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hurwitz_zeta(self):$/;" m class:TestSystematic +test_hyp0f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp0f1(self):$/;" m class:TestHyper +test_hyp0f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyp0f1(self):$/;" m class:TestSystematic +test_hyp0f1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyp0f1_complex(self):$/;" m class:TestSystematic +test_hyp0f1_gh5764 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp0f1_gh5764(self):$/;" m class:TestHyper +test_hyp0f1_gh5764 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp0f1_gh5764():$/;" f +test_hyp0f1_gh_1609 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp0f1_gh_1609():$/;" f +test_hyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp1f1(self):$/;" m class:TestCephes +test_hyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp1f1(self):$/;" m class:TestHyper +test_hyp1f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyp1f1(self):$/;" m class:TestSystematic +test_hyp1f1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyp1f1_complex(self):$/;" m class:TestSystematic +test_hyp1f1_gh2282 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp1f1_gh2282(self):$/;" m class:TestHyper +test_hyp1f1_gh2957 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp1f1_gh2957(self):$/;" m class:TestHyper +test_hyp2f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp2f1(self):$/;" m class:TestCephes +test_hyp2f1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyp2f1(self):$/;" m class:TestHyper +test_hyp2f1_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyp2f1_complex(self):$/;" m class:TestSystematic +test_hyp2f1_real_random adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp2f1_real_random():$/;" f +test_hyp2f1_real_some adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp2f1_real_some():$/;" f +test_hyp2f1_real_some_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp2f1_real_some_points():$/;" f +test_hyp2f1_some_points_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp2f1_some_points_2():$/;" f +test_hyp2f1_strange_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyp2f1_strange_points():$/;" f +test_hyperbolic_at_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_hyperbolic_at_zero(self):$/;" m class:TestChirp +test_hyperbolic_freq_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_hyperbolic_freq_01(self):$/;" m class:TestChirp +test_hyperbolic_zero_freq adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_hyperbolic_zero_freq(self):$/;" m class:TestChirp +test_hypercube_regions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_hypercube_regions(self, dim):$/;" m class:TestSphericalVoronoi +test_hypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_hypergeom(self):$/;" m class:TestExpect +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_hypergeometric(self):$/;" m class:TestBroadcast +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_hypergeometric(self):$/;" m class:TestRandomDist +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_hypergeometric(self):$/;" m class:TestBroadcast +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_hypergeometric(self):$/;" m class:TestRandomDist +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_hypergeometric(self):$/;" m class:TestBroadcast +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_hypergeometric(self):$/;" m class:TestRandomDist +test_hypergeometric adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_hypergeometric(self):$/;" m class:RNG +test_hypergeometric_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_hypergeometric_range(self):$/;" m class:TestRegression +test_hypergeometric_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_hypergeometric_range(self):$/;" m class:TestRegression +test_hypergeometric_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_hypergeometric_range(self):$/;" m class:TestRegression +test_hypergeom_interval_1802 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_hypergeom_interval_1802():$/;" f +test_hypergeom_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_hypergeom_logpmf():$/;" f +test_hyperu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyperu(self):$/;" m class:TestHyper +test_hyperu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_hyperu(self):$/;" m class:TestSystematic +test_hyperu_around_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_hyperu_around_0():$/;" f +test_hyperu_gh2287 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_hyperu_gh2287(self):$/;" m class:TestHyper +Test_I0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^class Test_I0:$/;" c +test_i0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i0(self):$/;" m class:TestBessel +test_i0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i0(self):$/;" m class:TestCephes +test_i0e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i0e(self):$/;" m class:TestBessel +test_i0e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i0e(self):$/;" m class:TestCephes +test_i0_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i0_series(self):$/;" m class:TestBessel +test_i1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i1(self):$/;" m class:TestBessel +test_i1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i1(self):$/;" m class:TestCephes +test_i1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i1e(self):$/;" m class:TestBessel +test_i1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i1e(self):$/;" m class:TestCephes +test_i1_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_i1_series(self):$/;" m class:TestBessel +test_icosahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_icosahedral():$/;" f +test_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_id(self):$/;" m class:TestInterpolativeDecomposition +test_idct adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_idct(self):$/;" m class:TestOverwrite +test_idctn_vs_2d_reference adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_idctn_vs_2d_reference(self, funcn, func, dct_type, norm):$/;" m class:Test_DCTN_IDCTN +test_idctn_vs_2d_reference adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_idctn_vs_2d_reference(self, finverse, finverse_ref,$/;" m class:Test_DCTN_IDCTN +test_idct_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_idct_complex(self):$/;" m class:TestComplex +test_idct_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_idct_definition(fftwdata_size, rdt, type):$/;" f +test_idealfourths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_idealfourths():$/;" f +test_idempotence adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/ssa_test.py /^ def test_idempotence(self):$/;" m class:TestFrontendSSAConversion +test_identical_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_identical_input(self):$/;" m class:TestCoherence +test_identical_samples adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_identical_samples(self):$/;" m class:TestHistogramdd +test_identifiers_not_allowed adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_identifiers_not_allowed(self, args):$/;" m class:TestMakeTupleBunch +test_identities1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_identities1():$/;" f +test_identities2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_identities2():$/;" f +test_identity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_identity(self):$/;" m class:TestBitwiseUFuncs +test_identity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_identity(self):$/;" m class:TestFrompyfunc +test_identity adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_identity(self):$/;" m class:TestFFT1D +test_identity adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_identity(self):$/;" m class:TestMaskedArrayFunctions +test_identity adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_identity(Poly):$/;" f +test_identity adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_identity():$/;" f +test_identity adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_identity(self):$/;" m class:TestFFT1D +test_identity adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_identity(self):$/;" m class:TestZpk2Tf +test_identity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_identity():$/;" f +test_identity adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_identity(self):$/;" m class:TestConstructUtils +test_identityless_reduction_corder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_corder(self):$/;" m class:TestUfunc +test_identityless_reduction_forder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_forder(self):$/;" m class:TestUfunc +test_identityless_reduction_noncontig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_noncontig(self):$/;" m class:TestUfunc +test_identityless_reduction_noncontig_unaligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_noncontig_unaligned(self):$/;" m class:TestUfunc +test_identityless_reduction_nonreorderable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_nonreorderable(self):$/;" m class:TestUfunc +test_identityless_reduction_otherorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_identityless_reduction_otherorder(self):$/;" m class:TestUfunc +test_IdentityVectorFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^def test_IdentityVectorFunction():$/;" f +test_identity_1d adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^def test_identity_1d(forward, backward, type, n, axis, norm):$/;" f +test_identity_1d_overwrite adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^def test_identity_1d_overwrite(forward, backward, type, dtype, axis, norm,$/;" f +test_identity_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_identity_filter(self):$/;" m class:TestGroupDelay +test_identity_invariance adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_identity_invariance():$/;" f +test_identity_magnitude adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_identity_magnitude():$/;" f +test_identity_model_metric_eval_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_identity_model_metric_eval_correctness(self, distribution):$/;" m class:TestDistributionStrategyDnnMetricEvalCorrectness +test_identity_nd adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^def test_identity_nd(forward, backward, type, shape, axes, norm):$/;" f +test_identity_nd_overwrite adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_real_transforms.py /^def test_identity_nd_overwrite(forward, backward, type, shape, axes, dtype,$/;" f +test_idict adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_idict(self):$/;" m class:TestIdict +test_idiv_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_idiv_scalar(self):$/;" m class:_TestInplaceArithmetic +test_idst adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_idst(self):$/;" m class:TestOverwrite +test_idst_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_idst_complex(self):$/;" m class:TestComplex +test_idst_definition adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_idst_definition(fftwdata_size, rdt, type):$/;" f +test_ifft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_ifft(self):$/;" m class:TestFFTThreadSafe +test_ifft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_ifft(self, norm):$/;" m class:TestFFT1D +test_ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ifft(self):$/;" m class:TestFFT1D +test_ifft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ifft(self):$/;" m class:TestFFTThreadSafe +test_ifft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_ifft2(self):$/;" m class:TestFFT1D +test_ifft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ifft2(self):$/;" m class:TestFFT1D +test_ifftn adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_ifftn(self):$/;" m class:TestFFT1D +test_ifftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ifftn(self):$/;" m class:TestFFT1D +test_ifixx adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_ifixx(self):$/;" m class:TestODR +test_if_len_x_is_small adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_if_len_x_is_small(self):$/;" m class:TestInterp +test_if_nested_imports adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_if_nested_imports(self):$/;" m class:ScopeTest +test_if_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_if_net(self):$/;" m class:TestNetBuilder +test_igam_fac adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_igam_fac(self):$/;" m class:TestSystematic +test_ignore_object_identity_in_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ignore_object_identity_in_equal(self):$/;" m class:TestComparisons +test_ignore_object_identity_in_not_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ignore_object_identity_in_not_equal(self):$/;" m class:TestComparisons +test_ignore_rref_leak adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_ignore_rref_leak(self):$/;" m class:RpcTest +test_ihfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ihfft(self):$/;" m class:TestFFT1D +test_ihfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ihfft(self):$/;" m class:TestFFTThreadSafe +test_ihfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ihfft2(self):$/;" m class:TestFFT1D +test_ihfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_ihfftn(self):$/;" m class:TestFFT1D +test_ihttf adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_ihttf(self):$/;" m class:TestFFT1D +test_iinfo_long_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_iinfo_long_values(self):$/;" m class:TestConversion +test_iinfo_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_iinfo_repr(self):$/;" m class:TestRepr +test_iir adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_iir(self):$/;" m class:TestGroupDelay +test_iir_ba_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_iir_ba_output(self):$/;" m class:TestGammatone +test_iir_symmetry adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_iir_symmetry(self):$/;" m class:TestGammatone +test_iir_symmetry adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_iir_symmetry(self):$/;" m class:TestIIRComb +test_ill_condition_warning adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_ill_condition_warning(self):$/;" m class:TestSolve +test_ilp64_bisplrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_ilp64_bisplrep(self):$/;" m class:TestBisplrep +test_im2col_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/im2col_col2im_test.py /^ def test_im2col_layout(self, batch_size, stride, pad, kernel, dilation,$/;" m class:TestReduceFrontSum +test_imag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_imag(self):$/;" m class:_TestCommon +test_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_image():$/;" f +test_imagegrid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid.py /^def test_imagegrid():$/;" f +test_imagegrid_cbar_mode_edge adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid.py /^def test_imagegrid_cbar_mode_edge(legacy_colorbar):$/;" f +test_imageinput adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^ def test_imageinput($/;" m class:TestImport +test_imageinput_with_additional_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^ def test_imageinput_with_additional_outputs($/;" m class:TestImport +test_image_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_alpha():$/;" f +test_image_array_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_array_alpha(fig_test, fig_ref):$/;" f +test_image_clip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_clip():$/;" f +test_image_cliprect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_cliprect():$/;" f +test_image_comparison_expect_rms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_compare_images.py /^def test_image_comparison_expect_rms(im1, im2, tol, expect_rms):$/;" f +test_image_composite_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_composite_alpha():$/;" f +test_image_composite_background adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_composite_background():$/;" f +test_image_cursor_formatting adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_cursor_formatting():$/;" f +test_image_edges adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_edges():$/;" f +test_image_grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_image_grid():$/;" f +test_image_interps adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_interps():$/;" f +test_image_preserve_size adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_preserve_size():$/;" f +test_image_preserve_size2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_preserve_size2():$/;" f +test_image_python_io adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_python_io():$/;" f +test_image_shift adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_image_shift():$/;" f +test_imaginary adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_imaginary(self):$/;" m class:Test_bode +test_imag_real adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_imag_real(self):$/;" m class:TestMaskedArrayArithmetic +test_immediate_updating adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_immediate_updating(self):$/;" m class:TestDifferentialEvolutionSolver +test_immutable adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_immutable(self):$/;" m class:TestMaskedConstant +test_implemented_error adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_implemented_error():$/;" f +test_implicit adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_implicit(self):$/;" m class:TestODR +test_importaftererror adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def test_importaftererror(self):$/;" m class:TestLazyDynDepError +test_imports adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def test_imports(self):$/;" m class:AutoFormatTest +test_import_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_import_asname(self):$/;" m class:GetUnusedImportsTest +test_import_attribute_references adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_attribute_references(self):$/;" m class:ScopeTest +test_import_from adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_import_from(self):$/;" m class:GetUnusedImportsTest +test_import_from_alias adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_import_from_alias(self):$/;" m class:GetUnusedImportsTest +test_import_in_argument_type adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_in_argument_type(self):$/;" m class:ScopeTest +test_import_in_decortator adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_in_decortator(self):$/;" m class:ScopeTest +test_import_in_return_type adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_in_return_type(self):$/;" m class:ScopeTest +test_import_lazy_import adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_import_lazy_import(name):$/;" f +test_import_masked_by_assign adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_masked_by_assign(self):$/;" m class:ScopeTest +test_import_masked_by_function_arg adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_masked_by_function_arg(self):$/;" m class:ScopeTest +test_import_reads_in_classdef adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_reads_in_classdef(self):$/;" m class:ScopeTest +test_import_reads_in_functiondef adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_import_reads_in_functiondef(self):$/;" m class:ScopeTest +test_impossible_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_impossible_constraint(self):$/;" m class:TestDifferentialEvolutionSolver +test_impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_impulse(self):$/;" m class:TestC2D +test_impulse_invariant adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_impulse_invariant(self, sys, sample_time, samples_number):$/;" m class:TestC2dInvariants +test_imread_fspath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imread_fspath():$/;" f +test_imread_pil_uint16 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imread_pil_uint16():$/;" f +test_imsave adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imsave(fmt):$/;" f +test_imsave_color_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imsave_color_alpha():$/;" f +test_imsave_fspath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imsave_fspath(fmt):$/;" f +test_imsave_pil_kwargs_png adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imsave_pil_kwargs_png():$/;" f +test_imsave_pil_kwargs_tiff adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imsave_pil_kwargs_tiff():$/;" f +test_imshow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_imshow():$/;" f +test_imshow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow():$/;" f +test_imshow_10_10_1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_10_10_1(fig_test, fig_ref):$/;" f +test_imshow_10_10_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_10_10_2():$/;" f +test_imshow_10_10_5 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_10_10_5():$/;" f +test_imshow_antialiased adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_antialiased(fig_test, fig_ref,$/;" f +test_imshow_bignumbers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_bignumbers():$/;" f +test_imshow_bignumbers_real adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_bignumbers_real():$/;" f +test_imshow_bool adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_bool():$/;" f +test_imshow_clip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_imshow_clip():$/;" f +test_imshow_clips_rgb_to_valid_range adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_clips_rgb_to_valid_range(dtype):$/;" f +test_imshow_endianess adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_endianess():$/;" f +test_imshow_flatfield adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_flatfield():$/;" f +test_imshow_float128 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_float128():$/;" f +test_imshow_masked_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_masked_interpolation():$/;" f +test_imshow_norm_vminvmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_imshow_norm_vminvmax(fig_test, fig_ref):$/;" f +test_imshow_no_warn_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_no_warn_invalid():$/;" f +test_imshow_pil adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_pil(fig_test, fig_ref):$/;" f +test_imshow_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_imshow_zoom(fig_test, fig_ref):$/;" f +test_imul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_imul_scalar(self):$/;" m class:_TestInplaceArithmetic +test_in1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d(self):$/;" m class:TestSetOps +test_in1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_in1d(self):$/;" m class:TestArraySetOps +test_in1d_both_arrays_are_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_both_arrays_are_object(self):$/;" m class:TestSetOps +test_in1d_both_arrays_have_structured_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_both_arrays_have_structured_dtype(self):$/;" m class:TestSetOps +test_in1d_char_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_char_array(self):$/;" m class:TestSetOps +test_in1d_first_array_is_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_first_array_is_object(self):$/;" m class:TestSetOps +test_in1d_invert adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_invert(self):$/;" m class:TestSetOps +test_in1d_invert adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_in1d_invert(self):$/;" m class:TestArraySetOps +test_in1d_ravel adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_ravel(self):$/;" m class:TestSetOps +test_in1d_second_array_is_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_in1d_second_array_is_object(self):$/;" m class:TestSetOps +test_inactive_box_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_inactive_box_constraints(self):$/;" m class:TestProjectCG +test_inception_v1 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_inception_v1(self):$/;" m class:TestCaffe2End2End +test_inception_v1 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_inception_v1(self):$/;" m class:TensorRTOpTest +test_inception_v2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_inception_v2(self):$/;" m class:TestCaffe2End2End +test_inception_v2 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_inception_v2(self):$/;" m class:TensorRTOpTest +test_inception_v3 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_inception_v3(self):$/;" m class:Test_PT_ONNX_TRT +test_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_include_dirs(self):$/;" m class:TestRegression +test_include_ends adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_include_ends(self, n_dims, n_pts):$/;" m class:TestGeometricSlerp +test_incompatible_dims adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_incompatible_dims(self):$/;" m class:TestLstsq +test_incompatible_shapes_raise_valueerror adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_incompatible_shapes_raise_valueerror():$/;" f +test_incomplete_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_incomplete_names(self):$/;" m class:TestFromTxt +test_inconsistent adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_inconsistent(self):$/;" m class:BoundsMixin +test_inconsistent_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_inconsistent_dimensions():$/;" f +test_inconsistent_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_inconsistent_dtype(self):$/;" m class:TestFromTxt +test_inconsistent_inequalities adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_inconsistent_inequalities(self):$/;" m class:TestSLSQP +test_inconsistent_linearization adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_inconsistent_linearization(self):$/;" m class:TestSLSQP +test_inconsistent_shape adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_inconsistent_shape(self):$/;" m class:BoundsMixin +test_inconsistent_tdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_inconsistent_tdist(self):$/;" m class:TestInconsistent +test_incontiguous_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_incontiguous_array(self):$/;" m class:TestUfunc +test_incorrectly_use_multiple_cores_for_stateful_lstm_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_stateful_lstm_model_correctness_test.py /^ def test_incorrectly_use_multiple_cores_for_stateful_lstm_model($/;" m class:DistributionStrategyStatefulLstmModelCorrectnessTest +test_incorrect_center_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_incorrect_center_handling(self):$/;" m class:TestSphericalVoronoi +test_incorrect_inputs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_incorrect_inputs(self):$/;" m class:TestCubicSpline +test_incorrect_methods adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_incorrect_methods(self):$/;" m class:TestHistogramOptimBinNums +test_incorrect_options_usage adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_incorrect_options_usage(self):$/;" m class:BaseMixin +test_incorrect_radius_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_incorrect_radius_handling(self):$/;" m class:TestSphericalVoronoi +test_increasing_x adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_increasing_x(self):$/;" m class:TestUnivariateSpline +test_incremental adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_incremental(self):$/;" m class:Test_HalfspaceIntersection +test_incremental adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_incremental(self, name):$/;" m class:TestConvexHull +test_incremental adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_incremental(self, name):$/;" m class:TestDelaunay +test_incremental adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_incremental(self, name):$/;" m class:TestVoronoi +test_incremental_volume_area_random_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_incremental_volume_area_random_input(self):$/;" m class:TestUtilities +test_increment_put_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_increment_put_ops(self):$/;" m class:TestPutOps +test_ind adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_ind(self):$/;" m class:TestIsfinite +test_ind adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_ind(self):$/;" m class:TestIsinf +test_ind adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_ind(self):$/;" m class:TestIsnan +test_indefinite adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_indefinite(self):$/;" m class:TestMultivariateCtypesQuad +test_indefinite adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_indefinite(self):$/;" m class:TestQuad +test_indent_depths adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_depths(self):$/;" m class:IndentationTest +test_indent_extra_newlines adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_extra_newlines(self):$/;" m class:IndentationTest +test_indent_extra_newlines_with_comment adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_extra_newlines_with_comment(self):$/;" m class:IndentationTest +test_indent_levels adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_levels(self):$/;" m class:IndentationTest +test_indent_levels_same_line adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_levels_same_line(self):$/;" m class:IndentationTest +test_indent_multiline_string adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_multiline_string(self):$/;" m class:IndentationTest +test_indent_multiline_string_with_newline adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_indent_multiline_string_with_newline(self):$/;" m class:IndentationTest +test_indeterminate_covariance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_indeterminate_covariance(self):$/;" m class:TestCurveFit +test_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index(self):$/;" m class:Test1DZeroFloat +test_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index(self):$/;" m class:TestEmpty +test_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index(self):$/;" m class:TestScalarArray +test_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index(self):$/;" m class:TestScalarCompound +test_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index(self):$/;" m class:TestScalarFloat +test_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_index(self):$/;" m class:TestInformation +test_index adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_index(self):$/;" m class:TestUnitImpulse +test_indexing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_indexing(self):$/;" m class:TestMeshgrid +test_indexing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_indexing(self):$/;" m class:TestMaskedArray +test_indexing adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_indexing(self):$/;" m class:TestMakeTupleBunch +test_indexing1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_indexing1(self):$/;" m class:TestRegression +test_indexing2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_indexing2(self):$/;" m class:TestRegression +test_indexing_array_negative_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_indexing_array_negative_strides(self):$/;" m class:TestIndexing +test_indexing_array_weird_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_indexing_array_weird_strides(self):$/;" m class:TestIndexing +test_indexing_drops_references adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_indexing_drops_references(self):$/;" m class:TestMemmap +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:Test1DZeroFloat +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:Test2DFloat +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:Test2DZeroFloat +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:TestEmpty +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:TestScalarArray +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:TestScalarCompound +test_indexlist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist(self):$/;" m class:TestScalarFloat +test_indexlist_empty adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_empty(self):$/;" m class:Test1DFloat +test_indexlist_nonmonotonic adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_nonmonotonic(self):$/;" m class:Test1DFloat +test_indexlist_numpyarray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_numpyarray(self):$/;" m class:Test1DFloat +test_indexlist_numpyarray_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_numpyarray_ellipsis(self):$/;" m class:Test1DFloat +test_indexlist_numpyarray_single_index_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_numpyarray_single_index_ellipsis(self):$/;" m class:Test1DFloat +test_indexlist_outofrange adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_outofrange(self):$/;" m class:Test1DFloat +test_indexlist_repeated adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_repeated(self):$/;" m class:Test1DFloat +test_indexlist_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_simple(self):$/;" m class:Test1DFloat +test_indexlist_single_index_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_indexlist_single_index_ellipsis(self):$/;" m class:Test1DFloat +test_index_array_copied adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_index_array_copied(self):$/;" m class:TestInsert +test_index_emptylist adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_emptylist(self):$/;" m class:Test2DFloat +test_index_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_index_error(self):$/;" m class:TestCorrSpearmanr2 +test_index_floats adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_index_floats(self):$/;" m class:TestDelete +test_index_floats adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_index_floats(self):$/;" m class:TestInsert +test_index_hash_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_index_hash_op(self):$/;" m class:TorchIntegration +test_index_hash_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^ def test_index_hash_ops(self, indices, seed, modulo, gc, dc):$/;" m class:TestIndexHashOps +test_index_illegal adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_illegal(self):$/;" m class:Test1DFloat +test_index_is_larger adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_index_is_larger(self):$/;" m class:TestBroadcastedAssignments +test_index_layout adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_index_layout(self):$/;" m class:IndexingTestCase +test_index_neg adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_neg(self):$/;" m class:Test1DFloat +test_index_none adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_none(self):$/;" m class:Test1DFloat +test_index_no_array_to_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_index_no_array_to_index(self):$/;" m class:TestIndexing +test_index_no_floats adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_index_no_floats(self):$/;" m class:TestIndexing +test_index_outofrange adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_outofrange(self):$/;" m class:Test1DFloat +test_index_put adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_index_put(self, gc, dc):$/;" m class:TestATen +test_index_scalar_assign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_index_scalar_assign(self):$/;" m class:_TestGetSet +test_index_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_index_simple(self):$/;" m class:Test1DFloat +test_index_split_high_bound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_index_split_high_bound(self):$/;" m class:TestArraySplit +test_index_split_low_bound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_index_split_low_bound(self):$/;" m class:TestArraySplit +test_index_split_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_index_split_simple(self):$/;" m class:TestArraySplit +test_index_transformations adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_index_transformations(self):$/;" m class:TestEinsum +test_index_uint8 adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_index_uint8(self, gc, dc):$/;" m class:TestATen +test_indicate_inset_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_indicate_inset_inverted(x_inverted, y_inverted):$/;" f +test_indices adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_indices(self):$/;" m class:TestHausdorff +test_individual_constraint_objects adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_individual_constraint_objects(self):$/;" m class:TestNewToOld +test_inequality_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_inequality_constraints(self):$/;" m class:LinprogCommonTests +test_inequality_constraints2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_inequality_constraints2(self):$/;" m class:LinprogCommonTests +test_inequality_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_inequality_given(self):$/;" m class:TestSLSQP +test_inexact_dtypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_inexact_dtypes(self):$/;" m class:TestGradient +test_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_inf(self):$/;" m class:TestIO +test_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_inf(self):$/;" m class:TestLogAddExp +test_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_inf(self):$/;" m class:TestLogAddExp2 +test_inf adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_inf(self):$/;" m class:TestMedian +test_inf adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf(self):$/;" m class:TestArrayAlmostEqual +test_inf adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf(self):$/;" m class:TestULP +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_inf(self):$/;" m class:TestLegendreFunctions +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_inf(self, k, n, p):$/;" m class:TestBdtr +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_inf(self, k, n, p):$/;" m class:TestBdtrc +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_inf(self, k, n, p):$/;" m class:TestBdtri +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_inf(self, x, desired):$/;" m class:TestVoigtProfile +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_inf(self):$/;" m class:TestPdtr +test_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_inf(self):$/;" m class:TestPdtrc +test_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_infeasible(self):$/;" m class:BoundsMixin +test_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_infeasible(self):$/;" m class:LinprogCommonTests +test_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test_infeasible = StructTestInfeasible(bounds=[(2, 50), (-1, 1)],$/;" v +test_infeasible_inequality_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_infeasible_inequality_bounds(self):$/;" m class:LinprogCommonTests +test_infeasible_initial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_infeasible_initial(self):$/;" m class:TestSLSQP +test_infeasible_m_eq_n adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_infeasible_m_eq_n(self):$/;" m class:RRCommonTests +test_infeasible_m_gt_n adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_infeasible_m_gt_n(self):$/;" m class:RRCommonTests +test_infeasible_m_lt_n adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_infeasible_m_lt_n(self):$/;" m class:RRCommonTests +test_infeasible_zero_row adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_infeasible_zero_row(self):$/;" m class:RRCommonTests +test_inference_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_inference_lstm($/;" m class:TorchIntegration +test_infer_backend_from_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_infer_backend_from_options(self):$/;" m class:ProcessGroupAgentRpcTest +test_infer_backend_from_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_infer_backend_from_options(self):$/;" m class:TensorPipeAgentRpcTest +test_infer_device adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_infer_device(self):$/;" m class:TestInferDevice +test_infer_device_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_infer_device_adam(self):$/;" m class:TestInferDevice +test_infer_device_cross_device adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_infer_device_cross_device(self):$/;" m class:TestInferDevice +test_infer_device_split_by_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_infer_device_split_by_lengths(self):$/;" m class:TestInferDevice +test_infinite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_infinite(self):$/;" m class:TestArange +test_infinite_arguments adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_infinite_arguments(self, a, x, desired):$/;" m class:TestGammainc +test_infinite_arguments adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_infinite_arguments(self, a, x, desired):$/;" m class:TestGammaincc +test_infinite_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_infinite_input():$/;" f +test_infinite_limits adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_infinite_limits(self):$/;" m class:TestGammainc +test_infinite_limits adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_infinite_limits(self):$/;" m class:TestGammaincc +test_infinite_objective_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_infinite_objective_function(self):$/;" m class:TestDifferentialEvolutionSolver +test_infinity adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_infinity(self):$/;" m class:TestGamma +test_infnan_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_infnan_arg(self):$/;" m class:TestMatrix_Balance +test_info adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_info():$/;" f +test_infs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_owens_t.py /^def test_infs():$/;" f +test_inf_any adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_inf_any(self):$/;" m class:TestArctan2SpecialValues +test_inf_compare adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf_compare(self):$/;" m class:TestArrayAssertLess +test_inf_compare_array adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf_compare_array(self):$/;" m class:TestArrayAssertLess +test_inf_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_inf_edges(self):$/;" m class:TestHistogramdd +test_inf_item adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf_item(self):$/;" m class:TestAlmostEqual +test_inf_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_inf_items(self):$/;" m class:TestEqual +test_inf_ninf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_inf_ninf(self):$/;" m class:TestArctan2SpecialValues +test_inf_pinf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_inf_pinf(self):$/;" m class:TestArctan2SpecialValues +test_inf_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_inf_raises_error(self):$/;" m class:TestExpon +test_inf_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_inf_raises_error(self):$/;" m class:TestExponNorm +test_inf_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_inf_raises_error(self):$/;" m class:TestNorm +test_inf_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_inf_raises_error(self):$/;" m class:TestUniform +test_inf_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_inf_values(self):$/;" m class:TestEnergyDistance +test_inf_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_inf_values(self):$/;" m class:TestWassersteinDistance +test_inf_weight adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_inf_weight(self):$/;" m class:TestCdfDistanceValidation +test_inheritance adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_inheritance(self):$/;" m class:TestStructures +test_inheritance adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_inheritance():$/;" f +test_inherit_docstring_from adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^def test_inherit_docstring_from():$/;" f +test_init adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def test_init(self):$/;" m class:TestInherit +test_init adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_init(self):$/;" m class:RNG +test_init adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_init():$/;" f +test_init adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_init(self):$/;" m class:TestInterp1D +test_init2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def test_init2(self):$/;" m class:TestInherit +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_initialization(self):$/;" m class:TestStateSpaceDisc +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_initialization(self):$/;" m class:TestTransferFunction +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_initialization(self):$/;" m class:TestZerosPolesGain +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_initialization(self):$/;" m class:TestStateSpace +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_initialization(self):$/;" m class:TestTransferFunction +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_initialization(self):$/;" m class:TestZerosPolesGain +test_initialization adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^def test_initialization():$/;" f +test_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_initializer(self):$/;" m class:TestCaffe2Basic +test_initial_conditions adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_initial_conditions(self, dt):$/;" m class:TestSOSFilt +test_initial_conditions_3d_axis1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_initial_conditions_3d_axis1(self, dt):$/;" m class:TestSOSFilt +test_initial_constraints_as_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_initial_constraints_as_canonical():$/;" f +test_initial_constraints_as_canonical_empty adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_initial_constraints_as_canonical_empty():$/;" f +test_initial_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_initial_reduction(self):$/;" m class:TestUfunc +test_initial_step_scaling adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_initial_step_scaling(self):$/;" m class:TestOptimizeSimple +test_initial_swmr_mode_off adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_initial_swmr_mode_off(self):$/;" m class:TestDatasetSwmrWrite +test_initial_swmr_mode_on adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_initial_swmr_mode_on(self):$/;" m class:TestDatasetSwmrRead +Test_init_nd_shape_and_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^class Test_init_nd_shape_and_axes(object):$/;" c +test_init_pg_then_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_init_pg_then_rpc(self):$/;" m class:RpcTest +test_init_rpc_then_pg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_init_rpc_then_pg(self):$/;" m class:RpcTest +test_init_rpc_twice adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_init_rpc_twice(self):$/;" m class:RpcTest +test_init_with_invalid_strategy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_init_with_invalid_strategy(self):$/;" m class:TestDifferentialEvolutionSolver +test_inject_copy adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_inject_copy(self):$/;" m class:TestInferDevice +test_inject_copy adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_inject_copy(self):$/;" m class:TestInferDeviceCpuOnly +test_inject_copy_multi_use adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_inject_copy_multi_use(self):$/;" m class:TestInferDevice +test_inject_copy_placeholder_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_inject_copy_placeholder_ops(self):$/;" m class:TestInferDevice +test_inline_conditional_fails adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_conditional_fails(self):$/;" m class:InlineTest +test_inline_function_fails adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_function_fails(self):$/;" m class:InlineTest +test_inline_multiple_reads adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_multiple_reads(self):$/;" m class:InlineTest +test_inline_multiple_targets adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_multiple_targets(self):$/;" m class:InlineTest +test_inline_non_assign_fails adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_non_assign_fails(self):$/;" m class:InlineTest +test_inline_non_constant_fails adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_non_constant_fails(self):$/;" m class:InlineTest +test_inline_simple adpepsenv/lib/python3.8/site-packages/pasta/augment/inline_test.py /^ def test_inline_simple(self):$/;" m class:InlineTest +test_inner1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_inner1d(self):$/;" m class:TestUfunc +test_innerwt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_innerwt(self):$/;" m class:TestUfunc +test_innerwt_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_innerwt_empty(self):$/;" m class:TestUfunc +test_inner_join adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_inner_join(self):$/;" m class:TestJoinBy +test_inner_product adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_inner_product(self):$/;" m class:TestEinsum +test_inner_product_with_various_contiguities adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_inner_product_with_various_contiguities(self):$/;" m class:TestInner +test_inner_scalar_and_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_inner_scalar_and_matrix():$/;" f +test_inner_scalar_and_matrix_of_objects adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_inner_scalar_and_matrix_of_objects():$/;" f +test_inner_scalar_and_vector adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_inner_scalar_and_vector(self):$/;" m class:TestInner +test_inner_type_mismatch adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_inner_type_mismatch(self):$/;" m class:TestInner +test_inout adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_regression.py /^ def test_inout(self):$/;" m class:TestIntentInOut +test_inout_2seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_inout_2seq(self):$/;" m class:TestSharedMemory +test_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_inplace(self):$/;" m class:TestBinop +test_inplace adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_inplace(self):$/;" m class:TestSharedMemory +test_inplace adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_inplace(self):$/;" m class:TestNDArrayOperatorsMixin +test_inplace_addition_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_addition_array(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_addition_array_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_addition_array_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_addition_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_addition_scalar(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_addition_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_addition_scalar_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_inplace_dense(self):$/;" m class:_TestInplaceArithmetic +test_inplace_division_array_float adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_array_float(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_division_array_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_array_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_division_misc adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_misc(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_division_scalar_float adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_scalar_float(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_division_scalar_int adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_scalar_int(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_division_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_division_scalar_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_fancy_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_inplace_fancy_indexing(self):$/;" m class:TestUfunc +test_inplace_floordiv_handling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_inplace_floordiv_handling(self):$/;" m class:TestModulus +test_inplace_floor_division_array_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_floor_division_array_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_floor_division_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_floor_division_scalar_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_from_casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_inplace_from_casttype(self):$/;" m class:TestSharedMemory +test_inplace_multiplication_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_multiplication_array(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_multiplication_array_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_multiplication_array_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_multiplication_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_multiplication_scalar(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_multiplication_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_multiplication_scalar_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_ops adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_inplace_ops(self):$/;" m class:TestLIL +test_inplace_op_simple_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_inplace_op_simple_manual(self):$/;" m class:TestUFunc +test_inplace_pow_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_pow_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_subtraction_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_subtraction_array(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_subtraction_array_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_subtraction_array_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_subtraction_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_subtraction_scalar(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_subtraction_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_inplace_subtraction_scalar_type(self):$/;" m class:TestMaskedArrayInPlaceArithmetics +test_inplace_success adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_inplace_success(self):$/;" m class:_TestInplaceArithmetic +test_input_at_least1d adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_input_at_least1d(self, start, end):$/;" m class:TestGeometricSlerp +test_input_batch_size_not_divisible_by_num_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_input_batch_size_not_divisible_by_num_replicas(self, distribution):$/;" m class:TestDistributionStrategyWithStaticShapes +test_input_dim_mismatch adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_input_dim_mismatch(self, start, end):$/;" m class:TestGeometricSlerp +test_input_domain adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_input_domain(self):$/;" m class:TestResample +test_input_dtype_complex adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_input_dtype_complex(self, dtype):$/;" m class:TestYeojohnson +test_input_dtype_integer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_input_dtype_integer(self, dtype):$/;" m class:TestYeojohnson +test_input_fn adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^ def test_input_fn(model):$/;" f function:Train file: +test_input_fn adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^ def test_input_fn(model):$/;" f function:Train file: +test_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_input_fn_from_parse_example(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_input_fn_from_parse_example(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_input_modyfication adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_input_modyfication(self):$/;" m class:TestFirwin2 +test_input_shape adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_input_shape(self):$/;" m class:TestMultivariateNormal +test_input_shape_changes adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_input_shape_changes(self):$/;" m class:TestReShapeOps +test_input_shape_changes adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_input_shape_changes(self):$/;" m class:TestLengthsToShapeOps +test_input_shape_flat adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_input_shape_flat(self, start, end):$/;" m class:TestGeometricSlerp +test_input_swapping adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_input_swapping(self):$/;" m class:_TestConvolve +test_input_types adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_input_types(self):$/;" m class:TestSpsolveTriangular +test_input_untouched adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_input_untouched(self):$/;" m class:TestLeastSq +test_input_validation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_input_validation(self):$/;" m class:TestSTFT +test_insert adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_insert(request):$/;" f +test_insert adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_insert(self):$/;" m class:TestInterop +test_insert_noncontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_insert_noncontiguous(self):$/;" m class:TestWritebackIfCopy +test_inset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_inset():$/;" f +test_inset_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_inset_axes():$/;" f +test_inset_axes_complete adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_inset_axes_complete():$/;" f +test_inset_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_inset_locator():$/;" f +test_inspect_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_inspect_sum(self):$/;" m class:TestNumPyFunctions +test_installed_npymath_ini adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_misc_util.py /^def test_installed_npymath_ini():$/;" f +test_instances adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^def test_instances():$/;" f +test_instance_methods adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_instance_methods(self):$/;" m class:TestMatrixReturn +test_instance_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_instance_norm(self, size, input_channels, batch_size, order,$/;" m class:TestOperators +test_instance_norm_device_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def test_instance_norm_device_check($/;" m class:TestInstanceNorm +test_instance_norm_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def test_instance_norm_gradients($/;" m class:TestInstanceNorm +test_instance_norm_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def test_instance_norm_layout(self, gc, dc, N, C, H, W, store_mean,$/;" m class:TestInstanceNorm +test_instance_norm_model_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def test_instance_norm_model_helper($/;" m class:TestInstanceNorm +test_instance_norm_reference_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def test_instance_norm_reference_check($/;" m class:TestInstanceNorm +test_insufficient_width_negative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_insufficient_width_negative(self):$/;" m class:TestBinaryReprInsufficientWidthParameterForRepresentation +test_insufficient_width_positive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_insufficient_width_positive(self):$/;" m class:TestBinaryReprInsufficientWidthParameterForRepresentation +test_int adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attribute_create.py /^ def test_int(self):$/;" m class:TestArray +test_int adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_int(self):$/;" m class:TestScalar +test_int adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_int(self):$/;" m class:TestTypes +test_int adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_int(self):$/;" m class:TestCreateScaleOffset +test_int adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_int(self):$/;" m class:TestVlen +test_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^ def test_int(self):$/;" m class:TestABC +test_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_int(self):$/;" m class:TestHash +test_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_int(self, t):$/;" m class:TestMaximumSctype +test_int adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_int(self):$/;" m class:TestDiff +test_int16 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_int16(self):$/;" m class:TestScalars +test_int32 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_int32(self):$/;" m class:TestScalars +test_int64 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_int64(self):$/;" m class:TestScalars +test_int64_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_int64_dtype(self):$/;" m class:TestStringConverter +test_int64_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_int64_fromstring(self):$/;" m class:TestIO +test_int64_reshape_input adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_int64_reshape_input(self):$/;" m class:TestReShapeOps +test_int64_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_int64_shape(self, gc, dc):$/;" m class:TestFillerOperator +test_int64_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_int64_type(self):$/;" m class:TestLoadTxt +test_int64_uint64_broadcast_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_int64_uint64_broadcast_exceptions(self, endpoint):$/;" m class:TestIntegers +test_int64_uint64_corner_case adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_int64_uint64_corner_case(self, endpoint):$/;" m class:TestIntegers +test_int64_uint64_corner_case adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_int64_uint64_corner_case(self):$/;" m class:TestRandint +test_int64_uint64_corner_case adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_int64_uint64_corner_case(self):$/;" m class:TestRandint +test_int8_elementwise_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/elementwise_sum_op_test.py /^ def test_int8_elementwise_sum(self,$/;" m class:ElementwiseSumTest +test_int8_fc_4_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/fc_op_test.py /^ def test_int8_fc_4_dims(self, n, m, k, gc, dc):$/;" m class:FcTest +test_int8_gen_quant_params_op adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_gen_quant_params_test.py /^ def test_int8_gen_quant_params_op($/;" m class:TestInt8GenQuantParamsOperator +test_int8_pooling adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^ def test_int8_pooling(self, stride, pad, kernel, size,$/;" m class:PoolTest +test_int8_quant_scheme_blob_fill_op adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/int8_quant_scheme_blob_fill_test.py /^ def test_int8_quant_scheme_blob_fill_op($/;" m class:TestInt8QuantSchemeBlobFillOperator +test_int8_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^ def test_int8_relu(self, size, input_channels, batch_size, inplace, gc, dc):$/;" m class:ReluTest +test_integ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_integ(Poly):$/;" f +test_integer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_integer(self, vmin, vmax, steps, expected):$/;" m class:TestMaxNLocator +test_integer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_integer(self):$/;" m class:TestIsfinite +test_integer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_integer(self):$/;" m class:TestIsnan +test_integer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_integer(self):$/;" m class:TestNanToNum +test_integers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers(self):$/;" m class:TestRandomDist +test_integers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers(self, endpoint):$/;" m class:TestSingleEltArrayInput +test_integers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_integers(self):$/;" m class:RNG +test_integers_broadcast adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_integers_broadcast(self, dtype):$/;" m class:RNG +test_integers_broadcast_errors adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_integers_broadcast_errors(self, dtype):$/;" m class:RNG +test_integers_closed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers_closed(self):$/;" m class:TestRandomDist +test_integers_ctor adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_integers_ctor(self):$/;" m class:TestKappa4 +test_integers_masked adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers_masked(self):$/;" m class:TestRandomDist +test_integers_max_int adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers_max_int(self):$/;" m class:TestRandomDist +test_integers_numpy adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_integers_numpy(self, dtype):$/;" m class:RNG +test_integers_small_dtype_chisquared adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_integers_small_dtype_chisquared(self, sample_size, high,$/;" m class:TestIntegers +test_integers_to_negative_integer_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_integers_to_negative_integer_power(self):$/;" m class:TestPower +test_integer_0_split adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_0_split(self):$/;" m class:TestArraySplit +test_integer_all adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_all(self):$/;" m class:TestChirp +test_integer_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_integer_bounds(self):$/;" m class:TestSLSQP +test_integer_bw adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_bw(self):$/;" m class:TestGaussPulse +test_integer_bwr adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_bwr(self):$/;" m class:TestGaussPulse +test_integer_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_integer_delimiter(self):$/;" m class:TestFromTxt +test_integer_dtype adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^def test_integer_dtype(int_func):$/;" f +test_integer_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_even(self):$/;" m class:TestPeriodogram +test_integer_f0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_f0(self):$/;" m class:TestChirp +test_integer_f1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_f1(self):$/;" m class:TestChirp +test_integer_fc adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_fc(self):$/;" m class:TestGaussPulse +test_integer_indexed adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_integer_indexed(self):$/;" m class:TestVirtualSource +test_integer_input adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_integer_input(self):$/;" m class:BaseQRupdate +test_integer_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_integer_matrix(self):$/;" m class:TestExpM +test_integer_matrix_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_integer_matrix_2(self):$/;" m class:TestExpM +test_integer_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_odd(self):$/;" m class:TestPeriodogram +test_integer_onesided_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_onesided_even(self):$/;" m class:TestCSD +test_integer_onesided_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_onesided_even(self):$/;" m class:TestWelch +test_integer_onesided_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_onesided_odd(self):$/;" m class:TestCSD +test_integer_onesided_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_onesided_odd(self):$/;" m class:TestWelch +test_integer_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_integer_power(self):$/;" m class:TestPower +test_integer_power_of_1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_integer_power_of_1(self):$/;" m class:TestPower +test_integer_power_of_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_integer_power_of_zero(self):$/;" m class:TestPower +test_integer_power_with_integer_zero_exponent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_integer_power_with_integer_zero_exponent(self):$/;" m class:TestPower +test_integer_real_part adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^def test_integer_real_part():$/;" f +test_integer_repeat adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^def test_integer_repeat(int_func):$/;" f +test_integer_single_indexed adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_integer_single_indexed(self):$/;" m class:TestVirtualSource +test_integer_split adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_split(self):$/;" m class:TestArraySplit +test_integer_split_2D_cols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_split_2D_cols(self):$/;" m class:TestArraySplit +test_integer_split_2D_default adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_split_2D_default(self):$/;" m class:TestArraySplit +test_integer_split_2D_rows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_split_2D_rows(self):$/;" m class:TestArraySplit +test_integer_split_2D_rows_greater_max_int32 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_integer_split_2D_rows_greater_max_int32(self):$/;" m class:TestArraySplit +test_integer_t1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_t1(self):$/;" m class:TestChirp +test_integer_to_negative_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_integer_to_negative_power(self):$/;" m class:TestPower +test_integer_tpr adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_integer_tpr(self):$/;" m class:TestGaussPulse +test_integer_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_twosided(self):$/;" m class:TestCSD +test_integer_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_twosided(self):$/;" m class:TestPeriodogram +test_integer_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_integer_twosided(self):$/;" m class:TestWelch +test_integral adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_integral(self):$/;" m class:TestBSpline +test_integral adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_integral(self):$/;" m class:TestLSQBivariateSpline +test_integral adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_integral(self):$/;" m class:TestSmoothBivariateSpline +test_integral_image_gradient_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^ def test_integral_image_gradient_ops(self, batch_size, height, width,$/;" m class:TestIntegralImageOps +test_integral_image_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/integral_image_ops_test.py /^ def test_integral_image_ops(self, batch_size, height, width, channels, gc, dc):$/;" m class:TestIntegralImageOps +test_integral_out_of_bounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_integral_out_of_bounds(self):$/;" m class:TestUnivariateSpline +test_integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate(self):$/;" m class:TestBPolyCalculus +test_integrate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate(self):$/;" m class:TestPPoly +test_integrate_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_1d(self):$/;" m class:TestNdPPoly +test_integrate_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_2d(self):$/;" m class:TestNdPPoly +test_integrate_extrap adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_extrap(self):$/;" m class:TestBPolyCalculus +test_integrate_periodic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_periodic(self):$/;" m class:TestBPolyCalculus +test_integrate_periodic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_periodic(self):$/;" m class:TestPPoly +test_integrate_ppoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_integrate_ppoly(self):$/;" m class:TestBSpline +test_integrate_readonly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_integrate_readonly(self):$/;" m class:TestPPoly +test_integration adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration():$/;" f +test_integration_complex adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration_complex():$/;" f +test_integration_const_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration_const_jac():$/;" f +test_integration_sparse_difference adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration_sparse_difference():$/;" f +test_integration_stiff adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration_stiff(method):$/;" f +test_integration_zero_rhs adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_integration_zero_rhs(method):$/;" f +test_integrator adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_integrator(self):$/;" m class:TestLsim +test_integ_coeffs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_integ_coeffs(self):$/;" m class:TestPolynomial +test_interactive_backend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^def test_interactive_backend(backend, toolbar):$/;" f +test_interactive_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_interactive_zoom():$/;" f +test_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_interface(self):$/;" m class:TestArrayFunctionDispatch +test_interface_no_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_interface_no_shape():$/;" f +test_intermediate_overlow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^def test_intermediate_overlow():$/;" f +test_internal_cpp_api adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_internal_cpp_api(args, cls, message): # Github issue 8197.$/;" f +test_internal_cpp_api adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_internal_cpp_api():$/;" f +test_internal_cpp_api_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_internal_cpp_api_2():$/;" f +test_internal_overlap_diophantine adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_internal_overlap_diophantine():$/;" f +test_internal_overlap_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_internal_overlap_fuzz():$/;" f +test_internal_overlap_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_internal_overlap_manual():$/;" f +test_internal_overlap_slices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_internal_overlap_slices():$/;" f +test_interp2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d(self):$/;" m class:TestInterp2D +test_interp2d_bounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d_bounds(self):$/;" m class:TestInterp2D +test_interp2d_eval_unsorted adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d_eval_unsorted(self):$/;" m class:TestInterp2D +test_interp2d_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d_linear(self):$/;" m class:TestInterp2D +test_interp2d_meshgrid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d_meshgrid_input(self):$/;" m class:TestInterp2D +test_interp2d_meshgrid_input_unsorted adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interp2d_meshgrid_input_unsorted(self):$/;" m class:TestInterp2D +test_interpolation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_interpolation(self):$/;" m class:TestIQR +test_interp_nearest_vs_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_interp_nearest_vs_none():$/;" f +test_intersect adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_intersect(self):$/;" m class:TestTrustRegion +test_intersect1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_intersect1d(self):$/;" m class:TestSetOps +test_intersect1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_intersect1d(self):$/;" m class:TestArraySetOps +test_intersect1d_array_like adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_intersect1d_array_like(self):$/;" m class:TestSetOps +test_intersect1d_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_intersect1d_indices(self):$/;" m class:TestSetOps +test_intersection_rules adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_intersection_rules(self):$/;" m class:TestPeakWidths +test_intersect_zero_length_segment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_intersect_zero_length_segment():$/;" f +test_interval_length adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_interval_length(self):$/;" m class:TestBPoly +test_intmin adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_intmin(self):$/;" m class:TestNorm_NonSystematic +test_intp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_intp(self):$/;" m class:TestFromInt +test_intra_padding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_intra_padding(self):$/;" m class:TestPEP3118Dtype +test_int_beta adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_int_beta(self):$/;" m class:TestKaiser +test_int_from_huge_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_from_huge_longdouble(self):$/;" m class:TestConversion +test_int_from_infinite_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_from_infinite_longdouble(self):$/;" m class:TestConversion +test_int_from_infinite_longdouble___int__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_from_infinite_longdouble___int__(self):$/;" m class:TestConversion +test_int_from_long adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_from_long(self):$/;" m class:TestConversion +test_int_from_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_from_longdouble(self):$/;" m class:TestConversion +test_int_index_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_ops_test.py /^ def test_int_index_ops(self):$/;" m class:TestIndexOps +test_int_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_int_input(self):$/;" m class:TestBarycentric +test_int_inputs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_int_inputs(self):$/;" m class:TestKrogh +test_int_inputs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_int_inputs(self):$/;" m class:TestIIRFilter +test_int_negative_interval adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_int_negative_interval(self):$/;" m class:TestMultinomial +test_int_negative_interval adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_int_negative_interval(self):$/;" m class:TestMultinomial +test_int_negative_interval adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_int_negative_interval(self):$/;" m class:TestMultinomial +test_int_raise_behaviour adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_int_raise_behaviour(self):$/;" m class:TestConversion +test_int_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_int_shape(self):$/;" m class:TestResize +test_int_subclassing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_int_subclassing(self):$/;" m class:TestAttributes +test_int_with_minbits adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_int_with_minbits(self):$/;" m class:TestCreateScaleOffset +test_int_with_minbits_lossy adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_int_with_minbits_lossy(self):$/;" m class:TestCreateScaleOffset +test_int_xy adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_int_xy(self):$/;" m class:TestInterp +test_int_xy adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_int_xy(self):$/;" m class:TestLSQ +test_inv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_inv(self):$/;" m class:TestOverwrite +test_inv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_inv(matrices):$/;" f +test_inv adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_inv(self):$/;" m class:_NonCanonicalCSMixin +test_inv adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_inv(self):$/;" m class:_TestCommon +test_inv adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_inv():$/;" f +test_invalid adpepsenv/lib/python3.8/site-packages/h5py/tests/test_objects.py /^ def test_invalid(self):$/;" m class:TestObjects +test_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_invalid(self, x):$/;" m class:TestLogitFormatter +test_invalid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_errstate.py /^ def test_invalid(self):$/;" m class:TestErrstate +test_invalid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_invalid(self):$/;" m class:TestPositive +test_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_invalid(self):$/;" m class:TestTakeAlongAxis +test_invalid adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_invalid(self):$/;" m class:TestEigh +test_invalid adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_invalid(self):$/;" m class:TestEigvalsh +test_invalid adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid(self):$/;" m class:TestBessel +test_InvalidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidFile(self):$/;" m class:TestDataSourceAbspath +test_InvalidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidFile(self):$/;" m class:TestDataSourceExists +test_InvalidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidFile(self):$/;" m class:TestDataSourceOpen +test_InvalidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidFile(self):$/;" m class:TestRepositoryExists +test_InvalidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidHTTP(self):$/;" m class:TestDataSourceAbspath +test_InvalidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidHTTP(self):$/;" m class:TestDataSourceExists +test_InvalidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidHTTP(self):$/;" m class:TestDataSourceOpen +test_InvalidHTTPCacheURLError adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_InvalidHTTPCacheURLError(self):$/;" m class:TestDataSourceOpen +test_invalid_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_invalid_args(self):$/;" m class:TestUfunc +test_invalid_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_invalid_args(self):$/;" m class:TestFirwin2 +test_invalid_args_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_invalid_args_tuple(self):$/;" m class:TestVecString +test_invalid_arguments adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_invalid_arguments():$/;" f +test_invalid_arguments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_arguments(self):$/;" m class:TestResize +test_invalid_arguments adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_invalid_arguments(self):$/;" m class:TestMeshgrid +test_invalid_argument_raises_exception adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_invalid_argument_raises_exception(self, uplo, trans, diag):$/;" m class:TestTbtrs +test_invalid_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_invalid_array(self):$/;" m class:TestSeed +test_invalid_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_invalid_array(self):$/;" m class:TestSeed +test_invalid_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_array(self):$/;" m class:TestSeed +test_invalid_array_shape adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_invalid_array_shape(self):$/;" m class:TestSeed +test_invalid_array_shape adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_array_shape(self):$/;" m class:TestSeed +test_invalid_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_invalid_assignment(self):$/;" m class:TestRecord +test_invalid_axes_limits adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_invalid_axes_limits(setter, side, value):$/;" f +test_invalid_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_axis(self): # gh-7528$/;" m class:TestLexsort +test_invalid_axis_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_invalid_axis_limits():$/;" f +test_invalid_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_invalid_bounds(self):$/;" m class:TestSLSQP +test_invalid_buffer_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_buffer_format(self):$/;" m class:TestNewBufferProtocol +test_invalid_converter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_invalid_converter(self):$/;" m class:TestFromTxt +test_invalid_devices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_invalid_devices(self):$/;" m class:RemoteModuleTest +test_invalid_dim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_invalid_dim(self):$/;" m class:TestOrthoGroup +test_invalid_dim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_invalid_dim(self):$/;" m class:TestSpecialOrthoGroup +test_invalid_dim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_invalid_dim(self):$/;" m class:TestUnitaryGroup +test_invalid_dimensions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_invalid_dimensions(self):$/;" m class:TestHausdorff +test_invalid_dims adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_dims(self):$/;" m class:TestConvolve2d +test_invalid_dtype_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def test_invalid_dtype_string():$/;" f +test_invalid_eigs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_invalid_eigs(self):$/;" m class:TestRandomCorrelation +test_invalid_figure_add_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_invalid_figure_add_axes():$/;" f +test_invalid_figure_size adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_invalid_figure_size(width, height):$/;" f +test_invalid_fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_invalid_fill_value(self):$/;" m class:TestRegularGridInterpolator +test_invalid_flags adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_flags(self, convapproach):$/;" m class:TestAllFreqConvolves +test_invalid_function_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_invalid_function_args(self):$/;" m class:TestVecString +test_invalid_initialization adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_initialization(self):$/;" m class:TestSeed +test_invalid_init_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_invalid_init_type(self):$/;" m class:Base +test_invalid_init_values adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_invalid_init_values(self):$/;" m class:Base +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestLSQBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestLSQSphereBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestRectBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestRectSphereBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestSmoothBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input(self):$/;" m class:TestSmoothSphereBivariateSpline +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestButtord +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestCheb1ord +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestCheb2ord +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestEllipord +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestGammatone +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_input(self):$/;" m class:TestIIRComb +test_invalid_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_invalid_input(self):$/;" m class:TestCvm +test_invalid_inputs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_invalid_inputs(self):$/;" m class:LinprogCommonTests +test_invalid_inputs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_invalid_inputs(self):$/;" m class:TestGetWindow +test_invalid_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_invalid_inputs(self):$/;" m class:TestBoxcoxNormplot +test_invalid_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_invalid_inputs(self):$/;" m class:TestPpccPlot +test_invalid_input_forms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_invalid_input_forms():$/;" f +test_invalid_input_for_interpolated_univariate_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input_for_interpolated_univariate_spline(self):$/;" m class:TestUnivariateSpline +test_invalid_input_for_lsq_univariate_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input_for_lsq_univariate_spline(self):$/;" m class:TestUnivariateSpline +test_invalid_input_for_univariate_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_invalid_input_for_univariate_spline(self):$/;" m class:TestUnivariateSpline +test_invalid_input_size adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_invalid_input_size(self):$/;" m class:TestTtest_rel +test_invalid_intersection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def test_invalid_intersection():$/;" f +test_invalid_label adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_invalid_label():$/;" f +test_invalid_legacy_state_setting adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_legacy_state_setting(self):$/;" m class:TestSetState +test_invalid_log_lims adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_invalid_log_lims():$/;" f +test_invalid_matrix_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_invalid_matrix_shapes(self, ldab, n, ldb, nrhs):$/;" m class:TestTbtrs +test_invalid_mode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_invalid_mode(self):$/;" m class:TestFileOpen +test_invalid_mutation_values_arent_accepted adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_invalid_mutation_values_arent_accepted(self):$/;" m class:TestDifferentialEvolutionSolver +test_invalid_n adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_invalid_n(self):$/;" m class:TestMultinomial +test_invalid_n adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_n(self):$/;" m class:TestMultinomial +test_invalid_names adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_invalid_names(self):$/;" m class:RpcTest +test_invalid_nesting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_invalid_nesting(self, block):$/;" m class:TestBlock +test_invalid_newaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_newaxis(self):$/;" m class:TestScalarIndexing +test_invalid_newaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_newaxis(self):$/;" m class:TestZeroRank +test_invalid_node adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_invalid_node(self):$/;" m class:TestBindings +test_invalid_norm adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def test_invalid_norm(func):$/;" f +test_invalid_option_values adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_invalid_option_values(self, options):$/;" m class:LinprogHiGHSTests +test_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_params(self):$/;" m class:TestCorrelate +test_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_params(self):$/;" m class:_TestConvolve +test_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_invalid_params(self):$/;" m class:TestMultivariateHypergeom +test_invalid_pointer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^def test_invalid_pointer():$/;" f +test_invalid_prob adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_invalid_prob(self):$/;" m class:TestMultinomial +test_invalid_prob adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_prob(self):$/;" m class:TestMultinomial +test_invalid_raise adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_invalid_raise(self):$/;" m class:TestFromTxt +test_invalid_raise_with_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_invalid_raise_with_usecols(self):$/;" m class:TestFromTxt +test_invalid_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_invalid_range(self):$/;" m class:TestHistogram +test_invalid_rc_warning_includes_filename adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_invalid_rc_warning_includes_filename(caplog):$/;" f +test_invalid_ref adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_invalid_ref(self):$/;" m class:TestOpen +test_invalid_result_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_invalid_result_type(self):$/;" m class:TestVecString +test_invalid_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_invalid_round(self):$/;" m class:TestRegression +test_invalid_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_invalid_scalar(self):$/;" m class:TestSeed +test_invalid_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_invalid_scalar(self):$/;" m class:TestSeed +test_invalid_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_invalid_scalar(self):$/;" m class:TestSeed +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes(self):$/;" m class:TestConvolve +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes(self):$/;" m class:TestCorrelate +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes(self):$/;" m class:TestCorrelate2d +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes(self):$/;" m class:_TestConvolve2d +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes(self, convapproach):$/;" m class:TestAllFreqConvolves +test_invalid_shapes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_invalid_shapes(self):$/;" m class:_TestCommon +test_invalid_shapes_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invalid_shapes_axes(self, convapproach):$/;" m class:TestAllFreqConvolves +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:Testfft2 +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:TestFftn +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:TestIfftn +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestIFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestIRFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestRFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_invalid_sizes(self, func):$/;" m class:TestRfftn +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:Testfft2 +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:TestFftn +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:TestIfftn +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestIFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestIRFFTBase +test_invalid_sizes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_invalid_sizes(self):$/;" m class:_TestRFFTBase +test_invalid_state_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_invalid_state_type(self):$/;" m class:Base +test_invalid_state_value adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_invalid_state_value(self):$/;" m class:Base +test_invalid_structured_dtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_invalid_structured_dtypes(self):$/;" m class:TestRegression +test_invalid_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_subscript(self):$/;" m class:TestScalarIndexing +test_invalid_subscript adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_subscript(self):$/;" m class:TestZeroRank +test_invalid_subscript_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_subscript_assignment(self):$/;" m class:TestScalarIndexing +test_invalid_subscript_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_invalid_subscript_assignment(self):$/;" m class:TestZeroRank +test_invalid_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_invalid_types(self):$/;" m class:TestBuiltin +test_invalid_type_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_invalid_type_descr(self):$/;" m class:TestVecString +test_invalid_wn_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_wn_range(self):$/;" m class:TestIIRFilter +test_invalid_wn_size adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_invalid_wn_size(self):$/;" m class:TestIIRFilter +test_invalid_workers adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def test_invalid_workers(x):$/;" f +test_inverse adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_inverse(self):$/;" m class:TestFFTShift +test_inverse adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^ def test_inverse(self):$/;" m class:TestFFTShift +test_inverse adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_inverse(self):$/;" m class:TestSplder +test_inverse adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_inverse(self):$/;" m class:TestInvHilbert +test_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_inverse(self):$/;" f function:_test_inverse file: +test_inverse_bad_rtype adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_inverse_bad_rtype(self):$/;" m class:TestPartialFractionExpansion +test_inverse_repeated_roots_different_rtypes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_inverse_repeated_roots_different_rtypes(self):$/;" m class:TestPartialFractionExpansion +test_inverse_scalar_arguments adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_inverse_scalar_arguments(self):$/;" m class:TestPartialFractionExpansion +test_inverse_unique_roots_different_rtypes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_inverse_unique_roots_different_rtypes(self):$/;" m class:TestPartialFractionExpansion +test_inverted adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_inverted(fig_test, fig_ref):$/;" f +test_inverted_cla adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_inverted_cla():$/;" f +test_inverted_cla adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_inverted_cla():$/;" f +test_inverted_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_inverted_limits():$/;" f +test_invgamma_inf_gh_1866 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_invgamma_inf_gh_1866(self):$/;" m class:TestInvGamma +test_invgauss adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_invgauss(self):$/;" m class:TestGenInvGauss +test_invisible_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_invisible_axes():$/;" f +test_invisible_Line_rendering adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_invisible_Line_rendering():$/;" f +test_invpascal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^def test_invpascal():$/;" f +test_invres adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invres(self):$/;" m class:TestPartialFractionExpansion +test_invresz adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invresz(self):$/;" m class:TestPartialFractionExpansion +test_invresz_one_coefficient_bug adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_invresz_one_coefficient_bug(self):$/;" m class:TestPartialFractionExpansion +test_inv_boxcox adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_inv_boxcox():$/;" f +test_inv_boxcox1p_underflow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_boxcox.py /^def test_inv_boxcox1p_underflow():$/;" f +test_inv_single_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_inv_single_rotation():$/;" f +test_in_ball adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_in_ball(self):$/;" m class:ball_consistency +test_in_ball_outside adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_in_ball_outside(self):$/;" m class:_Test_random_ball_periodic +test_in_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_in_bounds(self):$/;" m class:BoundsMixin +test_in_bounds_fuzz adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_in_bounds_fuzz(self, endpoint):$/;" m class:TestIntegers +test_in_bounds_fuzz adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_in_bounds_fuzz(self):$/;" m class:TestRandint +test_in_bounds_fuzz adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_in_bounds_fuzz(self):$/;" m class:TestRandint +test_in_cache_from_2casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_cache_from_2casttype(self):$/;" m class:TestSharedMemory +test_in_cache_from_2casttype_failure adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_cache_from_2casttype_failure(self):$/;" m class:TestSharedMemory +test_in_copy_from_2casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_copy_from_2casttype(self):$/;" m class:TestSharedMemory +test_in_from_23casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_from_23casttype(self):$/;" m class:TestSharedMemory +test_in_from_2casttype adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_from_2casttype(self):$/;" m class:TestSharedMemory +test_in_from_2seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_from_2seq(self):$/;" m class:TestSharedMemory +test_in_out adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_in_out(self):$/;" m class:TestIntent +test_in_place adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/operator_fallback_op_test.py /^ def test_in_place(self, stride, pad, kernel, size,$/;" m class:TestFallbackOps +test_in_place adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_in_place(self):$/;" m class:TestReShapeOps +test_in_place adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_in_place(self):$/;" m class:TestLengthsToShapeOps +TEST_IN_SUBPROCESS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_IN_SUBPROCESS = args.subprocess$/;" v +test_in_tempdir adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^def test_in_tempdir():$/;" f +test_iota adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_iota(self):$/;" m class:ShapePolyPrimitivesTest +test_io_error adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_io_error(self, comm_size, device_option):$/;" m class:TestCase +test_io_open_buffered_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_io_open_buffered_fromfile(self):$/;" m class:TestIO +test_io_open_unbuffered_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_io_open_unbuffered_fromfile(self):$/;" m class:TestIO +test_ipmt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ipmt(self):$/;" m class:TestFinancial +test_ipmt_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ipmt_decimal(self):$/;" m class:TestFinancial +test_ipynb adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_nbagg.py /^def test_ipynb():$/;" f +test_ip_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_allclose(self):$/;" m class:TestAllclose +test_ip_all_isclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_all_isclose(self):$/;" m class:TestIsclose +test_ip_isclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_isclose(self):$/;" m class:TestIsclose +test_ip_isclose_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_isclose_allclose(self):$/;" m class:TestIsclose +test_ip_none_isclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_none_isclose(self):$/;" m class:TestIsclose +test_ip_not_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ip_not_allclose(self):$/;" m class:TestAllclose +test_ip_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ip_types(self):$/;" m class:TestPutmask +test_ip_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ip_types(self):$/;" m class:TestTake +test_irecv adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_irecv(self):$/;" m class:DistributedTest._DistTestBase +test_irfft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_irfft(self):$/;" m class:TestFFT1D +test_irfft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_irfft(self):$/;" m class:TestFFTThreadSafe +test_irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_irfft(self):$/;" m class:TestFFT1D +test_irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_irfft(self):$/;" m class:TestFFTThreadSafe +test_irfft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_irfft2(self):$/;" m class:TestFFT1D +test_irfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_irfft2(self):$/;" m class:TestFFT1D +test_irfftn adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_irfftn(self):$/;" m class:TestFFT1D +test_irfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_irfftn(self):$/;" m class:TestFFT1D +test_irr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_irr(self):$/;" m class:TestFinancial +test_isalnum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isalnum(self):$/;" m class:TestInformation +test_isalpha adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isalpha(self):$/;" m class:TestInformation +test_isdecimal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isdecimal(self):$/;" m class:TestMethods +test_isdense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_isdense(self):$/;" m class:TestSparseUtils +test_isdigit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isdigit(self):$/;" m class:TestInformation +test_isend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_isend(self):$/;" m class:DistributedTest._DistTestBase +test_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_isf(self):$/;" m class:TestGumbelR +test_isfileobj adpepsenv/lib/python3.8/site-packages/numpy/compat/tests/test_compat.py /^def test_isfileobj():$/;" f +test_isfinite_isinf_isnan_units adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_isfinite_isinf_isnan_units(self, unit, dstr):$/;" m class:TestDateTime +test_isfinite_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_isfinite_scalar(self):$/;" m class:TestDateTime +test_isf_of_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_isf_of_sf(self):$/;" m class:TestKSTwo +test_isf_of_sf_sqrtn adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_isf_of_sf_sqrtn(self):$/;" m class:TestKSTwo +test_isf_ticket1131 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_isf_ticket1131(self):$/;" m class:TestTruncnorm +test_isin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_isin(self):$/;" m class:TestSetOps +test_isin adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_isin(self):$/;" m class:TestArraySetOps +test_isintlike adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_isintlike(self):$/;" m class:TestSparseUtils +test_islower adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_islower(self):$/;" m class:TestInformation +test_ismatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_ismatrix(self):$/;" m class:TestSparseUtils +test_isnat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_isnat(self):$/;" m class:TestDateTime +test_isnat_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_isnat_error(self):$/;" m class:TestDateTime +test_isneginf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_isneginf(self):$/;" m class:TestUfunclike +test_isnumeric adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isnumeric(self):$/;" m class:TestMethods +test_isolve_gmres adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_isolve_gmres(matrices):$/;" f +test_isposinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_isposinf(self):$/;" m class:TestUfunclike +test_IsPythonScalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_IsPythonScalar(self):$/;" m class:TestCAPI +test_isscalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_isscalar(self):$/;" m class:TestIsscalar +test_isscalarlike adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_isscalarlike(self):$/;" m class:TestSparseUtils +test_issctype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^def test_issctype(rep, expected):$/;" f +test_issequence adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_issequence(self):$/;" m class:TestSparseUtils +test_isshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_isshape(self):$/;" m class:TestSparseUtils +test_isspace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isspace(self):$/;" m class:TestInformation +test_Issue_1713 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_Issue_1713(tmpdir):$/;" f +test_issue_212 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_issue_212(self):$/;" m class:TestExtLinkBugs +test_issue_8051 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_issue_8051(self):$/;" m class:Test_Qhull +test_issue_9044 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_issue_9044(self):$/;" m class:TestTrustRegionConstr +test_istitle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_istitle(self):$/;" m class:TestInformation +test_isupper adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_isupper(self):$/;" m class:TestInformation +test_is_isomorphic_1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_1(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_2(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_3 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_3(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_4A adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_4A(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_4B adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_4B(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_4C adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_4C(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_5 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_5(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_6 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_6(self):$/;" m class:TestIsIsomorphic +test_is_isomorphic_7 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_isomorphic_7(self):$/;" m class:TestIsIsomorphic +test_is_member_of adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_is_member_of(self, N, gc, dc, engine):$/;" m class:TestIsMemberOf +test_is_monotonic_1x4 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_1x4(self):$/;" m class:TestIsMonotonic +test_is_monotonic_2x4_F adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_2x4_F(self):$/;" m class:TestIsMonotonic +test_is_monotonic_2x4_T adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_2x4_T(self):$/;" m class:TestIsMonotonic +test_is_monotonic_3x4_F1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_3x4_F1(self):$/;" m class:TestIsMonotonic +test_is_monotonic_3x4_F2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_3x4_F2(self):$/;" m class:TestIsMonotonic +test_is_monotonic_3x4_F3 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_3x4_F3(self):$/;" m class:TestIsMonotonic +test_is_monotonic_3x4_T adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_3x4_T(self):$/;" m class:TestIsMonotonic +test_is_monotonic_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_empty(self):$/;" m class:TestIsMonotonic +test_is_monotonic_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_Q_linkage(self):$/;" m class:TestIsMonotonic +test_is_monotonic_tdist_linkage1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_tdist_linkage1(self):$/;" m class:TestIsMonotonic +test_is_monotonic_tdist_linkage2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_monotonic_tdist_linkage2(self):$/;" m class:TestIsMonotonic +test_is_operator adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_is_operator(self):$/;" m class:TestOpRegistryKey +test_is_operator_with_engine adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_is_operator_with_engine(self):$/;" m class:TestOpRegistryKey +test_is_scaled_chisquared adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_is_scaled_chisquared(self):$/;" m class:TestWishart +test_is_valid_dm_asymmetric_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_asymmetric_E(self):$/;" m class:TestIsValidDM +test_is_valid_dm_asymmetric_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_asymmetric_F(self):$/;" m class:TestIsValidDM +test_is_valid_dm_correct_1_by_1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_correct_1_by_1(self):$/;" m class:TestIsValidDM +test_is_valid_dm_correct_2_by_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_correct_2_by_2(self):$/;" m class:TestIsValidDM +test_is_valid_dm_correct_3_by_3 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_correct_3_by_3(self):$/;" m class:TestIsValidDM +test_is_valid_dm_correct_4_by_4 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_correct_4_by_4(self):$/;" m class:TestIsValidDM +test_is_valid_dm_correct_5_by_5 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_correct_5_by_5(self):$/;" m class:TestIsValidDM +test_is_valid_dm_improper_shape_1D_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_improper_shape_1D_E(self):$/;" m class:TestIsValidDM +test_is_valid_dm_improper_shape_1D_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_improper_shape_1D_F(self):$/;" m class:TestIsValidDM +test_is_valid_dm_improper_shape_3D_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_improper_shape_3D_E(self):$/;" m class:TestIsValidDM +test_is_valid_dm_improper_shape_3D_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_improper_shape_3D_F(self):$/;" m class:TestIsValidDM +test_is_valid_dm_nonzero_diagonal_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_nonzero_diagonal_E(self):$/;" m class:TestIsValidDM +test_is_valid_dm_nonzero_diagonal_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_dm_nonzero_diagonal_F(self):$/;" m class:TestIsValidDM +test_is_valid_im_4_and_up adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_4_and_up(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_4_and_up_neg_dist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_4_and_up_neg_dist(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_4_and_up_neg_index_left adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_4_and_up_neg_index_left(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_4_and_up_neg_index_right adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_4_and_up_neg_index_right(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_empty(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_int_type adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_int_type(self):$/;" m class:TestIsValidInconsistent +test_is_valid_im_various_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_im_various_size(self):$/;" m class:TestIsValidInconsistent +test_is_valid_linkage_4_and_up adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_4_and_up(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_4_and_up_neg_counts adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_4_and_up_neg_counts(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_4_and_up_neg_dist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_4_and_up_neg_dist(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_4_and_up_neg_index_left adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_4_and_up_neg_index_left(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_4_and_up_neg_index_right adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_4_and_up_neg_index_right(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_empty(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_int_type adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_int_type(self):$/;" m class:TestIsValidLinkage +test_is_valid_linkage_various_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_is_valid_linkage_various_size(self):$/;" m class:TestIsValidLinkage +test_is_valid_y_2_100 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_2_100(self):$/;" m class:TestIsValidY +test_is_valid_y_correct_2_by_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_correct_2_by_2(self):$/;" m class:TestIsValidY +test_is_valid_y_correct_3_by_3 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_correct_3_by_3(self):$/;" m class:TestIsValidY +test_is_valid_y_correct_4_by_4 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_correct_4_by_4(self):$/;" m class:TestIsValidY +test_is_valid_y_correct_5_by_5 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_correct_5_by_5(self):$/;" m class:TestIsValidY +test_is_valid_y_improper_shape_2D_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_improper_shape_2D_E(self):$/;" m class:TestIsValidY +test_is_valid_y_improper_shape_2D_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_improper_shape_2D_F(self):$/;" m class:TestIsValidY +test_is_valid_y_improper_shape_3D_E adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_improper_shape_3D_E(self):$/;" m class:TestIsValidY +test_is_valid_y_improper_shape_3D_F adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_is_valid_y_improper_shape_3D_F(self):$/;" m class:TestIsValidY +test_it adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_it(self):$/;" m class:TestChar +test_it adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_it(self):$/;" m class:TestComplexFunctions +test_it2i0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_it2i0k0(self):$/;" m class:TestBessel +test_it2i0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_it2i0k0(self):$/;" m class:TestCephes +test_it2j0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_it2j0y0(self):$/;" m class:TestBessel +test_it2j0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_it2j0y0(self):$/;" m class:TestCephes +test_it2struve0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_it2struve0(self):$/;" m class:TestCephes +test_itairy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_itairy(self):$/;" m class:TestCephes +test_items adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_items(self):$/;" m class:TestEmpty +test_items adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_items(self):$/;" m class:TestPy2Dict +test_items adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_items(self):$/;" m class:TestPy3Dict +test_itemset_no_segfault_on_readonly adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_itemset_no_segfault_on_readonly():$/;" f +test_iter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_iter(self):$/;" m class:TestIter +test_iter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_iter(self):$/;" m class:TestDimensionManager +test_iter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_iter(self):$/;" m class:TestDimensionsHighLevel +test_iter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_iter(self):$/;" m class:TestIter +test_iterability_axes_argument adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_iterability_axes_argument():$/;" f +test_iterate_structure01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_iterate_structure01(self):$/;" m class:TestNdimageMorphology +test_iterate_structure02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_iterate_structure02(self):$/;" m class:TestNdimageMorphology +test_iterate_structure03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_iterate_structure03(self):$/;" m class:TestNdimageMorphology +test_iteration adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_iteration(self):$/;" m class:TestDifferentialEvolutionSolver +test_iterative_refinements_dense adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_iterative_refinements_dense(self):$/;" m class:TestProjections +test_iterative_refinements_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_iterative_refinements_sparse(self):$/;" m class:TestProjections +test_iterator adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_iterator(self):$/;" m class:TestBSR +test_iterator adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_iterator(self):$/;" m class:TestCOO +test_iterator adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_iterator(self):$/;" m class:TestDIA +test_iterator adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_iterator(self):$/;" m class:_TestCommon +test_iterators_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_iterators_exceptions(self):$/;" m class:TestIndexErrors +test_iteritems adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_iteritems(self):$/;" m class:TestEmpty +test_iteritems adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_iteritems(self):$/;" m class:TestPy2Dict +test_iterkeys adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_iterkeys(self):$/;" m class:TestPy2Dict +test_itervalues adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_itervalues(self):$/;" m class:TestEmpty +test_itervalues adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_itervalues(self):$/;" m class:TestPy2Dict +test_iter_allocated_array_dtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocated_array_dtypes():$/;" f +test_iter_allocate_output_buffered_readwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_buffered_readwrite():$/;" f +test_iter_allocate_output_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_errors():$/;" f +test_iter_allocate_output_itorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_itorder():$/;" f +test_iter_allocate_output_opaxes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_opaxes():$/;" f +test_iter_allocate_output_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_simple():$/;" f +test_iter_allocate_output_subtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_subtype():$/;" f +test_iter_allocate_output_subtype adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_iter_allocate_output_subtype():$/;" f +test_iter_allocate_output_types_byte_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_types_byte_order():$/;" f +test_iter_allocate_output_types_promotion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_types_promotion():$/;" f +test_iter_allocate_output_types_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_allocate_output_types_scalar():$/;" f +test_iter_array_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_array_cast():$/;" f +test_iter_array_cast_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_array_cast_errors():$/;" f +test_iter_assign_mapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_assign_mapping():$/;" f +test_iter_best_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order():$/;" f +test_iter_best_order_c_index_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_c_index_1d():$/;" f +test_iter_best_order_c_index_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_c_index_2d():$/;" f +test_iter_best_order_c_index_3d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_c_index_3d():$/;" f +test_iter_best_order_f_index_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_f_index_1d():$/;" f +test_iter_best_order_f_index_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_f_index_2d():$/;" f +test_iter_best_order_f_index_3d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_f_index_3d():$/;" f +test_iter_best_order_multi_index_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_multi_index_1d():$/;" f +test_iter_best_order_multi_index_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_multi_index_2d():$/;" f +test_iter_best_order_multi_index_3d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_best_order_multi_index_3d():$/;" f +test_iter_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_broadcasting():$/;" f +test_iter_broadcasting_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_broadcasting_errors():$/;" f +test_iter_buffered_cast_byteswapped adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_cast_byteswapped():$/;" f +test_iter_buffered_cast_byteswapped_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_cast_byteswapped_complex():$/;" f +test_iter_buffered_cast_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_cast_simple():$/;" f +test_iter_buffered_cast_structured_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_cast_structured_type():$/;" f +test_iter_buffered_cast_subarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_cast_subarray():$/;" f +test_iter_buffered_reduce_reuse adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffered_reduce_reuse():$/;" f +test_iter_buffering adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering():$/;" f +test_iter_buffering_badwriteback adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_badwriteback():$/;" f +test_iter_buffering_delayed_alloc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_delayed_alloc():$/;" f +test_iter_buffering_growinner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_growinner():$/;" f +test_iter_buffering_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_reduction():$/;" f +test_iter_buffering_reduction_reuse_reduce_loops adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_reduction_reuse_reduce_loops():$/;" f +test_iter_buffering_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_buffering_string():$/;" f +test_iter_common_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_common_dtype():$/;" f +test_iter_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_copy():$/;" f +test_iter_copy_if_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_copy_if_overlap():$/;" f +test_iter_count_with_execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_iter_count_with_execution_step(self, initial_iters, num_iters):$/;" m class:TestOperators +test_iter_c_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_c_order():$/;" f +test_iter_c_or_f_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_c_or_f_order():$/;" f +test_iter_decode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_iter_decode():$/;" f +test_iter_dimensionscales adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_iter_dimensionscales(self):$/;" m class:TestH5DSBindings +test_iter_dim_coalescing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_dim_coalescing():$/;" f +test_iter_element_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_element_deletion():$/;" f +test_iter_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_iter_encode():$/;" f +test_iter_flags_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_flags_errors():$/;" f +test_iter_f_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_f_order():$/;" f +test_iter_iterindex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_iterindex():$/;" f +test_iter_iterrange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_iterrange():$/;" f +test_iter_itershape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_itershape():$/;" f +test_iter_nbo_align_contig adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_nbo_align_contig():$/;" f +test_iter_nested_iters_dtype_buffered adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_iter_nested_iters_dtype_buffered(self):$/;" m class:TestIterNested +test_iter_non_writable_attribute_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_non_writable_attribute_deletion():$/;" f +test_iter_no_broadcast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_no_broadcast():$/;" f +test_iter_no_inner_dim_coalescing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_no_inner_dim_coalescing():$/;" f +test_iter_no_inner_full_coalesce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_no_inner_full_coalesce():$/;" f +test_iter_object_arrays_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_object_arrays_basic():$/;" f +test_iter_object_arrays_conversions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_object_arrays_conversions():$/;" f +test_iter_op_axes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_op_axes():$/;" f +test_iter_op_axes_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_op_axes_errors():$/;" f +test_iter_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_reduction():$/;" f +test_iter_reduction_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_reduction_error():$/;" f +test_iter_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_refcount():$/;" f +test_iter_remove_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_remove_axis():$/;" f +test_iter_remove_multi_index_inner_loop adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_remove_multi_index_inner_loop():$/;" f +test_iter_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_iter_scalar(self):$/;" m class:TestIter +test_iter_scalar_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_scalar_cast():$/;" f +test_iter_scalar_cast_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_scalar_cast_errors():$/;" f +test_iter_slice adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_slice():$/;" f +test_iter_too_large adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_too_large():$/;" f +test_iter_too_large_with_multiindex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_too_large_with_multiindex():$/;" f +test_iter_writable_attribute_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_writable_attribute_deletion():$/;" f +test_iter_writemasked adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_writemasked():$/;" f +test_iter_writemasked_badinput adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_writemasked_badinput():$/;" f +test_iter_write_buffering adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_iter_write_buffering():$/;" f +test_iter_zero adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_iter_zero(self):$/;" m class:TestIter +test_iti0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iti0k0(self):$/;" m class:TestBessel +test_iti0k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iti0k0(self):$/;" m class:TestCephes +test_itilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_itilbert(self):$/;" m class:TestOverwrite +test_itilbert_relation adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_itilbert_relation(self):$/;" m class:TestIHilbert +test_itj0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_itj0y0(self):$/;" m class:TestBessel +test_itj0y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_itj0y0(self):$/;" m class:TestCephes +test_itmodstruve0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_itmodstruve0(self):$/;" m class:TestCephes +test_itstruve0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_itstruve0(self):$/;" m class:TestCephes +test_iuc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_iuc(self):$/;" m class:TestOrdQZ +test_iv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv(self):$/;" m class:TestBessel +test_iv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv(self):$/;" m class:TestCephes +test_ive adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ive(self):$/;" m class:TestBessel +test_ivp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ivp(self):$/;" m class:TestBessel +test_ivp0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ivp0(self):$/;" m class:TestBessel +test_iv_cephes_vs_amos adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv_cephes_vs_amos(self):$/;" m class:TestBessel +test_iv_cephes_vs_amos_mass_test adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv_cephes_vs_amos_mass_test(self):$/;" m class:TestBessel +test_iv_hyperg_poles adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv_hyperg_poles(self):$/;" m class:TestBessel +test_iv_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_iv_series(self):$/;" m class:TestBessel +test_j0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_j0(self):$/;" m class:TestBessel +test_j0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_j0(self):$/;" m class:TestCephes +test_j0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_j0(self):$/;" m class:TestSystematic +test_j1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_j1(self):$/;" m class:TestBessel +test_j1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_j1(self):$/;" m class:TestCephes +test_j1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_j1(self):$/;" m class:TestSystematic +test_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_jac(self):$/;" m class:LossFunctionMixin +test_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_jac(self):$/;" m class:TestCurveFit +test_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_jac(self):$/;" m class:TestBasinHopping +test_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jacobi(self):$/;" m class:TestBessel +test_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_jacobi(self):$/;" m class:TestSystematic +test_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_jacobi(self):$/;" m class:TestPolys +test_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_jacobi(self):$/;" m class:TestRecurrence +test_jacobi_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_jacobi_int(self):$/;" m class:TestSystematic +test_jac_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_jac_options(self):$/;" m class:BaseMixin +test_jac_sparsity_not_supported adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_jac_sparsity_not_supported(self):$/;" m class:TestLM +test_jac_wrong_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_jac_wrong_dimensions(self):$/;" m class:BaseMixin +test_jarque_bera_array_like adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_jarque_bera_array_like(self):$/;" m class:TestJarqueBera +test_jarque_bera_size adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_jarque_bera_size(self):$/;" m class:TestJarqueBera +test_jarque_bera_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_jarque_bera_stats(self):$/;" m class:TestJarqueBera +test_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_jax(string): # pragma: no cover$/;" f +test_jax_implemented adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax_primitives_coverage_test.py /^ def test_jax_implemented(self, harness: primitive_harness.Harness):$/;" m class:JaxPrimitiveTest +test_jax_jit_gradient adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_jax_jit_gradient():$/;" f +test_jax_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_jax_with_constants(constants): # pragma: no cover$/;" f +test_jit adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_jit(self):$/;" m class:Jax2TfTest +test_jit_fork_within_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def test_jit_fork_within_context(self):$/;" m class:JitDistAutogradTest +test_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jn(self):$/;" m class:TestBessel +test_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jn(self):$/;" m class:TestCephes +test_jnjnp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jnjnp_zeros(self):$/;" m class:TestBessel +test_jnp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jnp_zeros(self):$/;" m class:TestBessel +test_jnyn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jnyn_zeros(self):$/;" m class:TestBessel +test_jn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jn_zeros(self):$/;" m class:TestBessel +test_jn_zeros_slow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jn_zeros_slow(self):$/;" m class:TestBessel +test_joggle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_joggle(self):$/;" m class:TestDelaunay +test_join adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_join(self):$/;" m class:TestMethods +test_join adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_join(self):$/;" m class:TestJoinBy +test_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_joinstyle():$/;" f +test_join_matches_subprocess adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_shell_utils.py /^def test_join_matches_subprocess(Parser, runner, argv):$/;" f +test_join_subdtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_join_subdtype(self):$/;" m class:TestJoinBy +test_jordan_block adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_jordan_block(self):$/;" m class:TestLsim +test_jottings adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_funcs.py /^def test_jottings():$/;" f +test_jpeg_2d adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_jpeg_2d():$/;" f +test_jpeg_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_jpeg_alpha():$/;" f +test_jpeg_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_jpeg_dpi():$/;" f +test_jpl_barh_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_jpl_barh_units():$/;" f +test_jpl_bar_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_jpl_bar_units():$/;" f +test_json_serialization adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_json_serialization(tmpdir):$/;" f +test_julian2num adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_julian2num():$/;" f +test_jump adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_jump(self):$/;" m class:RNG +test_jumped adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^def test_jumped(config):$/;" f +test_junk_in_string_fields_of_recarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_junk_in_string_fields_of_recarray(self):$/;" m class:TestRegression +test_jv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jv(self):$/;" m class:TestBessel +test_jv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jv(self):$/;" m class:TestCephes +test_jve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jve(self):$/;" m class:TestBessel +test_jvp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jvp(self):$/;" m class:TestBessel +test_jv_cephes_vs_amos adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_jv_cephes_vs_amos(self):$/;" m class:TestBessel +test_k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k0(self):$/;" m class:TestBessel +test_k0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k0(self):$/;" m class:TestCephes +test_k0e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k0e(self):$/;" m class:TestBessel +test_k0e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k0e(self):$/;" m class:TestCephes +test_k1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k1(self):$/;" m class:TestBessel +test_k1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k1(self):$/;" m class:TestCephes +test_k1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k1e(self):$/;" m class:TestBessel +test_k1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_k1e(self):$/;" m class:TestCephes +test_kaiserord adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^def test_kaiserord():$/;" f +test_kaiser_atten adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^def test_kaiser_atten():$/;" f +test_kaiser_beta adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^def test_kaiser_beta():$/;" f +test_kaiser_float adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_kaiser_float(self):$/;" m class:TestGetWindow +test_kde_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_1d():$/;" f +test_kde_1d_weighted adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_1d_weighted():$/;" f +test_kde_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_2d():$/;" f +test_kde_2d_weighted adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_2d_weighted():$/;" f +test_kde_bandwidth_method adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_kde_bandwidth_method(self):$/;" m class:TestGaussianKDE +test_kde_bandwidth_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_bandwidth_method():$/;" f +test_kde_bandwidth_method_weighted adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_bandwidth_method_weighted():$/;" f +test_kde_integer_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_kde_integer_input(self):$/;" m class:TestGaussianKDE +test_kde_integer_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_integer_input():$/;" f +test_kde_output_dtype adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_kde_output_dtype(point_type, dataset_type, weights_type, bw_type):$/;" f +test_kdtree_attributes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_attributes():$/;" f +test_kdtree_box adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_box(kdtree_type):$/;" f +test_kdtree_box_0boxsize adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_box_0boxsize(kdtree_type):$/;" f +test_kdtree_box_lower_bounds adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_box_lower_bounds(kdtree_type):$/;" f +test_kdtree_box_upper_bounds adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_box_upper_bounds(kdtree_type):$/;" f +test_kdtree_build_modes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_build_modes(kdtree_type):$/;" f +test_kdtree_comparisons adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_comparisons():$/;" f +test_kdtree_complex_data adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_complex_data():$/;" f +test_kdtree_copy_data adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_copy_data(kdtree_type):$/;" f +test_kdtree_count_neighbous_multiple_r adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_count_neighbous_multiple_r(kdtree_type):$/;" f +test_kdtree_duplicated_inputs adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_duplicated_inputs(kdtree_type):$/;" f +test_kdtree_empty_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_empty_input(kdtree_type, balanced_tree, compact_nodes):$/;" f +test_kdtree_list_k adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_list_k(kdtree_type):$/;" f +test_kdtree_noncumulative_nondecreasing adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_noncumulative_nondecreasing(kdtree_type):$/;" f +test_kdtree_pickle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_pickle(kdtree_type):$/;" f +test_kdtree_pickle_boxsize adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_pickle_boxsize(kdtree_type):$/;" f +test_kdtree_query_pairs adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_query_pairs(kdtree_type):$/;" f +test_kdtree_tree_access adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_tree_access():$/;" f +test_kdtree_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_kdtree_weights(kdtree_type):$/;" f +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_keepdims(self):$/;" m class:TestStats +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keepdims(self):$/;" m class:TestMedian +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keepdims(self):$/;" m class:TestPercentile +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_keepdims(self):$/;" m class:SharedNanFunctionsTestsMixin +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_keepdims(self):$/;" m class:TestNanFunctions_CumSumProd +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_keepdims(self):$/;" m class:TestNanFunctions_Median +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_keepdims(self):$/;" m class:TestNanFunctions_MinMax +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_keepdims(self):$/;" m class:TestNanFunctions_Percentile +test_keepdims adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_keepdims(self):$/;" m class:_TestNormGeneral +test_keepdims adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_keepdims(self):$/;" m class:TestIQR +test_keepdims_argument adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_keepdims_argument(self):$/;" m class:TestUfunc +test_keepdims_kwd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_keepdims_kwd(self):$/;" m class:TestMatrixNorms +test_keepdims_kwd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_keepdims_kwd(self):$/;" m class:TestVectorNorms +test_keepmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_keepmask(self):$/;" m class:TestMaskedArrayAttributes +test_keep_default adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_keep_default(self):$/;" m class:TestStringConverter +test_keep_default_zero adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_keep_default_zero(self):$/;" m class:TestStringConverter +test_keep_missing_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_keep_missing_values(self):$/;" m class:TestStringConverter +test_kei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kei(self):$/;" m class:TestCephes +test_kei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kei(self):$/;" m class:TestKelvin +test_kei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_kei(self):$/;" m class:TestSystematic +test_keip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_keip(self):$/;" m class:TestCephes +test_keip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_keip(self):$/;" m class:TestKelvin +test_keip_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_keip_zeros(self):$/;" m class:TestKelvin +test_kei_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kei_zeros(self):$/;" m class:TestKelvin +test_kelvin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kelvin(self):$/;" m class:TestKelvin +test_kelvin_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kelvin_zeros(self):$/;" m class:TestKelvin +test_kendalltau adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kendalltau(self):$/;" m class:TestCompareWithStats +test_kendalltau adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kendalltau(self):$/;" m class:TestCorr +test_kendalltau adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_kendalltau():$/;" f +test_kendalltau_nan_2nd_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_kendalltau_nan_2nd_arg():$/;" f +test_kendalltau_seasonal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kendalltau_seasonal(self):$/;" m class:TestCorr +test_kendalltau_vs_mstats_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_kendalltau_vs_mstats_basic():$/;" f +test_kendall_p_exact_large adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def test_kendall_p_exact_large():$/;" f +test_kendall_tau_large adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_kendall_tau_large():$/;" f +test_ker adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ker(self):$/;" m class:TestCephes +test_ker adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ker(self):$/;" m class:TestKelvin +test_ker adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ker(self):$/;" m class:TestSystematic +test_kerp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kerp(self):$/;" m class:TestCephes +test_kerp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kerp(self):$/;" m class:TestKelvin +test_kerp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kerp_zeros(self):$/;" m class:TestKelvin +test_ker_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ker_zeros(self):$/;" m class:TestKelvin +test_KeyError adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_KeyError(self):$/;" m class:Test_Metropolis +test_keymaps adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_keymaps():$/;" f +test_keys adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_keys(self):$/;" m class:TestPy2Dict +test_keys adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_keys(self):$/;" m class:TestPy3Dict +test_keywords adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords(self):$/;" m class:TestVectorize +test_keywords adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_multiarray.py /^ def test_keywords(self):$/;" m class:TestView +test_keywords2_ticket_2100 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords2_ticket_2100(self):$/;" m class:TestVectorize +test_keywords3_ticket_2100 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords3_ticket_2100(self):$/;" m class:TestVectorize +test_keywords4_ticket_2100 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords4_ticket_2100(self):$/;" m class:TestVectorize +test_keywords5_ticket_2100 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords5_ticket_2100(self):$/;" m class:TestVectorize +test_keywords_no_func_code adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_no_func_code(self):$/;" m class:TestVectorize +test_keywords_with_otypes_order1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_with_otypes_order1(self):$/;" m class:TestVectorize +test_keywords_with_otypes_order2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_with_otypes_order2(self):$/;" m class:TestVectorize +test_keywords_with_otypes_order3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_with_otypes_order3(self):$/;" m class:TestVectorize +test_keywords_with_otypes_several_kwd_args1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_with_otypes_several_kwd_args1(self):$/;" m class:TestVectorize +test_keywords_with_otypes_several_kwd_args2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_keywords_with_otypes_several_kwd_args2(self):$/;" m class:TestVectorize +test_keyword_args adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_keyword_args(self):$/;" m class:TestNextFastLen +test_keyword_not_allowed_in_fields adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_keyword_not_allowed_in_fields(self, args):$/;" m class:TestMakeTupleBunch +test_key_split_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/key_split_ops_test.py /^ def test_key_split_op(self, X, gc, dc):$/;" m class:TestKeySplitOps +test_kink adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_kink(self):$/;" m class:TestSplder +test_kl_div adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_kl_div():$/;" f +test_kmeans2_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_empty(self):$/;" m class:TestKMean +test_kmeans2_high_dim adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_high_dim(self):$/;" m class:TestKMean +test_kmeans2_init adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_init(self):$/;" m class:TestKMean +test_kmeans2_kpp_high_dim adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_kpp_high_dim(self):$/;" m class:TestKMean +test_kmeans2_kpp_low_dim adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_kpp_low_dim(self):$/;" m class:TestKMean +test_kmeans2_rank1 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_rank1(self):$/;" m class:TestKMean +test_kmeans2_rank1_2 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_rank1_2(self):$/;" m class:TestKMean +test_kmeans2_simple adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans2_simple(self):$/;" m class:TestKMean +test_kmeans_0k adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans_0k(self):$/;" m class:TestKMean +test_kmeans_large_thres adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans_large_thres(self):$/;" m class:TestKMean +test_kmeans_lost_cluster adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans_lost_cluster(self):$/;" m class:TestKMean +test_kmeans_simple adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_kmeans_simple(self):$/;" m class:TestKMean +test_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kn(self):$/;" m class:TestBessel +test_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kn(self):$/;" m class:TestCephes +test_knots_multiplicity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def test_knots_multiplicity():$/;" f +test_knots_not_data_sites adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_knots_not_data_sites(self):$/;" m class:TestInterp +test_known_exact adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_known_exact(self):$/;" m class:TestFOneWay +test_known_examples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_known_examples(self):$/;" m class:TestKSOneSample +test_known_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^def test_known_types():$/;" f +test_kn_largeorder adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kn_largeorder(self):$/;" m class:TestBessel +test_kolmogc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kolmogc(self):$/;" m class:TestCephes +test_kolmogci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kolmogci(self):$/;" m class:TestCephes +test_kolmogi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kolmogi(self):$/;" m class:TestCephes +test_kolmogorov adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kolmogorov(self):$/;" m class:TestCephes +test_kolmogp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kolmogp(self):$/;" m class:TestCephes +test_krandinit adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_krandinit(self):$/;" m class:TestKMean +test_kron adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_kron(self):$/;" m class:TestConstructUtils +test_kroncompare adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_kroncompare(self):$/;" m class:TestTile +test_kronsum adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_kronsum(self):$/;" m class:TestConstructUtils +test_kron_large adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_kron_large(self):$/;" m class:TestConstructUtils +test_kron_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_kron_matrix():$/;" f +test_kron_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^ def test_kron_matrix(self):$/;" m class:TestRegression +test_kruskal_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_kruskal_result_attributes(self):$/;" m class:TestKruskal +test_krylov adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_krylov(self):$/;" m class:TestJacobianDotSolve +test_krylov adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_krylov(self):$/;" m class:TestLinear +test_ks1samp_allpaths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ks1samp_allpaths(self):$/;" m class:TestKSOneSample +test_ksone_fit_freeze adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ksone_fit_freeze():$/;" f +test_kstat_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_kstat_bad_arg(self):$/;" m class:TestKstat +test_kstest_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kstest_1samp(self):$/;" m class:TestCompareWithStats +test_kstest_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kstest_2samp(self):$/;" m class:TestCompareWithStats +test_ks_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_ks_1samp(self):$/;" m class:TestCompareWithStats +test_ks_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_ks_2samp(self):$/;" m class:TestCompareWithStats +test_ks_2samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_ks_2samp(self):$/;" m class:TestMisc +test_kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kurtosis(self):$/;" m class:TestCompareWithStats +test_kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kurtosis(self):$/;" m class:TestMoments +test_kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_kurtosis(self):$/;" m class:TestMoments +test_kurtosistest_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_kurtosistest_result_attributes(self):$/;" m class:TestNormalitytests +test_kurtosistest_too_few_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_kurtosistest_too_few_samples():$/;" f +test_kurtosis_array_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_kurtosis_array_scalar(self):$/;" m class:TestMoments +test_kurtosis_propagate_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_kurtosis_propagate_nan(self):$/;" m class:TestMoments +test_kv0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kv0(self):$/;" m class:TestBessel +test_kv1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kv1(self):$/;" m class:TestBessel +test_kv2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kv2(self):$/;" m class:TestBessel +test_kve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kve(self):$/;" m class:TestBessel +test_kvp_n1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kvp_n1(self):$/;" m class:TestBessel +test_kvp_n2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kvp_n2(self):$/;" m class:TestBessel +test_kvp_v0n1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kvp_v0n1(self):$/;" m class:TestBessel +test_kv_cephes_vs_amos adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kv_cephes_vs_amos(self):$/;" m class:TestBessel +test_kv_largearg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_kv_largearg(self):$/;" m class:TestBessel +test_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_kwargs(self):$/;" m class:TestLegendFunction +test_kwargs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_kwargs(mode):$/;" f +test_kwargs_not_passed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_kwargs_not_passed(self):$/;" m class:JitRpcOpTest +test_kwargs_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_kwargs_raise(self):$/;" m class:TestSubclassingNoShapes +test_kwarg_exact adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_kwarg_exact(self):$/;" m class:TestUfuncKwargs +test_K_and_K_minus_1_calls_equal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_K_and_K_minus_1_calls_equal(self):$/;" m class:TestDirichlet +test_L1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L1(self):$/;" m class:TestDifferentialEvolutionSolver +test_L1_distance adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^ def test_L1_distance(self, n, dim, gc, dc):$/;" m class:DistanceTest +test_l1_norm_trimmed adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_l1_norm_trimmed(self, param_dim, k, reg_weight):$/;" m class:TestRegularizer +test_L2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L2(self):$/;" m class:TestDifferentialEvolutionSolver +test_L2_distance adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/distance_op_test.py /^ def test_L2_distance(self, n, dim, gc, dc):$/;" m class:DistanceTest +test_L3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L3(self):$/;" m class:TestDifferentialEvolutionSolver +test_L4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L4(self):$/;" m class:TestDifferentialEvolutionSolver +test_L5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L5(self):$/;" m class:TestDifferentialEvolutionSolver +test_L6 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L6(self):$/;" m class:TestDifferentialEvolutionSolver +test_L7 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L7(self):$/;" m class:TestDifferentialEvolutionSolver +test_L8 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L8(self):$/;" m class:TestDifferentialEvolutionSolver +test_L9 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_L9(self):$/;" m class:TestDifferentialEvolutionSolver +test_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_sankey.py /^def test_label():$/;" f +test_label01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label01():$/;" f +test_label02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label02():$/;" f +test_label03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label03():$/;" f +test_label04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label04():$/;" f +test_label05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label05():$/;" f +test_label06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label06():$/;" f +test_label07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label07():$/;" f +test_label08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label08():$/;" f +test_label09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label09():$/;" f +test_label10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label10():$/;" f +test_label11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label11():$/;" f +test_label11_inplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label11_inplace():$/;" f +test_label12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label12():$/;" f +test_label13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label13():$/;" f +test_labelbase adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axis_artist.py /^def test_labelbase():$/;" f +test_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_contour.py /^def test_labels():$/;" f +test_labels adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_labels():$/;" f +test_labels_as_array_or_list adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_labels_as_array_or_list(self):$/;" m class:TestDendrogram +test_labels_first adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_labels_first():$/;" f +test_label_colours adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_label_colours():$/;" f +test_label_default_dtype adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label_default_dtype():$/;" f +test_label_dimensionscale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_label_dimensionscale(self):$/;" m class:TestH5DSBindings +test_label_error adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_label_error(self):$/;" m class:Test_boxplot_stats +test_label_loc_horizontal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_label_loc_horizontal(fig_test, fig_ref):$/;" f +test_label_loc_rc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_label_loc_rc(fig_test, fig_ref):$/;" f +test_label_loc_vertical adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_label_loc_vertical(fig_test, fig_ref):$/;" f +test_label_outer_span adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_label_outer_span():$/;" f +test_label_output_dtype adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label_output_dtype():$/;" f +test_label_output_typed adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label_output_typed():$/;" f +test_label_output_wrong_size adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label_output_wrong_size():$/;" f +test_label_structuring_elements adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_label_structuring_elements():$/;" f +test_label_without_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^def test_label_without_ticks():$/;" f +test_lag2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lag2poly(self):$/;" m class:TestMisc +test_lagadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagadd(self):$/;" m class:TestArithmetic +test_lagder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagder(self):$/;" m class:TestDerivative +test_lagder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagder_axis(self):$/;" m class:TestDerivative +test_lagdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagdiv(self):$/;" m class:TestArithmetic +test_lagdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagdomain(self):$/;" m class:TestConstants +test_lagfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagfit(self):$/;" m class:TestFitting +test_lagfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagfromroots(self):$/;" m class:TestMisc +test_laggrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_laggrid2d(self):$/;" m class:TestEvaluation +test_laggrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_laggrid3d(self):$/;" m class:TestEvaluation +test_lagint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagint(self):$/;" m class:TestIntegral +test_lagint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagint_axis(self):$/;" m class:TestIntegral +test_lagline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagline(self):$/;" m class:TestMisc +test_lagmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagmul(self):$/;" m class:TestArithmetic +test_lagmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagmulx(self):$/;" m class:TestArithmetic +test_lagone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagone(self):$/;" m class:TestConstants +test_lagpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagpow(self):$/;" m class:TestArithmetic +test_lagrange adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_lagrange(self):$/;" m class:TestLagrange +test_lagrange adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_lagrange(self):$/;" m class:TestBarycentric +test_lagrange adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_lagrange(self):$/;" m class:TestKrogh +test_lagroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagroots(self):$/;" m class:TestMisc +test_lagsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagsub(self):$/;" m class:TestArithmetic +test_lagtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagtrim(self):$/;" m class:TestMisc +test_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_laguerre(self):$/;" m class:TestLaguerre +test_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_laguerre(self):$/;" m class:TestSystematic +test_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_laguerre(self):$/;" m class:TestPolys +test_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_laguerre(self):$/;" m class:TestRecurrence +test_laguerre_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_laguerre_int(self):$/;" m class:TestSystematic +test_laguerre_repr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_laguerre_repr(self):$/;" m class:TestRepr +test_laguerre_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_laguerre_str(self):$/;" m class:TestStr +test_lagval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagval(self):$/;" m class:TestEvaluation +test_lagval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagval2d(self):$/;" m class:TestEvaluation +test_lagval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagval3d(self):$/;" m class:TestEvaluation +test_lagvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagvander(self):$/;" m class:TestVander +test_lagvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagvander2d(self):$/;" m class:TestVander +test_lagvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagvander3d(self):$/;" m class:TestVander +test_lagx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagx(self):$/;" m class:TestConstants +test_lagzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_lagzero(self):$/;" m class:TestConstants +test_lambda1_is_all_zero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def test_lambda1_is_all_zero(self, gc, dc):$/;" m class:TestBatchBoxCox +test_lambda1_is_partially_zero adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^ def test_lambda1_is_partially_zero(self, gc, dc):$/;" m class:TestBatchBoxCox +test_lambda_rank_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/listwise_l2r_operator_test.py /^ def test_lambda_rank_loss(self, n, k, m):$/;" m class:TestListwiseL2rOps +test_lambda_to_nu adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_constants.py /^def test_lambda_to_nu():$/;" f +test_lambertw adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_lambertw(self):$/;" m class:TestFixedPoint +test_lambertw_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_lambertw_real(self):$/;" m class:TestSystematic +test_lambertw_smallz adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_lambertw_smallz():$/;" f +test_lambertw_ufunc_loop_selection adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_lambertw.py /^def test_lambertw_ufunc_loop_selection():$/;" f +test_lanczos_sum_expg_scaled adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_lanczos_sum_expg_scaled(self):$/;" m class:TestSystematic +test_lange adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_lange(self):$/;" m class:TestFlapackSimple +test_lapack adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^ def test_lapack(self):$/;" m class:TestF77Mismatch +test_lapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^ def test_lapack(self):$/;" m class:TestF77Mismatch +test_lapack_documented adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_lapack_documented():$/;" f +test_lapack_endian adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_lapack_endian(self):$/;" m class:TestRegression +test_lapack_misaligned adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_lapack_misaligned():$/;" f +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_laplace(self):$/;" m class:TestBroadcast +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_laplace(self):$/;" m class:TestRandomDist +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_laplace(self):$/;" m class:TestBroadcast +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_laplace(self):$/;" m class:TestRandomDist +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_laplace(self):$/;" m class:TestBroadcast +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_laplace(self):$/;" m class:TestRandomDist +test_laplace adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_laplace(self):$/;" m class:RNG +test_laplace adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_laplace(self):$/;" m class:TestGennorm +test_laplace adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_laplace(self):$/;" m class:TestLaplaceasymmetric +test_laplace01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_laplace01(self, dtype):$/;" m class:TestNdimageFilters +test_laplace02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_laplace02(self, dtype):$/;" m class:TestNdimageFilters +test_laplace_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_laplace_0(self):$/;" m class:TestRandomDist +test_laplace_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_laplace_0(self):$/;" m class:TestRandomDist +test_laplace_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_laplace_0(self):$/;" m class:TestRandomDist +test_laplacian_value_error adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def test_laplacian_value_error():$/;" f +test_larfg_larf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_larfg_larf():$/;" f +test_large adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_large(self):$/;" m class:TestVectorize +test_large adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_large(self):$/;" m class:TestExpit +test_largedim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_largedim(self):$/;" m class:TestWhere +test_larger_abs_fractional_matrix_powers adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_larger_abs_fractional_matrix_powers(self):$/;" m class:TestFractionalMatrixPower +test_large_arc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_large_arc():$/;" f +test_large_archive adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_large_archive():$/;" f +test_large_array adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_large_array(self):$/;" m class:TestConvolve2d +test_large_concatenate_axis_None adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_large_concatenate_axis_None(self):$/;" m class:TestConcatenate +test_large_dimensions_reshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_large_dimensions_reshape(self):$/;" m class:TestCOO +test_large_fancy_indexing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_large_fancy_indexing(self):$/;" m class:TestRegression +test_large_features adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_large_features(self):$/;" m class:TestKMean +test_large_file_support adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_large_file_support():$/;" f +test_large_float_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_large_float_sum(self):$/;" m class:TestRegression +test_large_header adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_large_header():$/;" f +test_large_int adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_large_int(self):$/;" m class:TestRankData +test_large_integers adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_large_integers(self):$/;" m class:TestHistogramdd +test_large_integers_decreasing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_large_integers_decreasing(self):$/;" m class:TestDigitize +test_large_integers_increasing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_large_integers_increasing(self):$/;" m class:TestDigitize +test_large_integer_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_large_integer_array(self):$/;" m class:TestFOneWay +test_large_int_input_format adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_large_int_input_format():$/;" f +test_large_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_large_matrix(self):$/;" m class:TestSVD_GESDD +test_large_neg_int64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_large_neg_int64(self):$/;" m class:TestBinaryRepr +test_large_no_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_large_no_samples(self):$/;" m class:TestKruskal +test_large_numbers adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_large_numbers(self):$/;" m class:TestFisherExact +test_large_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_large_offset():$/;" f +test_large_packed_structure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_large_packed_structure(self):$/;" m class:TestFromCTypes +test_large_path adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_large_path(num_symbols):$/;" f +test_large_power adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_large_power(self, dt):$/;" m class:TestMatrixPower +test_large_pseudo_determinant adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_large_pseudo_determinant(self):$/;" m class:TestMultivariateNormal +test_large_radius adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_large_radius(self):$/;" m class:count_neighbors_consistency +test_large_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_large_rank_deficient(self):$/;" m class:BaseMixin +test_large_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_large_sample(self):$/;" m class:TestMultivariateNormal +test_large_single_path_collection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_large_single_path_collection():$/;" f +test_large_subscript_title adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_large_subscript_title():$/;" f +test_large_svd_32bit adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_large_svd_32bit(self):$/;" m class:TestRegression +test_large_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_large_types(self):$/;" m class:TestPower +test_large_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_large_values(self):$/;" m class:TestGeoMean +test_large_vector adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_large_vector(self):$/;" m class:TestVectorNorms +test_large_x adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_large_x(self):$/;" m class:TestCvm +test_large_zip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_large_zip(self):$/;" m class:TestSaveTxt +test_largish_file adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_largish_file(self):$/;" m class:TestIO +test_lars adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lars_test.py /^ def test_lars(self, offset, lr_min, dc, gc):$/;" m class:TestLars +test_lartg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_lartg():$/;" f +test_lasso_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_lasso_selector():$/;" f +test_last_bin_inclusive_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_last_bin_inclusive_range(self):$/;" m class:TestHistogram +test_last_n_windows adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_last_n_windows(self, X, gc, dc):$/;" m class:TestOperators +test_last_n_window_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^ def test_last_n_window_ops(self):$/;" m class:TestDatasetOps +test_latex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_latex(self, is_latex, usetex, expected):$/;" m class:TestPercentFormatter +test_latin1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_latin1(self):$/;" m class:TestFromTxt +test_layered_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_layered_lstm(self, input_tensor, **kwargs):$/;" m class:RNNCellTest +test_layer_duplicated_parameter_init adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_duplicated_parameter_init(self):$/;" m class:ParameterSharingTest +test_layer_norm_brew_wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_brew_wrapper(self, X, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_grad($/;" m class:TestLayerNormOp +test_layer_norm_grad_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_grad_op(self, X, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_lstm_params adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_layer_norm_lstm_params(self):$/;" m class:RNNCellTest +test_layer_norm_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op(self, X, eps, elementwise_affine, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_op_c10 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op_c10(self, X, eps, elementwise_affine, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_op_c10_preallocated_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op_c10_preallocated_outputs($/;" m class:TestLayerNormOp +test_layer_norm_op_jit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op_jit(self, X, eps, elementwise_affine, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_op_pytorch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op_pytorch(self, X, eps, elementwise_affine, gc, dc):$/;" m class:TestLayerNormOp +test_layer_norm_op_pytorch_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_op_pytorch_cuda(self, X, eps, elementwise_affine):$/;" m class:TestLayerNormOp +test_layer_norm_with_empty_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^ def test_layer_norm_with_empty_batch(self, N, elementwise_affine, gc, dc):$/;" m class:TestLayerNormOp +test_layer_outside_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_layer_outside_scope(self, distribution):$/;" m class:TestDistributionStrategyValidation +test_layer_parameter_name adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_parameter_name(self):$/;" m class:ParameterSharingTest +test_layer_shared_parameter_name_different_namescopes adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_shared_parameter_name_different_namescopes(self):$/;" m class:ParameterSharingTest +test_layer_shared_parameter_name_different_shapes adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_shared_parameter_name_different_shapes(self):$/;" m class:ParameterSharingTest +test_layer_shared_parameter_name_within_same_namescope adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_shared_parameter_name_within_same_namescope(self):$/;" m class:ParameterSharingTest +test_layer_shared_parameter_name_within_same_namescope_customized_name adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_shared_parameter_name_within_same_namescope_customized_name(self):$/;" m class:ParameterSharingTest +test_layer_shared_parameter_optim_validator adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_parameter_sharing_test.py /^ def test_layer_shared_parameter_optim_validator(self):$/;" m class:ParameterSharingTest +test_lazy_imports adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_basic.py /^def test_lazy_imports():$/;" f +test_lazy_linux_headless adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^def test_lazy_linux_headless():$/;" f +test_lcm adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_lcm(self):$/;" m class:TestRationalFunctions +test_lcm_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_lcm_object(self):$/;" m class:TestRationalFunctions +test_lcm_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_lcm_overflow(self):$/;" m class:TestRationalFunctions +test_lc_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def test_lc_1d(self, N, C, size, M, kernel, op_name, use_bias, gc, dc):$/;" m class:TestLocallyConnectedOp +test_lc_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def test_lc_2d($/;" m class:TestLocallyConnectedOp +test_lc_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/locally_connected_op_test.py /^ def test_lc_3d(self, N, C, T, H, W, M, kernel, op_name, use_bias, gc, dc):$/;" m class:TestLocallyConnectedOp +test_ldexp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ldexp(self):$/;" m class:TestLdexp +test_ldexp_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ldexp_overflow(self):$/;" m class:TestLdexp +test_ldl_type_size_combinations adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^def test_ldl_type_size_combinations():$/;" f +test_le adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_le(self):$/;" m class:_TestCommon +test_leaders_single adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_leaders_single(self):$/;" m class:TestLeaders +test_leading_skip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_leading_skip(self):$/;" m class:TestTrimZeros +test_leading_underscore_not_allowed adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_leading_underscore_not_allowed(self, args):$/;" m class:TestMakeTupleBunch +test_leak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_leak(self):$/;" m class:TestTypes +test_leaky_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_leaky_relu(self, X, alpha, inplace, gc, dc):$/;" m class:TestActivations +test_leaky_relu_correctness adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_leaky_relu_correctness(self, size, input_channels, batch_size,$/;" m class:NNPackOpsTest +test_leaky_relu_default adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_leaky_relu_default(self, X, inplace, gc, dc):$/;" m class:TestActivations +test_leaky_relu_device_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def test_leaky_relu_device_check(self, gc, dc, N, C, H, W, order, alpha,$/;" m class:TestLeakyRelu +test_leaky_relu_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^ def test_leaky_relu_gradients(self, gc, dc, N, C, H, W, alpha, seed):$/;" m class:LeakyReluTest +test_leaky_relu_gradients adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def test_leaky_relu_gradients(self, gc, dc, N, C, H, W, order, alpha, seed):$/;" m class:TestLeakyRelu +test_leaky_relu_layout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def test_leaky_relu_layout(self, gc, dc, N, C, H, W, alpha, seed):$/;" m class:TestLeakyRelu +test_leaky_relu_model_helper_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^ def test_leaky_relu_model_helper_helper(self, N, C, H, W, alpha, seed):$/;" m class:LeakyReluTest +test_leaky_relu_model_helper_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def test_leaky_relu_model_helper_helper(self, N, C, H, W, order, alpha, seed):$/;" m class:TestLeakyRelu +test_leaky_relu_reference_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def test_leaky_relu_reference_check(self, gc, dc, N, C, H, W, order, alpha,$/;" m class:TestLeakyRelu +test_leak_in_structured_dtype_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_leak_in_structured_dtype_comparison(self):$/;" m class:TestRegression +test_learning_phase_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_learning_phase_value(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_learning_rate adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_learning_rate(self):$/;" m class:TorchIntegration +test_learning_rate_adaption_op_normalization adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^ def test_learning_rate_adaption_op_normalization(self, inputs, lr, lr_alpha,$/;" m class:TestLearningRateAdaption +test_learning_rate_adaption_op_without_normalization adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_adaption_op_test.py /^ def test_learning_rate_adaption_op_without_normalization(self, inputs, lr,$/;" m class:TestLearningRateAdaption +test_leaves_list_1x4 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_leaves_list_1x4(self):$/;" m class:TestLeavesList +test_leaves_list_2x4 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_leaves_list_2x4(self):$/;" m class:TestLeavesList +test_leaves_list_Q adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_leaves_list_Q(self):$/;" m class:TestLeavesList +test_leftouter_join adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_leftouter_join(self):$/;" m class:TestJoinBy +test_leftright_precond adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def test_leftright_precond(self):$/;" m class:TestQMR +test_left_to_right_iteration adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_left_to_right_iteration(self):$/;" m class:TestBasicTransform +test_leg2poly adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_leg2poly(self):$/;" m class:TestMisc +test_legacy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_legacy():$/;" f +test_legacy_cast adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^def test_legacy_cast():$/;" f +test_legacy_mode_scalars adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_legacy_mode_scalars(self):$/;" m class:TestPrintOptions +test_legacy_sparse_and_lengths_sum_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_legacy_sparse_and_lengths_sum_gradient(self, gc, dc):$/;" m class:TestSegmentOps +test_legacy_stray_comma adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_legacy_stray_comma(self):$/;" m class:TestPrintOptions +test_legacy_vector_functionality adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_legacy_vector_functionality():$/;" f +test_legacy_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_legacy_version():$/;" f +test_legadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legadd(self):$/;" m class:TestArithmetic +test_legder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legder(self):$/;" m class:TestDerivative +test_legder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legder_axis(self):$/;" m class:TestDerivative +test_legdiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legdiv(self):$/;" m class:TestArithmetic +test_legdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legdomain(self):$/;" m class:TestConstants +test_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_legendre(self):$/;" m class:TestLegendre +test_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legendre(self):$/;" m class:TestSystematic +test_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_legendre(self):$/;" m class:TestPolys +test_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_legendre(self):$/;" m class:TestRecurrence +test_legendre_int adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legendre_int(self):$/;" m class:TestSystematic +test_legendre_repr adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_legendre_repr(self):$/;" m class:TestRepr +test_legendre_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_legendre_str(self):$/;" m class:TestStr +test_legend_auto1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_auto1():$/;" f +test_legend_auto2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_auto2():$/;" f +test_legend_auto3 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_auto3():$/;" f +test_legend_colors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_legend_colors(color_type, param_dict, target):$/;" f +test_legend_expand adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_expand():$/;" f +test_legend_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_handler_map(self):$/;" m class:TestLegendFunction +test_legend_handles_only adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_handles_only(self):$/;" m class:TestLegendFunction +test_legend_handle_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_handle_label(self):$/;" m class:TestLegendFigureFunction +test_legend_handle_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_handle_label(self):$/;" m class:TestLegendFunction +test_legend_kw_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_kw_args(self):$/;" m class:TestLegendFigureFunction +test_legend_labelcolor_linecolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_labelcolor_linecolor():$/;" f +test_legend_labelcolor_list adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_labelcolor_list():$/;" f +test_legend_labelcolor_markeredgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_labelcolor_markeredgecolor():$/;" f +test_legend_labelcolor_markerfacecolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_labelcolor_markerfacecolor():$/;" f +test_legend_labelcolor_single adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_labelcolor_single():$/;" f +test_legend_label_arg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_label_arg(self):$/;" m class:TestLegendFigureFunction +test_legend_label_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_label_args(self):$/;" m class:TestLegendFunction +test_legend_label_three_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_label_three_args(self):$/;" m class:TestLegendFigureFunction +test_legend_label_three_args_pluskw adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_label_three_args_pluskw(self):$/;" m class:TestLegendFigureFunction +test_legend_no_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_no_args(self):$/;" m class:TestLegendFigureFunction +test_legend_no_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_no_args(self):$/;" m class:TestLegendFunction +test_legend_ordereddict adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_ordereddict():$/;" f +test_legend_proper_window_extent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_proper_window_extent():$/;" f +test_legend_remove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_remove():$/;" f +test_legend_repeatcheckok adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_repeatcheckok():$/;" f +test_legend_stackplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_stackplot():$/;" f +test_legend_three_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_legend_three_args(self):$/;" m class:TestLegendFunction +test_legend_title_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_title_empty():$/;" f +test_legend_title_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_legend_title_fontsize():$/;" f +test_legenp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legenp(self):$/;" m class:TestSystematic +test_legenp_complex_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legenp_complex_2(self):$/;" m class:TestSystematic +test_legenp_complex_3 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legenp_complex_3(self):$/;" m class:TestSystematic +test_legenq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legenq(self):$/;" m class:TestSystematic +test_legenq_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_legenq_complex(self):$/;" m class:TestSystematic +test_legfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legfit(self):$/;" m class:TestFitting +test_legfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legfromroots(self):$/;" m class:TestMisc +test_leggrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_leggrid2d(self):$/;" m class:TestEvaluation +test_leggrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_leggrid3d(self):$/;" m class:TestEvaluation +test_legint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legint(self):$/;" m class:TestIntegral +test_legint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legint_axis(self):$/;" m class:TestIntegral +test_legline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legline(self):$/;" m class:TestMisc +test_legmul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legmul(self):$/;" m class:TestArithmetic +test_legmulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legmulx(self):$/;" m class:TestArithmetic +test_legone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legone(self):$/;" m class:TestConstants +test_legpow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legpow(self):$/;" m class:TestArithmetic +test_legroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legroots(self):$/;" m class:TestMisc +test_legsub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legsub(self):$/;" m class:TestArithmetic +test_legtrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legtrim(self):$/;" m class:TestMisc +test_legval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legval(self):$/;" m class:TestEvaluation +test_legval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legval2d(self):$/;" m class:TestEvaluation +test_legval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legval3d(self):$/;" m class:TestEvaluation +test_legvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legvander(self):$/;" m class:TestVander +test_legvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legvander2d(self):$/;" m class:TestVander +test_legvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legvander3d(self):$/;" m class:TestVander +test_legx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legx(self):$/;" m class:TestConstants +test_legzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_legzero(self):$/;" m class:TestConstants +test_len adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_len(self):$/;" m class:TestLen +test_len adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_len(self):$/;" m class:TestDimensionManager +test_len adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_len(self):$/;" m class:TestDimensionsHighLevel +test_len adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_len(self):$/;" m class:TestLen +test_len adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_len(self):$/;" m class:TestInformation +test_len adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_len():$/;" f +test_len adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_len(self):$/;" m class:TestMakeTupleBunch +test_len0_arrays adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_len0_arrays(kdtree_type):$/;" f +test_len1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_len1(self):$/;" m class:TestCorrPearsonr +test_length0_1d_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_length0_1d_error(self):$/;" m class:TestFOneWay +test_length0_2d_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_length0_2d_error(self):$/;" m class:TestFOneWay +test_lengths adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_lengths(self):$/;" m class:TestFromiter +test_lengths_gather adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_lengths_gather(self, inputs, gc, dc):$/;" m class:TestUtilityOps +test_lengths_max_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_max_op(self):$/;" m class:TorchIntegration +test_lengths_max_op_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_max_op_cuda(self):$/;" m class:TorchIntegration +test_lengths_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_lengths_mean(self, inputs, gc, dc):$/;" m class:TestSegmentOps +test_lengths_mean_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_mean_op(self):$/;" m class:TorchIntegration +test_lengths_mean_op_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_mean_op_cuda(self):$/;" m class:TorchIntegration +test_lengths_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_lengths_ops(self):$/;" m class:TestSegmentOps +test_lengths_pad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_pad_op_test.py /^ def test_lengths_pad(self, inputs, delta_length, padding_value, gc, dc):$/;" m class:TestLengthsPadOp +test_lengths_range_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_lengths_range_fill(self, lengths, gc, dc):$/;" m class:TestOperators +test_lengths_range_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_lengths_range_fill(self, lengths, gc, dc):$/;" m class:TestFillerOperator +test_lengths_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_lengths_sum(self, inputs, gc, dc):$/;" m class:TestSegmentOps +test_lengths_sum_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_sum_op(self):$/;" m class:TorchIntegration +test_lengths_sum_op_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_lengths_sum_op_cuda(self):$/;" m class:TorchIntegration +test_lengths_tile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_tile_op_test.py /^ def test_lengths_tile(self, inputs, gc, dc):$/;" m class:TestLengthsTileOp +test_lengths_top_k_empty_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^ def test_lengths_top_k_empty_op(self, N, K, gc, dc):$/;" m class:TestLengthsTopKOps +test_lengths_top_k_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_top_k_ops_test.py /^ def test_lengths_top_k_op(self, N, K, gc, dc):$/;" m class:TestLengthsTopKOps +test_lengths_to_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_lengths_to_ranges(self, lengths, gc, dc):$/;" m class:TestOperators +test_lengths_to_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_lengths_to_ranges(self, inputs, gc, dc):$/;" m class:TestUtilityOps +test_lengths_to_segment_ids adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_lengths_to_segment_ids(self, lengths, gc, dc):$/;" m class:TestOperators +test_lengths_to_shape_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_lengths_to_shape_ops(self):$/;" m class:TestLengthsToShapeOps +test_lengths_to_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_lengths_to_weights(self, lengths, power, gc, dc):$/;" m class:TestOperators +test_length_factors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_length_factors(self, len_h, len_x, up, down, expected):$/;" m class:TestUpfirdn +test_length_one_hist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_length_one_hist():$/;" f +test_length_split_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def test_length_split_arg(self, gc, dc):$/;" m class:TestLengthSplitOperator +test_length_split_edge adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def test_length_split_edge(self, gc, dc):$/;" m class:TestLengthSplitOperator +test_length_split_even_divide adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def test_length_split_even_divide(self, m, n_split, gc, dc):$/;" m class:TestLengthSplitOperator +test_length_split_override_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def test_length_split_override_arg(self, gc, dc):$/;" m class:TestLengthSplitOperator +test_length_split_random adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def test_length_split_random(self, m, n_split, gc, dc):$/;" m class:TestLengthSplitOperator +test_length_two_neg2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_length_two_neg2(self):$/;" m class:TestCorrPearsonr +test_length_two_pos1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_length_two_pos1(self):$/;" m class:TestCorrPearsonr +test_len_big adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_len_big(self):$/;" m class:TestLen +test_len_c adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_len_c(self):$/;" m class:TestBSpline +test_less adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_less(self):$/;" m class:TestComparisons +test_less_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_less_equal(self):$/;" m class:TestComparisons +test_less_greater adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_less_greater(self):$/;" m class:TestFisherExact +test_less_than_needed_args_are_specified adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_less_than_needed_args_are_specified(self):$/;" m class:JitRpcOpTest +test_levy_cdf_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_levy_cdf_ppf():$/;" f +test_levy_l_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_levy_l_isf():$/;" f +test_levy_l_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_levy_l_sf():$/;" f +test_levy_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_levy_sf():$/;" f +test_levy_stable_random_state_property adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_levy_stable_random_state_property():$/;" f +test_lexsort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort(self):$/;" m class:TestRegression +test_lexsort_buffer_length adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_buffer_length(self):$/;" m class:TestRegression +test_lexsort_invalid_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_invalid_axis(self):$/;" m class:TestRegression +test_lexsort_invalid_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_invalid_sequence(self):$/;" m class:TestRegression +test_lexsort_zerolen_custom_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_zerolen_custom_strides(self):$/;" m class:TestRegression +test_lexsort_zerolen_custom_strides_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_zerolen_custom_strides_2d(self):$/;" m class:TestRegression +test_lexsort_zerolen_element adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_lexsort_zerolen_element(self):$/;" m class:TestRegression +test_lfilter_bad_object adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_lfilter_bad_object():$/;" f +test_lfilter_notimplemented_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_lfilter_notimplemented_input():$/;" f +test_lfilter_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_result_type.py /^def test_lfilter_zi():$/;" f +test_lfiltic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_result_type.py /^def test_lfiltic():$/;" f +test_lfiltic_bad_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_lfiltic_bad_zi(self):$/;" m class:_TestLinearFilter +test_lgam1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_lgam1p(self):$/;" m class:TestSystematic +test_lhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lhp(self):$/;" m class:TestOrdQZ +TEST_LIBROSA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_LIBROSA = _check_module_exists('librosa')$/;" v +test_libver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_libver(self):$/;" m class:TestLibver +test_libver_v110 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_libver_v110(self):$/;" m class:TestLibver +test_libver_v18 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_libver_v18(self):$/;" m class:TestLibver +test_lifetime_on_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_lifetime_on_error(self):$/;" m class:TestArrayFinalize +test_light_source_hillshading adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_hillshading():$/;" f +test_light_source_masked_shading adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_masked_shading():$/;" f +test_light_source_planar_hillshading adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_planar_hillshading():$/;" f +test_light_source_shading_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_shading_default():$/;" f +test_light_source_shading_empty_mask adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_shading_empty_mask():$/;" f +test_light_source_topo_surface adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_light_source_topo_surface():$/;" f +test_lil_from_csr adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_lil_from_csr(self):$/;" m class:TestLIL +test_lil_iteration adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_lil_iteration(self):$/;" m class:TestLIL +test_lil_multiply_removal adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_lil_multiply_removal(self):$/;" m class:TestLIL +test_limited_variance adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_limited_variance(self):$/;" m class:TestHistogramOptimBinNums +test_limits_arithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_limits_arithmetic(self):$/;" m class:TestMaskedArrayArithmetic +test_limits_empty_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_limits_empty_data(plot_fun, fig_test, fig_ref):$/;" f +test_limit_check adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_limit_check(self):$/;" m class:TestGammainc +test_limit_check adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_limit_check(self):$/;" m class:TestGammaincc +test_limit_str_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_limit_str_roundtrip(self, time_unit, sign):$/;" m class:TestDateTime +test_limit_symmetry adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_limit_symmetry(self, time_unit):$/;" m class:TestDateTime +test_line adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_line(self):$/;" m class:TestGammainc +test_line3d_set_get_data_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_line3d_set_get_data_3d():$/;" f +test_linear adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_linear(self):$/;" m class:TestPercentile +test_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_linear(self):$/;" m class:TestInterp +test_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear(self):$/;" m class:TestInterp1D +test_linear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_linear(self):$/;" m class:TestLocalMaxima1d +test_linearmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_linearmixing(self):$/;" m class:TestJacobianDotSolve +test_linearmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_linearmixing(self):$/;" m class:TestNonlinOldTests +test_linearoperator_deallocation adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_linearoperator_deallocation():$/;" f +test_LinearOperator_not_supported adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_LinearOperator_not_supported(self):$/;" m class:TestLM +test_LinearVectorFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^def test_LinearVectorFunction():$/;" f +test_LinearVectorFunction_memoization adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^def test_LinearVectorFunction_memoization():$/;" f +test_linear_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_1d(self):$/;" m class:TestSmoothBivariateSpline +test_linear_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_1d(self):$/;" m class:TestUnivariateSpline +test_linear_4d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_4d(self):$/;" m class:TestInterpN +test_linear_at_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_linear_at_zero(self):$/;" m class:TestChirp +test_linear_compare_qhull adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_compare_qhull(self):$/;" m class:TestRegularGridInterpolator +test_linear_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_constant(self):$/;" m class:TestLSQBivariateSpline +test_linear_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_constant(self):$/;" m class:TestLSQSphereBivariateSpline +test_linear_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_constant(self):$/;" m class:TestSmoothBivariateSpline +test_linear_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_constant(self):$/;" m class:TestSmoothSphereBivariateSpline +test_linear_constant adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_linear_constant(self):$/;" m class:TestUnivariateSpline +test_linear_definite adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def test_linear_definite():$/;" f +test_linear_dtypes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_dtypes(self):$/;" m class:TestInterp1D +test_linear_edges adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_edges(self):$/;" m class:TestRegularGridInterpolator +test_linear_freq_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_linear_freq_01(self):$/;" m class:TestChirp +test_linear_freq_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_linear_freq_02(self):$/;" m class:TestChirp +test_linear_invariant adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_linear_invariant(self, sys, sample_time, samples_number):$/;" m class:TestC2dInvariants +test_linear_operator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_linear_operator(self):$/;" m class:SparseMixin +test_linear_operators adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^def test_linear_operators():$/;" f +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_root adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_linear_root(self):$/;" m class:TestCompanion +test_linear_smoketest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_linear_smoketest(self):$/;" m class:TestCloughTocher2DInterpolator +test_linear_sum_assignment_input_validation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linear_assignment.py /^def test_linear_sum_assignment_input_validation():$/;" f +test_linear_union_sequence adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_linear_union_sequence(n, direction):$/;" f +test_linear_vs_ssa adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_linear_vs_ssa(equation):$/;" f +test_linear_xi1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_xi1d(self):$/;" m class:TestRegularGridInterpolator +test_linear_xi3d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_linear_xi3d(self):$/;" m class:TestRegularGridInterpolator +test_linecollection_scaled_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_linecollection_scaled_dashes():$/;" f +test_lines3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_lines3d():$/;" f +test_linesearch_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_linesearch_powell():$/;" f +test_linesearch_powell_bounded adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_linesearch_powell_bounded():$/;" f +test_linestylecycle_basic adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_linestylecycle_basic():$/;" f +test_linestyle_single_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_linestyle_single_dashes():$/;" f +test_linestyle_variants adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_linestyle_variants():$/;" f +test_lines_dists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_lines_dists():$/;" f +test_lines_with_colors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_lines_with_colors(fig_test, fig_ref, data):$/;" f +test_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_linewidth():$/;" f +test_linewidth adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_linewidth(self):$/;" m class:TestArray2String +test_linewidth_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_linewidth_repr(self):$/;" m class:TestPrintOptions +test_linewidth_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_linewidth_str(self):$/;" m class:TestPrintOptions +test_line_colors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_line_colors():$/;" f +test_line_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_line_dashes():$/;" f +test_line_extents_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extents_affine(self):$/;" m class:TestTransformPlotInterface +test_line_extents_for_non_affine_transData adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extents_for_non_affine_transData(self):$/;" m class:TestTransformPlotInterface +test_line_extents_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extents_non_affine(self):$/;" m class:TestTransformPlotInterface +test_line_extent_axes_coords adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extent_axes_coords(self):$/;" m class:TestTransformPlotInterface +test_line_extent_compound_coords1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extent_compound_coords1(self):$/;" m class:TestTransformPlotInterface +test_line_extent_compound_coords2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extent_compound_coords2(self):$/;" m class:TestTransformPlotInterface +test_line_extent_data_coords adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extent_data_coords(self):$/;" m class:TestTransformPlotInterface +test_line_extent_predata_transform_coords adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_line_extent_predata_transform_coords(self):$/;" m class:TestTransformPlotInterface +test_line_for_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_line_for_search():$/;" f +test_line_search_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_line_search_armijo(self):$/;" m class:TestLineSearch +test_line_search_wolfe1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_line_search_wolfe1(self):$/;" m class:TestLineSearch +test_line_search_wolfe2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_line_search_wolfe2(self):$/;" m class:TestLineSearch +test_line_search_wolfe2_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_line_search_wolfe2_bounds(self):$/;" m class:TestLineSearch +test_linkage_cophenet_tdist_Z adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_cophenet_tdist_Z(self):$/;" m class:TestCopheneticDistance +test_linkage_cophenet_tdist_Z_Y adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_cophenet_tdist_Z_Y(self):$/;" m class:TestCopheneticDistance +test_linkage_empty_distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_empty_distance_matrix(self):$/;" m class:TestLinkage +test_linkage_non_finite_elements_in_distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_non_finite_elements_in_distance_matrix(self):$/;" m class:TestLinkage +test_linkage_tdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_tdist(self):$/;" m class:TestLinkage +test_linkage_ties adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_ties(self):$/;" m class:TestLinkageTies +test_linkage_X adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_linkage_X(self):$/;" m class:TestLinkage +test_link_creation_tracking adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_link_creation_tracking(self):$/;" m class:TestPL +test_linregress adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_linregress(self):$/;" m class:TestCompareWithStats +test_linregress adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_linregress(self):$/;" m class:TestRegression +test_linregressBIGX adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_linregressBIGX(self):$/;" m class:TestRegression +test_linregress_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_linregress_result_attributes(self):$/;" m class:TestRegression +test_linspace adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_linspace(Poly):$/;" f +test_linspace adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_linspace(self):$/;" m class:TestKolmogorov +test_linspacei adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_linspacei(self):$/;" m class:TestKolmogorov +test_linspace_equivalence adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_linspace_equivalence(self):$/;" m class:TestGrid +test_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_list(self):$/;" m class:TestFancyIndexing +test_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_list(self):$/;" m class:TestArgwhere +test_list adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_list(self):$/;" m class:TestIscomplexobj +test_list adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_list(self):$/;" m class:TestPower +test_list_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_list_indexing(self):$/;" m class:TestNewScalarIndexing +test_list_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_list_input(self, k):$/;" m class:TestInterp +test_list_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_list_input(self):$/;" m class:TestInterpN +test_list_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_list_input(self):$/;" m class:TestRegularGridInterpolator +test_list_of_list_of_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_list_of_list_of_tuple(self):$/;" m class:CreateValues +test_list_of_problems adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_list_of_problems(self):$/;" m class:TestNewToOldCobyla +test_list_of_problems adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_list_of_problems(self):$/;" m class:TestNewToOldSLSQP +test_list_of_problems adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_list_of_problems(self):$/;" m class:TestTrustRegionConstr +test_list_of_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_list_of_tuple(self):$/;" m class:CreateValues +test_literal_values adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_erfinv.py /^ def test_literal_values(self):$/;" m class:TestInverseErrorFunction +test_little_endian_structure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_little_endian_structure(self):$/;" m class:TestFromCTypes +test_little_endian_structure_packed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_little_endian_structure_packed(self):$/;" m class:TestFromCTypes +test_ljust adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_ljust(self):$/;" m class:TestMethods +test_lj_symmetry adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def test_lj_symmetry(self):$/;" m class:TestShgoSimplicialTestFunctions +test_lmbda adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lmbda(self):$/;" m class:TestLambda +test_lmbda_None adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_lmbda_None(self):$/;" m class:TestBoxcox +test_lmbda_None adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_lmbda_None(self, lmbda):$/;" m class:TestYeojohnson +test_lmdif_errmsg adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^ def test_lmdif_errmsg(self):$/;" m class:TestRegression +test_lnB adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_lnB(self):$/;" m class:TestMultivariateNormal +test_load adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_load():$/;" f +test_loadmat adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_loadmat(self):$/;" m class:TestPaths +test_loadmat_varnames adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_loadmat_varnames():$/;" f +test_loadtxt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_loadtxt(self):$/;" m class:TestFileBased +test_loadtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_loadtxt(self):$/;" m class:TestPathUsage +test_loadtxt_fields_subarrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_loadtxt_fields_subarrays(self):$/;" m class:TestRegression +test_load_device_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_load_device_scope(self):$/;" m class:PredictorExporterTest +test_load_from_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file_image.py /^ def test_load_from_image(self):$/;" m class:TestFileImage +test_load_from_url adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_load_from_url():$/;" f +test_load_mat4_le adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_load_mat4_le():$/;" f +test_load_object_array_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_load_object_array_fromfile(self):$/;" m class:TestIO +test_load_padded_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_load_padded_dtype(dt):$/;" f +test_load_refcount adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_load_refcount():$/;" f +test_load_script_module_with_pickled_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_load_script_module_with_pickled_rref(self):$/;" m class:JitRpcTest +test_lobpcg adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_lobpcg(matrices):$/;" f +test_local adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def test_local(test):$/;" f +test_locale adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_locale(self):$/;" m class:TestIO +test_locale_double adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^ def test_locale_double(self):$/;" m class:TestCommaDecimalPointLocale +test_locale_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^ def test_locale_longdouble(self):$/;" m class:TestCommaDecimalPointLocale +test_locale_single adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^ def test_locale_single(self):$/;" m class:TestCommaDecimalPointLocale +test_local_nans adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_local_nans(self):$/;" m class:TestInterp1D +test_local_rref_local_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_local_rref_local_value(self):$/;" m class:RRefAPITest +test_local_rref_no_fork adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_local_rref_no_fork(self):$/;" m class:RpcTest +test_local_search_option_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_local_search_option_bounds(self):$/;" m class:TestDualAnnealing +test_local_session adpepsenv/lib/python3.8/site-packages/caffe2/python/session_test.py /^ def test_local_session(self):$/;" m class:TestLocalSession +test_local_shutdown adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_local_shutdown(self):$/;" m class:RpcTest +test_local_shutdown_with_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_local_shutdown_with_rpc(self):$/;" m class:RpcTest +test_local_value_not_on_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_local_value_not_on_owner(self):$/;" m class:RpcTest +test_location_event_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_location_event_position(x, y):$/;" f +test_locator_set_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_locator_set_formatter():$/;" f +test_lockable_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_lockable_bbox(locked_element):$/;" f +test_log adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_log(self, input_tensor, gc, dc):$/;" m class:TestOperators +test_log adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_log(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_log adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^ def test_log(self):$/;" m class:TestInversion +test_log1p adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log1p(self):$/;" m class:TestLog1p +test_log1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_log1p(self):$/;" m class:TestCephes +test_log1p adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_log1p(self):$/;" m class:TestLog1p +test_log1pmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_log1pmore(self):$/;" m class:TestLog1p +test_log1pmx adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_log1pmx(self):$/;" m class:TestSystematic +test_log1p_compiler_shenanigans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_log1p_compiler_shenanigans(self):$/;" m class:TestRegression +test_log1p_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_log1p_complex(self):$/;" m class:TestCephes +test_log1p_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_log1p_complex(self):$/;" m class:TestSystematic +test_log2_ints adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log2_ints(self):$/;" m class:TestLog2 +test_log2_special adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log2_special(self):$/;" m class:TestLog2 +test_log2_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log2_values(self):$/;" m class:TestLog2 +test_logaddexp2_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_logaddexp2_range(self):$/;" m class:TestLogAddExp2 +test_logaddexp2_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_logaddexp2_values(self):$/;" m class:TestLogAddExp2 +test_logaddexp_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_logaddexp_range(self):$/;" m class:TestLogAddExp +test_logaddexp_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_logaddexp_values(self):$/;" m class:TestLogAddExp +test_logarithmic_at_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_logarithmic_at_zero(self):$/;" m class:TestChirp +test_logarithmic_freq_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_logarithmic_freq_01(self):$/;" m class:TestChirp +test_logarithmic_freq_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_logarithmic_freq_02(self):$/;" m class:TestChirp +test_logarithmic_freq_03 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_logarithmic_freq_03(self):$/;" m class:TestChirp +test_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logcdf(self):$/;" m class:TestHypergeom +test_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logcdf(self):$/;" m class:TestLognorm +test_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logcdf(self):$/;" m class:TestMultivariateNormal +test_logcdf_default_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logcdf_default_values(self):$/;" m class:TestMultivariateNormal +test_logcdf_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logcdf_logsf(self):$/;" m class:TestGeom +test_logcdf_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logcdf_logsf(self):$/;" m class:TestGumbelL +test_LogFormatter_call adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_LogFormatter_call(self, val):$/;" m class:TestLogFormatter +test_loggamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_loggamma(self):$/;" m class:TestSystematic +test_loggamma_taylor adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_loggamma_taylor():$/;" f +test_loggamma_taylor_transition adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_loggamma_taylor_transition():$/;" f +test_logical adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_logical(self):$/;" m class:TestBoolScalar +test_logical_and_or_xor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_logical_and_or_xor(self):$/;" m class:TestBoolArray +test_logical_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_logical_array():$/;" f +test_logical_not adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_logical_not(self):$/;" m class:TestInt +test_logical_not_abs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_logical_not_abs(self):$/;" m class:TestBoolArray +test_logical_out_type adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_logical_out_type():$/;" f +test_logical_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_logical_sparse():$/;" f +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_logistic(self):$/;" m class:TestBroadcast +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_logistic(self):$/;" m class:TestRandomDist +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_logistic(self):$/;" m class:TestBroadcast +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_logistic(self):$/;" m class:TestRandomDist +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_logistic(self):$/;" m class:TestBroadcast +test_logistic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_logistic(self):$/;" m class:TestRandomDist +test_logit adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_logit(self, a, eps, a_grad, eps_grad, gc, dc):$/;" m class:TestOperators +test_logit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_logit(self, X, eps):$/;" m class:TorchIntegration +test_logitic adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_logitic(self):$/;" m class:RNG +test_logit_deformater adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_logit_deformater(self, fx, x):$/;" m class:TestLogitFormatter +test_logit_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logit_scales():$/;" f +test_loglog adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_loglog():$/;" f +test_loglog_nonpos adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_loglog_nonpos(new_api):$/;" f +test_logm_consistency adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_logm_consistency(self):$/;" m class:TestExpM +test_logm_type_preservation_and_conversion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_logm_type_preservation_and_conversion(self):$/;" m class:TestLogM +test_LogNorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_LogNorm():$/;" f +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_lognormal(self):$/;" m class:TestBroadcast +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_lognormal(self):$/;" m class:TestRandomDist +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_lognormal(self):$/;" m class:TestBroadcast +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_lognormal(self):$/;" m class:TestRandomDist +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_lognormal(self):$/;" m class:TestBroadcast +test_lognormal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_lognormal(self):$/;" m class:TestRandomDist +test_lognormal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_lognormal_0(self):$/;" m class:TestRandomDist +test_lognormal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_lognormal_0(self):$/;" m class:TestRandomDist +test_lognormal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_lognormal_0(self):$/;" m class:TestRandomDist +test_lognorm_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_lognorm_fit(self):$/;" m class:TestFitMethod +test_lognorm_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_lognorm_invalid(vmin, vmax):$/;" f +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestBeta +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestBetaPrime +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestGamma +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestNakagami +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestRayleigh +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self):$/;" m class:TestWeibull +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf(self, x, expected):$/;" m class:TestGenLogistic +test_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpdf(self):$/;" m class:TestMultivariateNormal +test_logpdf_4x4 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpdf_4x4(self):$/;" m class:TestInvwishart +test_logpdf_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf_basic(self):$/;" m class:TestLogistic +test_logpdf_correct adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpdf_correct(self, x, loc, shape, df, ans):$/;" m class:TestMultivariateT +test_logpdf_default_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpdf_default_values(self):$/;" m class:TestMultivariateNormal +test_logpdf_extreme_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf_extreme_values(self):$/;" m class:TestLogistic +test_logpdf_overflow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_logpdf_overflow():$/;" f +test_logpdf_ticket_1866 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpdf_ticket_1866(self):$/;" m class:TestBeta +test_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logpmf(self):$/;" m class:TestGeom +test_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpmf(self):$/;" m class:TestMultinomial +test_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_logpmf(self, x, m, n, expected):$/;" m class:TestMultivariateHypergeom +test_logscale_invert_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logscale_invert_transform():$/;" f +test_logscale_mask adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logscale_mask():$/;" f +test_logscale_nonpos_values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logscale_nonpos_values():$/;" f +test_logscale_subs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logscale_subs():$/;" f +test_logscale_transform_repr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_logscale_transform_repr():$/;" f +test_logser adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logser(self):$/;" m class:TestExpect +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_logseries(self):$/;" m class:TestBroadcast +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_logseries(self):$/;" m class:TestRandomDist +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_logseries(self):$/;" m class:TestBroadcast +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_logseries(self):$/;" m class:TestRandomDist +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_logseries(self):$/;" m class:TestBroadcast +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_logseries(self):$/;" m class:TestRandomDist +test_logseries adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_logseries(self):$/;" m class:RNG +test_logseries_convergence adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_logseries_convergence(self):$/;" m class:TestRegression +test_logseries_convergence adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_logseries_convergence(self):$/;" m class:TestRegression +test_logseries_convergence adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_logseries_convergence(self):$/;" m class:TestRegression +test_logseries_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_logseries_exceptions(self):$/;" m class:TestRandomDist +test_logseries_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_logseries_exceptions(self):$/;" m class:TestRandomDist +test_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logsf(self):$/;" m class:TestGenpareto +test_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logsf(self):$/;" m class:TestHypergeom +test_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logsf(self):$/;" m class:TestPlanck +test_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_logsf(self):$/;" m class:TestRayleigh +test_logsumexp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp():$/;" f +test_logsumexp_b adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_b():$/;" f +test_logsumexp_b_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_b_shape():$/;" f +test_logsumexp_b_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_b_zero():$/;" f +test_logsumexp_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_shape():$/;" f +test_logsumexp_sign adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_sign():$/;" f +test_logsumexp_sign_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_sign_shape():$/;" f +test_logsumexp_sign_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_logsumexp_sign_zero():$/;" f +test_logs_deprecation_warning adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_logs_deprecation_warning(self):$/;" m class:ProcessGroupAgentRpcTest +test_loguniform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_loguniform():$/;" f +test_log_abs_det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_log_abs_det(self):$/;" f function:_test_log_abs_det file: +test_log_barrier adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_log_barrier(self, X):$/;" m class:TestRegularizer +test_log_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log_float32(self):$/;" m class:TestAVXFloat32Transcendental +test_log_margins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_log_margins():$/;" f +test_log_ndtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_log_ndtr(self):$/;" m class:TestSystematic +test_log_ndtr_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_log_ndtr_complex(self):$/;" m class:TestSystematic +test_log_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_log_scales():$/;" f +test_log_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_log_scales(fig_test, fig_ref):$/;" f +test_log_scales_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_log_scales_invalid():$/;" f +test_log_scales_no_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_log_scales_no_data():$/;" f +test_log_scale_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_log_scale_image():$/;" f +test_log_scatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_log_scatter():$/;" f +test_log_softmax adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax(x, expected):$/;" f +test_log_softmax_2d_axis0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_2d_axis0(log_softmax_2d_x, log_softmax_2d_expected):$/;" f +test_log_softmax_2d_axis1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_2d_axis1(log_softmax_2d_x, log_softmax_2d_expected):$/;" f +test_log_softmax_3d adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_3d(log_softmax_2d_x, log_softmax_2d_expected):$/;" f +test_log_softmax_noneaxis adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_noneaxis(log_softmax_x, log_softmax_expected):$/;" f +test_log_softmax_scalar adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_scalar():$/;" f +test_log_softmax_translation adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_log_softmax.py /^def test_log_softmax_translation(log_softmax_x, log_softmax_expected):$/;" f +test_log_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_log_transform():$/;" f +test_log_transform_with_zero adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_log_transform_with_zero():$/;" f +test_log_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log_values(self):$/;" m class:TestLog +test_log_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_log_values(self):$/;" m class:TestSpecialFloats +test_lomax_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_lomax_accuracy():$/;" f +test_lombscargle_atan_vs_atan2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_lombscargle_atan_vs_atan2(self):$/;" m class:TestLombscargle +test_longdouble adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_longdouble(self):$/;" m class:TestSctypeDict +test_longdouble_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_longdouble_assignment(self):$/;" m class:TestAssignment +test_longdouble_from_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_longdouble_from_bool(bool_val):$/;" f +test_longdouble_from_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_longdouble_from_int(int_val):$/;" f +test_longdouble_norm adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_longdouble_norm(self):$/;" m class:TestNorm_NonSystematic +test_longdtype_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_longdtype_input(self, dtype):$/;" m class:TestAllFreqConvolves +test_long_double adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_long_double(self):$/;" m class:TestCreateShape +test_long_field_names adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_long_field_names():$/;" f +test_long_field_names_in_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_long_field_names_in_struct():$/;" f +test_long_index_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_ops_test.py /^ def test_long_index_ops(self):$/;" m class:TestIndexOps +test_long_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_long_path():$/;" f +test_long_paths adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_long_paths(self):$/;" m class:TestEinsumPath +test_long_sep adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_long_sep(self):$/;" m class:TestIO +test_long_str adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_long_str():$/;" f +test_long_word_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_long_word_wrap():$/;" f +test_lookfor adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_lookfor():$/;" f +test_lookup_scope adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_lookup_scope(self):$/;" m class:ScopeTest +test_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_loop(self):$/;" m class:BrewTest +test_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^test_loop = functools.partial($/;" v +test_loops adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_loops(self):$/;" m class:TestNetBuilder +test_lorentz adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_lorentz(self):$/;" m class:TestODR +test_loss adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_loss(self):$/;" m class:TestLM +test_loss_of_precision adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_loss_of_precision(self, dtype):$/;" m class:TestComplexFunctions +test_lower adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_lower(self):$/;" m class:TestMethods +test_lower_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_lower_align(self):$/;" m class:TestBaseMath +test_lower_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_lower_align(self):$/;" m class:TestAbsoluteNegative +test_lower_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_lower_align(self):$/;" m class:TestMinMax +test_lower_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_lower_complex(self):$/;" m class:TestCholeskyBanded +test_lower_f_accepted adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_lower_f_accepted(self):$/;" m class:Test_Metropolis +test_lower_f_accepted adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_lower_f_accepted(self):$/;" m class:Test_Storage +test_lower_higher adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_lower_higher(self):$/;" m class:TestPercentile +test_lower_higher adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_lower_higher(self):$/;" m class:TestScoreatpercentile +test_lower_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_lower_real(self):$/;" m class:TestCholeskyBanded +test_lowpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_lowpass(self):$/;" m class:TestButtord +test_lowpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_lowpass(self):$/;" m class:TestCheb1ord +test_lowpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_lowpass(self):$/;" m class:TestCheb2ord +test_lowpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_lowpass(self):$/;" m class:TestEllipord +test_lowpass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_lowpass(self):$/;" m class:TestFirWinMore +test_low_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_low_derivatives(self):$/;" m class:TestKrogh +test_low_dim adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_low_dim(self):$/;" m class:TestDualAnnealing +test_low_dimensionality_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_low_dimensionality_error(self):$/;" m class:TestCDF2RDF +test_low_dim_handling adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_low_dim_handling(self):$/;" m class:TestFillDiagonal +test_low_dim_no_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_low_dim_no_ls(self):$/;" m class:TestDualAnnealing +test_low_number_of_majorticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_low_number_of_majorticks($/;" m class:TestAutoMinorLocator +test_low_p adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_low_p(self):$/;" m class:TestCvm +test_lpgen_problem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_lpgen_problem(self):$/;" m class:LinprogCommonTests +test_lpmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lpmn(self):$/;" m class:TestLegendreFunctions +test_lpmv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lpmv(self):$/;" m class:TestCephes +test_lpmv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lpmv(self):$/;" m class:TestLegendreFunctions +test_lpmv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_lpmv():$/;" f +test_lpn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lpn(self):$/;" m class:TestLegendreFunctions +test_lpnorm_shape_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lpnorm_op_test.py /^ def test_lpnorm_shape_inference(self, x, p, average):$/;" m class:LpnormTest +test_Lp_Norm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lpnorm_op_test.py /^ def test_Lp_Norm(self, inputs, gc, dc):$/;" m class:LpnormTest +test_lqmn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lqmn(self):$/;" m class:TestLegendreFunctions +test_lqmn_gt1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lqmn_gt1(self):$/;" m class:TestLegendreFunctions +test_lqmn_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lqmn_shape(self):$/;" m class:TestLegendreFunctions +test_lqn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_lqn(self):$/;" m class:TestLegendreFunctions +test_LRN adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/LRN_op_test.py /^ def test_LRN(self, input_channels,$/;" m class:LRNTest +test_lr_injection adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def test_lr_injection(self):$/;" m class:LRModificationTestBase +Test_lsim2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class Test_lsim2(object):$/;" c +test_lslw_bcast adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_lslw_bcast():$/;" f +test_lsmr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_lsmr(matrices):$/;" f +test_lsmr_regularization adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_lsmr_regularization(self):$/;" m class:TestTRF +test_lsoda adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_lsoda(self):$/;" m class:TestComplexOde +test_lsoda adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_lsoda(self):$/;" m class:TestOde +test_lsqr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_lsqr(matrices):$/;" f +test_lsq_fpchec adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_lsq_fpchec(self):$/;" m class:TestUnivariateSpline +test_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm(self):$/;" m class:RNNCellTest +test_lstm_equal_simplenet adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def test_lstm_equal_simplenet(self, num_layers, T, forward_only, gc, dc):$/;" m class:TestRNNExecutor +test_lstm_extract_predictor_net adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_extract_predictor_net(self):$/;" m class:RNNCellTest +test_lstm_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def test_lstm_model_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategyLstmModelCorrectnessTest +test_lstm_model_correctness_mixed_precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def test_lstm_model_correctness_mixed_precision(self, distribution, use_numpy,$/;" m class:DistributionStrategyLstmModelCorrectnessTest +test_lstm_params adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_params(self):$/;" m class:RNNCellTest +test_lstm_unit_recurrent_network adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_unit_recurrent_network($/;" m class:RNNCellTest +test_lstm_with_attention_equal_simplenet adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def test_lstm_with_attention_equal_simplenet(self, T, forward_only, gc, dc):$/;" m class:TestRNNExecutor +test_lstm_with_coverage_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_with_coverage_attention($/;" m class:RNNCellTest +test_lstm_with_dot_attention_different_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_with_dot_attention_different_dim($/;" m class:RNNCellTest +test_lstm_with_dot_attention_same_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_with_dot_attention_same_dim($/;" m class:RNNCellTest +test_lstm_with_recurrent_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_with_recurrent_attention($/;" m class:RNNCellTest +test_lstm_with_regular_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_lstm_with_regular_attention($/;" m class:RNNCellTest +test_lstrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_lstrip(self):$/;" m class:TestMethods +test_lstsq adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_lstsq(self):$/;" m class:TestLSQ +test_lstsq adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_lstsq(self):$/;" m class:TestOverwrite +test_lstsq_complex_larger_rhs adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_lstsq_complex_larger_rhs(self):$/;" m class:TestRegression +test_ls_ds_conflict adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_ls_ds_conflict():$/;" f +test_lt adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_lt(self):$/;" m class:_TestCommon +test_lti_instantiation adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_lti_instantiation(self):$/;" m class:TestLti +test_lu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lu(self):$/;" m class:TestLUSolve +test_lu adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lu(self):$/;" m class:TestOverwrite +test_lu_attr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_lu_attr(self):$/;" m class:TestSplu +test_lu_factor adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lu_factor(self):$/;" m class:TestOverwrite +test_lu_refcount adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_lu_refcount(self):$/;" m class:TestSplu +test_lu_solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lu_solve(self):$/;" m class:TestOverwrite +test_lwork adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_lwork(self):$/;" m class:TestQR +test_lw_scaling adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_lw_scaling():$/;" f +test_lzf adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_lzf(self):$/;" m class:TestCreateLZF +test_lzf_exc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_lzf_exc(self):$/;" m class:TestCreateLZF +test_l_bfgs_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b(self):$/;" m class:TestOptimizeSimple +test_l_bfgs_b_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b_bounds(self):$/;" m class:TestLBFGSBBounds +test_l_bfgs_b_funjac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b_funjac(self):$/;" m class:TestLBFGSBBounds +test_l_bfgs_b_funjac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b_funjac(self):$/;" m class:TestOptimizeSimple +test_l_bfgs_b_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b_maxiter(self):$/;" m class:TestOptimizeSimple +test_l_bfgs_b_numjac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_l_bfgs_b_numjac(self):$/;" m class:TestOptimizeSimple +test_mad_empty adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_empty(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_nan_omit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_nan_omit(self):$/;" m class:TestMedianAbsDeviation +test_mad_nan_propagate adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_nan_propagate(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_nan_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_nan_raise(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_nan_shape1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_nan_shape1(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_nan_shape2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_nan_shape2(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_scale_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_scale_default(self):$/;" m class:TestMedianAbsoluteDeviation +test_mad_scale_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mad_scale_normal(self):$/;" m class:TestMedianAbsoluteDeviation +test_mafromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_mafromtxt(self):$/;" m class:TestPathUsage +test_magic_square adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_magic_square(self):$/;" m class:RRCommonTests +test_magic_square2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_magic_square2(self):$/;" m class:RRCommonTests +test_magic_square_sparse_no_presolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_magic_square_sparse_no_presolve(self):$/;" m class:TestLinprogIPSparse +TEST_MAGMA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ TEST_MAGMA = torch.cuda.has_magma$/;" v +TEST_MAGMA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^TEST_MAGMA = TEST_CUDA$/;" v +test_magnitude adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_magnitude():$/;" f +test_magnitude_single_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_magnitude_single_rotation():$/;" f +test_mahalanobis adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_mahalanobis(self):$/;" m class:TestSomeDistanceFunctions +test_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def test_main():$/;" f +test_main adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def test_main():$/;" f +test_main_versions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_main_versions():$/;" f +test_main_versions adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_main_versions():$/;" f +test_majformatter_type adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_majformatter_type():$/;" f +test_majlocator_type adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_majlocator_type():$/;" f +test_make_bool_matrix_from_str adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_make_bool_matrix_from_str(self):$/;" m class:TestProperties +test_make_compound_path_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_make_compound_path_empty():$/;" f +test_make_compound_path_stops adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_make_compound_path_stops():$/;" f +test_make_eigvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_make_eigvals(self):$/;" m class:TestEig +test_make_keyword_only adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_make_keyword_only():$/;" f +test_make_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_make_mask(self):$/;" m class:TestMaskedArrayFunctions +test_make_mask_descr adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_make_mask_descr(self):$/;" m class:TestMaskedArrayFunctions +test_make_poly_1 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_make_poly_1(self):$/;" m class:TestBPolyFromDerivatives +test_make_poly_12 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_make_poly_12(self):$/;" m class:TestBPolyFromDerivatives +test_make_poly_2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_make_poly_2(self):$/;" m class:TestBPolyFromDerivatives +test_make_poly_3 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_make_poly_3(self):$/;" m class:TestBPolyFromDerivatives +test_make_scale adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_make_scale(self):$/;" m class:TestDimensionManager +test_make_stream adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^def test_make_stream():$/;" f +test_make_strictly_feasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_make_strictly_feasible(self):$/;" m class:TestBounds +test_make_system_bad_shape adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_utils.py /^def test_make_system_bad_shape():$/;" f +test_malformed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_malformed(self):$/;" m class:TestIO +test_malformed1 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_pathological.py /^def test_malformed1():$/;" f +test_malformed_1d_from_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_1d_from_mrp():$/;" f +test_malformed_1d_from_quat adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_1d_from_quat():$/;" f +test_malformed_1d_from_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_1d_from_rotvec():$/;" f +test_malformed_2d_from_mrp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_2d_from_mrp():$/;" f +test_malformed_2d_from_quat adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_2d_from_quat():$/;" f +test_malformed_2d_from_rotvec adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_malformed_2d_from_rotvec():$/;" f +test_malformed_header adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_malformed_header(afm_data, caplog):$/;" f +test_malicious_load adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_malicious_load():$/;" f +test_malloc_fails adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_malloc_fails(self):$/;" m class:TestCreation +test_manage_xticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_manage_xticks():$/;" f +test_mannwhitneyu_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_default(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_no_correct_default adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_no_correct_default(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_no_correct_one_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_no_correct_one_sided(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_no_correct_two_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_no_correct_two_sided(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_ones adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_ones(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_one_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_one_sided(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_result_attributes(self):$/;" m class:TestMannWhitneyU +test_mannwhitneyu_two_sided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mannwhitneyu_two_sided(self):$/;" m class:TestMannWhitneyU +test_manual adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_manual(self):$/;" m class:Test_bode +test_manual adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_manual(self):$/;" m class:Test_dfreqresp +test_manyways adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_manyways(self):$/;" m class:TestSetOps +test_many_arguments adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_many_arguments(self):$/;" m class:TestSelect +test_many_duck_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_many_duck_arrays(self):$/;" m class:TestGetImplementingArgs +test_many_sizes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_many_sizes(self, n):$/;" m class:TestFFTConvolve +test_map adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/map_ops_test.py /^ def test_map(self):$/;" m class:TestMap +test_mapdomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_mapdomain(self):$/;" m class:TestDomain +test_mapiter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mapiter(self):$/;" m class:TestMapIter +test_mappable_no_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_mappable_no_alpha():$/;" f +test_mapparms adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_mapparms(Poly):$/;" f +test_mapparms adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_mapparms(self):$/;" m class:TestDomain +test_mapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_mapping(self):$/;" m class:TestIndexErrors +test_mapping_error_message adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_mapping_error_message(self):$/;" m class:TestIndexErrors +test_mapwrapper_parallel adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_mapwrapper_parallel():$/;" f +test_mapwrapper_serial adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_mapwrapper_serial():$/;" f +test_map_coordinates01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates01(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_map_coordinates02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates02(self, order):$/;" m class:TestNdimageInterpolation +test_map_coordinates03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates03(self):$/;" m class:TestNdimageInterpolation +test_map_coordinates_dts adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_datatypes.py /^def test_map_coordinates_dts():$/;" f +test_map_coordinates_endianness_with_output_parameter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates_endianness_with_output_parameter(self):$/;" m class:TestNdimageInterpolation +test_map_coordinates_large_data adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates_large_data(self):$/;" m class:TestNdimageInterpolation +test_map_coordinates_with_string_output adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_map_coordinates_with_string_output(self):$/;" m class:TestNdimageInterpolation +test_map_func adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/map_ops_test.py /^ def test_map_func(KEY_T, VALUE_T):$/;" f member:TestMap.test_map file: +test_marginalization adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_marginalization(self):$/;" m class:TestMultivariateNormal +test_margins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_margins():$/;" f +test_margins adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_contingency.py /^def test_margins():$/;" f +test_margin_ranking_criterion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/margin_ranking_criterion_op_test.py /^ def test_margin_ranking_criterion(self, N, seed, margin, gc, dc):$/;" m class:TestMarginRankingCriterion +test_markerfacecolor_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_markerfacecolor_fillstyle():$/;" f +test_markerfacecolor_none_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markerfacecolor_none_alpha(fig_test, fig_ref):$/;" f +test_markers_fillstyle_rcparams adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markers_fillstyle_rcparams():$/;" f +test_markers_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_markers_invalid():$/;" f +test_markers_valid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_markers_valid():$/;" f +test_marker_as_markerstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_marker_as_markerstyle():$/;" f +test_marker_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_marker_clipping(fig_ref, fig_test):$/;" f +test_marker_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_marker_cycle():$/;" f +test_marker_cycle_kwargs_arrays_iterators adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_marker_cycle_kwargs_arrays_iterators():$/;" f +test_marker_draw_order_data_reversed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_marker_draw_order_data_reversed(fig_test, fig_ref, azim):$/;" f +test_marker_draw_order_view_rotated adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_marker_draw_order_view_rotated(fig_test, fig_ref):$/;" f +test_marker_edges adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_marker_edges():$/;" f +test_marker_fill_styles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_marker_fill_styles():$/;" f +test_marker_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_marker_path():$/;" f +test_marker_paths_pdf adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_marker_paths_pdf():$/;" f +test_marker_styles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_marker_styles():$/;" f +test_marker_with_nan adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_marker_with_nan():$/;" f +test_markevery adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery():$/;" f +test_markevery adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_markevery(fig_test, fig_ref):$/;" f +test_markevery_line adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery_line():$/;" f +test_markevery_linear_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery_linear_scales():$/;" f +test_markevery_linear_scales_zoomed adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery_linear_scales_zoomed():$/;" f +test_markevery_log_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery_log_scales():$/;" f +test_markevery_polar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_markevery_polar():$/;" f +test_mark_future_twice adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_mark_future_twice(self):$/;" m class:RpcTest +test_mask adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask(self):$/;" m class:Test1DZeroFloat +test_mask adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask(self):$/;" m class:TestEmpty +test_mask adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask(self):$/;" m class:TestScalarArray +test_mask adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask(self):$/;" m class:TestScalarCompound +test_mask adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask(self):$/;" m class:TestScalarFloat +test_mask adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mask(self):$/;" m class:TestFancyIndexing +test_mask2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mask2(self):$/;" m class:TestFancyIndexing +test_maskandscale adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_maskandscale():$/;" f +test_masked adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_masked(self):$/;" m class:TestGradient +test_masked adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_masked(self):$/;" m class:TestTrapz +test_masked adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_masked(self):$/;" m class:TestNanFunctions_MinMax +test_maskedarray_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_maskedarray_input(self):$/;" m class:TestNormalitytests +test_maskedarray_subclassing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_maskedarray_subclassing(self):$/;" m class:TestSubclassing +test_maskedarray_subclassing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_maskedarray_subclassing(self):$/;" m class:TestSubclassing +test_maskedelement adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_maskedelement(self):$/;" m class:TestMaskedArray +test_masked_0d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_0d(self):$/;" m class:TestMedian +test_masked_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_1d(self):$/;" m class:TestMedian +test_masked_3d_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_masked_3d_array(self):$/;" m class:TestGeometricStandardDeviation +test_masked_all adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_all(self):$/;" m class:TestGeneric +test_masked_all_like adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_all_like(self):$/;" m class:TestGeneric +test_masked_all_with_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_all_with_object(self):$/;" m class:TestGeneric +test_masked_all_with_object_nested adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_all_with_object_nested(self):$/;" m class:TestGeneric +test_masked_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_masked_array():$/;" f +test_masked_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array(self):$/;" m class:TestRegression +test_masked_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_masked_arrays(self):$/;" m class:TestIsclose +test_masked_array_create adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array_create(self):$/;" m class:TestRegression +test_masked_array_fails adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_masked_array_fails(self):$/;" m class:TestConvexHull +test_masked_array_fails adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_masked_array_fails(self):$/;" m class:TestDelaunay +test_masked_array_fails adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_masked_array_fails(self):$/;" m class:TestVoronoi +test_masked_array_multiply adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array_multiply(self):$/;" m class:TestRegression +test_masked_array_repeat adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array_repeat(self):$/;" m class:TestRegression +test_masked_array_repr_unicode adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array_repr_unicode(self):$/;" m class:TestRegression +test_masked_array_tobytes_fortran adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_masked_array_tobytes_fortran(self):$/;" m class:TestRegression +test_masked_binary_operations adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_masked_binary_operations(self):$/;" m class:TestSubclassing +test_masked_binary_operations adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_masked_binary_operations(self):$/;" m class:TestSubclassing +test_masked_binary_operations2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_masked_binary_operations2(self):$/;" m class:TestSubclassing +test_masked_binary_operations2 adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_masked_binary_operations2(self):$/;" m class:TestSubclassing +test_masked_constant adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_masked_constant(self):$/;" m class:TestConcatenator +test_masked_equal_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_equal_fill_value(self):$/;" m class:TestMaskedArrayFunctions +test_masked_equal_wlist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_equal_wlist(self):$/;" m class:TestMaskedArrayFunctions +test_masked_flexible adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_masked_flexible(self):$/;" m class:TestRecursiveFillFields +test_masked_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_masked_input():$/;" f +test_masked_nan_inf adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_masked_nan_inf(self):$/;" m class:TestArrayEqual +test_masked_otherfunctions adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_otherfunctions(self):$/;" m class:TestMaskedArrayFunctions +test_masked_singleton_arithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_singleton_arithmetic(self):$/;" m class:TestMaskedArrayArithmetic +test_masked_singleton_equality adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_singleton_equality(self):$/;" m class:TestMaskedArrayArithmetic +test_masked_unary_operations adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_masked_unary_operations(self):$/;" m class:TestSubclassing +test_masked_unary_operations adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_masked_unary_operations(self):$/;" m class:TestSubclassing +test_masked_values adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_values(self):$/;" m class:TestMaskedWhereAliases +test_masked_where_bool adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_bool(self):$/;" m class:TestMaskedArrayFunctions +test_masked_where_condition adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_condition(self):$/;" m class:TestMaskedArrayFunctions +test_masked_where_mismatch adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_mismatch(self):$/;" m class:TestMaskedArrayFunctions +test_masked_where_oddities adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_oddities(self):$/;" m class:TestMaskedArrayFunctions +test_masked_where_shape_constraint adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_shape_constraint(self):$/;" m class:TestMaskedArrayFunctions +test_masked_where_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_masked_where_structured(self):$/;" m class:TestMaskedArrayFunctions +test_masking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_masking(self, distribution, optimizer):$/;" m class:TestDistributionStrategyWithLossMasking +test_masks_and_nans adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_masks_and_nans():$/;" f +test_mask_clone_update_external_list adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_mask_clone_update_external_list(self):$/;" m class:TestCloneNet +test_mask_element adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mask_element(self):$/;" m class:TestMaskedFields +test_mask_false adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask_false(self):$/;" m class:Test1DFloat +test_mask_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_mask_image():$/;" f +test_mask_image_all adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_mask_image_all():$/;" f +test_mask_image_over_under adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_mask_image_over_under():$/;" f +test_mask_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_mask_indices():$/;" f +test_mask_not_backmangled adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_mask_not_backmangled(self):$/;" m class:TestRegression +test_mask_or adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mask_or(self):$/;" m class:TestMaskedArrayFunctions +test_mask_partial adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask_partial(self):$/;" m class:Test1DFloat +test_mask_rowcols adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_mask_rowcols(self):$/;" m class:TestCompressFunctions +test_mask_row_cols_axis_deprecation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_mask_row_cols_axis_deprecation(self, axis, func, rowcols_axis):$/;" m class:TestCompressFunctions +test_mask_shape_assignment_does_not_break_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_mask_shape_assignment_does_not_break_masked():$/;" f +test_mask_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mask_size(self):$/;" m class:TestPutmask +test_mask_true adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask_true(self):$/;" m class:Test1DFloat +test_mask_wrongsize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_mask_wrongsize(self):$/;" m class:Test1DFloat +TEST_MASTER_ADDR adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^TEST_MASTER_ADDR = '127.0.0.1'$/;" v +TEST_MASTER_PORT adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^TEST_MASTER_PORT = 29500$/;" v +test_mat4_3d adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_mat4_3d():$/;" f +test_mat73 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_mat73():$/;" f +test_matches_multivariate adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_matches_multivariate(self):$/;" m class:TestMatrixNormal +test_matching_dblquad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_matching_dblquad(self):$/;" m class:TestNQuad +test_matching_large_random_graph_with_one_edge_incident_to_each_vertex adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_matching_large_random_graph_with_one_edge_incident_to_each_vertex():$/;" f +test_matching_named_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_matching_named_fields(self):$/;" m class:TestStackArrays +test_matching_quad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_matching_quad(self):$/;" m class:TestNQuad +test_matching_tplquad adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_matching_tplquad(self):$/;" m class:TestNQuad +test_match_existing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_match_existing(self):$/;" m class:TestUserblock +test_match_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_match_graph(self):$/;" m class:TestBindings +test_match_graph_node adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_match_graph_node(self):$/;" m class:TestBindings +test_match_graph_node_strict adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_match_graph_node_strict(self):$/;" m class:TestBindings +test_match_model_input_matches_with_dataset_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_match_model_input_matches_with_dataset_tensors(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_matdims adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_miobase.py /^def test_matdims():$/;" f +test_mathdefault adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_mathdefault():$/;" f +test_mathfont_rendering adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathfont_rendering(baseline_images, fontset, index, test):$/;" f +test_mathieu_a adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_a(self):$/;" m class:TestCephes +test_mathieu_a adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_a(self):$/;" m class:TestMathieu +test_mathieu_b adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_b(self):$/;" m class:TestCephes +test_mathieu_cem adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_cem(self):$/;" m class:TestCephes +test_mathieu_even_coef adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_even_coef(self):$/;" m class:TestMathieu +test_mathieu_modcem1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_modcem1(self):$/;" m class:TestCephes +test_mathieu_modcem2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_modcem2(self):$/;" m class:TestCephes +test_mathieu_modsem1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_modsem1(self):$/;" m class:TestCephes +test_mathieu_modsem2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_modsem2(self):$/;" m class:TestCephes +test_mathieu_odd_coef adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_odd_coef(self):$/;" m class:TestMathieu +test_mathieu_overflow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_overflow(self):$/;" m class:TestCephes +test_mathieu_sem adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_sem(self):$/;" m class:TestCephes +test_mathieu_ticket_1847 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mathieu_ticket_1847(self):$/;" m class:TestCephes +test_mathtext_exceptions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_exceptions(math, msg):$/;" f +test_mathtext_fallback adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_fallback(fallback, fontlist):$/;" f +test_mathtext_fallback_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_fallback_invalid():$/;" f +test_mathtext_fallback_to_cm_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_fallback_to_cm_invalid():$/;" f +test_mathtext_fallback_valid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_fallback_valid():$/;" f +test_mathtext_rendering adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_rendering(baseline_images, fontset, index, test):$/;" f +test_mathtext_to_png adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_mathtext_to_png(tmpdir):$/;" f +test_math_to_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_math_to_image(tmpdir):$/;" f +test_matmat_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_matmat_dense(self):$/;" m class:_TestCommon +test_matmat_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_matmat_sparse(self):$/;" m class:_TestCommon +test_matmul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_matmul(self, M, K, N, trans_a, trans_b, gc, dc):$/;" m class:TestMatMul +test_matmul adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_matmul(self):$/;" m class:ShapePolyPrimitivesTest +test_matmul adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_matmul(self):$/;" m class:TestNDArrayOperatorsMixin +test_matmul adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def test_matmul(self):$/;" m class:TestLinearOperator +test_matmul adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_matmul(self):$/;" m class:_TestCommon +test_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_matmul(self):$/;" f function:_test_matmul file: +test_matmul_axes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_matmul_axes():$/;" f +test_matmul_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_matmul_axis($/;" m class:TestMatMul +test_matmul_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_bool(self):$/;" m class:TestMatmul +test_matmul_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_empty(self):$/;" m class:TestMatmul +test_matmul_exception_add adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_exception_add(self):$/;" m class:TestMatmul +test_matmul_exception_multiply adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_exception_multiply(self):$/;" m class:TestMatmul +test_matmul_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_matmul_inplace():$/;" f +test_matmul_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_object(self):$/;" m class:TestMatmul +test_matmul_object_type_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_object_type_scalar(self):$/;" m class:TestMatmul +test_matmul_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_out(self):$/;" m class:TestMethods +test_matmul_raises adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matmul_raises(self):$/;" m class:TestMatmulOperator +test_matmul_with_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_matmul_with_broadcast(self):$/;" f function:_test_matmul_with_broadcast file: +test_matrices adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ test_matrices = [$/;" v class:TestClarksonWoodruffTransform +test_matrix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_matrix(self, vals=None):$/;" m class:TestDiag +test_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^ def test_matrix(self):$/;" m class:TestConcatenatorMatrix +test_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_matrix(self):$/;" m class:TestConcatenator +test_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_matrix(self):$/;" m class:TestSparseUtils +test_matrix_2x2 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_matrix_2x2(self):$/;" m class:_TestNorm2D +test_matrix_3x3 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_matrix_3x3(self):$/;" m class:_TestNorm2D +test_matrix_builder adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^ def test_matrix_builder(self):$/;" m class:TestConcatenatorMatrix +test_matrix_builder adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_matrix_builder(self):$/;" m class:TestConcatenator +test_matrix_calculation_pipeline adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_matrix_calculation_pipeline():$/;" f +test_matrix_element adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_matrix_element(self):$/;" m class:TestNewScalarIndexing +test_matrix_empty adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_matrix_empty(self):$/;" m class:_TestNorm2D +test_matrix_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_matrix_indexing(self):$/;" m class:TestMaskedMatrix +test_matrix_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_matrix_input(self):$/;" m class:TestInterpN +test_matrix_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_matrix_input(self):$/;" m class:TestExpM +test_matrix_matrix_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matrix_matrix_values(self):$/;" m class:MatmulCommon +test_matrix_memory_sharing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_matrix_memory_sharing(self):$/;" m class:TestShape +test_matrix_multiply adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_matrix_multiply(self):$/;" m class:TestUfunc +test_matrix_multiply_by_1d_vector adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^ def test_matrix_multiply_by_1d_vector(self):$/;" m class:TestRegression +test_matrix_multiply_umath_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_matrix_multiply_umath_empty(self):$/;" m class:TestUfunc +test_matrix_norm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_matrix_norm(self):$/;" m class:TestNorm +test_matrix_norms adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_matrix_norms(self):$/;" m class:TestMatrixNorms +test_matrix_norm_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_matrix_norm_axis(self):$/;" m class:TestNorm +test_matrix_power_operator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_matrix_power_operator(self):$/;" m class:TestOperators +test_matrix_properties adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^ def test_matrix_properties(self):$/;" m class:TestRegression +test_matrix_rank adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_matrix_rank(self):$/;" m class:TestMatrixRank +test_matrix_ravel_order adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_matrix_ravel_order(self):$/;" m class:TestShape +test_matrix_return_type adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_matrix_return_type(self):$/;" m class:_TestNorm2D +test_matrix_scalar adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^ def test_matrix_scalar(self):$/;" m class:TestConcatenatorMatrix +test_matrix_std_argmax adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_regression.py /^ def test_matrix_std_argmax(self):$/;" m class:TestRegression +test_matrix_vector_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_matrix_vector_multiply(self):$/;" m class:TestExpmActionSimple +test_matrix_vector_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_matrix_vector_values(self):$/;" m class:MatmulCommon +test_matscalar adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_numeric.py /^ def test_matscalar(self):$/;" m class:TestDot +test_matshow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_matshow(fig_test, fig_ref):$/;" f +test_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def test_matvec(self):$/;" m class:TestLinearOperator +test_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_matvec(self):$/;" m class:_TestCommon +test_matvecs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def test_matvecs(self):$/;" m class:TestInt32Overflow +test_mat_dtype adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_mat_dtype():$/;" f +test_mat_struct_squeeze adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_mat_struct_squeeze():$/;" f +test_max adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_max(self, inputs, gc, dc):$/;" m class:TestOperators +test_max adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_max(self):$/;" m class:TestProperties +test_maxdists_empty_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxdists_empty_linkage(self):$/;" m class:TestMaxDists +test_maxdists_one_cluster_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxdists_one_cluster_linkage(self):$/;" m class:TestMaxDists +test_maxdists_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxdists_Q_linkage(self):$/;" m class:TestMaxDists +test_maxfev_and_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_maxfev_and_bounds(self):$/;" m class:TestCurveFit +test_maxfun_stops_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_maxfun_stops_solve(self):$/;" m class:TestDifferentialEvolutionSolver +test_maximum adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_maximum(self):$/;" m class:TestMinimumMaximum +test_maximum01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum01():$/;" f +test_maximum02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum02():$/;" f +test_maximum03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum03():$/;" f +test_maximum04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum04():$/;" f +test_maximum05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum05():$/;" f +test_maximum_bipartite_matching_empty_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_empty_graph():$/;" f +test_maximum_bipartite_matching_empty_left_partition adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_empty_left_partition():$/;" f +test_maximum_bipartite_matching_empty_right_partition adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_empty_right_partition():$/;" f +test_maximum_bipartite_matching_explicit_zeros_count_as_edges adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_explicit_zeros_count_as_edges():$/;" f +test_maximum_bipartite_matching_feasibility_of_result adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_feasibility_of_result():$/;" f +test_maximum_bipartite_matching_graph_that_causes_augmentation adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_graph_that_causes_augmentation():$/;" f +test_maximum_bipartite_matching_graph_with_more_columns_than_rows adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_graph_with_more_columns_than_rows():$/;" f +test_maximum_bipartite_matching_graph_with_more_rows_than_columns adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_graph_with_more_rows_than_columns():$/;" f +test_maximum_bipartite_matching_graph_with_no_edges adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_graph_with_no_edges():$/;" f +test_maximum_bipartite_matching_raises_on_dense_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_maximum_bipartite_matching_raises_on_dense_input():$/;" f +test_maximum_filter01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter01(self):$/;" m class:TestNdimageFilters +test_maximum_filter02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter02(self):$/;" m class:TestNdimageFilters +test_maximum_filter03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter03(self):$/;" m class:TestNdimageFilters +test_maximum_filter04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter04(self):$/;" m class:TestNdimageFilters +test_maximum_filter05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter05(self):$/;" m class:TestNdimageFilters +test_maximum_filter06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter06(self):$/;" m class:TestNdimageFilters +test_maximum_filter07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter07(self):$/;" m class:TestNdimageFilters +test_maximum_filter08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter08(self):$/;" m class:TestNdimageFilters +test_maximum_filter09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_maximum_filter09(self):$/;" m class:TestNdimageFilters +test_maximum_minimum adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_maximum_minimum(self):$/;" m class:_TestCommon +test_maximum_position01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position01():$/;" f +test_maximum_position02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position02():$/;" f +test_maximum_position03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position03():$/;" f +test_maximum_position04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position04():$/;" f +test_maximum_position05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position05():$/;" f +test_maximum_position06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position06():$/;" f +test_maximum_position07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_maximum_position07():$/;" f +test_maxinconsts_difrow_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxinconsts_difrow_linkage(self):$/;" m class:TestMaxInconsts +test_maxinconsts_empty_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxinconsts_empty_linkage(self):$/;" m class:TestMaxInconsts +test_maxinconsts_one_cluster_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxinconsts_one_cluster_linkage(self):$/;" m class:TestMaxInconsts +test_maxinconsts_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxinconsts_Q_linkage(self):$/;" m class:TestMaxInconsts +test_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_maxiter(self):$/;" m class:LinprogCommonTests +test_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nnls.py /^ def test_maxiter(self):$/;" m class:TestNNLS +test_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_maxiter(self):$/;" m class:TestDualAnnealing +test_maxiter adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_maxiter():$/;" f +test_maxiter_int_check adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_maxiter_int_check(self):$/;" m class:TestBasic +test_maxiter_none_GH5731 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_maxiter_none_GH5731(self):$/;" m class:TestDifferentialEvolutionSolver +test_maxiter_stops_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_maxiter_stops_solve(self):$/;" m class:TestDifferentialEvolutionSolver +test_maxiter_worsening adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_maxiter_worsening(solver):$/;" f +test_maxit_None adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_maxit_None():$/;" f +test_maxlength adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_maxlength():$/;" f +test_maxn_major adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_maxn_major(self, lims):$/;" m class:TestLogitLocator +test_maxRstat_difrow_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxRstat_difrow_linkage(self):$/;" m class:TestMaxRStat +test_maxRstat_empty_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxRstat_empty_linkage(self):$/;" m class:TestMaxRStat +test_maxRstat_invalid_index adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxRstat_invalid_index(self):$/;" m class:TestMaxRStat +test_maxRstat_one_cluster_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxRstat_one_cluster_linkage(self):$/;" m class:TestMaxRStat +test_maxRstat_Q_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_maxRstat_Q_linkage(self):$/;" m class:TestMaxRStat +test_max_dims adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_max_dims(self):$/;" m class:TestNewBufferProtocol +test_max_fun_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_max_fun_ls(self):$/;" m class:TestDualAnnealing +test_max_fun_no_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_max_fun_no_ls(self):$/;" m class:TestDualAnnealing +test_max_inside adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_max_inside(self):$/;" m class:Test_rectangle +test_max_one_side adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_max_one_side(self):$/;" m class:Test_rectangle +test_max_or_min adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_max_or_min(self):$/;" m class:TestClip +test_max_pool_correctness adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_max_pool_correctness(self, size, input_channels, batch_size):$/;" m class:NNPackOpsTest +test_max_pool_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_max_pool_grad($/;" m class:TestPooling +test_max_reinit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_max_reinit(self):$/;" m class:TestDualAnnealing +test_max_rows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_max_rows(self):$/;" m class:TestFromTxt +test_max_rows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_max_rows(self):$/;" m class:TestLoadTxt +test_max_rows_larger adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_max_rows_larger(self):$/;" m class:TestLoadTxt +test_max_rows_with_read_continuation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_max_rows_with_read_continuation(self):$/;" m class:TestLoadTxt +test_max_rows_with_skiprows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_max_rows_with_skiprows(self):$/;" m class:TestLoadTxt +test_max_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_max_step():$/;" f +test_max_two_sides adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_max_two_sides(self):$/;" m class:Test_rectangle +test_may_share_memory_bad_max_work adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_may_share_memory_bad_max_work():$/;" f +test_may_share_memory_easy_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_may_share_memory_easy_fuzz():$/;" f +test_may_share_memory_harder_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_may_share_memory_harder_fuzz():$/;" f +test_may_share_memory_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_may_share_memory_manual():$/;" f +test_mdc_config adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_mdc_config(self):$/;" m class:TestFA +test_mdc_config_get adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^ def test_mdc_config_get(self):$/;" m class:TestCacheConfig +test_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mean_op_test.py /^ def test_mean(self, k, n, m, in_place, seed, gc, dc):$/;" m class:TestMean +test_mean adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_mean(self):$/;" m class:TestNonarrayArgs +test_mean adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mean(self):$/;" m class:_TestCommon +test_mean adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_mean():$/;" f +test_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_mean(self, chi, expected_mean):$/;" m class:TestArgus +test_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean(self):$/;" m class:TestMultinomial +test_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean(self):$/;" m class:TestMultivariateHypergeom +test_mean0 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_mean0(self):$/;" m class:ShapeAsValueTest +test_mean01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_mean01():$/;" f +test_mean02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_mean02():$/;" f +test_mean03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_mean03():$/;" f +test_mean04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_mean04():$/;" f +test_meananom_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_meananom_object(self):$/;" m class:TestMaskedArrayMathMethods +test_mean_all_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_mean_all_axes(self):$/;" m class:ShapeAsValueTest +test_mean_and_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean_and_var(self):$/;" m class:TestDirichlet +test_mean_axis_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mean_axis_error(self):$/;" m class:TestStats +test_mean_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean_broadcasting(self):$/;" m class:TestMultinomial +test_mean_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean_broadcasting(self):$/;" m class:TestMultivariateHypergeom +test_mean_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mean_dtype(self):$/;" m class:_TestCommon +test_mean_edge_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mean_edge_cases(self):$/;" m class:TestMultivariateHypergeom +test_mean_float16 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mean_float16(self):$/;" m class:TestStats +test_mean_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mean_invalid_params(self):$/;" m class:_TestCommon +test_mean_invalid_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_mean_invalid_weights():$/;" f +test_mean_out adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mean_out(self):$/;" m class:_TestCommon +test_mean_small_p adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_mean_small_p(self):$/;" m class:TestLogser +test_mean_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mean_values(self):$/;" m class:TestStats +Test_measurements_select adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^class Test_measurements_select(object):$/;" c +Test_measurements_stats adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^class Test_measurements_stats(object):$/;" c +test_mec_rcparams adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_mec_rcparams():$/;" f +test_median01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_median01():$/;" f +test_median02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_median02():$/;" f +test_median03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_median03():$/;" f +test_median_abs_deviation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_median_abs_deviation(self):$/;" m class:TestMedianAbsDeviation +test_median_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_median_filter(self):$/;" m class:TestThreading +test_median_gh12836_bool adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_median_gh12836_bool():$/;" f +test_median_no_int_overflow adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_median_no_int_overflow():$/;" f +test_medium1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_medium1(self):$/;" m class:TestLU +test_medium1_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_medium1_complex(self):$/;" m class:TestLU +test_medium_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_medium_matrix(self):$/;" m class:TestExpmFrechet +test_member_flatten adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_member_flatten(self):$/;" m class:TestShape +test_member_ravel adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_member_ravel(self):$/;" m class:TestShape +test_memmap_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_memmap_roundtrip():$/;" f +test_memmap_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_memmap_subclass(self):$/;" m class:TestMemmap +test_memoize_jac_function_before_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_memoize_jac_function_before_gradient(function_with_gradient):$/;" f +test_memoize_jac_gradient_before_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_memoize_jac_gradient_before_function(function_with_gradient):$/;" f +test_memoize_jac_with_bfgs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_memoize_jac_with_bfgs(function_with_gradient):$/;" f +test_memonger_mix_cpu_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_memonger_mix_cpu_gpu(self):$/;" m class:MemongerTest +test_memoryleak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_memoryleak(self):$/;" m class:TestRegression +test_memory_contraints adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_memory_contraints(self):$/;" m class:TestEinsumPath +test_memory_layout_persistence adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_memory_layout_persistence(mode):$/;" f +test_memory_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_memory_order(self):$/;" m class:TestIndexing +test_memory_paths adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_memory_paths():$/;" f +test_memoverlap_accumulate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_memoverlap_accumulate(ftype):$/;" f +test_mem_0d_array_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_0d_array_index(self):$/;" m class:TestRegression +test_mem_around adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_around(self):$/;" m class:TestRegression +test_mem_array_creation_invalid_specification adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_array_creation_invalid_specification(self):$/;" m class:TestRegression +test_mem_axis_minimization adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_axis_minimization(self):$/;" m class:TestRegression +test_mem_custom_float_to_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_custom_float_to_array(self):$/;" m class:TestRegression +test_mem_deallocation_leak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_deallocation_leak(self):$/;" m class:TestRegression +test_mem_digitize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_mem_digitize(self):$/;" m class:TestRegression +test_mem_divmod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_divmod(self):$/;" m class:TestRegression +test_mem_dot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_dot(self):$/;" m class:TestRegression +test_mem_dtype_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_dtype_align(self):$/;" m class:TestRegression +test_mem_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_empty(self):$/;" m class:TestRegression +test_mem_float_imag adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_float_imag(self):$/;" m class:TestRegression +test_mem_fromiter_invalid_dtype_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_fromiter_invalid_dtype_string(self):$/;" m class:TestRegression +test_mem_lexsort_strings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_lexsort_strings(self):$/;" m class:TestRegression +test_mem_masked_where adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_mem_masked_where(self):$/;" m class:TestRegression +test_mem_on_invalid_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_on_invalid_dtype(self):$/;" m class:TestRegression +test_mem_polymul adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_mem_polymul(self):$/;" m class:TestRegression +test_mem_scalar_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_scalar_indexing(self):$/;" m class:TestRegression +test_mem_seteventhook adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mem_seteventhook(self):$/;" m class:TestMemEventHook +test_mem_string_arr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mem_string_arr(self):$/;" m class:TestRegression +test_mem_string_concat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_mem_string_concat(self):$/;" m class:TestRegression +test_mem_vectorise adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_mem_vectorise(self):$/;" m class:TestRegression +test_mergedim adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_mergedim(self):$/;" m class:TestCaffe2Basic +test_merge_dense_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_dense_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_from_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_merge_from_import(self):$/;" m class:AddImportTest +test_merge_id_lists adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_merge_id_lists(self, lengths_0, lengths_1):$/;" m class:TorchIntegration +test_merge_id_lists_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^ def test_merge_id_lists_op(self, inputs, gc, dc):$/;" m class:TestMergeIdListsOp +test_merge_id_lists_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/merge_id_lists_op_test.py /^ def test_merge_id_lists_ref(self):$/;" m class:TestMergeIdListsOp +test_merge_multi_list_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_list_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_multi_list_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_list_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_multi_map_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_map_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_multi_map_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_map_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_multi_scalar_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_scalar_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_multi_scalar_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_multi_scalar_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_single_list_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_list_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_single_list_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_list_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_single_map_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_map_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_single_map_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_map_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_single_scalar_feature_tensors adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_scalar_feature_tensors(self):$/;" m class:TestFeatureMapsOps +test_merge_single_scalar_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_scalar_feature_tensors_gradient(self):$/;" m class:TestFeatureMapsOps +test_merge_single_scalar_feature_tensors_gradient_with_strings adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def test_merge_single_scalar_feature_tensors_gradient_with_strings(self):$/;" m class:TestFeatureMapsOps +test_metadata adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_metadata(self):$/;" m class:TestPickling +test_metadata_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_metadata_dtype(dt, fail):$/;" f +test_metadata_rejects_nondict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_metadata_rejects_nondict(self):$/;" m class:TestMetadata +test_metadata_takes_dict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_metadata_takes_dict(self):$/;" m class:TestMetadata +test_meta_constructor adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_meta_constructor(self):$/;" m class:PredictorExporterTest +test_meta_net_def_net_runs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_meta_net_def_net_runs(self):$/;" m class:PredictorExporterTest +test_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_method(self):$/;" m class:TestNDArrayArrayFunction +test_method adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_method(self):$/;" m class:TestArgsort +test_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_method(self, dt):$/;" m class:TestCorrelateReal +test_methods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_methods(self):$/;" m class:TestIndexErrors +test_methods_with_lists adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_methods_with_lists(method, distname, args):$/;" f +test_methods_with_lists adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def test_methods_with_lists(method, distname, args):$/;" f +test_methods_with_output adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_methods_with_output(self):$/;" m class:TestMaskedArrayArithmetic +test_method_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_method_args(self):$/;" m class:TestRegression +test_method_argument adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_method_argument(self):$/;" m class:TestCurveFit +test_method_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_method_array(self):$/;" m class:TestFromrecords +test_method_array2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_method_array2(self):$/;" m class:TestFromrecords +test_method_vanish adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_method_vanish(self):$/;" m class:TestFileObj +test_method_wrapper adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def test_method_wrapper(self, *args, **kwargs):$/;" f function:skip_on_devices.skip file: +test_method_wrapper adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def test_method_wrapper(self, *args, **kwargs):$/;" f function:skip_on_flag.skip file: +Test_Metropolis adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class Test_Metropolis(object):$/;" c +test_mfc_rcparams adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_mfc_rcparams():$/;" f +test_mgrid_single_element adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_mgrid_single_element(self):$/;" m class:TestRegression +test_mgrid_size_none_handling adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_mgrid_size_none_handling(self, start, stop, step, expected):$/;" m class:TestGrid +test_mid adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_mid(self):$/;" m class:TestUnitImpulse +test_midpoint adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_midpoint(self):$/;" m class:TestPercentile +test_MikotaPair adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_MikotaPair():$/;" f +test_milstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_milstm(self):$/;" m class:RNNCellTest +test_milstm_params adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_milstm_params(self):$/;" m class:RNNCellTest +test_min adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_min(self):$/;" m class:TestProperties +test_minformatter_type adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_minformatter_type():$/;" f +test_mini adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_mini(self):$/;" m class:TestFloats +test_minimal adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_minimal(self):$/;" m class:MetaNetDefTest +test_minimal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_minimal(self):$/;" m class:TestRidgeLines +test_minimized_rasterized adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_minimized_rasterized():$/;" f +test_minimizer_fail adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_minimizer_fail(self):$/;" m class:TestBasinHopping +test_minimize_automethod adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_automethod(self):$/;" m class:TestOptimizeSimple +test_minimize_bounded_approximated adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_bounded_approximated(self):$/;" m class:TestSLSQP +test_minimize_bounded_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_bounded_constraint(self):$/;" m class:TestSLSQP +test_minimize_bound_equality_given2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_bound_equality_given2(self):$/;" m class:TestSLSQP +test_minimize_callback_copies_array adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_callback_copies_array(self, method):$/;" m class:TestOptimizeSimple +test_minimize_coerce_args_param adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_coerce_args_param(self):$/;" m class:TestOptimizeSimple +test_minimize_constraint_violation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def test_minimize_constraint_violation(self):$/;" m class:TestCobyla +test_minimize_equality_approximated adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_equality_approximated(self):$/;" m class:TestSLSQP +test_minimize_equality_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_equality_given(self):$/;" m class:TestSLSQP +test_minimize_equality_given2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_equality_given2(self):$/;" m class:TestSLSQP +test_minimize_equality_given_cons_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_equality_given_cons_scalar(self):$/;" m class:TestSLSQP +test_minimize_inequality_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_inequality_given(self):$/;" m class:TestSLSQP +test_minimize_inequality_given_vector_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_inequality_given_vector_constraints(self):$/;" m class:TestSLSQP +test_minimize_l_bfgs_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b(self):$/;" m class:TestOptimizeSimple +test_minimize_l_bfgs_b_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b_bounds(self):$/;" m class:TestLBFGSBBounds +test_minimize_l_bfgs_b_bounds_FD adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b_bounds_FD(self):$/;" m class:TestLBFGSBBounds +test_minimize_l_bfgs_b_ftol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b_ftol(self):$/;" m class:TestOptimizeSimple +test_minimize_l_bfgs_b_incorrect_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b_incorrect_bounds(self, bounds):$/;" m class:TestLBFGSBBounds +test_minimize_l_bfgs_b_maxfun_interruption adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_b_maxfun_interruption(self):$/;" m class:TestOptimizeSimple +test_minimize_l_bfgs_maxls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_l_bfgs_maxls(self):$/;" m class:TestOptimizeSimple +test_minimize_multiple_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_minimize_multiple_constraints():$/;" f +test_minimize_no_warns adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_minimize_no_warns(self):$/;" m class:TestMinMax +test_minimize_quadratic_1d adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_minimize_quadratic_1d(self):$/;" m class:TestQuadraticFunction +test_minimize_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_scalar(self):$/;" m class:TestOptimizeScalar +test_minimize_scalar_coerce_args_param adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_scalar_coerce_args_param(self):$/;" m class:TestOptimizeScalar +test_minimize_scalar_coerce_args_param adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def test_minimize_scalar_coerce_args_param(self):$/;" m class:TestRoot +test_minimize_scalar_custom adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_scalar_custom(self):$/;" m class:TestOptimizeScalar +test_minimize_simple adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def test_minimize_simple(self):$/;" m class:TestCobyla +test_minimize_tnc1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc1(self):$/;" m class:TestTnc +test_minimize_tnc1b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc1b(self):$/;" m class:TestTnc +test_minimize_tnc1c adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc1c(self):$/;" m class:TestTnc +test_minimize_tnc2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc2(self):$/;" m class:TestTnc +test_minimize_tnc3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc3(self):$/;" m class:TestTnc +test_minimize_tnc38 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc38(self):$/;" m class:TestTnc +test_minimize_tnc4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc4(self):$/;" m class:TestTnc +test_minimize_tnc45 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc45(self):$/;" m class:TestTnc +test_minimize_tnc5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_minimize_tnc5(self):$/;" m class:TestTnc +test_minimize_tol_parameter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_minimize_tol_parameter(self):$/;" m class:TestOptimizeSimple +test_minimize_unbounded_approximated adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_unbounded_approximated(self):$/;" m class:TestSLSQP +test_minimize_unbounded_combined adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_unbounded_combined(self):$/;" m class:TestSLSQP +test_minimize_unbounded_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_minimize_unbounded_given(self):$/;" m class:TestSLSQP +test_minimum adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def test_minimum(self):$/;" m class:TestMinimumMaximum +test_minimum01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum01():$/;" f +test_minimum02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum02():$/;" f +test_minimum03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum03():$/;" f +test_minimum04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum04():$/;" f +test_minimummaximum_func adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minimummaximum_func(self):$/;" m class:TestMaskedArrayArithmetic +test_minimum_filter01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter01(self):$/;" m class:TestNdimageFilters +test_minimum_filter02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter02(self):$/;" m class:TestNdimageFilters +test_minimum_filter03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter03(self):$/;" m class:TestNdimageFilters +test_minimum_filter04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter04(self):$/;" m class:TestNdimageFilters +test_minimum_filter05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter05(self):$/;" m class:TestNdimageFilters +test_minimum_filter05_overlap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter05_overlap(self):$/;" m class:TestNdimageFilters +test_minimum_filter06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter06(self):$/;" m class:TestNdimageFilters +test_minimum_filter07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter07(self):$/;" m class:TestNdimageFilters +test_minimum_filter08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter08(self):$/;" m class:TestNdimageFilters +test_minimum_filter09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minimum_filter09(self):$/;" m class:TestNdimageFilters +test_minimum_points_and_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_minimum_points_and_deriv(self):$/;" m class:TestInterp +test_minimum_position01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position01():$/;" f +test_minimum_position02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position02():$/;" f +test_minimum_position03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position03():$/;" f +test_minimum_position04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position04():$/;" f +test_minimum_position05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position05():$/;" f +test_minimum_position06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position06():$/;" f +test_minimum_position07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_minimum_position07():$/;" f +test_minimum_signed_integers adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_minimum_signed_integers(self):$/;" m class:TestArgmin +test_minimum_spanning_tree adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_spanning_tree.py /^def test_minimum_spanning_tree():$/;" f +test_mini_mapping adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_mini_mapping(self):$/;" m class:TestFloats +test_minkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_minkowski(self):$/;" m class:TestSomeDistanceFunctions +test_minkowski_w adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_minkowski_w():$/;" f +test_minlocator_type adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_minlocator_type():$/;" f +test_minmax adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax(self):$/;" m class:TestUfuncs +test_minmax adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_minmax(self):$/;" m class:TestUfuncs +test_minmax adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_minmax(self):$/;" m class:_TestMinMax +test_minmaximum_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_minmaximum_filter1d():$/;" f +test_minmax_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_minmax_axis(self):$/;" m class:_TestMinMax +test_minmax_blocked adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_minmax_blocked(self):$/;" m class:TestMinMax +test_minmax_dtypes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax_dtypes(self):$/;" m class:TestMaskedArrayArithmetic +test_minmax_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_minmax_filter(self):$/;" m class:TestThreading +test_minmax_func adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax_func(self):$/;" m class:TestMaskedArrayArithmetic +test_minmax_funcs_with_output adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax_funcs_with_output(self):$/;" m class:TestMaskedArrayArithmetic +test_minmax_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_minmax_invalid_params(self):$/;" m class:_TestMinMax +test_minmax_methods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax_methods(self):$/;" m class:TestMaskedArrayArithmetic +test_minmax_reduce adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_minmax_reduce(self):$/;" m class:TestMaskedArrayArithmetic +test_minor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_minor(self, lims, expected_low_ticks):$/;" m class:TestLogitLocator +test_minorticks_on adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_minorticks_on(xscale, yscale):$/;" f +test_minorticks_on_rcParams_both adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_minorticks_on_rcParams_both(fig_test, fig_ref):$/;" f +test_minorticks_rc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_minorticks_rc():$/;" f +test_minor_accountedfor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_minor_accountedfor():$/;" f +test_minor_attr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_minor_attr(self):$/;" m class:TestLogitLocator +test_minor_number adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_minor_number(self):$/;" m class:TestLogitFormatter +test_minor_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_minor_ticks():$/;" f +test_minor_vs_major adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_minor_vs_major(self, method, lims, cases):$/;" m class:TestLogitFormatter +test_minres_non_default_x0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_minres_non_default_x0():$/;" f +test_minres_precond_exact_x0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_minres_precond_exact_x0():$/;" f +test_minres_precond_non_default_x0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_minres_precond_non_default_x0():$/;" f +test_minus_no_descent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_minus_no_descent():$/;" f +test_min_exponent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_min_exponent(self, min_exponent, value, expected):$/;" m class:TestLogFormatterMathtext +test_min_inside adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_min_inside(self):$/;" m class:Test_rectangle +test_min_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_min_int(self):$/;" m class:TestAllclose +test_min_int adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_min_int(self):$/;" m class:TestAssertAllclose +test_min_one_side adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_min_one_side(self):$/;" m class:Test_rectangle +test_min_two_sides adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_min_two_sides(self):$/;" m class:Test_rectangle +test_min_weight_full_matching_infeasible_problems adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_min_weight_full_matching_infeasible_problems(biadjacency_matrix):$/;" f +test_min_weight_full_matching_trivial_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_matching.py /^def test_min_weight_full_matching_trivial_graph(num_rows, num_cols):$/;" f +test_mirr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_mirr(self):$/;" m class:TestFinancial +test_mirror adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mirror(self, dt):$/;" m class:TestNeighborhoodIter +test_mirror2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mirror2d(self, dt):$/;" m class:TestNeighborhoodIter +test_mirr_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_mirr_decimal(self):$/;" m class:TestFinancial +test_misaligned_dot_product_objects adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_misaligned_dot_product_objects(self):$/;" m class:TestRegression +test_misaligned_objects_segfault adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_misaligned_objects_segfault(self):$/;" m class:TestRegression +test_misaligned_scalars_segfault adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_misaligned_scalars_segfault(self):$/;" m class:TestRegression +test_misc_types adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_misc_types(self):$/;" m class:TestExpM +test_mish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_mish(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_mish_gradient_inplace adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_mish_gradient_inplace(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_mismatched_dims adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_mismatched_dims(self):$/;" m class:TestConvolve +test_mismatched_dims adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_mismatched_dims(self):$/;" m class:TestCorrelate +test_mismatched_dims adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_mismatched_dims(self, a, b, convapproach):$/;" m class:TestAllFreqConvolves +test_mismatched_q_and_r adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_mismatched_q_and_r(self):$/;" m class:BaseQRdelete +test_mismatched_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_mismatched_shapes(self):$/;" m class:BaseQRinsert +test_mismatched_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_mismatched_shapes(self):$/;" m class:BaseQRupdate +test_mismatched_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_mismatched_ticklabels():$/;" f +test_mismatched_type_for_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_mismatched_type_for_options(self):$/;" m class:ProcessGroupAgentRpcTest +test_mismatched_type_for_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_mismatched_type_for_options(self):$/;" m class:TensorPipeAgentRpcTest +test_mismatching_prominence_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_mismatching_prominence_data(self):$/;" m class:TestPeakWidths +test_miso adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_miso(self):$/;" m class:TestLsim +test_misshaped_pad_width adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_misshaped_pad_width(self, pad_width, mode):$/;" m class:TestPadWidth +test_misshaped_pad_width_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_misshaped_pad_width_2(self, mode):$/;" m class:TestPadWidth +test_missing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_missing(self):$/;" m class:TestFromTxt +test_missing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_missing(self):$/;" m class:TestLoadTxt +test_missing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_missing(self):$/;" m class:TestStringConverter +test_missing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_missing(self):$/;" m class:TestMissingData +test_missing_A adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_A(self):$/;" m class:Test_abcd_normalize +test_missing_AB adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_AB(self):$/;" m class:Test_abcd_normalize +test_missing_ABC_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_ABC_fails(self):$/;" m class:Test_abcd_normalize +test_missing_AC adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_AC(self):$/;" m class:Test_abcd_normalize +test_missing_AD adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_AD(self):$/;" m class:Test_abcd_normalize +test_missing_B adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_B(self):$/;" m class:Test_abcd_normalize +test_missing_back_button adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^def test_missing_back_button():$/;" f +test_missing_BC adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_BC(self):$/;" m class:Test_abcd_normalize +test_missing_BD_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_BD_fails(self):$/;" m class:Test_abcd_normalize +test_missing_C adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_C(self):$/;" m class:Test_abcd_normalize +test_missing_CD_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_CD_fails(self):$/;" m class:Test_abcd_normalize +test_missing_D adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_missing_D(self):$/;" m class:Test_abcd_normalize +test_missing_dim adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^ def test_missing_dim(self):$/;" m class:TestSparseMatrixReshapeOp +test_missing_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_missing_dim(self):$/;" m class:TestReShapeOps +test_missing_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_missing_dim(self):$/;" m class:TestLengthsToShapeOps +test_missing_field adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_missing_field(self):$/;" m class:TestRecord +test_missing_inputs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_missing_inputs():$/;" f +test_missing_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_missing_names(self):$/;" m class:TestNameValidator +test_missing_psfont adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_missing_psfont(monkeypatch):$/;" f +test_missing_psfont adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_missing_psfont(monkeypatch):$/;" f +test_missing_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_missing_shape(self):$/;" m class:TestCreateShape +test_missing_with_tabs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_missing_with_tabs(self):$/;" m class:TestFromTxt +test_miuint32_compromise adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_miuint32_compromise():$/;" f +test_miutf8_for_miint8_compromise adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_miutf8_for_miint8_compromise():$/;" f +test_mixed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mixed(self):$/;" m class:TestLexsort +test_mixed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_mixed(self):$/;" m class:TestStringCompare +test_mixed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_mixed(self):$/;" m class:TestChoose +test_mixedmode adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_mixedmode():$/;" f +test_mixedsamplesraises adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_mixedsamplesraises():$/;" f +test_mixedsubplots adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_mixedsubplots():$/;" f +test_mixed_arithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mixed_arithmetic(self):$/;" m class:TestMaskedArrayArithmetic +test_mixed_collection adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_mixed_collection():$/;" f +test_mixed_dtypes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_mixed_dtypes(self):$/;" m class:TestBlockDiag +test_mixed_nan_inputs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_mixed_nan_inputs(self):$/;" m class:TestFactorialFunctions +test_mixed_objects adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mixed_objects(self):$/;" m class:TestMode +test_mixed_requires_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_mixed_requires_grad(self):$/;" m class:DistAutogradTest +test_mixed_string_unicode_array_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_mixed_string_unicode_array_creation(self):$/;" m class:TestRegression +test_mixed_tabs_spaces_indentation adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_mixed_tabs_spaces_indentation(self):$/;" m class:IndentationTest +test_mixed_threads_processes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def test_mixed_threads_processes(x):$/;" f +test_mixed_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_mixed_type(self):$/;" m class:TestConcatenator +test_mixed_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_mixed_types(self):$/;" m class:TestPower +test_mixed_type_exception adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_mixed_type_exception(self, ax, plotter, xdata):$/;" m class:TestPlotTypes +test_mixed_type_update_exception adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_mixed_type_update_exception(self, ax, plotter, xdata):$/;" m class:TestPlotTypes +test_mjci adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_mjci():$/;" f +TEST_MKL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_MKL = torch.backends.mkl.is_available()$/;" v +test_mkl_alexnet_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def test_mkl_alexnet_rewrite(self):$/;" m class:MKLRewriteTest +test_mkl_concat adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_concat_op_test.py /^ def test_mkl_concat($/;" m class:MKLConcatTest +test_mkl_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_conv_op_test.py /^ def test_mkl_convolution(self, stride, pad, kernel, size,$/;" m class:MKLConvTest +test_mkl_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_conv_op_test.py /^ def test_mkl_convolution(self, stride, pad, kernel, size,$/;" m class:MKLConvTest +test_mkl_copy adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^ def test_mkl_copy(self,$/;" m class:MKCopyTest +test_mkl_elementwise_add adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_add_op_test.py /^ def test_mkl_elementwise_add(self,$/;" m class:MKLElementwiseAddTest +test_mkl_elementwise_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_elementwise_sum_op_test.py /^ def test_mkl_elementwise_sum(self,$/;" m class:MKLElementwiseSumTest +test_mkl_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fc_op_test.py /^ def test_mkl_fc(self,n, m, k, gc, dc):$/;" m class:MKLFcTest +test_mkl_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_fill_op_test.py /^ def test_mkl_fill(self, n, c, h, w, filler, seed, gc, dc):$/;" m class:MKLFillTest +test_mkl_LRN adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_LRN_op_test.py /^ def test_mkl_LRN(self, input_channels,$/;" m class:MKLLRNTest +test_mkl_multi_output_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def test_mkl_multi_output_rewrite(self):$/;" m class:MKLRewriteTest +test_mkl_pooling adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_pool_op_test.py /^ def test_mkl_pooling(self, stride, pad, kernel, size,$/;" m class:MKLPoolTest +test_mkl_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_relu_op_test.py /^ def test_mkl_relu(self, size, input_channels, batch_size, inplace, gc, dc):$/;" m class:MKLReluTest +test_mkl_resnet_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def test_mkl_resnet_rewrite(self):$/;" m class:MKLRewriteTest +test_mkl_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sigmoid_op_test.py /^ def test_mkl_sigmoid(self, n, m, inplace, gc, dc):$/;" m class:MKLSigmoidTest +test_mkl_simple_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/rewrite_graph_test.py /^ def test_mkl_simple_rewrite(self, gen):$/;" m class:MKLRewriteTest +test_mkl_squeeze adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_squeeze_op_test.py /^ def test_mkl_squeeze(self, squeeze_dims, inplace, gc, dc):$/;" m class:MKLSqueezeTest +test_mkl_zero_copy adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_copy_op_test.py /^ def test_mkl_zero_copy(self, n):$/;" m class:MKCopyTest +test_mlab_linkage_conversion_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_mlab_linkage_conversion_empty(self):$/;" m class:TestMLabLinkageConversion +test_mlab_linkage_conversion_multiple_rows adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_mlab_linkage_conversion_multiple_rows(self):$/;" m class:TestMLabLinkageConversion +test_mlab_linkage_conversion_single_row adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_mlab_linkage_conversion_single_row(self):$/;" m class:TestMLabLinkageConversion +test_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mle(self):$/;" m class:TestBoxcoxNormmax +test_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mle(self):$/;" m class:TestYeojohnsonNormmax +test_mls_inputs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_max_len_seq.py /^ def test_mls_inputs(self):$/;" m class:TestMLS +test_mls_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_max_len_seq.py /^ def test_mls_output(self):$/;" m class:TestMLS +test_mmap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_mmap(self):$/;" m class:RoundtripTest +test_mmaps_segfault adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_mmaps_segfault():$/;" f +test_mmap_offset_greater_than_allocation_granularity adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_mmap_offset_greater_than_allocation_granularity(self):$/;" m class:TestMemmap +test_mmio_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_mmio_read(self):$/;" m class:TestPaths +test_mmio_write adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_mmio_write(self):$/;" m class:TestPaths +test_mnasnet0_5 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_mnasnet0_5(self):$/;" m class:Test_PT_ONNX_TRT +test_mnasnet1_0 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_mnasnet1_0(self):$/;" m class:Test_PT_ONNX_TRT +test_mobilenet_v2 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_mobilenet_v2(self):$/;" m class:Test_PT_ONNX_TRT +test_mobile_exporter adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/mobile_exporter_test.py /^ def test_mobile_exporter(self):$/;" m class:TestMobileExporter +test_mobile_exporter_datatypes adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/mobile_exporter_test.py /^ def test_mobile_exporter_datatypes(self):$/;" m class:TestMobileExporter +test_mod adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^ def test_mod($/;" m class:TestMod +test_mod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_mod(self):$/;" m class:TestOperations +test_mod adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mod(self):$/;" m class:TestMaskedArrayArithmetic +test_mod adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_mod(Poly):$/;" f +test_mode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_mode(self):$/;" m class:TestFileProperty +test_mode adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_mode(self):$/;" m class:TestMoments +test_model_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_model_helper(self):$/;" m class:BrewTest +test_model_interleaved_eval_same_as_direct_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_model_interleaved_eval_same_as_direct_eval($/;" m class:TestDistributionStrategyWithDatasets +test_model_outside_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_model_outside_scope(self, distribution):$/;" m class:TestDistributionStrategyValidation +test_modes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_modes(self, size, h_len, mode, dtype):$/;" m class:TestUpfirdn +test_mode_all_but_economic adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_mode_all_but_economic(self):$/;" m class:TestQR +test_mode_attr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_mode_attr(self):$/;" m class:TestModes +test_mode_external adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_mode_external(self):$/;" m class:TestModes +test_mode_modifies_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_mode_modifies_input(self):$/;" m class:TestMoments +test_mode_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mode_nan(self):$/;" m class:TestMode +test_mode_raw adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_mode_raw(self):$/;" m class:TestQR +test_mode_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mode_result_attributes(self):$/;" m class:TestMode +test_modfresnelm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_modfresnelm(self):$/;" m class:TestCephes +test_modfresnelm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_modfresnelm(self):$/;" m class:TestFresnelIntegral +test_modfresnelp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_modfresnelp(self):$/;" m class:TestCephes +test_modfresnelp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_modfresnelp(self):$/;" m class:TestFresnelIntegral +test_modifies_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_modifies_input():$/;" f +test_modify adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_modify(self):$/;" m class:TestEmpty +test_modify_mesh adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_modify_mesh():$/;" f +test_modular_power adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_modular_power(self):$/;" m class:TestPower +test_module adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_crackfortran.py /^ def test_module(self):$/;" m class:TestNoSpace +test_module adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_module(self):$/;" m class:TestMakeTupleBunch +test_modules_importable adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_import_cycles.py /^def test_modules_importable():$/;" f +test_module_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_module_suffix(self):$/;" m class:PrefixSuffixTest +test_modulus_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_modulus_basic(self):$/;" m class:TestModulus +test_mollweide_forward_inverse_closure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_mollweide_forward_inverse_closure():$/;" f +test_mollweide_grid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_mollweide_grid():$/;" f +test_mollweide_inverse_forward_closure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_mollweide_inverse_forward_closure():$/;" f +test_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moment(self):$/;" m class:TestExpect +test_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_moment(self):$/;" m class:TestCompareWithStats +test_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_moment(self):$/;" m class:TestMoments +test_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_moment(self):$/;" m class:TestMoments +test_moments adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/moments_op_test.py /^ def test_moments(self, X, keepdims, num_axes, gc, dc):$/;" m class:TestMomentsOp +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_moments(distname, arg, normalization_ok, higher_ok, is_xfailing):$/;" f +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def test_moments(distname, arg):$/;" f +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments(self):$/;" m class:TestExponNorm +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments(self):$/;" m class:TestMielke +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments(self):$/;" m class:TestSkewNorm +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments(self):$/;" m class:TestTruncnorm +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments(self):$/;" m class:TestZipf +test_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_moments(self):$/;" m class:TestMatrixNormal +test_moments_and_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments_and_entropy(self):$/;" m class:TestTrapezoid +test_moments_normal_distribution adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_moments_normal_distribution(self):$/;" m class:TestKstat +test_moments_t adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_moments_t():$/;" f +test_moments_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_moments_warnings(self):$/;" m class:TestF +test_MomentumSGDUpdate adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/moment_sgd_op_test.py /^ def test_MomentumSGDUpdate(self, n, nesterov, gc, dc):$/;" m class:TestMomentumSGDUpdateOps +test_momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_momentum_sgd($/;" m class:TestOperators +test_momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def test_momentum_sgd(self, n, nesterov, gc, dc):$/;" m class:TestMomentumSGD +test_moment_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_moment_accuracy(self):$/;" m class:TestMoments +test_moment_matching adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^def test_moment_matching($/;" f +test_moment_propagate_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_moment_propagate_nan(self):$/;" m class:TestMoments +test_monotone adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_monotone(self):$/;" m class:TestPCHIP +test_monotonic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_monotonic(self):$/;" m class:TestDigitize +test_monotonic_basin_hopping adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_monotonic_basin_hopping(self):$/;" m class:TestBasinHopping +test_month_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_month_attribute(self):$/;" m class:TestDateAttribute +test_month_truncation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_month_truncation(self):$/;" m class:TestDateTime +test_mood adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood(self):$/;" m class:TestMood +test_mood_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood_2d(self):$/;" m class:TestMood +test_mood_3d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood_3d(self):$/;" m class:TestMood +test_mood_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood_bad_arg(self):$/;" m class:TestMood +test_mood_order_of_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood_order_of_args(self):$/;" m class:TestMood +test_mood_with_axis_none adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_mood_with_axis_none(self):$/;" m class:TestMood +test_more_args_than_pos_parameter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_more_args_than_pos_parameter():$/;" f +test_more_barycentric_transforms adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_more_barycentric_transforms(self):$/;" m class:TestUtilities +test_more_basic_examples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_more_basic_examples(self):$/;" m class:TestCorrPearsonr +test_more_mixed_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_more_mixed_type(self):$/;" m class:TestConcatenator +test_more_step_and_impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_more_step_and_impulse(self):$/;" m class:TestDLTI +test_more_than_needed_args_are_specified adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_more_than_needed_args_are_specified(self):$/;" m class:JitRpcOpTest +test_morlet adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_morlet(self):$/;" m class:TestWavelets +test_morlet2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_morlet2(self):$/;" m class:TestWavelets +test_morphological_gradient01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_morphological_gradient01(self):$/;" m class:TestNdimageMorphology +test_morphological_gradient02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_morphological_gradient02(self):$/;" m class:TestNdimageMorphology +test_morphological_laplace01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_morphological_laplace01(self):$/;" m class:TestNdimageMorphology +test_morphological_laplace02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_morphological_laplace02(self):$/;" m class:TestNdimageMorphology +test_move_conflict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_move_conflict(self):$/;" m class:TestMove +test_move_hardlink adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_move_hardlink(self):$/;" m class:TestMove +test_move_multiples adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_move_multiples(self):$/;" m class:TestMoveaxis +test_move_new_position adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_move_new_position(self):$/;" m class:TestMoveaxis +test_move_offsetlabel adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_move_offsetlabel():$/;" f +test_move_softlink adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_move_softlink(self):$/;" m class:TestMove +test_move_to_end adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_move_to_end(self):$/;" m class:TestMoveaxis +test_movie_writer_dpi_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_movie_writer_dpi_default():$/;" f +test_movie_writer_registry adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_movie_writer_registry():$/;" f +test_mpio adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_mpio(self):$/;" m class:TestDrivers +test_mpi_atomic adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_mpi_atomic(self):$/;" m class:TestDrivers +test_mquantiles_cimj adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^ def test_mquantiles_cimj(self):$/;" m class:TestQuantiles +test_mquantiles_limit_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_mquantiles_limit_keyword(self):$/;" m class:TestMquantiles +test_mrp_calc_pipeline adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_mrp_calc_pipeline():$/;" f +test_msra_fill_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_msra_fill_op(self, gc, dc):$/;" m class:TestFillerOperator +test_mu adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mu(self):$/;" m class:_TestArithmetic +test_mu0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_mu0(self):$/;" m class:TestPoisson +test_mudholkar_george adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mudholkar_george(self):$/;" m class:TestCombinePvalues +test_mudholkar_george_equal_fisher_minus_pearson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_mudholkar_george_equal_fisher_minus_pearson(self):$/;" m class:TestCombinePvalues +test_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_mul(self):$/;" m class:TestOperators +test_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_mul(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def test_mul(self, T, n, d):$/;" m class:RecurrentNetworkTest +test_mul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_mul(self):$/;" m class:TestOperations +test_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_mul(Poly):$/;" f +test_mult adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mult(self):$/;" m class:TestDOK +test_multi adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_multi(self):$/;" m class:TestArray +test_multi adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_multi(self):$/;" m class:TestODR +test_multiarray_flags_not_writable_attribute_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiarray_flags_not_writable_attribute_deletion(self):$/;" m class:TestArrayAttributeDeletion +test_multiarray_flags_writable_attribute_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiarray_flags_writable_attribute_deletion(self):$/;" m class:TestArrayAttributeDeletion +test_multiarray_not_writable_attributes_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiarray_not_writable_attributes_deletion(self):$/;" m class:TestArrayAttributeDeletion +test_multiarray_writable_attributes_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiarray_writable_attributes_deletion(self):$/;" m class:TestArrayAttributeDeletion +test_multichar_basis_func adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_multichar_basis_func(self):$/;" m class:TestLatexRepr +test_multiclass adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_multiclass($/;" m class:TestBoxWithNMSLimitOp +test_multidim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_multidim(self):$/;" m class:TestVlen +test_multidim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_multidim(self):$/;" m class:TestMultiIndexingAutomated +test_multidim adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_multidim(self):$/;" m class:TestInsert +test_multidim adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_multidim(self):$/;" m class:TestSplder +test_multidimension adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_multidimension(self):$/;" m class:TestRvDiscrete +test_multidimensional_extrafunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_multidimensional_extrafunc(self):$/;" m class:TestPiecewise +test_multidimensional_pvals adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multidimensional_pvals(self):$/;" m class:TestMultinomial +test_multidimensional_pvals adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_multidimensional_pvals(self):$/;" m class:TestMultinomial +test_multidim_byteswap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_multidim_byteswap(self):$/;" m class:TestRegression +test_multifield_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_multifield_index(self, align_flag):$/;" m class:TestRecord +test_multifield_indexing_view adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multifield_indexing_view(self):$/;" m class:TestRecord +test_multifield_view adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_multifield_view(self):$/;" m class:TestSaveTxt +test_multigammaln_array_arg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^def test_multigammaln_array_arg():$/;" f +TEST_MULTIGPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^TEST_MULTIGPU = TEST_CUDA and torch.cuda.device_count() >= 2$/;" v +test_multiindex_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_multiindex_exceptions(self):$/;" m class:TestIndexErrors +test_multiindex_titles adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiindex_titles(self):$/;" m class:TestStructured +test_multilevel_import_reads adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_multilevel_import_reads(self):$/;" m class:ScopeTest +test_multiline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_multiline():$/;" f +test_multiline adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_semicolon_split.py /^ def test_multiline(self):$/;" m class:TestMultiline +test_multiline2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_multiline2():$/;" f +test_multilinear_model adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_multilinear_model(self):$/;" m class:TestODR +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multinomial(self):$/;" m class:TestBroadcast +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multinomial(self):$/;" m class:TestRandomDist +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multinomial(self):$/;" m class:TestThread +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_multinomial(self):$/;" m class:TestRandomDist +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_multinomial(self):$/;" m class:TestThread +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_multinomial(self):$/;" m class:TestRandomDist +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_multinomial(self):$/;" m class:TestThread +test_multinomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_multinomial(self):$/;" m class:RNG +test_multioutput adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_multioutput(self):$/;" m class:TestC2D +test_multioutput adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_multioutput(self):$/;" m class:TestSS2TF +test_multipage_keep_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_multipage_keep_empty():$/;" f +test_multipage_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_multipage_metadata(monkeypatch):$/;" f +test_multipage_pagecount adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_multipage_pagecount():$/;" f +test_multipage_properfinalize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_multipage_properfinalize():$/;" f +test_multiple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_multiple(self):$/;" m class:TestLibver +test_multiple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_multiple(self):$/;" m class:TestNewLibver +test_multiple_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_multiple_arrays(self):$/;" m class:TestSavezLoad +test_multiple_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_multiple_assign(self):$/;" m class:TestRegression +test_multiple_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_multiple_axes(self):$/;" m class:TestFlip +test_multiple_backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_multiple_backward(self):$/;" m class:DistAutogradTest +test_multiple_backward_with_errors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_multiple_backward_with_errors(self):$/;" m class:DistAutogradTest +test_multiple_constraint_objects adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_multiple_constraint_objects(self):$/;" m class:TestNewToOld +test_multiple_definition adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^ def test_multiple_definition(self):$/;" m class:TestNetPrinter +test_multiple_edges adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_conversions.py /^def test_multiple_edges():$/;" f +test_multiple_ellipsis_slicing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_multiple_ellipsis_slicing(self):$/;" m class:_TestSlicing +test_multiple_entry_calls adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_multiple_entry_calls(self):$/;" m class:TestDirichlet +test_multiple_fieldnames adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_pathological.py /^def test_multiple_fieldnames():$/;" f +test_multiple_field_name_occurrence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiple_field_name_occurrence(self):$/;" m class:TestRecord +test_multiple_field_name_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_multiple_field_name_unicode(self):$/;" m class:TestRecord +test_multiple_keys adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_multiple_keys():$/;" f +test_multiple_modes adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes():$/;" f +test_multiple_modes_gaussian_gradient_magnitude adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_gaussian_gradient_magnitude():$/;" f +test_multiple_modes_gaussian_laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_gaussian_laplace():$/;" f +test_multiple_modes_laplace adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_laplace():$/;" f +test_multiple_modes_prewitt adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_prewitt():$/;" f +test_multiple_modes_sequentially adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_sequentially():$/;" f +test_multiple_modes_sobel adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_sobel():$/;" f +test_multiple_modes_uniform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_multiple_modes_uniform():$/;" f +test_multiple_open adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_multiple_open():$/;" f +test_multiple_optimizers adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def test_multiple_optimizers(self):$/;" m class:TestMultiOptimizers +test_multiple_percentiles adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_multiple_percentiles(self):$/;" m class:TestNanFunctions_Percentile +test_multiple_radius adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_multiple_radius(self):$/;" m class:count_neighbors_consistency +test_multiple_rhs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_multiple_rhs(self):$/;" m class:TestInterp +test_multiple_rhs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_multiple_rhs(self):$/;" m class:TestLSQ +test_multiple_rhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_multiple_rhs(self):$/;" m class:TestSolve +test_multiple_rhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_multiple_rhs():$/;" f +test_multiplication_stability adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_multiplication_stability():$/;" f +test_multipoint_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_multipoint_2d(self):$/;" m class:TestGriddata +test_multiprocess adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^def test_multiprocess(func):$/;" f +test_multithreaded_evaluation adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_multithreaded_evaluation(self, x, n, w):$/;" m class:PythonOpTest +test_multithreaded_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_multithreaded_sharing():$/;" f +test_multivalue_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_multivalue_2d(self):$/;" m class:TestGriddata +test_multivariate_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multivariate_normal(self, method):$/;" m class:TestRandomDist +test_multivariate_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_multivariate_normal(self):$/;" m class:TestRandomDist +test_multivariate_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_multivariate_normal(self):$/;" m class:TestRandomDist +test_multivariate_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_multivariate_normal(self):$/;" m class:RNG +test_multivariate_normal_basic_stats adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_multivariate_normal_basic_stats(self, method):$/;" m class:TestRandomDist +test_multivariate_normal_dimensions_mismatch adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^def test_multivariate_normal_dimensions_mismatch():$/;" f +test_multivariate_normal_rvs_zero_covariance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_multivariate_normal_rvs_zero_covariance(self):$/;" m class:TestMultivariateNormal +test_multivariate_normal_size_types adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_multivariate_normal_size_types(self):$/;" m class:TestRegression +test_multivariate_normal_size_types adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_multivariate_normal_size_types(self):$/;" m class:TestRegression +test_multivariate_normal_size_types adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_multivariate_normal_size_types(self):$/;" m class:TestRegression +test_multi_builtin_remote_ret adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_multi_builtin_remote_ret(self):$/;" m class:RpcTest +test_multi_class adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_class(self):$/;" m class:BaseDNNClassifierTrainTest +test_multi_class adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_class(self):$/;" m class:BaseDNNClassifierTrainTest +test_multi_classes_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_evaluation_batch(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_evaluation_batch(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_evaluation_for_simple_data(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_evaluation_for_simple_data(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_evaluation_weights(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_evaluation_weights(self):$/;" m class:BaseLinearClassifierEvaluationTest +test_multi_classes_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_input_fn_from_parse_example(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_classes_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_input_fn_from_parse_example(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_classes_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_numpy_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_classes_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_numpy_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_classes_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_multi_classes_pandas_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_classes_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_multi_classes_pandas_input_fn(self):$/;" m class:BaseLinearClassifierIntegrationTest +test_multi_class_accuracy adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_multi_class_accuracy(self, prediction, labels, gc, dc):$/;" m class:TestOperators +test_multi_color_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_multi_color_hatch():$/;" f +test_multi_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^ def test_multi_decoder(self):$/;" m class:Seq2SeqBeamSearchTest +test_multi_device_bn_net_lvl_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_multi_device_bn_net_lvl_cpu(self, seed, batch_size):$/;" m class:DataParallelModelTest +test_multi_device_bn_net_lvl_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_multi_device_bn_net_lvl_gpu(self, seed, batch_size):$/;" m class:DataParallelModelTest +test_multi_device_bn_op_level_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_multi_device_bn_op_level_cpu(self, seed, batch_size):$/;" m class:DataParallelModelTest +test_multi_device_bn_op_level_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_multi_device_bn_op_level_gpu(self, seed, batch_size):$/;" m class:DataParallelModelTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorPredictTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorTrainTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorPredictTest +test_multi_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim(self):$/;" m class:BaseDNNRegressorTrainTest +test_multi_dim_input_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_input_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_input_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_input_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_input_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_input_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_input_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_input_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_input_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_input_one_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_input_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_input_one_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_input_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_input_one_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_input_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_input_one_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_dim_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_weights(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_multi_dim_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_weights(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_multi_dim_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_weights(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_multi_dim_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_weights(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_multi_dim_with_3_classes_and_label_vocab adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_with_3_classes_and_label_vocab(self):$/;" m class:BaseDNNClassifierPredictTest +test_multi_dim_with_3_classes_and_label_vocab adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_with_3_classes_and_label_vocab(self):$/;" m class:BaseDNNClassifierPredictTest +test_multi_dim_with_3_classes_but_no_label_vocab adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_dim_with_3_classes_but_no_label_vocab(self):$/;" m class:BaseDNNClassifierPredictTest +test_multi_dim_with_3_classes_but_no_label_vocab adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_dim_with_3_classes_but_no_label_vocab(self):$/;" m class:BaseDNNClassifierPredictTest +test_multi_example_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_example_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_example_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_example_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_example_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_example_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_example_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_example_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_feature_column_mix_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_feature_column_mix_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_feature_column_mix_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_feature_column_mix_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_feature_column_mix_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_feature_column_mix_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_feature_column_mix_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_feature_column_mix_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_feature_column_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_feature_column_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_feature_column_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_multi_feature_column_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_feature_column_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_feature_column_multi_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_multi_feature_column_multi_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_multi_feature_column_multi_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_multi_instance adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_multi_instance(self):$/;" m class:TestNetBuilder +test_multi_instance_python_op adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_multi_instance_python_op(self):$/;" m class:TestNetBuilder +test_multi_layer_nested_async_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_multi_layer_nested_async_rpc(self):$/;" m class:RpcTest +test_multi_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_multi_lstm($/;" m class:RNNCellTest +test_multi_ls_minimizer adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_multi_ls_minimizer(self, method, atol):$/;" m class:TestDualAnnealing +test_multi_predictions_params_from_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def test_multi_predictions_params_from_arg(self, n, gc, dc):$/;" m class:TestPiecewiseLinearTransform +test_multi_predictions_params_from_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def test_multi_predictions_params_from_input(self, n, gc, dc):$/;" m class:TestPiecewiseLinearTransform +test_multi_py_udf_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_multi_py_udf_remote(self):$/;" m class:RpcTest +test_multi_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_multi_rpc(self):$/;" m class:RpcTest +test_multi_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_multi_shape(self):$/;" m class:TestBPoly +test_multi_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_multi_shape(self):$/;" m class:TestPPoly +test_mul_64_64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_mul_64_64():$/;" f +test_mul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_mul_scalar(self):$/;" m class:_TestCommon +test_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_munp(self):$/;" m class:TestHistogram +test_mutable_window adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_mutable_window(self, padtype):$/;" m class:TestResample +test_mutate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_mutate(self):$/;" m class:TestRecord +test_mutation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_mutation(self):$/;" m class:SharedNanFunctionsTestsMixin +test_mutation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_mutation(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_mutation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_mutation(self):$/;" m class:TestNanFunctions_Median +test_mutation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_mutation(self):$/;" m class:TestNanFunctions_MinMax +test_mutation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_mutation(self):$/;" m class:TestNanFunctions_Percentile +test_mvoid_getitem adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mvoid_getitem(self):$/;" m class:TestMaskedArray +test_mvoid_iter adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mvoid_iter(self):$/;" m class:TestMaskedArray +test_mvoid_multidim_print adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mvoid_multidim_print(self):$/;" m class:TestMaskedArray +test_mvoid_print adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_mvoid_print(self):$/;" m class:TestMaskedArray +test_mvt_with_df_one_is_cauchy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mvt_with_df_one_is_cauchy(self):$/;" m class:TestMultivariateT +test_mvt_with_high_df_is_approx_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mvt_with_high_df_is_approx_normal(self):$/;" m class:TestMultivariateT +test_mvt_with_inf_df_calls_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_mvt_with_inf_df_calls_normal(self, mock):$/;" m class:TestMultivariateT +test_Mx1_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_1_col(self):$/;" m class:BaseQRinsert +test_Mx1_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_1_row(self):$/;" m class:BaseQRdelete +test_Mx1_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_1_row(self):$/;" m class:BaseQRinsert +test_Mx1_economic_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_1_col(self):$/;" m class:BaseQRinsert +test_Mx1_economic_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_1_row(self):$/;" m class:BaseQRdelete +test_Mx1_economic_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_1_row(self):$/;" m class:BaseQRinsert +test_Mx1_economic_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_p_col(self):$/;" m class:BaseQRinsert +test_Mx1_economic_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_p_row(self):$/;" m class:BaseQRdelete +test_Mx1_economic_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_p_row(self):$/;" m class:BaseQRinsert +test_Mx1_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_rank_1(self):$/;" m class:BaseQRupdate +test_Mx1_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_economic_rank_p(self):$/;" m class:BaseQRupdate +test_Mx1_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_p_col(self):$/;" m class:BaseQRinsert +test_Mx1_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_p_row(self):$/;" m class:BaseQRdelete +test_Mx1_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_p_row(self):$/;" m class:BaseQRinsert +test_Mx1_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_rank_1(self):$/;" m class:BaseQRupdate +test_Mx1_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_Mx1_rank_p(self):$/;" m class:BaseQRupdate +test_my_script_module_with_rrefs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_my_script_module_with_rrefs(self):$/;" m class:RRefTypingTest +test_m_eq_n_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_eq_n_sparse(self):$/;" m class:RRCommonTests +test_m_gt_n adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_gt_n(self):$/;" m class:RRCommonTests +test_m_gt_n_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_gt_n_rank_deficient(self):$/;" m class:RRCommonTests +test_m_gt_n_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_gt_n_sparse(self):$/;" m class:RRCommonTests +test_m_less_n_not_supported adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_m_less_n_not_supported(self):$/;" m class:TestLM +test_m_lt_n_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_lt_n_rank_deficient(self):$/;" m class:RRCommonTests +test_m_lt_n_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_m_lt_n_sparse(self):$/;" m class:RRCommonTests +test_m_nearest adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_m_nearest(self):$/;" m class:ConsistencyTests +test_m_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_m_zero(self):$/;" m class:TestPdtr +test_m_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_m_zero(self):$/;" m class:TestPdtrc +test_n adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_n(self):$/;" m class:TestDiff +test_nag adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_nag(self):$/;" m class:TestPCHIP +test_nag_example_f07vef_f07vsf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_nag_example_f07vef_f07vsf(self, dtype):$/;" m class:TestTbtrs +test_named adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_named(self):$/;" m class:TestCreate +test_named adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_named(self):$/;" m class:TestCreateNamedType +test_namedtuple_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_namedtuple_attributes(self):$/;" m class:TestKSOneSample +test_namedtuple_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_namedtuple_attributes(self):$/;" m class:TestKSTest +test_namedtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def test_namedtype(self):$/;" m class:TestRepr +test_named_argument_initialization adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_named_argument_initialization(self):$/;" m class:TestRegression +test_named_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_named_arrays(self):$/;" m class:TestSavezLoad +test_named_colors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_named_colors(self):$/;" m class:TestVoxels +test_names adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_names(self):$/;" m class:TestEppsSingleton +test_names_and_comments_none adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_names_and_comments_none(self):$/;" m class:TestFromTxt +test_names_are_undersood_by_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_names_are_undersood_by_dtype(self, t):$/;" m class:TestScalarTypeNames +test_names_are_unique adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_names_are_unique(self):$/;" m class:TestScalarTypeNames +test_names_auto_completion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_names_auto_completion(self):$/;" m class:TestFromTxt +test_names_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_names_overwrite(self):$/;" m class:TestFromTxt +test_names_reflect_attributes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_names_reflect_attributes(self, t):$/;" m class:TestScalarTypeNames +test_names_with_usecols_bug1636 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_names_with_usecols_bug1636(self):$/;" m class:TestFromTxt +test_nametuples_agree adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_nametuples_agree(self):$/;" m class:TestCompareWithStats +test_name_and_docstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_name_and_docstring(self):$/;" m class:TestArrayFunctionDispatch +test_name_dtype_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_name_dtype_subclass(self):$/;" m class:TestDtypeAttributes +test_name_scope adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_name_scope(self):$/;" m class:Jax2TfTest +test_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_nan(self):$/;" m class:TestClip +test_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_nan(self):$/;" m class:TestIO +test_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan():$/;" f member:TestSign.test_sign_dtype_nan_object file: +test_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan(self):$/;" m class:TestLogAddExp +test_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan(self):$/;" m class:TestLogAddExp2 +test_nan adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_nan(self):$/;" m class:TestCond +test_nan adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_nan(self):$/;" m class:TestMedian +test_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan(self):$/;" m class:TestArrayAlmostEqual +test_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan(self):$/;" m class:TestULP +test_nan adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_nan(self):$/;" m class:TestBSpline +test_nan adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_nan(self):$/;" m class:TestUnivariateSpline +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_nan(self, x, sigma, gamma):$/;" m class:TestVoigtProfile +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestKolmogi +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestKolmogorov +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestKolmogp +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestSmirnov +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestSmirnovi +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_nan(self):$/;" m class:TestSmirnovp +test_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logit.py /^ def test_nan(self):$/;" m class:TestLogit +test_nanargmax adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanargmax(self):$/;" m class:TestNanFunctions_IntTypes +test_nanargmin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanargmin(self):$/;" m class:TestNanFunctions_IntTypes +test_nancumprod adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nancumprod(self):$/;" m class:TestNanFunctions_IntTypes +test_nancumsum adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nancumsum(self):$/;" m class:TestNanFunctions_IntTypes +test_nanfunctions_matrices adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_nanfunctions_matrices():$/;" f +test_nanfunctions_matrices_general adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_nanfunctions_matrices_general():$/;" f +test_nanmax adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanmax(self):$/;" m class:TestNanFunctions_IntTypes +test_nanmean adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanmean(self):$/;" m class:TestNanFunctions_IntTypes +test_nanmin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanmin(self):$/;" m class:TestNanFunctions_IntTypes +test_nanpolicy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nanpolicy(self):$/;" m class:TestIQR +test_nanprod adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanprod(self):$/;" m class:TestNanFunctions_IntTypes +test_nans adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_nans(self):$/;" m class:TestGCROTMK +test_nans adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_nans(self):$/;" m class:TestLGMRES +test_nans adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_owens_t.py /^def test_nans():$/;" f +test_nanscatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_nanscatter():$/;" f +test_nanstd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanstd(self):$/;" m class:TestNanFunctions_IntTypes +test_nansum adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nansum(self):$/;" m class:TestNanFunctions_IntTypes +test_nansum_with_boolean adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_nansum_with_boolean(self):$/;" m class:TestRegression +test_nans_infs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_nans_infs(self):$/;" m class:TestHalf +test_nanvar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_nanvar(self):$/;" m class:TestNanFunctions_IntTypes +test_nan_any adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan_any(self):$/;" m class:TestArctan2SpecialValues +test_nan_arguments_gh_issue_1362 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_nan_arguments_gh_issue_1362():$/;" f +test_nan_array adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_array(self):$/;" m class:TestApproxEqual +test_nan_array adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_array(self):$/;" m class:TestArrayEqual +test_nan_bar_values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_nan_bar_values():$/;" f +test_nan_behavior adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nan_behavior(self):$/;" m class:TestMedian +test_nan_behavior adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nan_behavior(self):$/;" m class:TestPercentile +test_nan_behavior adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_nan_behavior(self):$/;" m class:TestMedian +test_nan_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_nan_check(self, m, n, o, nans, gc, dc):$/;" m class:TestUtilityOps +test_NaN_handling adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_NaN_handling(self):$/;" m class:TestCurveFit +test_nan_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_nan_inf(self):$/;" m class:TestArrayRepr +test_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_nan_inf():$/;" f +test_nan_inf_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_nan_inf_float(tp):$/;" f +test_nan_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_input(self):$/;" m class:TestKstat +test_nan_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_input(self):$/;" m class:TestKstatVar +test_nan_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_input(self):$/;" m class:TestShapiro +test_nan_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_input(self):$/;" m class:TestRegression +test_nan_inputs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nan_inputs(self, x, exact):$/;" m class:TestFactorialFunctions +test_nan_inputs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_nan_inputs(self, a, b, x):$/;" m class:TestHyp1f1 +test_nan_inputs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_nan_inputs(self, a, b, x):$/;" m class:TestHyperu +test_nan_inputs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^def test_nan_inputs(func):$/;" f +test_nan_isolated_points adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_nan_isolated_points():$/;" f +test_nan_is_sorted adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_nan_is_sorted():$/;" f +test_nan_item adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_item(self):$/;" m class:TestAlmostEqual +test_nan_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_items(self):$/;" m class:TestApproxEqual +test_nan_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_items(self):$/;" m class:TestEqual +test_nan_noncompare adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_noncompare(self):$/;" m class:TestArrayAssertLess +test_nan_noncompare_array adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nan_noncompare_array(self):$/;" m class:TestArrayAssertLess +test_nan_omit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_omit(self, test_func, expected):$/;" m class:TestCircFuncs +test_nan_omit_all adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_omit_all(self, test_func):$/;" m class:TestCircFuncs +test_nan_omit_all_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_omit_all_axis(self, test_func):$/;" m class:TestCircFuncs +test_nan_omit_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_omit_array(self, test_func, expected):$/;" m class:TestCircFuncs +test_nan_outputs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan_outputs(self):$/;" m class:TestHypotSpecialValues +test_nan_outputs2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_nan_outputs2(self):$/;" m class:TestHypotSpecialValues +test_nan_overlap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_nan_overlap():$/;" f +test_nan_policies adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policies(self):$/;" m class:TestCorrSpearmanr2 +test_nan_policy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy(self):$/;" m class:TestCorrSpearmanr +test_nan_policy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy(self):$/;" m class:TestKruskal +test_nan_policy_bug_12411 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy_bug_12411(self):$/;" m class:TestCorrSpearmanr +test_nan_policy_bug_12458 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy_bug_12458(self):$/;" m class:TestCorrSpearmanr +test_nan_policy_omit_with_inf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy_omit_with_inf(sef):$/;" m class:TestMedianAbsDeviation +test_nan_policy_options adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_policy_options(self):$/;" m class:TestMedianTest +test_nan_policy_with_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nan_policy_with_axis(self, nan_policy, expected):$/;" m class:TestMedianAbsDeviation +test_nan_propagate adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_propagate(self, test_func):$/;" m class:TestCircFuncs +test_nan_propagate_array adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_propagate_array(self, test_func, expected):$/;" m class:TestCircFuncs +test_nan_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_nan_raise(self, test_func, x):$/;" m class:TestCircFuncs +test_nan_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nan_raises_error(self):$/;" m class:TestExpon +test_nan_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nan_raises_error(self):$/;" m class:TestExponNorm +test_nan_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nan_raises_error(self):$/;" m class:TestNorm +test_nan_raises_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nan_raises_error(self):$/;" m class:TestUniform +test_nan_step adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_nan_step(self):$/;" m class:TestArange +test_nan_values adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_nan_values(self, method):$/;" m class:TestOptimizeScalar +test_nan_values adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_nan_values(self, method):$/;" m class:TestOptimizeSimple +test_NaN_warnings adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_NaN_warnings():$/;" f +test_native adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_byteordercodes.py /^def test_native():$/;" f +test_native_list_argument adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_native_list_argument(self):$/;" m class:TestPinv +test_native_list_argument adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_native_list_argument(self):$/;" m class:TestPinvSymmetric +test_native_list_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_native_list_arguments(self):$/;" m class:TestSolveBanded +test_native_list_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_native_list_arguments(self):$/;" m class:TestSolveCirculant +test_native_list_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_native_list_arguments(self):$/;" m class:TestSolveHBanded +test_native_list_arguments adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_native_list_arguments():$/;" f +test_native_padding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_native_padding(self):$/;" m class:TestPEP3118Dtype +test_native_padding_2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_native_padding_2(self):$/;" m class:TestPEP3118Dtype +test_native_padding_3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_native_padding_3(self):$/;" m class:TestPEP3118Dtype +test_natural_permc adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_natural_permc(self, splu_fun, rtol):$/;" m class:TestSplu +test_nat_is_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_nat_is_nan(self, nat):$/;" m class:TestUfunc +test_nat_is_not_finite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_nat_is_not_finite(self, nat):$/;" m class:TestUfunc +test_nat_is_not_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_nat_is_not_inf(self, nat):$/;" m class:TestUfunc +test_nat_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_nat_items(self):$/;" m class:TestEqual +test_NaT_propagation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_NaT_propagation(self, arr, amin, amax):$/;" m class:TestClip +test_na_writable_attributes_deletion adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/__init__.py /^def test_na_writable_attributes_deletion():$/;" f +test_nbdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nbdtr(self):$/;" m class:TestCephes +test_nbdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nbdtrc(self):$/;" m class:TestCephes +test_nbdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nbdtri(self):$/;" m class:TestCephes +test_nbdtrin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nbdtrin(self):$/;" m class:TestCephes +test_nbins_major adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_nbins_major(self, lims):$/;" m class:TestLogitLocator +test_nbytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_nbytes(self):$/;" m class:TestCache +test_nb_fun_call adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_nb_fun_call(self):$/;" m class:TestDualAnnealing +test_nb_fun_call_no_ls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_nb_fun_call_no_ls(self):$/;" m class:TestDualAnnealing +test_nccl_allgather adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_nccl_allgather(self, n, m):$/;" m class:NCCLOpsTest +test_nccl_allreduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_nccl_allreduce(self, n, m, in_place):$/;" m class:NCCLOpsTest +test_nccl_backend_bool_allgather adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_backend_bool_allgather(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_backend_bool_allreduce adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_backend_bool_allreduce(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_backend_bool_broadcast adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_backend_bool_broadcast(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_backend_bool_reduce adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_backend_bool_reduce(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_nccl_broadcast(self, n, m, root):$/;" m class:NCCLOpsTest +test_nccl_gather_object_err adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_gather_object_err(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_high_priority_stream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_nccl_high_priority_stream(self):$/;" m class:DistributedTest._DistTestBase +test_nccl_reduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_nccl_reduce(self, n, m, root, in_place):$/;" m class:NCCLOpsTest +test_nccl_reduce_scatter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_nccl_reduce_scatter(self, n, m):$/;" m class:NCCLOpsTest +test_ncfdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ncfdtr(self):$/;" m class:TestCephes +test_ncfdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ncfdtri(self):$/;" m class:TestCephes +test_ncfdtridfd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ncfdtridfd(self):$/;" m class:TestCephes +test_ncfdtridfn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ncfdtridfn(self):$/;" m class:TestCephes +test_ncfdtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ncfdtrinc(self):$/;" m class:TestCephes +test_ncf_edge_case adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncf_edge_case(df1, df2, x):$/;" f +test_ncf_variance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncf_variance():$/;" f +test_ncg adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_ncg(self):$/;" m class:CheckOptimizeParameterized +test_ncg_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_ncg_hess(self):$/;" m class:CheckOptimizeParameterized +test_ncg_hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_ncg_hessp(self):$/;" m class:CheckOptimizeParameterized +test_ncg_negative_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_ncg_negative_maxiter(self):$/;" m class:CheckOptimizeParameterized +test_nchw2nhwc adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^ def test_nchw2nhwc(self, n, c, h, w, gc, dc):$/;" m class:OrderSwitchTest +test_nchw2nhwc adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^ def test_nchw2nhwc(self, X, engine, gc, dc):$/;" m class:OrderSwitchOpsTest +test_nctdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nctdtr(self):$/;" m class:TestCephes +test_nctdtrinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nctdtrinc(self):$/;" m class:TestCephes +test_nctdtrit adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nctdtrit(self):$/;" m class:TestCephes +test_nct_inf_moments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nct_inf_moments(self):$/;" m class:TestNct +test_nct_stats_large_df_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nct_stats_large_df_values(self):$/;" m class:TestNct +test_ncx2_tails_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncx2_tails_pdf():$/;" f +test_ncx2_tails_ticket_955 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncx2_tails_ticket_955():$/;" f +test_ncx2_zero_nc adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncx2_zero_nc(method, expected):$/;" f +test_ncx2_zero_nc_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_ncx2_zero_nc_rvs():$/;" f +test_nc_parameter adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_nc_parameter(self):$/;" m class:TestNct +test_nd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nd(self, nd):$/;" m class:TestArgwhere +test_nd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nd(self):$/;" m class:TestAll +test_nd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nd(self):$/;" m class:TestAny +test_nd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nd(self):$/;" m class:TestDiff +test_nd adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_nd(self):$/;" m class:TestGrid +test_nd adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nd(self):$/;" m class:TestInterp1D +test_ndarray adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_ndarray(self):$/;" m class:TestDatasetAssignment +test_ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_ndarray(self):$/;" m class:TestGetImplementingArgs +test_ndarrayfuncs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ndarrayfuncs(self):$/;" m class:TestOptionalArgs +test_ndarray_and_duck_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_ndarray_and_duck_array(self):$/;" m class:TestGetImplementingArgs +test_ndarray_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ndarray_mask(self):$/;" m class:TestUfuncs +test_ndarray_other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ndarray_other(self):$/;" m class:TestArrayPriority +test_ndarray_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ndarray_subclass(self):$/;" m class:TestArrayPriority +test_ndarray_subclasses adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_ndarray_subclasses(self):$/;" m class:TestGetImplementingArgs +test_ndarray_subclass_and_duck_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_ndarray_subclass_and_duck_array(self):$/;" m class:TestGetImplementingArgs +test_ndarray_subclass_norm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_ndarray_subclass_norm():$/;" f +test_ndarray_support adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_ndarray_support(self):$/;" m class:TestLinsolve +test_ndenumerate_crash adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_ndenumerate_crash(self):$/;" m class:TestRegression +test_ndfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_ndfromtxt(self):$/;" m class:TestPathUsage +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:Test1DFloat +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:Test1DZeroFloat +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:Test2DFloat +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:Test2DZeroFloat +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:TestEmpty +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:TestScalarArray +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:TestScalarCompound +test_ndim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_ndim(self):$/;" m class:TestScalarFloat +test_ndim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ndim(self):$/;" m class:TestWhere +test_ndim adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_ndim(self):$/;" m class:TestTrapz +test_ndim adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_ndim(self):$/;" m class:TestNdpointer +test_ndim adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_ndim():$/;" f +test_ndim_too_high adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ndim_too_high(self):$/;" m class:TestCorrSpearmanr +test_ndindex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^def test_ndindex():$/;" f +test_ndmin_float64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ndmin_float64(self):$/;" m class:TestRegression +test_ndmin_keyword adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_ndmin_keyword(self):$/;" m class:TestLoadTxt +test_ndmin_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ndmin_order(self):$/;" m class:TestRegression +test_ndtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ndtr(self):$/;" m class:TestSystematic +test_ndtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^def test_ndtr():$/;" f +test_ndtr_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_ndtr_complex(self):$/;" m class:TestSystematic +test_nd_axis_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_0(self):$/;" m class:TestCSD +test_nd_axis_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_0(self):$/;" m class:TestPeriodogram +test_nd_axis_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_0(self):$/;" m class:TestWelch +test_nd_axis_m1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_m1(self):$/;" m class:TestCSD +test_nd_axis_m1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_m1(self):$/;" m class:TestPeriodogram +test_nd_axis_m1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nd_axis_m1(self):$/;" m class:TestWelch +test_nd_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_nd_input(self):$/;" m class:TestNormalitytests +test_nd_simplex adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_nd_simplex(self):$/;" m class:TestDelaunay +test_ne adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_ne(same_matrix):$/;" f +test_ne adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ne(self):$/;" m class:_TestCommon +test_nearest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def test_nearest(self, height_scale, width_scale, height, width,$/;" m class:TestResize +test_nearest adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_nearest(self):$/;" m class:TestPercentile +test_nearest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nearest(self):$/;" m class:TestInterp1D +test_nearest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nearest(self):$/;" m class:TestRegularGridInterpolator +test_nearest adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_nearest(self):$/;" m class:ConsistencyTests +test_nearest adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_nearest(self):$/;" m class:_Test_small +test_nearest_4d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nearest_4d(self):$/;" m class:TestInterpN +test_nearest_compare_qhull adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nearest_compare_qhull(self):$/;" m class:TestRegularGridInterpolator +test_nearest_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def test_nearest_grad(self, height_scale, width_scale, height, width,$/;" m class:TestResize +test_nearest_list_argument adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^def test_nearest_list_argument():$/;" f +test_nearest_onnx adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def test_nearest_onnx(self, height_scale, width_scale, height, width,$/;" m class:TestResize +test_nearest_onnx_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/resize_op_test.py /^ def test_nearest_onnx_grad(self, height_scale, width_scale, height, width,$/;" m class:TestResize +test_nearest_options adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^def test_nearest_options():$/;" f +test_nearest_two adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_nearest_two(self):$/;" m class:_Test_small +test_nearest_up adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nearest_up(self):$/;" m class:TestInterp1D +test_nearly_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_nearly_singular(self):$/;" m class:TestLogM +test_near_branch_cut adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_near_branch_cut(self):$/;" m class:TestExpi +test_near_constant_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_near_constant_input(self):$/;" m class:TestCorrPearsonr +test_needs_params adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^def test_needs_params():$/;" f +test_neg adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_neg(self):$/;" m class:_TestCommon +test_neg2e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_neg2e(self):$/;" m class:TestHankel +test_negative adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_negative(self, X, in_place, gc, dc):$/;" m class:TestOperators +test_negative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_negative(self):$/;" m class:TestBaseRepr +test_negative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_negative(self):$/;" m class:TestBinaryRepr +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_negative_binomial(self):$/;" m class:TestBroadcast +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_negative_binomial(self):$/;" m class:TestRandomDist +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_negative_binomial(self):$/;" m class:TestSetState +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_negative_binomial(self):$/;" m class:TestBroadcast +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_negative_binomial(self):$/;" m class:TestRandomDist +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_negative_binomial(self):$/;" m class:TestSetState +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_negative_binomial(self):$/;" m class:TestBroadcast +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_negative_binomial(self):$/;" m class:TestRandomDist +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_negative_binomial(self):$/;" m class:TestSetState +test_negative_binomial adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_negative_binomial(self):$/;" m class:RNG +test_negative_binomial_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_negative_binomial_exceptions(self):$/;" m class:TestRandomDist +test_negative_binomial_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_negative_binomial_exceptions(self):$/;" m class:TestRandomDist +test_negative_binomial_p0_exception adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_negative_binomial_p0_exception(self):$/;" m class:TestRandomDist +test_negative_cdf_bug_11186 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_negative_cdf_bug_11186(self):$/;" m class:TestPearson3 +test_negative_curvature adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_negative_curvature(self):$/;" m class:TestProjectCG +test_negative_curvature_unconstrained adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_negative_curvature_unconstrained(self):$/;" m class:TestProjectCG +test_negative_cycles adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_negative_cycles():$/;" f +test_negative_difference adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_negative_difference(self, dtype):$/;" m class:TestLinearRamp +test_negative_index_assignment adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_negative_index_assignment(self):$/;" m class:_TestGetSet +test_negative_nd_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_negative_nd_indexing(self):$/;" m class:TestRegression +test_negative_pad_width adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_negative_pad_width(self, pad_width, mode):$/;" m class:TestPadWidth +test_negative_period_ValueError adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_negative_period_ValueError(self):$/;" m class:TestVectorstrength +test_negative_rect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_negative_rect():$/;" f +test_negative_start_and_stop_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_negative_start_and_stop_index(self):$/;" m class:TestVirtualSource +test_negative_start_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_negative_start_index(self):$/;" m class:TestVirtualSource +test_negative_stop adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_negative_stop(self):$/;" m class:TestSimpleSlicing +test_negative_stop_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_negative_stop_index(self):$/;" m class:TestVirtualSource +test_negative_weights adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_negative_weights(self):$/;" m class:TestCdfDistanceValidation +test_negative_x adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_negative_x(self):$/;" m class:TestHyperu +test_negative_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_negative_zero(self):$/;" m class:TestArctan2SpecialValues +test_negative_zero adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_negative_zero(self):$/;" m class:TestEqual +test_neginf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_neginf(self):$/;" m class:TestIsfinite +test_neginf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_neginf(self):$/;" m class:TestIsinf +test_neginf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_neginf(self):$/;" m class:TestIsnan +test_neginf_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_neginf_scalar(self):$/;" m class:TestIsinf +test_negv1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv1(self):$/;" m class:TestHankel +test_negv1e adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv1e(self):$/;" m class:TestHankel +test_negv2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv2(self):$/;" m class:TestHankel +test_negv_iv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_iv(self):$/;" m class:TestBessel +test_negv_ive adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_ive(self):$/;" m class:TestBessel +test_negv_jv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_jv(self):$/;" m class:TestBessel +test_negv_jve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_jve(self):$/;" m class:TestBessel +test_negv_kv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_kv(self):$/;" m class:TestBessel +test_negv_kve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_kve(self):$/;" m class:TestBessel +test_negv_yv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_yv(self):$/;" m class:TestBessel +test_negv_yve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_negv_yve(self):$/;" m class:TestBessel +test_neg_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_neg_128():$/;" f +test_neg_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_neg_axis(self):$/;" m class:TestMedian +test_neg_axis adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_neg_axis(self):$/;" m class:TestBSpline +test_neg_k adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_k(self):$/;" m class:BaseQRdelete +test_neg_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_1_col(self):$/;" m class:BaseQRdelete +test_neg_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_1_col(self):$/;" m class:BaseQRinsert +test_neg_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_1_row(self):$/;" m class:BaseQRdelete +test_neg_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_1_row(self):$/;" m class:BaseQRinsert +test_neg_strides_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_economic_rank_1(self):$/;" m class:BaseQRupdate +test_neg_strides_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_economic_rank_p(self):$/;" m class:BaseQRupdate +test_neg_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_p_col(self):$/;" m class:BaseQRdelete +test_neg_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_p_col(self):$/;" m class:BaseQRinsert +test_neg_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_p_row(self):$/;" m class:BaseQRdelete +test_neg_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_p_row(self):$/;" m class:BaseQRinsert +test_neg_strides_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_rank_1(self):$/;" m class:BaseQRupdate +test_neg_strides_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_neg_strides_rank_p(self):$/;" m class:BaseQRupdate +test_neg_width_boundaries adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_neg_width_boundaries(self):$/;" m class:TestBinaryRepr +test_neldermead adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_neldermead(self):$/;" m class:CheckOptimizeParameterized +test_neldermead_adaptive adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_neldermead_adaptive():$/;" f +test_neldermead_initial_simplex adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_neldermead_initial_simplex(self):$/;" m class:CheckOptimizeParameterized +test_neldermead_initial_simplex_bad adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_neldermead_initial_simplex_bad(self):$/;" m class:CheckOptimizeParameterized +test_neldermead_limit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_neldermead_limit(self):$/;" m class:TestIterationLimits +test_neldermead_xatol_fatol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_neldermead_xatol_fatol():$/;" f +test_nested adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_nested(self, fig_test, fig_ref):$/;" m class:TestSubplotMosaic +test_nested adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_nested(self, block):$/;" m class:TestBlock +test_nested1_acessors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_nested1_acessors(self):$/;" m class:ReadValuesNested +test_nested1_descriptor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_nested1_descriptor(self):$/;" m class:ReadValuesNested +test_nested2_acessors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_nested2_acessors(self):$/;" m class:ReadValuesNested +test_nested2_descriptor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_nested2_descriptor(self):$/;" m class:ReadValuesNested +test_nested_backward_accumulate_grads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_nested_backward_accumulate_grads(self):$/;" m class:DistAutogradTest +test_nested_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_nested_context(self):$/;" m class:DistAutogradTest +test_nested_convert_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_nested_convert_error(self):$/;" m class:Jax2TfTest +test_nested_convert_error_non_tracer adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_nested_convert_error_non_tracer(self):$/;" m class:Jax2TfTest +test_nested_dtype_padding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_nested_dtype_padding(self):$/;" m class:TestRecord +test_nested_fields_are_records adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_nested_fields_are_records(self, nfields):$/;" m class:TestRecord +test_nested_jit adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_nested_jit(self):$/;" m class:Jax2TfTest +test_nested_ma adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_nested_ma(self):$/;" m class:TestMaskedObjectArray +test_nested_metadata adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_nested_metadata(self):$/;" m class:TestMetadata +test_nested_minimization adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_nested_minimization(self):$/;" m class:TestSLSQP +test_nested_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_nested_remote(self):$/;" m class:RpcTest +test_nested_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_nested_rpc(self):$/;" m class:RpcTest +test_nested_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_nested_rref(self):$/;" m class:RpcTest +test_nested_rref_stress adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_nested_rref_stress(self):$/;" m class:RpcTest +test_nested_tuple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_nested_tuple(self, fig_test, fig_ref):$/;" m class:TestSubplotMosaic +test_netcdf_file adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_netcdf_file(self):$/;" m class:TestPaths +test_netdef_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_netdef_simple(self):$/;" m class:TestBindings +test_network_flow adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_network_flow(self):$/;" m class:LinprogCommonTests +test_network_flow adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_network_flow(self):$/;" m class:LinprogRSTests +test_network_flow_limited_capacity adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_network_flow_limited_capacity(self):$/;" m class:LinprogCommonTests +test_net_comparison adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def test_net_comparison(self):$/;" m class:TestNetGradientChecker +test_net_conversion_and_append_net adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_net_conversion_and_append_net(self):$/;" m class:DataParallelModelTest +test_net_create adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_net_create(self, blob_name, net_name, value):$/;" m class:TestCWorkspace +test_net_execution adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_net_execution(self):$/;" m class:TestCWorkspace +test_net_gradient_checker adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_check_test.py /^ def test_net_gradient_checker(self):$/;" m class:TestNetGradientChecker +test_net_multi_use adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_net_multi_use(self):$/;" m class:TestNetBuilder +test_net_run adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_net_run(self, blob_name, net_name, value):$/;" m class:TestCWorkspace +test_net_transformer_function adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_net_transformer_function(self):$/;" m class:DataParallelModelTest +test_newaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_newaxis(self):$/;" m class:TestScalarIndexing +test_newaxis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_newaxis(self):$/;" m class:TestZeroRank +test_newton adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton(self):$/;" m class:TestBasic +test_newton_by_name adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton_by_name(self):$/;" m class:TestBasic +test_newton_collections adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton_collections(self):$/;" m class:TestBasic +test_newton_combined adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton_combined(self):$/;" m class:TestBasic +test_newton_cotes adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_newton_cotes(self):$/;" m class:TestQuadrature +test_newton_cotes2 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_newton_cotes2(self):$/;" m class:TestQuadrature +test_newton_does_not_modify_x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton_does_not_modify_x0(self):$/;" m class:TestBasic +test_newton_full_output adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_newton_full_output(self):$/;" m class:TestBasic +test_newton_integers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^ def test_newton_integers(self):$/;" m class:TestRegression +test_newton_x0_is_0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_regression.py /^ def test_newton_x0_is_0(self):$/;" m class:TestRegression +test_new_bounds_to_old adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^def test_new_bounds_to_old():$/;" f +test_new_bounds_type adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_new_bounds_type(self):$/;" m class:TestSLSQP +test_next adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_next(self):$/;" m class:TestInterp1D +test_nextafter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_nextafter():$/;" f +test_nextafterf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_nextafterf():$/;" f +test_nextafterl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_nextafterl():$/;" f +test_nextafter_0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_nextafter_0():$/;" f +test_nextafter_vs_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_nextafter_vs_spacing():$/;" f +test_next_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_next_blob(self):$/;" m class:TestAutoNaming +test_next_fast_len adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_next_fast_len(self):$/;" m class:TestNextFastLen +test_next_fast_len adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^def test_next_fast_len():$/;" f +test_ne_for_numeric adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ne_for_numeric(self, dt1, dt2, fill):$/;" m class:TestMaskedArrayArithmetic +test_ne_for_strings adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ne_for_strings(self, dt, fill):$/;" m class:TestMaskedArrayArithmetic +test_ne_on_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ne_on_structured(self):$/;" m class:TestMaskedArrayArithmetic +test_nfev_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_nfev_options(self):$/;" m class:BaseMixin +test_nfft_is_xshape adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nfft_is_xshape(self):$/;" m class:TestPeriodogram +test_nfft_too_short adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nfft_too_short(self):$/;" m class:TestCSD +test_nfft_too_short adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nfft_too_short(self):$/;" m class:TestWelch +test_NFLC adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_NFLC = LCT.test_network_flow_limited_capacity$/;" v class:RRTests +test_ngram_from_categorical_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ngram_ops_test.py /^ def test_ngram_from_categorical_op($/;" m class:TestNGramOps +test_nhwc2nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/order_switch_op_test.py /^ def test_nhwc2nchw(self, n, c, h, w, gc, dc):$/;" m class:OrderSwitchTest +test_nhwc2nchw adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/order_switch_test.py /^ def test_nhwc2nchw(self, X, engine, gc, dc):$/;" m class:OrderSwitchOpsTest +test_nhypergeom_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_nhypergeom_pmf():$/;" f +test_nhypergeom_pmfcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_nhypergeom_pmfcdf():$/;" f +test_nhypergeom_r0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_distns.py /^def test_nhypergeom_r0():$/;" f +test_nils adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_nils(self):$/;" m class:TestLogM +test_nils adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_nils(self):$/;" m class:TestSignM +test_nils_20Feb04 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_nils_20Feb04(self):$/;" m class:TestSolve +test_nist adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nist(self):$/;" m class:TestFOneWay +test_nist_norris adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_nist_norris(self):$/;" m class:TestRegression +test_niter_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_niter_zero(self):$/;" m class:TestBasinHopping +test_njev adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_njev(self):$/;" m class:TestBasinHopping +test_nnls adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nnls.py /^ def test_nnls(self):$/;" m class:TestNNLS +test_nnz_overflow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_nnz_overflow():$/;" f +test_noaxis adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_noaxis(self):$/;" m class:TestProperties +test_nocedal_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_nocedal_example(self):$/;" m class:TestEQPDirectFactorization +test_nocedal_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_nocedal_example(self):$/;" m class:TestProjectCG +test_nodata adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_nodata(self):$/;" m class:TestNoData +test_nodecorator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_nodecorator():$/;" f +test_node_compare adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_node_compare():$/;" f +test_node_interactions adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_node_interactions(self):$/;" m class:TestBindings +test_noexception adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_noexception(self):$/;" m class:TestArrayArgument +test_nofile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_nofile(self):$/;" m class:TestIO +test_noFuseNNPACKConvRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_noFuseNNPACKConvRelu(self):$/;" m class:TestTransformations +test_noise adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_noise():$/;" f +test_nomodify_gh9900_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_nomodify_gh9900_regression():$/;" f +test_nonarray_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_nonarray_assignment(self):$/;" m class:TestRegression +test_nonascii_str adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_nonascii_str():$/;" f +test_nonbaseclass_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_nonbaseclass_values(self):$/;" m class:TestIndexing +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_chisquare(self):$/;" m class:TestBroadcast +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_chisquare(self):$/;" m class:TestRandomDist +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_noncentral_chisquare(self):$/;" m class:TestBroadcast +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_noncentral_chisquare(self):$/;" m class:TestRandomDist +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_chisquare(self):$/;" m class:TestBroadcast +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_chisquare(self):$/;" m class:TestRandomDist +test_noncentral_chisquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_noncentral_chisquare(self):$/;" m class:RNG +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_f(self):$/;" m class:TestBroadcast +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_f(self):$/;" m class:TestRandomDist +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_noncentral_f(self):$/;" m class:TestBroadcast +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_noncentral_f(self):$/;" m class:TestRandomDist +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_f(self):$/;" m class:TestBroadcast +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_f(self):$/;" m class:TestRandomDist +test_noncentral_f adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_noncentral_f(self):$/;" m class:RNG +test_noncentral_f_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_f_nan(self):$/;" m class:TestRandomDist +test_noncentral_f_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_f_nan(self):$/;" m class:TestRandomDist +test_noncentral_f_small_df adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noncentral_f_small_df(self):$/;" m class:TestBroadcast +test_noncentral_f_small_df adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_noncentral_f_small_df(self):$/;" m class:TestBroadcast +test_noncentral_f_small_df adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_noncentral_f_small_df(self):$/;" m class:TestBroadcast +test_noncommutative_reduce_accumulate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_noncommutative_reduce_accumulate(self):$/;" m class:TestRegression +test_noncontig adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def test_noncontig(self, test_case, module, input):$/;" m class:ModuleTest +test_noncontiguous_fill adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_noncontiguous_fill(self):$/;" m class:TestRegression +test_noncontig_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_noncontig_inplace(self):$/;" m class:TestClip +test_nondefault_noverlap adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nondefault_noverlap(self):$/;" m class:TestCSD +test_nondefault_noverlap adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_nondefault_noverlap(self):$/;" m class:TestWelch +test_nondtype_nonscalartype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_nondtype_nonscalartype(self):$/;" m class:TestIsSubDType +test_none adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_none(self):$/;" m class:TestLibver +test_none adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_none(self):$/;" m class:TestNewLibver +test_none adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_none(self):$/;" m class:TestMedFilt +test_nonempty_tensor_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_nonempty_tensor_gradient(self):$/;" m class:TestLengthsToShapeOps +test_nonequivalent_record adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_nonequivalent_record(self):$/;" m class:TestSubarray +test_nonexistent adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_nonexistent(self):$/;" m class:TestOpen +test_nonexistent_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_nonexistent_file(self):$/;" m class:TestFileOpen +test_nonexistent_file_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_nonexistent_file_unicode(self):$/;" m class:TestUnicode +test_nonexisting adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_nonexisting(self):$/;" m class:TestDelete +test_none_as_string adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_none_as_string(self):$/;" m class:TestLoadTxt +test_none_compares_elementwise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_none_compares_elementwise(self):$/;" m class:TestArrayComparisons +test_none_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_none_index(self):$/;" m class:TestIndexing +test_none_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_none_kwargs():$/;" f +test_none_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_none_shape(self):$/;" m class:TestResize +test_none_to_nan_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_none_to_nan_cast(dtype):$/;" f +test_none_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_none_wrap(self):$/;" m class:TestSpecialMethods +test_None_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_None_x(self): # Added in GH10196$/;" m class:TestCurveFit +test_None_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_None_zorder():$/;" f +test_nonfinite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def test_nonfinite():$/;" f +test_nonfinite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_digamma.py /^def test_nonfinite():$/;" f +test_nonfinite_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_nonfinite_limits():$/;" f +test_nonfinite_pos adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_nonfinite_pos():$/;" f +test_noninstantized_bitgen adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_noninstantized_bitgen(self):$/;" m class:TestSeed +test_nonint_labels adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_nonint_labels(self):$/;" m class:Test_measurements_stats +test_nonint_offsets adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_nonint_offsets(self):$/;" m class:TestRecord +test_nonlinear_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_canonical_constraint.py /^def test_nonlinear_constraint():$/;" f +test_nonlinear_containment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_nonlinear_containment():$/;" f +test_nonlin_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_nonlin_bc():$/;" f +test_nonnative_endian_fill adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_nonnative_endian_fill(self):$/;" m class:TestRegression +test_nonnumeric_dtypes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^def test_nonnumeric_dtypes(func):$/;" f +test_nonpositive adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_nonpositive(self):$/;" m class:TestPinvSymmetric +test_nonpositive_b adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_nonpositive_b(self):$/;" m class:TestEigh +test_nonscalar_item_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_nonscalar_item_method(self):$/;" m class:TestRegression +test_nonscalar_values adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_nonscalar_values(self):$/;" m class:TestInterpN +test_nonsingular adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_nonsingular():$/;" f +test_nonsingular_nok adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_nonsingular_nok(self, okval):$/;" m class:TestLogitLocator +test_nonsingular_ok adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_nonsingular_ok(self, lims):$/;" m class:TestLogitLocator +test_nonsquare_a adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_nonsquare_a(self):$/;" m class:TestSolve +test_nonsq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_nonsq_cases(self):$/;" m class:LinalgNonsquareTestCase +test_nontrivial_problem adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem(self):$/;" m class:LinprogCommonTests +test_nontrivial_problem_with_bad_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_bad_guess(self):$/;" m class:TestAutoscaleRS +test_nontrivial_problem_with_bad_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_bad_guess(self):$/;" m class:TestLinprogRSCommon +test_nontrivial_problem_with_bounded_variables adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_bounded_variables(self):$/;" m class:TestLinprogRSCommon +test_nontrivial_problem_with_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_guess(self):$/;" m class:TestAutoscaleRS +test_nontrivial_problem_with_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_guess(self):$/;" m class:TestLinprogRSCommon +test_nontrivial_problem_with_negative_unbounded_variable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_negative_unbounded_variable(self):$/;" m class:TestLinprogRSCommon +test_nontrivial_problem_with_unbounded_variables adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_nontrivial_problem_with_unbounded_variables(self):$/;" m class:TestLinprogRSCommon +test_nonuniformimage_setcmap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_nonuniformimage_setcmap():$/;" f +test_nonuniformimage_setnorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_nonuniformimage_setnorm():$/;" f +test_nonwriteable_setfield adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_nonwriteable_setfield(self):$/;" m class:TestRecord +test_nonzero adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_nonzero(self):$/;" m class:TestUfuncs +test_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_nonzero(self):$/;" m class:_TestCommon +test_nonzero adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_nonzero(self):$/;" m class:RpcTest +test_nonzero_byteswap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_nonzero_byteswap(self):$/;" m class:TestRegression +test_nonzero_exception_safe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_exception_safe(self):$/;" m class:TestNonzero +test_nonzero_initial_time adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_nonzero_initial_time(self):$/;" m class:TestLsim +test_nonzero_invalid_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_invalid_object(self):$/;" m class:TestNonzero +test_nonzero_onedim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_onedim(self):$/;" m class:TestNonzero +test_nonzero_sideeffect_safety adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_sideeffect_safety(self):$/;" m class:TestNonzero +test_nonzero_trivial adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_trivial(self):$/;" m class:TestNonzero +test_nonzero_twodim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_twodim(self):$/;" m class:TestNonzero +test_nonzero_zerod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_nonzero_zerod(self):$/;" m class:TestNonzero +test_non_affine_caching adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_non_affine_caching():$/;" f +test_non_agg_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_non_agg_renderer(monkeypatch, recwarn):$/;" f +test_non_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_array(self):$/;" m class:TestCorrCoef +test_non_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_array(self):$/;" m class:Test_I0 +test_non_array_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_non_array_input(self):$/;" m class:TestRequire +test_non_associated_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_non_associated_error(self):$/;" m class:TestCDF2RDF +test_non_bool_deprecation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_bool_deprecation(self):$/;" m class:TestSelect +test_non_contiguous adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_non_contiguous(self):$/;" m class:TestPeakProminences +test_non_contiguous adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_non_contiguous(self):$/;" m class:TestPeakWidths +test_non_contiguous_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_non_contiguous_array(self):$/;" m class:TestPickling +test_non_contiguous_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_non_contiguous_array(mode):$/;" f +test_non_cont_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_non_cont_tensors(self):$/;" m class:RpcTest +test_non_default_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_non_default_dpi(text):$/;" f +test_non_default_loc_scale_mle_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^def test_non_default_loc_scale_mle_fit():$/;" f +test_non_dtype adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_non_dtype(self):$/;" m class:TestQuadrature +test_non_existent_method adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_non_existent_method(self):$/;" m class:TestVecString +test_non_finite_any_nan adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_any_nan(self, sc):$/;" m class:TestInterp +test_non_finite_behavior_exact_x adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_behavior_exact_x(self):$/;" m class:TestInterp +test_non_finite_errors adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_non_finite_errors():$/;" f +test_non_finite_half_inf_f adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_half_inf_f(self, sc):$/;" m class:TestInterp +test_non_finite_half_inf_x adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_half_inf_x(self, sc):$/;" m class:TestInterp +test_non_finite_half_inf_xf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_half_inf_xf(self, sc):$/;" m class:TestInterp +test_non_finite_inf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_non_finite_inf(self, sc):$/;" m class:TestInterp +test_non_finite_inputs_and_int_bins adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_binned_statistic.py /^ def test_non_finite_inputs_and_int_bins(self):$/;" m class:TestBinnedStatistic +test_non_finite_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_non_finite_scalar(self):$/;" m class:TestIsclose +test_non_garbage_collected_user_rref_due_to_local_circular_dependency adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_non_garbage_collected_user_rref_due_to_local_circular_dependency(self):$/;" m class:RpcTest +test_non_gui_warning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_non_gui_warning(monkeypatch):$/;" f +test_non_integer_argument_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_non_integer_argument_errors(self):$/;" m class:TestFloatNonIntegerArgument +test_non_integer_sequence_multiplication adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_non_integer_sequence_multiplication(self):$/;" m class:TestFloatNonIntegerArgument +test_non_int_order adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_non_int_order(self):$/;" m class:TestInterp +test_non_itemize_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemize_strides_1_col(self):$/;" m class:BaseQRdelete +test_non_itemize_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemize_strides_1_row(self):$/;" m class:BaseQRdelete +test_non_itemize_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemize_strides_p_col(self):$/;" m class:BaseQRdelete +test_non_itemize_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemize_strides_p_row(self):$/;" m class:BaseQRdelete +test_non_itemsize_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_1_col(self):$/;" m class:BaseQRinsert +test_non_itemsize_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_1_row(self):$/;" m class:BaseQRinsert +test_non_itemsize_strides_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_economic_rank_1(self):$/;" m class:BaseQRupdate +test_non_itemsize_strides_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_economic_rank_p(self):$/;" m class:BaseQRupdate +test_non_itemsize_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_p_col(self):$/;" m class:BaseQRinsert +test_non_itemsize_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_p_row(self):$/;" m class:BaseQRinsert +test_non_itemsize_strides_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_rank_1(self):$/;" m class:BaseQRupdate +test_non_itemsize_strides_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_itemsize_strides_rank_p(self):$/;" m class:BaseQRupdate +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestHstack +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestVstack +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestColumnStack +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestDsplit +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestDstack +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestHsplit +test_non_iterable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_non_iterable(self):$/;" m class:TestVsplit +test_non_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_non_masked(self):$/;" m class:TestMedian +test_non_native_byte_order_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_1_col(self):$/;" m class:BaseQRdelete +test_non_native_byte_order_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_1_col(self):$/;" m class:BaseQRinsert +test_non_native_byte_order_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_1_row(self):$/;" m class:BaseQRdelete +test_non_native_byte_order_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_1_row(self):$/;" m class:BaseQRinsert +test_non_native_byte_order_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_economic_rank_1(self):$/;" m class:BaseQRupdate +test_non_native_byte_order_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_economic_rank_p(self):$/;" m class:BaseQRupdate +test_non_native_byte_order_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_p_col(self):$/;" m class:BaseQRdelete +test_non_native_byte_order_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_p_col(self):$/;" m class:BaseQRinsert +test_non_native_byte_order_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_p_row(self):$/;" m class:BaseQRdelete +test_non_native_byte_order_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_p_row(self):$/;" m class:BaseQRinsert +test_non_native_byte_order_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_rank_1(self):$/;" m class:BaseQRupdate +test_non_native_byte_order_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_native_byte_order_rank_p(self):$/;" m class:BaseQRupdate +test_non_ndarray_args adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_non_ndarray_args(self):$/;" m class:LinprogCommonTests +test_non_ndarray_inputs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_non_ndarray_inputs():$/;" f +test_non_ndarray_with_dtype adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_non_ndarray_with_dtype(self):$/;" m class:_TestRFFTBase +test_non_ndarray_with_dtype adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_non_ndarray_with_dtype(self):$/;" m class:_TestRFFTBase +test_non_normalized adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_non_normalized(self):$/;" m class:TestTaylor +test_non_numeric adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_non_numeric(self):$/;" m class:TestEqual +test_non_numpy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_non_numpy(self):$/;" m class:TestApproxDerivativesDense +test_non_sequence_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_non_sequence_sequence(self):$/;" m class:TestCreation +test_non_simplex_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_non_simplex_data(self):$/;" m class:TestDirichlet +test_non_singular_without_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_non_singular_without_umfpack(self):$/;" m class:TestFactorized +test_non_singular_with_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_non_singular_with_umfpack(self):$/;" m class:TestFactorized +test_non_square adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_non_square():$/;" f +test_non_square adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_non_square(self):$/;" m class:TestLinsolve +test_non_square_handling adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_non_square_handling(self, arr, ind):$/;" m class:TestTensorinv +test_non_string_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_non_string_array(self):$/;" m class:TestVecString +test_non_string_fails adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_non_string_fails(self, fdata):$/;" m class:TestUnitData +test_non_string_update_fails adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_non_string_update_fails(self, fdata):$/;" m class:TestUnitData +test_non_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_non_type(self):$/;" m class:Test_sctype2char +test_non_unit_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_1_col(self):$/;" m class:BaseQRdelete +test_non_unit_strides_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_1_col(self):$/;" m class:BaseQRinsert +test_non_unit_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_1_row(self):$/;" m class:BaseQRdelete +test_non_unit_strides_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_1_row(self):$/;" m class:BaseQRinsert +test_non_unit_strides_economic_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_economic_rank_1(self):$/;" m class:BaseQRupdate +test_non_unit_strides_economic_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_economic_rank_p(self):$/;" m class:BaseQRupdate +test_non_unit_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_p_col(self):$/;" m class:BaseQRdelete +test_non_unit_strides_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_p_col(self):$/;" m class:BaseQRinsert +test_non_unit_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_p_row(self):$/;" m class:BaseQRdelete +test_non_unit_strides_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_p_row(self):$/;" m class:BaseQRinsert +test_non_unit_strides_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_rank_1(self):$/;" m class:BaseQRupdate +test_non_unit_strides_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_non_unit_strides_rank_p(self):$/;" m class:BaseQRupdate +test_non_unit_stride_2d_indexing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_non_unit_stride_2d_indexing(self):$/;" m class:_TestSlicing +test_noop_tight_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_noop_tight_bbox():$/;" f +test_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_norm(self):$/;" m class:TestExpect +test_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_norm(self):$/;" m class:TestFrozen +test_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_norm(self):$/;" m class:TestGennorm +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_normal(self):$/;" m class:TestBroadcast +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_normal(self):$/;" m class:TestRandomDist +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_normal(self):$/;" m class:TestThread +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_normal(self):$/;" m class:TestBroadcast +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_normal(self):$/;" m class:TestRandomDist +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_normal(self):$/;" m class:TestThread +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_normal(self):$/;" m class:TestBroadcast +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_normal(self):$/;" m class:TestRandomDist +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_normal(self):$/;" m class:TestThread +test_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_normal(self):$/;" m class:RNG +test_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_normal(self):$/;" m class:TestSkewNorm +test_normal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_normal(self):$/;" m class:TestAnderson +test_normalitytests adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_normalitytests():$/;" f +test_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^ def test_normalize(self, X, gc, dc):$/;" m class:TestNormalizeOp +test_Normalize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_Normalize():$/;" f +test_normalize adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_normalize(self):$/;" m class:TestLombscargle +test_normalized adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_normalized(self):$/;" m class:TestTaylor +test_normalized_matrices_unchanged adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_normalized_matrices_unchanged(self):$/;" m class:Test_abcd_normalize +test_normalizer_context adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_test.py /^ def test_normalizer_context(self):$/;" m class:TestNormalizerContext +test_normalize_kwargs_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_normalize_kwargs_fail(inp, kwargs_to_norm):$/;" f +test_normalize_kwargs_pass adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_normalize_kwargs_pass(inp, expected, kwargs_to_norm):$/;" f +test_normalize_kwarg_pie adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_normalize_kwarg_pie():$/;" f +test_normalize_kwarg_warn_pie adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_normalize_kwarg_warn_pie():$/;" f +test_normalize_L1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/normalize_op_test.py /^ def test_normalize_L1(self, X, gc, dc):$/;" m class:TestNormalizeOp +test_normaltest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_normaltest(self):$/;" m class:TestCompareWithStats +test_normaltest_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_normaltest_result_attributes(self):$/;" m class:TestNormalitytests +test_normal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_normal_0(self):$/;" m class:TestRandomDist +test_normal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_normal_0(self):$/;" m class:TestRandomDist +test_normal_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_normal_0(self):$/;" m class:TestRandomDist +test_normal_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_normal_1D(self):$/;" m class:TestMultivariateNormal +test_normal_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_normal_axes():$/;" f +test_normal_draws adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_normal_draws(self):$/;" m class:TestCorrSpearmanr2 +test_normal_floats adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_normal_floats(self):$/;" m class:RNG +test_normal_imports adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_normal_imports(self):$/;" m class:GetUnusedImportsTest +test_normal_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_normal_types(self):$/;" m class:TestComparisonDeprecations +test_normal_zig_floats adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_normal_zig_floats(self):$/;" m class:RNG +test_normed adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_normed(self):$/;" m class:TestHistogram +test_norm_delay adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_norm_delay(self):$/;" m class:TestBessel +test_norm_exceptions adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_norm_exceptions(self):$/;" m class:TestNorm +test_norm_factor adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_norm_factor(self):$/;" m class:TestBessel +test_norm_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_norm_logcdf():$/;" f +test_norm_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_norm_lstm(self):$/;" m class:RNNCellTest +test_norm_mag adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_norm_mag(self):$/;" m class:TestBessel +test_norm_milstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_norm_milstm(self):$/;" m class:RNNCellTest +test_norm_object_array adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_norm_object_array(self):$/;" m class:TestRegression +test_norm_phase adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_norm_phase(self):$/;" m class:TestBessel +test_norm_vector_badarg adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_norm_vector_badarg(self):$/;" m class:TestRegression +test_noscale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_noscale():$/;" f +test_noshink_on_creation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_noshink_on_creation(self):$/;" m class:TestMaskedArrayArithmetic +test_noshrinking adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_noshrinking(self):$/;" m class:TestMaskedArrayArithmetic +test_not adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_not(self, X, gc, dc):$/;" m class:TestElementwiseOps +test_notice adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_notice(self):$/;" m class:TestSingleFFT +test_notimplemented adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_notimplemented(self):$/;" m class:TestAlgebra +test_NotImplemented_not_returned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_NotImplemented_not_returned(self):$/;" m class:TestUfunc +test_not_a_knot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_not_a_knot(self):$/;" m class:TestInterp +test_not_closing_opened_fid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_not_closing_opened_fid(self):$/;" m class:TestSavezLoad +test_not_conjugate_pairs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_not_conjugate_pairs(self):$/;" m class:TestCDF2RDF +test_not_covering_scatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_not_covering_scatter():$/;" f +test_not_covering_scatter_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_not_covering_scatter_transform():$/;" f +test_not_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_not_deprecated(self):$/;" m class:BuiltInRoundComplexDType +test_not_deprecated adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_not_deprecated(self):$/;" m class:TestDTypeCoercion +test_not_enough_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_not_enough_samples(self):$/;" m class:TestAndersonKSamp +test_not_enough_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_not_enough_values(self):$/;" m class:TestShapiro +test_not_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_not_equal(self):$/;" m class:TestComparisons +test_not_equal adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_not_equal(Poly):$/;" f +test_not_equal_nan adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_not_equal_nan(self):$/;" m class:TestAssertAllclose +test_not_g77 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^ def test_not_g77(self):$/;" m class:TestG77Versions +test_not_gfortran adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_gnu.py /^ def test_not_gfortran(self):$/;" m class:TestGFortranVersions +test_not_implemented adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_not_implemented(self):$/;" m class:TestArrayFunctionDispatch +test_not_implemented adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_not_implemented(self):$/;" m class:TestArrayFunctionImplementation +test_not_last_axis_success adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_not_last_axis_success(self):$/;" m class:TestIRFFTN +test_not_last_axis_success adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_not_last_axis_success(self):$/;" m class:TestIRFFTN +test_not_lists adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_not_lists(self):$/;" m class:TestRecord +test_not_square_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_not_square_error(self):$/;" m class:TestCDF2RDF +test_not_square_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_not_square_error(self):$/;" m class:TestEig +test_novalue adpepsenv/lib/python3.8/site-packages/numpy/tests/test_reloading.py /^def test_novalue():$/;" f +test_novariance adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_novariance(self):$/;" m class:TestHistogramOptimBinNums +test_no_64 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_no_64(self, cls, method_name):$/;" m class:Test64Bit +test_no_acceleration adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_no_acceleration(self):$/;" m class:TestFixedPoint +test_no_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_no_args(self):$/;" m class:TestBlockDiag +test_no_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_no_axes(self):$/;" m class:TestFftn +test_no_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_no_axes(self):$/;" m class:TestIfftn +test_no_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_no_axes(self, func):$/;" m class:TestRfftn +test_no_block_suffix_for_single_line_statement adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_no_block_suffix_for_single_line_statement(self):$/;" m class:PrefixSuffixTest +test_no_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_no_bounds(self):$/;" m class:TestAdjustSchemeToBounds +test_no_chunks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_no_chunks(self):$/;" m class:TestCreateLike +test_no_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_no_constraints(self):$/;" m class:TestTrustRegionConstr +test_no_correction adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_no_correction(self):$/;" m class:TestTieCorrect +test_no_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_no_data(self):$/;" m class:TestGaussianKDECustom +test_no_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_no_delimiter(self):$/;" m class:TestLineSplitter +test_no_detrending adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_no_detrending(self):$/;" m class:TestCSD +test_no_detrending adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_no_detrending(self):$/;" m class:TestWelch +test_no_dgemv adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_no_dgemv(self, func, dtype):$/;" m class:TestMethods +test_no_distinct_observations adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_no_distinct_observations(self):$/;" m class:TestAndersonKSamp +test_no_doc_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_no_doc_string(self):$/;" m class:TestUfunc +test_no_double_init adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_no_double_init():$/;" f +test_no_dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_dropout_with_replacement_op_test.py /^ def test_no_dropout(self, gc, dc):$/;" m class:SparseDropoutWithReplacementTest +test_no_faulty_messages adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_no_faulty_messages(self):$/;" m class:FaultyAgentRpcTest +test_no_fpe adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_no_fpe(self):$/;" m class:TestHypotSpecialValues +test_no_grad_copy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_no_grad_copy(self):$/;" m class:DistAutogradTest +test_no_grad_copy_sparse adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_no_grad_copy_sparse(self):$/;" m class:DistAutogradTest +test_no_graph_with_tensors_not_require_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_no_graph_with_tensors_not_require_grad(self):$/;" m class:DistAutogradTest +test_no_graph_with_tensors_not_require_grad_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_no_graph_with_tensors_not_require_grad_remote(self):$/;" m class:DistAutogradTest +test_no_increase adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_no_increase(self, method):$/;" m class:TestOptimizeSimple +test_no_index adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_no_index(self):$/;" m class:TestUnitImpulse +test_no_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_no_input(self):$/;" m class:TestMeshgrid +test_no_integration adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_no_integration():$/;" f +test_no_integration_class adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_no_integration_class():$/;" f +test_no_interpolation_origin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_no_interpolation_origin():$/;" f +test_no_kwargs_are_populated_by_defaults adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_no_kwargs_are_populated_by_defaults(self):$/;" m class:JitRpcOpTest +test_no_label_replacements adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_preprocess_data.py /^def test_no_label_replacements():$/;" f +test_no_length_frames adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_no_length_frames():$/;" f +test_no_len_object_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_no_len_object_type(self):$/;" m class:TestCreation +test_no_lists adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_no_lists(self, block):$/;" m class:TestBlock +test_no_masked_nan_warnings adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_no_masked_nan_warnings(self):$/;" m class:TestUfuncs +test_no_matrix_fails adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_no_matrix_fails(self):$/;" m class:Test_abcd_normalize +test_no_metadata adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_no_metadata(self):$/;" m class:TestMetadata +test_no_modify adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_no_modify():$/;" f +test_no_name_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_no_name_arg(self):$/;" m class:TestDocstring +test_no_overwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_no_overwrite(self):$/;" m class:TestConvolve +test_no_overwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_no_overwrite(self):$/;" m class:TestCorrelate +test_no_parameter_modification adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_no_parameter_modification(self):$/;" m class:TestAllclose +test_no_parameter_modification adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_no_parameter_modification(self):$/;" m class:TestIsclose +test_no_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_no_params():$/;" f +test_no_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_no_params(self):$/;" m class:ODECheckParameterUse +test_no_postfix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_no_postfix(self):$/;" m class:TestJoinBy2 +test_no_precomputed_groups adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_no_precomputed_groups(self):$/;" m class:TestApproxDerivativeSparse +test_no_pyplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_no_pyplot():$/;" f +test_no_p_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_no_p_overwrite(self):$/;" m class:TestPercentile +test_no_p_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_no_p_overwrite(self):$/;" m class:TestQuantile +test_no_p_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_no_p_overwrite(self):$/;" m class:TestNanFunctions_Quantile +test_no_r1postfix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_no_r1postfix(self):$/;" m class:TestJoinBy2 +test_no_r2postfix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_no_r2postfix(self):$/;" m class:TestJoinBy2 +test_no_redundancy adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_no_redundancy(self):$/;" m class:RRCommonTests +test_no_seq_repeat_basic_array_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_no_seq_repeat_basic_array_like(self):$/;" m class:TestMultiply +test_no_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_no_shape(self):$/;" m class:TestMemmap +test_no_sharing_separate_cache adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_no_sharing_separate_cache(backend):$/;" f +test_no_side_effects adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_no_side_effects(self):$/;" m class:TestHistogram +test_no_target_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_no_target_model(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_no_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_no_track_order(self):$/;" m class:TestTrackOrder +test_no_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_no_track_order(self):$/;" m class:TestTrackOrder +test_no_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_no_track_order(self):$/;" m class:TestTrackOrder +test_no_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_no_tuple(self):$/;" m class:TestMultipleFields +test_no_warnings adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_no_warnings():$/;" f +test_no_warn_big_data_when_loc_specified adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_no_warn_big_data_when_loc_specified():$/;" f +test_no_wrapper adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_no_wrapper(self):$/;" m class:TestNDArrayArrayFunction +test_nper adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_nper(self):$/;" m class:TestFinancial +test_nper2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_nper2(self):$/;" m class:TestFinancial +test_npv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_npv(self):$/;" m class:TestFinancial +test_npv_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_npv_decimal(self):$/;" m class:TestFinancial +test_npv_irr_congruence adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_npv_irr_congruence(self):$/;" m class:TestFinancial +test_npymath_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_npymath_complex():$/;" f +test_npymath_real adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_npymath_real():$/;" f +test_npy_char_deprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_npy_char_deprecation(self):$/;" m class:TestNPY_CHAR +test_NPY_NO_EXPORT adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_NPY_NO_EXPORT():$/;" f +test_npy_pyarrayas1d_deprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_npy_pyarrayas1d_deprecation(self):$/;" m class:TestPyArray_AS1D +test_npy_pyarrayas2d_deprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_npy_pyarrayas2d_deprecation(self):$/;" m class:TestPyArray_AS2D +test_npy_updateifcopy_deprecation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_npy_updateifcopy_deprecation(self):$/;" m class:Test_UPDATEIFCOPY +test_npzfile_dict adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^def test_npzfile_dict():$/;" f +test_np_0d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_0d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_1d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_1d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_2d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_2d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_5d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_5d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_5d_set_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_5d_set_axes(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_5d_set_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_5d_set_shape(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_5d_set_shape_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_5d_set_shape_axes(self):$/;" m class:Test_init_nd_shape_and_axes +test_np_integers adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_np_integers(self):$/;" m class:TestNextFastLen +test_np_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_np_matrix(self):$/;" m class:BaseMixin +test_np_vs_ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_np_vs_ndarray(self):$/;" m class:TestArgmax +test_np_vs_ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_np_vs_ndarray(self):$/;" m class:TestArgmin +test_nrdtrimn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nrdtrimn(self):$/;" m class:TestCephes +test_nrdtrisd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_nrdtrisd(self):$/;" m class:TestCephes +test_nrm2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_nrm2(self):$/;" m class:TestFBLAS1Simple +test_nrows_error adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^def test_nrows_error():$/;" f +test_nslots adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_nslots(self):$/;" m class:TestCache +test_nullspace_and_least_squares_dense adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_nullspace_and_least_squares_dense(self):$/;" m class:TestProjections +test_nullspace_and_least_squares_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_nullspace_and_least_squares_sparse(self):$/;" m class:TestProjections +test_null_collection_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_null_collection_datalim():$/;" f +test_null_inside_bstring_array_is_truthy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_null_inside_bstring_array_is_truthy(self):$/;" m class:TestBytestringArrayNonzero +test_null_inside_ustring_array_is_truthy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_null_inside_ustring_array_is_truthy(self):$/;" m class:TestUnicodeArrayNonzero +test_null_movie_writer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_null_movie_writer():$/;" f +test_null_offset_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def test_null_offset_convolution($/;" m class:TestConvolution +test_null_pointer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^def test_null_pointer():$/;" f +test_null_rotation_with_rotation_mode adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_null_rotation_with_rotation_mode(ha, va):$/;" f +test_null_space adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_null_space():$/;" f +test_num2timedelta adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_num2timedelta(x, tdelta):$/;" f +test_numa adpepsenv/lib/python3.8/site-packages/caffe2/python/numa_test.py /^ def test_numa(self):$/;" m class:NUMATest +test_numba adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_extending.py /^def test_numba():$/;" f +TEST_NUMBA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_NUMBA = _check_module_exists('numba')$/;" v +TEST_NUMBA_CUDA adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ TEST_NUMBA_CUDA = numba.cuda.is_available()$/;" v +test_numbers adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_numbers(self):$/;" m class:TestIO +test_number_of_arguments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_number_of_arguments(self):$/;" m class:TestBroadcast +test_number_of_columns_equality adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_number_of_columns_equality(self):$/;" m class:TestKhatriRao +test_number_of_minor_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_number_of_minor_ticks($/;" m class:TestAutoMinorLocator +test_numerator adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_numerator(self):$/;" m class:TestTransferFunctionZConversion +test_numerical_hist_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_numerical_hist_label():$/;" f +test_numerical_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_numerical_jac(self):$/;" m class:SparseMixin +test_numerical_stability_pi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_numerical_stability_pi(self, k):$/;" m class:TestGeometricSlerp +test_numeric_carray_compare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_numeric_carray_compare(self):$/;" m class:TestRegression +test_numeric_types adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_numeric_types(self):$/;" m class:TestItemfreq +TEST_NUMPY adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_NUMPY = _check_module_exists('numpy')$/;" v +test_numpyarithmetics adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_numpyarithmetics(self):$/;" m class:TestMaskedArrayArithmetic +test_numpy_abs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_numpy_abs(self):$/;" m class:TestAbs +test_numpy_batch_matmul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_numpy_batch_matmul(self, C_1, C_2, M, K, N, trans_a, trans_b, gc, dc):$/;" m class:TestBatchMatMul +test_numpy_batch_matmul_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_numpy_batch_matmul_1d(self, K, gc, dc):$/;" m class:TestBatchMatMul +test_numpy_batch_matmul_1d_2d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_numpy_batch_matmul_1d_2d(self, K, N, gc, dc):$/;" m class:TestBatchMatMul +test_numpy_batch_matmul_2d_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def test_numpy_batch_matmul_2d_1d(self, M, K, gc, dc):$/;" m class:TestBatchMatMul +test_numpy_deprecation adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_numpy_deprecation(key):$/;" f +test_numpy_deprecation_functionality adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_numpy_deprecation_functionality():$/;" f +test_numpy_facade adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_numpy_facade(quantity_converter):$/;" f +test_numpy_fastpath adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_numpy_fastpath(self):$/;" m class:TestCorrelate +test_numpy_fft adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_numpy_fft():$/;" f +test_numpy_float16 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_numpy_float16(self):$/;" m class:TestVlen +test_numpy_float32 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_numpy_float32(self):$/;" m class:TestVlen +test_numpy_float64_2 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_numpy_float64_2(self):$/;" m class:TestVlen +test_numpy_float64_from_dtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_numpy_float64_from_dtype(self):$/;" m class:TestVlen +test_numpy_float_python_long_addition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_numpy_float_python_long_addition(self):$/;" m class:TestRegression +test_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_numpy_input_fn(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_numpy_input_fn(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_numpy_linalg adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_numpy_linalg():$/;" f +test_numpy_mean adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_numpy_mean(self):$/;" m class:_TestCommon +test_numpy_minmax adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_numpy_minmax(self):$/;" m class:_TestMinMax +test_numpy_namespace adpepsenv/lib/python3.8/site-packages/numpy/tests/test_public_api.py /^def test_numpy_namespace():$/;" f +test_numpy_nonzero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_numpy_nonzero(self):$/;" m class:_TestCommon +test_numpy_ravel adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_numpy_ravel(self):$/;" m class:TestShape +test_numpy_ravel_order adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_numpy_ravel_order(self):$/;" m class:TestShape +test_numpy_reloading adpepsenv/lib/python3.8/site-packages/numpy/tests/test_reloading.py /^def test_numpy_reloading():$/;" f +test_numpy_rvs_shape_compatibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_numpy_rvs_shape_compatibility(self):$/;" m class:TestDirichlet +test_numpy_scalar_relational_operators adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_numpy_scalar_relational_operators(self):$/;" m class:TestConversion +test_numpy_state adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_numpy_state(self):$/;" m class:TestMT19937 +test_numpy_sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_numpy_sum(self):$/;" m class:_TestCommon +test_numpy_tile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^ def test_numpy_tile(self, ndim, seed, gc, dc):$/;" m class:TestNumpyTile +test_numpy_tile_zero_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^ def test_numpy_tile_zero_dim(self, ndim, seed, gc, dc):$/;" m class:TestNumpyTile +test_numpy_with_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_numpy_with_sample_weights(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_num_eval adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_num_eval(quadrature):$/;" f +test_num_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_num_jac():$/;" f +test_num_jac_sparse adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_num_jac_sparse():$/;" f +test_num_obs_dm_0 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_0(self):$/;" m class:TestNumObsDM +test_num_obs_dm_1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_1(self):$/;" m class:TestNumObsDM +test_num_obs_dm_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_2(self):$/;" m class:TestNumObsDM +test_num_obs_dm_3 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_3(self):$/;" m class:TestNumObsDM +test_num_obs_dm_4 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_4(self):$/;" m class:TestNumObsDM +test_num_obs_dm_multi_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_dm_multi_matrix(self):$/;" m class:TestNumObsDM +test_num_obs_linkage_1x4 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_num_obs_linkage_1x4(self):$/;" m class:TestNumObsLinkage +test_num_obs_linkage_2x4 adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_num_obs_linkage_2x4(self):$/;" m class:TestNumObsLinkage +test_num_obs_linkage_4_and_up adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_num_obs_linkage_4_and_up(self):$/;" m class:TestNumObsLinkage +test_num_obs_linkage_empty adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_num_obs_linkage_empty(self):$/;" m class:TestNumObsLinkage +test_num_obs_linkage_multi_matrix adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_num_obs_linkage_multi_matrix(self):$/;" m class:TestCorrespond +test_num_obs_y_1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_1(self):$/;" m class:TestNumObsY +test_num_obs_y_2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_2(self):$/;" m class:TestNumObsY +test_num_obs_y_2_100 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_2_100(self):$/;" m class:TestNumObsY +test_num_obs_y_3 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_3(self):$/;" m class:TestNumObsY +test_num_obs_y_4 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_4(self):$/;" m class:TestNumObsY +test_num_obs_y_5_10 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_5_10(self):$/;" m class:TestNumObsY +test_num_obs_y_multi_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_num_obs_y_multi_matrix(self):$/;" m class:TestNumObsY +test_num_vertices adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_num_vertices(self):$/;" m class:TestSphericalVoronoi +test_nu_to_lambda adpepsenv/lib/python3.8/site-packages/scipy/constants/tests/test_constants.py /^def test_nu_to_lambda():$/;" f +test_nyquist adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_nyquist(self):$/;" m class:TestFreqz +test_n_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_n_argument_real(self):$/;" m class:TestFloat16FFT +test_n_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_n_argument_real(self):$/;" m class:_TestFFTBase +test_n_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_n_argument_real(self):$/;" m class:TestFloat16FFT +test_n_argument_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_n_argument_real(self):$/;" m class:_TestFFTBase +test_n_equals_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_1(self):$/;" m class:TestSmirnov +test_n_equals_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_1(self):$/;" m class:TestSmirnovi +test_n_equals_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_2(self):$/;" m class:TestSmirnov +test_n_equals_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_2(self):$/;" m class:TestSmirnovi +test_n_equals_3 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_3(self):$/;" m class:TestSmirnov +test_n_equals_3 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_equals_3(self):$/;" m class:TestSmirnovi +test_n_jobs adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_n_jobs():$/;" f +test_n_large adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_n_large(self):$/;" m class:TestSmirnov +test_n_rotations adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_n_rotations():$/;" f +test_n_zero adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_n_zero(self):$/;" m class:TestBinomial +test_n_zero adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_n_zero(self):$/;" m class:TestBinomial +test_n_zero adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_n_zero(self):$/;" m class:TestBinomial +test_n_zero_stream adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_n_zero_stream(self):$/;" m class:TestRegression +test_objarray adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_objarray(self):$/;" m class:_GenericTest +test_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_object(self):$/;" m class:TestLinspace +test_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_object(self): # gh-6312$/;" m class:TestLexsort +test_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_object(self):$/;" m class:TestMinScalarType +test_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_object(self):$/;" m class:TestConvolve +test_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_object(self):$/;" m class:TestCorrelate +test_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_object(self):$/;" m class:TestMedian +test_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_object(self):$/;" m class:TestNDArrayOperatorsMixin +test_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_object(self):$/;" m class:TestMedian +test_object adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_object(self):$/;" m class:TestEqual +test_objectarray_setfield adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_objectarray_setfield(self):$/;" m class:TestRegression +test_objects adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_objects(self):$/;" m class:TestPolynomial +test_objects adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_objects(self):$/;" m class:TestMode +test_object_argmax adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_argmax(self):$/;" m class:TestRegression +test_object_argmax_with_NULLs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_object_argmax_with_NULLs(self):$/;" m class:TestArgmax +test_object_argmin_with_NULLs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_object_argmin_with_NULLs(self):$/;" m class:TestArgmin +test_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_array(self):$/;" m class:TestMaximum +test_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_array(self):$/;" m class:TestMinimum +test_object_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_object_array(self):$/;" m class:TestLinearRamp +test_object_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_object_array(self):$/;" m class:TestNanFunctions_MinMax +test_object_arrays adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_object_arrays(self):$/;" m class:TestItemfreq +test_object_arrays_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_object_arrays_backend(string):$/;" f +test_object_array_accumulate_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_object_array_accumulate_inplace(self):$/;" m class:TestUfunc +test_object_array_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_assign(self):$/;" m class:TestRegression +test_object_array_circular_reference adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_circular_reference(self):$/;" m class:TestRegression +test_object_array_fill adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_fill(self):$/;" m class:TestRegression +test_object_array_from_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_from_list(self):$/;" m class:TestRegression +test_object_array_nested adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_nested(self):$/;" m class:TestRegression +test_object_array_of_0d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_object_array_of_0d(self):$/;" m class:TestHistogram +test_object_array_reduceat_inplace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_object_array_reduceat_inplace(self):$/;" m class:TestUfunc +test_object_array_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_object_array_reduction(self):$/;" m class:TestUfunc +test_object_array_refcounting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_refcounting(self):$/;" m class:TestRegression +test_object_array_refcount_self_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_refcount_self_assign(self):$/;" m class:TestRegression +test_object_array_self_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_self_copy(self):$/;" m class:TestRegression +test_object_array_self_reference adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_self_reference(self):$/;" m class:TestRegression +test_object_array_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_shape(self):$/;" m class:TestRegression +test_object_array_to_fixed_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_array_to_fixed_string(self):$/;" m class:TestRegression +test_object_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_object_assign(self):$/;" m class:TestFancyIndexingEquivalence +test_object_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_casting(self):$/;" m class:TestRegression +test_object_casting_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_object_casting_errors(self):$/;" m class:TestRegression +test_object_clip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_object_clip(self):$/;" m class:TestClip +test_object_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_object_comparison(self):$/;" m class:TestUfunc +test_object_direct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_direct(self):$/;" m class:TestRoundingFunctions +test_object_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_object_dtype(self):$/;" m class:TestAverage +test_object_indirect adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_indirect(self):$/;" m class:TestRoundingFunctions +test_object_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_object_input(mode):$/;" f +test_object_logical adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_object_logical(self):$/;" m class:TestUfunc +test_object_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_nans(self):$/;" m class:TestMaximum +test_object_nans adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_object_nans(self):$/;" m class:TestMinimum +test_object_reference adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_object_reference(self):$/;" m class:TestScalars +test_object_scalar_multiply adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_object_scalar_multiply():$/;" f +test_object_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_object_subclass(self):$/;" m class:TestArrayRepr +test_object_with_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_object_with_array(self):$/;" m class:TestMaskedArray +test_objview adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_objview(self):$/;" m class:TestStructured +test_objview_record adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_objview_record(self):$/;" m class:TestRecord +test_obj_arrays_ndim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_obj_arrays_ndim(self):$/;" m class:TestMode +test_obj_func_returns_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_obj_func_returns_scalar():$/;" f +test_obj_must_return_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_obj_must_return_scalar(self):$/;" m class:TestSLSQP +test_obj_obj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_obj_obj(self):$/;" m class:TestResize +test_obj_returns_scalar_in_list adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_obj_returns_scalar_in_list(self):$/;" m class:TestSLSQP +test_obj_track_times adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_obj_track_times(self):$/;" m class:TestPL +test_obl_ang1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_ang1(self):$/;" m class:TestCephes +test_obl_ang1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_ang1_cv(self):$/;" m class:TestCephes +test_obl_cv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_cv_seq(self):$/;" m class:TestOblCvSeq +test_obl_rad1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_rad1(self):$/;" m class:TestCephes +test_obl_rad1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_rad1_cv(self):$/;" m class:TestCephes +test_obl_rad2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_rad2(self):$/;" m class:TestCephes +test_obl_rad2_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_obl_rad2_cv(self):$/;" m class:TestCephes +test_obrientransform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_obrientransform(self):$/;" m class:TestCompareWithStats +test_obrientransform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_obrientransform(self):$/;" m class:TestMisc +test_obrientransform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_obrientransform():$/;" f +test_observer_rnn_executor adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^ def test_observer_rnn_executor(self, num_layers, forward_only):$/;" m class:TestObservers +test_octahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_octahedral():$/;" f +test_oddball_paths adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_oddball_paths(self):$/;" m class:TestContains +test_oddfeatures_1 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_oddfeatures_1(self):$/;" m class:TestMaskedArray +test_oddfeatures_2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_oddfeatures_2(self):$/;" m class:TestMaskedArray +test_oddfeatures_3 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_oddfeatures_3(self):$/;" m class:TestMaskedArray +test_odd_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_odd_dashes(fig_test, fig_ref):$/;" f +test_odd_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_odd_ext(self):$/;" m class:TestArrayTools +test_odeint adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_odeint(self):$/;" m class:TestOdeint +test_odeint_bad_shapes adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def test_odeint_bad_shapes():$/;" f +test_odeint_banded_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def test_odeint_banded_jac():$/;" f +test_odeint_banded_jacobian adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def test_odeint_banded_jacobian():$/;" f +test_odeint_errors adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def test_odeint_errors():$/;" f +test_odeint_full_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_odeint_jac.py /^def test_odeint_full_jac():$/;" f +test_odeint_trivial_time adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def test_odeint_trivial_time():$/;" f +test_OdeSolution adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_OdeSolution():$/;" f +test_offsetbox_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_offsetbox_clipping():$/;" f +test_offsetbox_clip_children adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_offsetbox_clip_children():$/;" f +test_offsetbox_loc_codes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_offsetbox_loc_codes():$/;" f +test_offset_label_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_offset_label_color():$/;" f +test_offset_text_visible adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_offset_text_visible():$/;" f +test_offset_value adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_offset_value(self, left, right, offset):$/;" m class:TestScalarFormatter +test_old_bounds_to_new adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^def test_old_bounds_to_new():$/;" f +test_old_radius_api adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_old_radius_api(self):$/;" m class:TestSphericalVoronoi +test_old_radius_api_warning adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_old_radius_api_warning(self):$/;" m class:TestSphericalVoronoi +test_old_wminkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_old_wminkowski(self):$/;" m class:TestSomeDistanceFunctions +test_old_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_old_wrap(self):$/;" m class:TestSpecialMethods +test_omit_paired_value adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_omit_paired_value(self):$/;" m class:TestCorrSpearmanr2 +test_one adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_one(self):$/;" m class:TestRankData +test_one adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_one(self):$/;" m class:TestTieCorrect +test_oned adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_oned(self, sim_type, obs_stat, obs_pvalue):$/;" m class:TestMGCStat +test_oneminusoneovern adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_oneminusoneovern(self):$/;" m class:TestSmirnovp +test_onenormest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_onenormest(matrices):$/;" f +test_onenormest_linear_operator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_onenormest_linear_operator(self):$/;" m class:TestOnenormest +test_onenormest_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_onenormest_matrix_power(self):$/;" m class:TestExpmActionSimple +test_onenormest_table_3_t_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_onenormest_table_3_t_2(self):$/;" m class:TestOnenormest +test_onenormest_table_4_t_7 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_onenormest_table_4_t_7(self):$/;" m class:TestOnenormest +test_onenormest_table_5_t_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_onenormest_table_5_t_1(self):$/;" m class:TestOnenormest +test_onenormest_table_6_t_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_onenormest_table_6_t_1(self):$/;" m class:TestOnenormest +test_onenorm_matrix_power_nnm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^def test_onenorm_matrix_power_nnm():$/;" f +test_oneovern adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_oneovern(self):$/;" m class:TestSmirnovp +test_oneovernclose adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_oneovernclose(self):$/;" m class:TestSmirnovp +test_oneovertwon adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_oneovertwon(self):$/;" m class:TestSmirnovp +test_ones adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ones(self):$/;" m class:TestCreationFuncs +test_ones adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_ones():$/;" f +test_onesample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_onesample(self):$/;" m class:TestStudentTest +test_onesided adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_onesided(self):$/;" m class:TestWilcoxon +test_onesided_bounded_powell_stability adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_onesided_bounded_powell_stability():$/;" f +test_ones_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ones_like(self):$/;" m class:TestLikeFuncs +test_ones_pathological adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ones_pathological(self, dtype):$/;" m class:TestClip +test_onetree_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_onetree_query(kdtree_type):$/;" f +test_one_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_one_arg(self):$/;" m class:TestArrayFunctionImplementation +test_one_argument adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_one_argument(self):$/;" m class:TestCurveFit +test_one_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_one_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_one_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_one_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_one_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_one_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_one_bin adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_one_bin(self):$/;" m class:TestHistogram +test_one_by_zero adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_one_by_zero():$/;" f +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorPredictTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorTrainTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim(self):$/;" m class:BaseDNNClassifierEvaluateTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorEvaluateTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorPredictTest +test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim(self):$/;" m class:BaseDNNRegressorTrainTest +test_one_dim_and_empty_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_one_dim_and_empty_tensor(self):$/;" m class:TestLengthsToShapeOps +test_one_dim_empty_tensor_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_one_dim_empty_tensor_gradient(self):$/;" m class:TestLengthsToShapeOps +test_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim_logits(self):$/;" m class:BaseDNNLogitFnTest +test_one_dim_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim_logits(self):$/;" m class:BaseDNNModelFnTest +test_one_dim_logits_with_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim_logits_with_batch_norm(self):$/;" m class:BaseDNNLogitFnTest +test_one_dim_logits_with_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim_logits_with_batch_norm(self):$/;" m class:BaseDNNLogitFnTest +test_one_dim_without_label_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim_without_label_vocabulary(self):$/;" m class:BaseDNNClassifierPredictTest +test_one_dim_without_label_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim_without_label_vocabulary(self):$/;" m class:BaseDNNClassifierPredictTest +test_one_dim_with_label_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_one_dim_with_label_vocabulary(self):$/;" m class:BaseDNNClassifierPredictTest +test_one_dim_with_label_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_one_dim_with_label_vocabulary(self):$/;" m class:BaseDNNClassifierPredictTest +test_one_global adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^ def test_one_global(self):$/;" m class:CheckAstEqualityTest +test_one_half adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_one_half(self):$/;" m class:TestLogitFormatter +test_one_hot adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def test_one_hot(self, hot_indices, end_padding, gc, dc):$/;" m class:TestOneHotOps +test_one_off adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_one_off():$/;" f +test_one_one adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_one_one(self):$/;" m class:TestArctan2SpecialValues +test_one_radius adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_one_radius(self):$/;" m class:count_neighbors_consistency +test_one_scalar_param adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_one_scalar_param(self):$/;" m class:ODECheckParameterUse +test_onintegers_with_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_onintegers_with_mask(self):$/;" m class:TestAverage +test_only_eval_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def test_only_eval_fn(model, calib_data):$/;" f +test_only_on_non_finite_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_only_on_non_finite_bbox():$/;" f +test_only_train_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def test_only_train_fn(model, train_data, loss_fn=_default_loss_fn):$/;" f +test_only__cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_only__cdf(self):$/;" m class:TestSubclassingNoShapes +test_only__pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_only__pdf(self):$/;" m class:TestSubclassingNoShapes +test_onnx_to_caffe2 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_onnx_to_caffe2(self):$/;" m class:TestConversion +test_onnx_to_caffe2_if adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_onnx_to_caffe2_if(self):$/;" m class:TestConversion +test_onnx_to_caffe2_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_onnx_to_caffe2_loop(self):$/;" m class:TestConversion +test_onnx_to_caffe2_zipfile adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def test_onnx_to_caffe2_zipfile(self):$/;" m class:TestConversion +test_onnx_while_fibb adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/onnx_while_test.py /^ def test_onnx_while_fibb($/;" m class:TestONNXWhile +test_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def test_on_batch(self,$/;" m class:Model +test_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def test_on_batch(model,$/;" f +test_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def test_on_batch(self, x, y=None, sample_weight=None, reset_metrics=True):$/;" m class:Model +test_on_dataset_with_unknown_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_on_dataset_with_unknown_cardinality(self, distribution):$/;" m class:TestDistributionStrategyWithDatasets +test_on_dataset_with_unknown_cardinality_without_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_on_dataset_with_unknown_cardinality_without_steps($/;" m class:TestDistributionStrategyWithDatasets +test_on_ndarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_on_ndarray(self):$/;" m class:TestMaskedArrayFunctions +test_open adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_open(self):$/;" m class:TestOpen +test_opening_new_arguments adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_opening_new_arguments(self):$/;" m class:TestBinaryOpeningClosing +test_open_append adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_open_append():$/;" f +test_open_existing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_open_existing(self):$/;" m class:TestRequire +test_open_from_image adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file_image.py /^ def test_open_from_image(self):$/;" m class:TestFileImage +test_open_swmr_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_open_swmr_raises(self):$/;" m class:TestSwmrNotAvailable +test_open_with_filename adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_open_with_filename(self):$/;" m class:TestMemmap +test_operand_flags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_operand_flags(self):$/;" m class:TestUfunc +test_operate_4d_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_operate_4d_array(self):$/;" m class:TestFillDiagonal +test_operator adpepsenv/lib/python3.8/site-packages/caffe2/python/test/do_op_test.py /^ def test_operator(self):$/;" m class:DoOpTest +test_operator adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_operator(self):$/;" m class:TestMaskedConstant +test_operatordef_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_operatordef_simple(self):$/;" m class:TestBindings +test_operators adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_operators(self):$/;" m class:TestStateSpace +test_operator_constructor_traceback adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_operator_constructor_traceback(self):$/;" m class:TestOperatorTraceback +test_operator_overload_mixed_precision adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_operator_overload_mixed_precision(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_operator_run adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_operator_run(self, name, value):$/;" m class:TestCWorkspace +test_operator_runtime_traceback adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_operator_runtime_traceback(self):$/;" m class:TestOperatorTraceback +test_opposite_1dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_opposite_1dperiod(self):$/;" m class:TestVectorstrength +test_opposite_2dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_opposite_2dperiod(self):$/;" m class:TestVectorstrength +test_opposite_sign_complex_eigenvalues adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_opposite_sign_complex_eigenvalues(self):$/;" m class:TestFractionalMatrixPower +test_opposite_sign_complex_eigenvalues adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_opposite_sign_complex_eigenvalues(self):$/;" m class:TestLogM +test_opposite_sign_complex_eigenvalues adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_opposite_sign_complex_eigenvalues(self):$/;" m class:TestSqrtM +test_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_ops(self):$/;" m class:TestNetBuilder +test_optical_flow_use_shorter_edge adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def test_optical_flow_use_shorter_edge(self):$/;" m class:VideoInputOpTest +test_optical_flow_with_temporal_jittering adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def test_optical_flow_with_temporal_jittering(self):$/;" m class:VideoInputOpTest +test_optimal_edge_cases adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_optimal_edge_cases():$/;" f +test_optimal_leaf_ordering adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_optimal_leaf_ordering(self):$/;" m class:TestLinkage +test_optimal_leaf_ordering adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_optimal_leaf_ordering():$/;" f +test_optimizer_context adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def test_optimizer_context(self):$/;" m class:TestOptimizerContext +test_optimizer_in_cross_replica_context_raises_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_optimizer_in_cross_replica_context_raises_error(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_optimizer_registration adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_optimizer_registration():$/;" f +test_optimize_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_optimize_result(self):$/;" m class:LinprogCommonTests +test_optinfo_forward_propagation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_optinfo_forward_propagation(self):$/;" m class:TestMaskedArray +test_optinfo_propagation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_optinfo_propagation(self):$/;" m class:TestMaskedArray +test_optional_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_optional_args(self):$/;" m class:TestArrayFunctionImplementation +test_optional_from_23seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_optional_from_23seq(self):$/;" m class:TestSharedMemory +test_optional_from_2seq adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_optional_from_2seq(self):$/;" m class:TestSharedMemory +test_optional_none adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def test_optional_none(self):$/;" m class:TestSharedMemory +test_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_options(self):$/;" m class:LossFunctionMixin +test_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_options(self):$/;" m class:TestFAQ +test_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_options(self):$/;" m class:TestApproxDerivativesDense +test_opt_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_opt_out(self):$/;" m class:TestNDArrayOperatorsMixin +test_orcsd_uncsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_orcsd_uncsd(dtype_):$/;" f +test_orcsd_uncsd_lwork adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_orcsd_uncsd_lwork(dtype_, m):$/;" f +test_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_order(self):$/;" m class:TestCopy +test_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_order(self):$/;" m class:TestEye +test_order0_diff adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_order0_diff(self):$/;" m class:TestSplder +test_orderconverter_with_nonASCII_unicode_ordering adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_orderconverter_with_nonASCII_unicode_ordering():$/;" f +test_orders_gauss adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_orders_gauss():$/;" f +test_orders_global adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_orders_global(self):$/;" m class:TestBPolyFromDerivatives +test_orders_local adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_orders_local(self):$/;" m class:TestBPolyFromDerivatives +test_orders_too_high adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_orders_too_high(self):$/;" m class:TestBPolyFromDerivatives +test_order_0 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_order_0(self):$/;" m class:TestInterp +test_order_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_order_handling(self, start, end, t_func):$/;" m class:TestGeometricSlerp +test_order_zero adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_order_zero(self):$/;" m class:TestBPolyFromDerivatives +test_ormrz_unmrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_ormrz_unmrz():$/;" f +test_orth adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_orth():$/;" f +test_orthogonality adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_orthogonality(self):$/;" m class:TestHelmert +test_orthogonal_procrustes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes():$/;" f +test_orthogonal_procrustes_array_conversion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_array_conversion():$/;" f +test_orthogonal_procrustes_checkfinite_exception adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_checkfinite_exception():$/;" f +test_orthogonal_procrustes_exact_example adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_exact_example():$/;" f +test_orthogonal_procrustes_ndim_too_large adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_ndim_too_large():$/;" f +test_orthogonal_procrustes_ndim_too_small adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_ndim_too_small():$/;" f +test_orthogonal_procrustes_scale_invariance adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_scale_invariance():$/;" f +test_orthogonal_procrustes_shape_mismatch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_shape_mismatch():$/;" f +test_orthogonal_procrustes_skbio_example adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_skbio_example():$/;" f +test_orthogonal_procrustes_stretched_example adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def test_orthogonal_procrustes_stretched_example():$/;" f +test_orth_memory_efficiency adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_orth_memory_efficiency():$/;" f +test_otf adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_otf():$/;" f +test_other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_other(self, t):$/;" m class:TestMaximumSctype +test_otherflags adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_otherflags(self):$/;" m class:TestFlags +test_other_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_other_delimiter(self):$/;" m class:TestLineSplitter +test_other_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_other_type(self):$/;" m class:Test_sctype2char +test_otypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_otypes(self):$/;" m class:TestVectorize +test_ouc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_ouc(self):$/;" m class:TestOrdQZ +test_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out(self):$/;" m class:TestStats +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_out(self):$/;" m class:TestMedian +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_out(self):$/;" m class:TestPercentile +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out(self):$/;" m class:SharedNanFunctionsTestsMixin +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out(self):$/;" m class:TestNanFunctions_CumSumProd +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out(self):$/;" m class:TestNanFunctions_Median +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out(self):$/;" m class:TestNanFunctions_MinMax +test_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out(self):$/;" m class:TestNanFunctions_Percentile +test_out adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_out(self):$/;" m class:TestMedian +test_outer_join adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_outer_join(self):$/;" m class:TestJoinBy +test_outer_out_param adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^def test_outer_out_param():$/;" f +test_outer_subclass_preserve adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_outer_subclass_preserve(arr):$/;" f +test_outer_v adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_outer_v(self):$/;" m class:TestLGMRES +test_outlier adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_outlier(self):$/;" m class:TestHistogramOptimBinNums +test_outliers adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_outliers(self):$/;" m class:TestHistogram +test_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_output(self):$/;" m class:TestZeroRank +test_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_output(self):$/;" m class:TestFreqs +test_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_output(self):$/;" m class:TestFreqs_zpk +test_output adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_output(self):$/;" m class:Test_freqresp +test_output_argument adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_output_argument(self):$/;" m class:TestUfunc +test_output_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_output_dtype(self, ops):$/;" m class:TestChoose +test_output_file_overwrite adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_output_file_overwrite(self):$/;" m class:TestODR +test_output_fill adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_fill(self):$/;" m class:RNG +test_output_filling_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_filling_exponential(self):$/;" m class:RNG +test_output_filling_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_filling_gamma(self):$/;" m class:RNG +test_output_filling_gamma_broadcast adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_filling_gamma_broadcast(self):$/;" m class:RNG +test_output_filling_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_filling_uniform(self):$/;" m class:RNG +test_output_fill_error adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_output_fill_error(self):$/;" m class:RNG +test_output_float32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_output_float32(self, padtype):$/;" m class:TestResample +test_output_manual adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_output_manual(self):$/;" m class:Test_freqresp +test_output_order adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_output_order(self):$/;" m class:TestCplxPair +test_output_order adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_output_order(self):$/;" m class:TestCplxReal +test_output_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_output_shape(self):$/;" m class:TestArgmax +test_output_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_output_shape(self):$/;" m class:TestArgmin +test_output_type adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_output_type(self):$/;" m class:TestPrototypeType +test_outside_of_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^ def test_outside_of_domain(self):$/;" m class:TestNdtri +test_outward_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_outward_ticks():$/;" f +test_out_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_out_1d(self):$/;" m class:TestMedian +test_out_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out_arg(self):$/;" m class:TestMatmul +test_out_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out_contiguous(self):$/;" m class:TestMatmul +test_out_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_out_dtype(self):$/;" m class:TestConcatenate +test_out_dtype_error adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_out_dtype_error(self):$/;" m class:TestNanFunctions_MeanVarStd +test_out_is_res adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_out_is_res(self):$/;" m class:TestEinsum +test_out_nan adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_out_nan(self):$/;" m class:TestMedian +test_out_nan adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_out_nan(self):$/;" m class:TestPercentile +test_out_nan adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_out_nan(self):$/;" m class:TestMedian +test_out_of_bounds adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_out_of_bounds(self, gc, dc):$/;" m class:TestTensorPackOps +test_out_of_bounds_extrap adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_out_of_bounds_extrap(self):$/;" m class:TestRegularGridInterpolator +test_out_of_bounds_extrap2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_out_of_bounds_extrap2(self):$/;" m class:TestRegularGridInterpolator +test_out_of_bounds_fill adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_out_of_bounds_fill(self):$/;" m class:TestRegularGridInterpolator +test_out_of_domain adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_exponential_integrals.py /^ def test_out_of_domain(self):$/;" m class:TestExpn +test_out_of_order_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out_of_order_fields(self):$/;" m class:TestNewBufferProtocol +test_out_of_order_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_out_of_order_fields(self):$/;" m class:TestRecord +test_out_of_order_offsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_out_of_order_offsets(self):$/;" m class:TestOffsets +test_out_of_order_offsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^ def test_out_of_order_offsets(self):$/;" m class:TestCompound +test_out_of_range_regression adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_out_of_range_regression(self):$/;" m class:TestUnivariateSpline +test_out_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out_overlap(self):$/;" m class:TestTake +test_out_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_out_override(self):$/;" m class:TestBinop +test_out_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_out_scalar(self):$/;" m class:TestStdVar +test_out_size_mismatch adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_out_size_mismatch(self):$/;" m class:TestRandomDist +test_out_subok adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_out_subok(self):$/;" m class:TestOut +test_out_wrap_subok adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_out_wrap_subok(self):$/;" m class:TestOut +test_overflow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_overflow():$/;" f +test_overflow adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_overflow(self):$/;" m class:TestBisplrep +test_overflow adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_overflow(self):$/;" m class:TestVectorNorms +test_overflow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_overflow(self):$/;" m class:TestTieCorrect +test_overflow_nearest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_overflow_nearest(self):$/;" m class:TestInterp1D +test_overlap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^def test_overlap():$/;" f +test_overlapping adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_overlapping(self):$/;" m class:TestAsCtypesType +test_overlapping_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_overlapping_assignment(self):$/;" m class:TestScalarIndexing +test_overlapping_assignments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_overlapping_assignments():$/;" f +test_overlaps adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_overlaps(self):$/;" m class:TestPutmask +test_overrides adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_overrides(self):$/;" m class:TestSystemInfoReading +test_override_builtins adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_basic.py /^def test_override_builtins():$/;" f +test_override_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_override_sum(self):$/;" m class:TestNumPyFunctions +test_overshoot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_overshoot(self):$/;" m class:TestPCHIP +test_overwrite adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_overwrite(self):$/;" m class:TestAccess +test_overwrite adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_overwrite(routine, dtype, shape, axis, type, norm, overwrite_x):$/;" f +test_overwrite adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_overwrite():$/;" f +test_overwrite_economic_qr_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_economic_qr_1_row(self):$/;" m class:BaseQRdelete +test_overwrite_economic_qr_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_economic_qr_p_row(self):$/;" m class:BaseQRdelete +test_overwrite_keyword adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_overwrite_keyword(self):$/;" m class:TestMedian +test_overwrite_qruv_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qruv_rank_1(self):$/;" m class:BaseQRupdate +test_overwrite_qruv_rank_1_economic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qruv_rank_1_economic(self):$/;" m class:BaseQRupdate +test_overwrite_qruv_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qruv_rank_p(self):$/;" m class:BaseQRupdate +test_overwrite_qr_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qr_1_col(self):$/;" m class:BaseQRdelete +test_overwrite_qr_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qr_1_row(self):$/;" m class:BaseQRdelete +test_overwrite_qr_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qr_p_col(self):$/;" m class:BaseQRdelete +test_overwrite_qr_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qr_p_row(self):$/;" m class:BaseQRdelete +test_overwrite_qu_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qu_rank_1(self):$/;" m class:BaseQRinsert +test_overwrite_qu_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_overwrite_qu_rank_p(self):$/;" m class:BaseQRinsert +test_owner_equality adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_owner_equality(self):$/;" m class:RpcTest +test_o_marker_path_snap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_o_marker_path_snap():$/;" f +TEST_PACKAGES adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^TEST_PACKAGES = [$/;" v +test_packbits adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_packbits():$/;" f +test_packbits_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_packbits_empty():$/;" f +test_packbits_empty_with_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_packbits_empty_with_axis():$/;" f +test_packbits_large adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_packbits_large(bitorder):$/;" f +test_packbits_very_large adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_packbits_very_large():$/;" f +test_packed_fc adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^ def test_packed_fc(self, seed, M, K, N, gc, dc):$/;" m class:PackedFCTest +test_packed_fc_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mkl_packed_fc_op_test.py /^ def test_packed_fc_axis(self, axis, num_output, gc, dc):$/;" m class:PackedFCTest +test_packed_structure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_packed_structure(self):$/;" m class:TestFromCTypes +test_pack_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_pack_ops(self, num_seq, cell_size, gc, dc):$/;" m class:TestTensorPackOps +test_pack_ops_str adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_pack_ops_str(self, gc, dc):$/;" m class:TestTensorPackOps +test_pack_rnn_seqence adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^ def test_pack_rnn_seqence(self, n, k, dim, gc, dc):$/;" m class:TestPackRNNSequenceOperator +test_pack_segments adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_pack_segments(self):$/;" m class:TorchIntegration +test_pack_unpack adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^ def test_pack_unpack(self, input):$/;" m class:TestDatasetOps +test_pack_unpack_order adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_pack_unpack_order():$/;" f +test_pack_with_max_length_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_pack_with_max_length_ops($/;" m class:TestTensorPackOps +test_padded_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_padded_dtype(self):$/;" m class:TestJoinBy +test_padded_fft adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_padded_fft(self):$/;" m class:TestPeriodogram +test_padded_freqs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_padded_freqs(self):$/;" m class:TestCSD +test_padded_freqs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_padded_freqs(self):$/;" m class:TestWelch +test_padded_structure adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_padded_structure(self):$/;" m class:TestFromCTypes +test_padded_struct_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_padded_struct_array(self):$/;" m class:TestNewBufferProtocol +test_padded_union adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_padded_union(self):$/;" m class:TestAsCtypesType +test_padding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_padding(self):$/;" m class:TestNewBufferProtocol +test_padding_with_array_inside_struct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_padding_with_array_inside_struct(self):$/;" m class:TestPEP3118Dtype +test_padecases_dtype_complex adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_padecases_dtype_complex(self):$/;" m class:TestExpM +test_padecases_dtype_float adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_padecases_dtype_float(self):$/;" m class:TestExpM +test_padecases_dtype_sparse_complex adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_padecases_dtype_sparse_complex(self):$/;" m class:TestExpM +test_padecases_dtype_sparse_float adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_padecases_dtype_sparse_float(self):$/;" m class:TestExpM +test_pade_4term_exp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_pade.py /^def test_pade_4term_exp():$/;" f +test_pade_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_pade.py /^def test_pade_complex():$/;" f +test_pade_ints adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_pade.py /^def test_pade_ints():$/;" f +test_pade_trivial adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_pade.py /^def test_pade_trivial():$/;" f +test_pad_empty_dimension adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_empty_dimension(self):$/;" m class:TestConstant +test_pad_empty_dimension adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_empty_dimension(self):$/;" m class:TestEmpty +test_pad_empty_dimension adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_empty_dimension(self, mode):$/;" m class:TestEmptyArray +test_pad_image adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_pad_image(self, pad_t, pad_l, pad_b, pad_r, size, input_channels,$/;" m class:TestOperators +test_pad_minf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_pad_minf(self):$/;" m class:TestTensorPackOps +test_pad_non_empty_dimension adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_non_empty_dimension(self, mode):$/;" m class:TestEmptyArray +test_pad_no_minf adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_pad_no_minf(self):$/;" m class:TestTensorPackOps +test_pad_shorter_x adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_pad_shorter_x(self):$/;" m class:TestCSD +test_pad_shorter_y adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_pad_shorter_y(self):$/;" m class:TestCSD +test_pad_width_as_ndarray adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_width_as_ndarray(self):$/;" m class:TestPadWidth +test_pad_with_zero adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pad_with_zero(self):$/;" m class:TestWrap +test_pairs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_pairs(self, pair):$/;" m class:TestFromCTypes +test_pairwise_distances adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pairwise_distances(self):$/;" m class:TestOrthoGroup +test_pair_wise_loss_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^ def test_pair_wise_loss_batch(self, n, k, gc, dc):$/;" m class:TestPairWiseLossOps +test_pair_wise_loss_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^ def test_pair_wise_loss_gradient(self, X, label, dY, gc, dc):$/;" m class:TestPairWiseLossOps +test_pair_wise_loss_predictions adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rank_loss_operator_test.py /^ def test_pair_wise_loss_predictions(self, X, label, gc, dc):$/;" m class:TestPairWiseLossOps +test_pandas_bar_align_center adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_bar_align_center(pd):$/;" f +test_pandas_duck adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_pandas_duck(self):$/;" m class:TestIscomplexobj +test_pandas_errorbar_indexing adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_errorbar_indexing(pd):$/;" f +test_pandas_indexing adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_pandas_indexing(pd):$/;" f +test_pandas_indexing_dates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_indexing_dates(pd):$/;" f +test_pandas_indexing_hist adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_indexing_hist(pd):$/;" f +test_pandas_index_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_index_shape(pd):$/;" f +test_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_pandas_input_fn(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_pandas_input_fn(self):$/;" m class:BaseLinearRegressorIntegrationTest +test_pandas_iterable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_pandas_iterable(pd):$/;" f +test_pandas_minimal_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_minimal_plot(pd):$/;" f +test_pandas_pcolormesh adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pandas_pcolormesh(pd):$/;" f +test_parallel adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_parallel(self):$/;" m class:TestDifferentialEvolutionSolver +test_parallelize_bmuf adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_parallelize_bmuf(self, cpu_device):$/;" m class:ParallelizeBMUFTest +test_parallel_random_greedy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_parallel_random_greedy():$/;" f +test_parallel_threads adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_parallel_threads():$/;" f +test_parallel_threads adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__threadsafety.py /^def test_parallel_threads():$/;" f +test_parameters_stay_within_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_parameters_stay_within_bounds(self):$/;" m class:TestSLSQP +test_parameter_sharing_brew adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_parameter_sharing_brew(self):$/;" m class:ParameterSharingTest +test_parameter_sharing_default_scopes adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_parameter_sharing_default_scopes(self):$/;" m class:ParameterSharingTest +test_parameter_sharing_nested_scopes adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_parameter_sharing_nested_scopes(self):$/;" m class:ParameterSharingTest +test_parameter_sharing_subscopes adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing_test.py /^ def test_parameter_sharing_subscopes(self):$/;" m class:ParameterSharingTest +test_parameter_validation adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_parameter_validation():$/;" f +test_parametrize adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_parametrize(self):$/;" m class:TestNoseDecorators +test_parametrize_with_check_figure_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_testing.py /^def test_parametrize_with_check_figure_equal(a, fig_ref, b, fig_test):$/;" f +test_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_params(self, unicode_minus, input, expected):$/;" m class:TestEngFormatter +test_param_consistence adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_param_consistence(self):$/;" m class:BrewTest +test_param_intersection adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_param_intersection(self):$/;" m class:PredictorExporterTest +test_parasite adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_parasite(self):$/;" m class:TestLegendFunction +test_ParasiteAxesAuxTrans adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axislines.py /^def test_ParasiteAxesAuxTrans():$/;" f +test_para_equal_perp adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_para_equal_perp():$/;" f +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_pareto(self):$/;" m class:TestBroadcast +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_pareto(self):$/;" m class:TestRandomDist +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_pareto(self):$/;" m class:TestBroadcast +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_pareto(self):$/;" m class:TestRandomDist +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_pareto(self):$/;" m class:TestBroadcast +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_pareto(self):$/;" m class:TestRandomDist +test_pareto adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_pareto(self):$/;" m class:RNG +test_parse_char_metrics adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_parse_char_metrics():$/;" f +test_parse_gufunc_signature adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_parse_gufunc_signature(self):$/;" m class:TestVectorize +test_parse_header adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_afm.py /^def test_parse_header():$/;" f +test_parse_scatter_color_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_parse_scatter_color_args(params, expected_result):$/;" f +test_parse_scatter_color_args_edgecolors adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_parse_scatter_color_args_edgecolors(kwargs, expected_edgecolors):$/;" f +test_parse_scatter_color_args_error adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_parse_scatter_color_args_error():$/;" f +test_parsing_subarray_unsupported adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_parsing_subarray_unsupported(self):$/;" m class:TestIO +test_partial_1dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_partial_1dperiod(self):$/;" m class:TestVectorstrength +test_partial_2dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_partial_2dperiod(self):$/;" m class:TestVectorstrength +test_partial_dict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_partial_dict(self):$/;" m class:TestRecord +test_partial_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_partial_guess(self):$/;" m class:Test2opt +test_partial_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_partial_sharing(backend):$/;" f +test_partial_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_partial_usetex(caplog):$/;" f +test_partition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_partition(self):$/;" m class:TestMethods +test_partition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition(self):$/;" m class:TestMethods +test_partition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition(self):$/;" m class:TestZeroSizeFlexible +test_partition_cdtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_cdtype(self):$/;" m class:TestMethods +test_partition_empty_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_empty_array(self):$/;" m class:TestMethods +test_partition_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_fuzz(self):$/;" m class:TestMethods +test_partition_integer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_integer(self):$/;" m class:TestMethods +test_partition_iterative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_iterative(self):$/;" m class:TestMethods +test_partition_matrix_none adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_partition_matrix_none():$/;" f +test_partition_out_of_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_out_of_range(self):$/;" m class:TestMethods +test_partition_unicode_kind adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_partition_unicode_kind(self):$/;" m class:TestMethods +test_pascal adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_pascal(self):$/;" m class:TestExpM +test_pass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_pass(self):$/;" m class:TestIscomplex +test_pass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_pass(self):$/;" m class:TestIsreal +test_passes adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_passes(self):$/;" m class:TestAssertNoGcCycles +test_passthrough adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_passthrough(self):$/;" m class:TestDefaultRNG +test_pass_accept_test adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_pass_accept_test(self):$/;" m class:TestBasinHopping +test_pass_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_pass_callback(self):$/;" m class:TestBasinHopping +test_pass_local_rrefs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_pass_local_rrefs(self):$/;" m class:RpcTest +test_pass_simple_takestep adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_pass_simple_takestep(self):$/;" m class:TestBasinHopping +test_pass_takestep adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_pass_takestep(self):$/;" m class:TestBasinHopping +test_pass_through adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_pass_through(self):$/;" m class:TestAsPairs +test_past_180_degree_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_past_180_degree_rotation():$/;" f +test_patch_alpha_coloring adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_cairo.py /^def test_patch_alpha_coloring(fig_test, fig_ref):$/;" f +test_patch_alpha_coloring adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_alpha_coloring():$/;" f +test_patch_alpha_override adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_alpha_override():$/;" f +test_patch_color_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_color_none():$/;" f +test_patch_custom_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_custom_linestyle():$/;" f +test_patch_linestyle_accents adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_linestyle_accents():$/;" f +test_patch_str adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_patch_str():$/;" f +test_patch_transform_of_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_patch_transform_of_none():$/;" f +test_path adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_path(self):$/;" m class:TestMemmap +test_path adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_path(self):$/;" m class:TestData +test_pathclip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_pathclip():$/;" f +test_pathcollection_legend_elements adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_pathcollection_legend_elements():$/;" f +test_pathc_extents_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_pathc_extents_affine(self):$/;" m class:TestTransformPlotInterface +test_pathc_extents_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_pathc_extents_non_affine(self):$/;" m class:TestTransformPlotInterface +test_patheffect1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_patheffect1():$/;" f +test_patheffect2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_patheffect2():$/;" f +test_patheffect3 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_patheffect3():$/;" f +test_patheffects adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_patheffects():$/;" f +test_patheffects_stroked_text adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_patheffects_stroked_text():$/;" f +test_PathEffect_points_to_pixels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_PathEffect_points_to_pixels():$/;" f +test_pathlib_accepted_file adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_pathlib_accepted_file(self):$/;" m class:TestPathlibSupport +test_pathlib_name_match adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_pathlib_name_match(self):$/;" m class:TestPathlibSupport +test_pathological adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_pathological(self):$/;" m class:TestDelaunay +test_pathological_hexbin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pathological_hexbin():$/;" f +test_path_clipping adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_clipping():$/;" f +test_path_deepcopy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_deepcopy():$/;" f +test_path_edge_cases adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_path_edge_cases(alg, expression, order):$/;" f +test_path_exceptions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_exceptions():$/;" f +test_path_greedy adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_path_greedy():$/;" f +test_path_intersect_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_intersect_path(phi):$/;" f +test_path_no_doubled_point_in_to_polygon adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_no_doubled_point_in_to_polygon():$/;" f +test_path_optimal adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_path_optimal():$/;" f +test_path_to_polygons adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_path_to_polygons():$/;" f +test_path_type_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_path_type_input(self):$/;" m class:TestEinsumPath +test_pattern_ctx_cur_loc tests/test_utils.py /^ def test_pattern_ctx_cur_loc(self):$/;" m class:TestTList +test_pattern_cur_loc tests/test_utils.py /^ def test_pattern_cur_loc(self):$/;" m class:TestTList +test_pattern_from_full_cur_loc tests/test_utils.py /^ def test_pattern_from_full_cur_loc(self):$/;" m class:TestTList +test_pattern_hold_write tests/test_utils.py /^ def test_pattern_hold_write(self):$/;" m class:TestTList +test_pbdn_seq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdn_seq(self):$/;" m class:TestParabolicCylinder +test_pbdv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdv(self):$/;" m class:TestCephes +test_pbdv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdv(self):$/;" m class:TestParabolicCylinder +test_pbdv_gradient adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdv_gradient(self):$/;" m class:TestParabolicCylinder +test_pbdv_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdv_points(self):$/;" m class:TestParabolicCylinder +test_pbdv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbdv_seq(self):$/;" m class:TestParabolicCylinder +test_pBIGBIG adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pBIGBIG(self):$/;" m class:TestCorrPearsonr +test_pBIGHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pBIGHUGE(self):$/;" m class:TestCorrPearsonr +test_pBIGLITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pBIGLITTLE(self):$/;" m class:TestCorrPearsonr +test_pBIGROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pBIGROUND(self):$/;" m class:TestCorrPearsonr +test_pBIGTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pBIGTINY(self):$/;" m class:TestCorrPearsonr +test_pbvv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbvv(self):$/;" m class:TestCephes +test_pbvv_gradient adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbvv_gradient(self):$/;" m class:TestParabolicCylinder +test_pbwa adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pbwa(self):$/;" m class:TestCephes +test_pbwa_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pcf.py /^def test_pbwa_nan():$/;" f +test_pbwa_segfault adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pcf.py /^def test_pbwa_segfault():$/;" f +test_pcfd adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_pcfd(self):$/;" m class:TestSystematic +test_pcfv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_pcfv(self):$/;" m class:TestSystematic +test_pcfw adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_pcfw(self):$/;" m class:TestSystematic +test_pchip_interpolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_pchip_interpolate(self):$/;" m class:TestPCHIP +test_pcolorargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolorargs():$/;" f +test_pcolorargs_5205 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolorargs_5205():$/;" f +test_pcolorauto adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolorauto(fig_test, fig_ref):$/;" f +test_pcolordropdata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolordropdata(fig_test, fig_ref):$/;" f +test_pcolorfast adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolorfast(xy, data, cls):$/;" f +test_pcolormesh adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolormesh():$/;" f +test_pcolormesh_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolormesh_alpha():$/;" f +test_pcolormesh_datetime_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolormesh_datetime_axis():$/;" f +test_pcolormesh_pre_transform_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_pcolormesh_pre_transform_limits():$/;" f +test_pcolornearest adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolornearest(fig_test, fig_ref):$/;" f +test_pcolornearestunits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolornearestunits(fig_test, fig_ref):$/;" f +test_pcolor_datetime_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pcolor_datetime_axis():$/;" f +test_pcolor_pre_transform_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_pcolor_pre_transform_limits():$/;" f +test_pcov adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pcov(self):$/;" m class:TestCurveFit +test_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf(self):$/;" m class:TestGamma +test_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf(self):$/;" m class:TestHistogram +test_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf(self):$/;" m class:TestLognorm +test_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf(self):$/;" m class:TestPearson3 +test_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf(self):$/;" m class:TestRandInt +test_pdflatex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_pdflatex():$/;" f +test_pdfpages_fspath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_pdfpages_fspath():$/;" f +test_pdf_alpha_equals_one_beta_non_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_alpha_equals_one_beta_non_zero(self):$/;" m class:TestLevyStable +test_pdf_correctness adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pdf_correctness(self, x, loc, shape, df, ans):$/;" m class:TestMultivariateT +test_pdf_eps_savefig_when_color_is_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_pdf_eps_savefig_when_color_is_none(fig_test, fig_ref):$/;" f +test_pdf_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_logpdf(self):$/;" m class:TestExponWeib +test_pdf_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_pdf_logpdf():$/;" f +test_pdf_logpdf_weighted adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_pdf_logpdf_weighted():$/;" f +test_pdf_nolan_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_nolan_samples(self):$/;" m class:TestLevyStable +test_pdf_pages adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_pdf_pages(system):$/;" f +test_pdf_pages_metadata_check adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_pdf_pages_metadata_check(monkeypatch, system):$/;" f +test_pdf_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_R(self):$/;" m class:TestGenInvGauss +test_pdf_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_R(self):$/;" m class:TestNormInvGauss +test_pdf_unity_area adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_unity_area(self):$/;" m class:TestGenExpon +test_pdf_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pdf_zero(self):$/;" m class:TestGenInvGauss +test_pdist_calling_conventions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_calling_conventions(self):$/;" m class:TestPdist +test_pdist_canberra_match adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_canberra_match(self):$/;" m class:TestPdist +test_pdist_canberra_ticket_711 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_canberra_ticket_711(self):$/;" m class:TestPdist +test_pdist_chebyshev_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_iris(self):$/;" m class:TestPdist +test_pdist_chebyshev_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_iris_float32(self):$/;" m class:TestPdist +test_pdist_chebyshev_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_iris_nonC(self):$/;" m class:TestPdist +test_pdist_chebyshev_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_random(self):$/;" m class:TestPdist +test_pdist_chebyshev_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_random_float32(self):$/;" m class:TestPdist +test_pdist_chebyshev_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_chebyshev_random_nonC(self):$/;" m class:TestPdist +test_pdist_cityblock_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_iris(self):$/;" m class:TestPdist +test_pdist_cityblock_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_iris_float32(self):$/;" m class:TestPdist +test_pdist_cityblock_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_iris_nonC(self):$/;" m class:TestPdist +test_pdist_cityblock_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_random(self):$/;" m class:TestPdist +test_pdist_cityblock_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_random_float32(self):$/;" m class:TestPdist +test_pdist_cityblock_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cityblock_random_nonC(self):$/;" m class:TestPdist +test_pdist_correlation_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_iris(self):$/;" m class:TestPdist +test_pdist_correlation_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_iris_float32(self):$/;" m class:TestPdist +test_pdist_correlation_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_iris_nonC(self):$/;" m class:TestPdist +test_pdist_correlation_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_random(self):$/;" m class:TestPdist +test_pdist_correlation_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_random_float32(self):$/;" m class:TestPdist +test_pdist_correlation_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_correlation_random_nonC(self):$/;" m class:TestPdist +test_pdist_cosine_bounds adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_bounds(self):$/;" m class:TestPdist +test_pdist_cosine_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_iris(self):$/;" m class:TestPdist +test_pdist_cosine_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_iris_float32(self):$/;" m class:TestPdist +test_pdist_cosine_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_iris_nonC(self):$/;" m class:TestPdist +test_pdist_cosine_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_random(self):$/;" m class:TestPdist +test_pdist_cosine_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_random_float32(self):$/;" m class:TestPdist +test_pdist_cosine_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_cosine_random_nonC(self):$/;" m class:TestPdist +test_pdist_custom_notdouble adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_custom_notdouble(self):$/;" m class:TestPdist +test_pdist_dhamming_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dhamming_random(self):$/;" m class:TestPdist +test_pdist_dhamming_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dhamming_random_float32(self):$/;" m class:TestPdist +test_pdist_dhamming_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dhamming_random_nonC(self):$/;" m class:TestPdist +test_pdist_dice_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dice_mtica1(self):$/;" m class:TestPdist +test_pdist_dice_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dice_mtica2(self):$/;" m class:TestPdist +test_pdist_djaccard_allzeros adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_djaccard_allzeros(self):$/;" m class:TestPdist +test_pdist_djaccard_allzeros_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_djaccard_allzeros_nonC(self):$/;" m class:TestPdist +test_pdist_djaccard_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_djaccard_random(self):$/;" m class:TestPdist +test_pdist_djaccard_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_djaccard_random_float32(self):$/;" m class:TestPdist +test_pdist_djaccard_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_djaccard_random_nonC(self):$/;" m class:TestPdist +test_pdist_dtype_equivalence adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_dtype_equivalence(self):$/;" m class:TestPdist +test_pdist_euclidean_iris_double adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_iris_double(self):$/;" m class:TestPdist +test_pdist_euclidean_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_iris_float32(self):$/;" m class:TestPdist +test_pdist_euclidean_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_iris_nonC(self):$/;" m class:TestPdist +test_pdist_euclidean_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_random(self):$/;" m class:TestPdist +test_pdist_euclidean_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_random_float32(self):$/;" m class:TestPdist +test_pdist_euclidean_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_random_nonC(self):$/;" m class:TestPdist +test_pdist_euclidean_random_u adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_euclidean_random_u(self):$/;" m class:TestPdist +test_pdist_extra_args adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_extra_args(self):$/;" m class:TestPdist +test_pdist_hamming_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_hamming_random(self):$/;" m class:TestPdist +test_pdist_hamming_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_hamming_random_float32(self):$/;" m class:TestPdist +test_pdist_hamming_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_hamming_random_nonC(self):$/;" m class:TestPdist +test_pdist_jaccard_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jaccard_mtica1(self):$/;" m class:TestPdist +test_pdist_jaccard_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jaccard_mtica2(self):$/;" m class:TestPdist +test_pdist_jaccard_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jaccard_random(self):$/;" m class:TestPdist +test_pdist_jaccard_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jaccard_random_float32(self):$/;" m class:TestPdist +test_pdist_jaccard_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jaccard_random_nonC(self):$/;" m class:TestPdist +test_pdist_jensenshannon_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_iris(self):$/;" m class:TestPdist +test_pdist_jensenshannon_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_iris_float32(self):$/;" m class:TestPdist +test_pdist_jensenshannon_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_iris_nonC(self):$/;" m class:TestPdist +test_pdist_jensenshannon_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_random(self):$/;" m class:TestPdist +test_pdist_jensenshannon_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_random_float32(self):$/;" m class:TestPdist +test_pdist_jensenshannon_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_jensenshannon_random_nonC(self):$/;" m class:TestPdist +test_pdist_mahalanobis adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_mahalanobis(self):$/;" m class:TestPdist +test_pdist_matching_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_matching_mtica1(self):$/;" m class:TestPdist +test_pdist_matching_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_matching_mtica2(self):$/;" m class:TestPdist +test_pdist_minkowski_3_2_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_3_2_iris(self):$/;" m class:TestPdist +test_pdist_minkowski_3_2_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_3_2_iris_float32(self):$/;" m class:TestPdist +test_pdist_minkowski_3_2_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_3_2_iris_nonC(self):$/;" m class:TestPdist +test_pdist_minkowski_5_8_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_5_8_iris(self):$/;" m class:TestPdist +test_pdist_minkowski_5_8_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_5_8_iris_float32(self):$/;" m class:TestPdist +test_pdist_minkowski_5_8_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_5_8_iris_nonC(self):$/;" m class:TestPdist +test_pdist_minkowski_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_random(self):$/;" m class:TestPdist +test_pdist_minkowski_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_random_float32(self):$/;" m class:TestPdist +test_pdist_minkowski_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_random_nonC(self):$/;" m class:TestPdist +test_pdist_minkowski_random_p adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_minkowski_random_p(self, p):$/;" m class:TestPdist +test_pdist_out adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_out(self):$/;" m class:TestPdist +test_pdist_rogerstanimoto_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_rogerstanimoto_mtica1(self):$/;" m class:TestPdist +test_pdist_rogerstanimoto_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_rogerstanimoto_mtica2(self):$/;" m class:TestPdist +test_pdist_russellrao_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_russellrao_mtica1(self):$/;" m class:TestPdist +test_pdist_russellrao_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_russellrao_mtica2(self):$/;" m class:TestPdist +test_pdist_seuclidean_iris adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_iris(self):$/;" m class:TestPdist +test_pdist_seuclidean_iris_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_iris_float32(self):$/;" m class:TestPdist +test_pdist_seuclidean_iris_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_iris_nonC(self):$/;" m class:TestPdist +test_pdist_seuclidean_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_random(self):$/;" m class:TestPdist +test_pdist_seuclidean_random_float32 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_random_float32(self):$/;" m class:TestPdist +test_pdist_seuclidean_random_nonC adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_seuclidean_random_nonC(self):$/;" m class:TestPdist +test_pdist_sokalsneath_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_sokalsneath_mtica1(self):$/;" m class:TestPdist +test_pdist_sokalsneath_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_sokalsneath_mtica2(self):$/;" m class:TestPdist +test_pdist_yule_mtica1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_yule_mtica1(self):$/;" m class:TestPdist +test_pdist_yule_mtica2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_pdist_yule_mtica2(self):$/;" m class:TestPdist +test_pdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pdtr(self):$/;" m class:TestCephes +test_pdtrc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pdtrc(self):$/;" m class:TestCephes +test_pdtri adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pdtri(self):$/;" m class:TestCephes +test_pdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pdtrik(self):$/;" m class:TestCephes +test_pearson adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_pearson(self):$/;" m class:TestODR +test_pearson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pearson(self):$/;" m class:TestCombinePvalues +test_pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_pearsonr(self):$/;" m class:TestBoxcoxNormmax +test_pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_pearsonr(self):$/;" m class:TestCompareWithStats +test_pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_pearsonr(self):$/;" m class:TestCorr +test_pearsonr_misaligned_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_pearsonr_misaligned_mask(self):$/;" m class:TestCorr +test_pep338 adpepsenv/lib/python3.8/site-packages/numpy/tests/test_scripts.py /^def test_pep338():$/;" f +test_percent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_percent():$/;" f +test_percentile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_percentile(self):$/;" m class:TorchIntegration +test_percentile adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_percentile(self):$/;" m class:TestPercentile +test_percentileofscore adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_percentileofscore():$/;" f +test_percentile_empty_dim adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_percentile_empty_dim(self):$/;" m class:TestPercentile +test_percentile_list adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_percentile_list(self):$/;" m class:TestPercentile +test_percentile_no_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_percentile_no_overwrite(self):$/;" m class:TestPercentile +test_percentile_op_with_all_elements_in_map adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_all_elements_in_map(self):$/;" m class:TestPercentileOp +test_percentile_op_with_elements_bigger_than_map_range adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_elements_bigger_than_map_range(self):$/;" m class:TestPercentileOp +test_percentile_op_with_elements_smaller_than_map_range adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_elements_smaller_than_map_range(self):$/;" m class:TestPercentileOp +test_percentile_op_with_interpolation adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_interpolation(self):$/;" m class:TestPercentileOp +test_percentile_op_with_large_sample_size_per_dist adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_large_sample_size_per_dist(self):$/;" m class:TestPercentileOp +test_percentile_op_with_only_one_dist adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_only_one_dist(self):$/;" m class:TestPercentileOp +test_percentile_op_with_same_value adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def test_percentile_op_with_same_value(self):$/;" m class:TestPercentileOp +test_percentile_out adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_percentile_out(self):$/;" m class:TestPercentile +test_percentile_overwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_percentile_overwrite(self):$/;" m class:TestPercentile +test_percival_high_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_percival_high_level(self):$/;" m class:TestPercivalHighLevel +test_percival_low_level adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_lowlevel_vds.py /^ def test_percival_low_level(self):$/;" m class:TestPercivalLowLevel +test_percival_source_from_dataset adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_percival_source_from_dataset(self):$/;" m class:TestPercivalHighLevel +test_performance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def test_performance():$/;" f +test_period adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_period(self):$/;" m class:TestInterp +test_period adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_period(self):$/;" m class:TestDiff +test_periodic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_periodic(self):$/;" m class:TestBPoly +test_periodic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_periodic(self):$/;" m class:TestPPoly +test_periodic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_periodic(self):$/;" m class:TestCubicSpline +test_periodic_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_periodic_eval(self):$/;" m class:TestCubicSpline +test_periodic_extrap adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_periodic_extrap(self):$/;" m class:TestBSpline +test_perm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_perm(self):$/;" m class:TestCombinatorics +test_permutation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_permutation(self):$/;" m class:TestRandomDist +test_permutation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_permutation(self):$/;" m class:TestRandomDist +test_permutation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_permutation(self):$/;" m class:RNG +test_permutation adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_permutation(self):$/;" m class:TestMatrix_Balance +test_permutations adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^def test_permutations():$/;" f +test_permutation_custom_axis adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_permutation_custom_axis(self):$/;" m class:TestRandomDist +test_permutation_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_permutation_exceptions(self):$/;" m class:TestRandomDist +test_permutation_subclass adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_permutation_subclass(self):$/;" m class:TestRegression +test_permutation_subclass adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_permutation_subclass(self):$/;" m class:TestRegression +test_permutation_subclass adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_permutation_subclass(self):$/;" m class:TestRegression +test_permute_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_permute_axes(self):$/;" m class:TestSTFT +test_perm_and_scaling adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_perm_and_scaling(self):$/;" m class:TestMatrix_Balance +test_perm_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_perm_zeros(self):$/;" m class:TestCombinatorics +test_perplexity adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_perplexity(self, target_probabilities, gc, dc):$/;" m class:TestOperators +test_pftrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pftrf():$/;" f +test_pftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pftri():$/;" f +test_pftrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pftrs():$/;" f +test_phaseshift_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_phaseshift_FIR(self):$/;" m class:TestDecimate +test_phaseshift_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_phaseshift_IIR(self):$/;" m class:TestDecimate +test_phase_shifted_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_phase_shifted_input(self):$/;" m class:TestCoherence +test_pHUGEHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pHUGEHUGE(self):$/;" m class:TestCorrPearsonr +test_pHUGEROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pHUGEROUND(self):$/;" m class:TestCorrPearsonr +test_pHUGETINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pHUGETINY(self):$/;" m class:TestCorrPearsonr +test_physical_quantities adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_physical_quantities(self):$/;" m class:TestGeomspace +test_physical_quantities adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_physical_quantities(self):$/;" m class:TestLinspace +test_physical_quantities adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_physical_quantities(self):$/;" m class:TestLogspace +test_pi adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_pi(self):$/;" m class:TestConstants +test_picking adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_picking():$/;" f +test_picking adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^def test_picking(child_type, boxcoords):$/;" f +test_picking_callbacks_overlap adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_picking_callbacks_overlap(big_on_axes, small_on_axes, click_on):$/;" f +test_picking_does_not_stale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_picking_does_not_stale():$/;" f +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_pickle(self):$/;" m class:TestDateTime +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_pickle(self):$/;" m class:TestZeroSizeFlexible +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_pickle(self):$/;" m class:TestArrayFunctionDispatch +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_pickle(self):$/;" m class:TestUfunc +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickle(self):$/;" m class:TestMaskedConstant +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_pickle(self):$/;" m class:Base +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_pickle(self):$/;" m class:TestSetState +test_pickle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_pickle(self):$/;" m class:RNG +test_pickle adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_pickle(self):$/;" m class:TestCloughTocher2DInterpolator +test_pickle adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_pickle(self):$/;" m class:TestLinearNDInterpolation +test_pickle adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_pickle():$/;" f +test_pickle adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_pickle(self):$/;" m class:_TestCommon +test_pickle adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_pickle(self):$/;" m class:TestMakeTupleBunch +test_pickle_1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_pickle_1(self):$/;" m class:TestRecord +test_pickle_2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_pickle_2(self):$/;" m class:TestRecord +test_pickle_3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_pickle_3(self):$/;" m class:TestRecord +test_pickle_bytes_overwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_bytes_overwrite(self):$/;" m class:TestRegression +test_pickle_datetime64_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_datetime64_array(self):$/;" m class:TestRegression +test_pickle_disallow adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_pickle_disallow():$/;" f +test_pickle_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_dtype(self):$/;" m class:TestRegression +test_pickle_empty_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_empty_string(self):$/;" m class:TestRegression +test_pickle_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_pickle_future(self):$/;" m class:RpcTest +test_pickle_module adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_module(self, protocol, val):$/;" m class:TestRegression +test_pickle_py2_array_latin1_hack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_py2_array_latin1_hack(self):$/;" m class:TestRegression +test_pickle_py2_bytes_encoding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_py2_bytes_encoding(self):$/;" m class:TestRegression +test_pickle_py2_scalar_latin1_hack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_py2_scalar_latin1_hack(self):$/;" m class:TestRegression +test_pickle_python2_python3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_pickle_python2_python3():$/;" f +test_pickle_string_overwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_string_overwrite(self):$/;" m class:TestRegression +test_pickle_transposed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_pickle_transposed(self):$/;" m class:TestRegression +test_pickle_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_pickle_void(self):$/;" m class:TestRecord +test_pickle_withstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_pickle_withstring(self):$/;" m class:TestUfunc +test_pickle_with_buffercallback adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_pickle_with_buffercallback(self):$/;" m class:TestZeroSizeFlexible +test_pickling adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_pickling(self):$/;" m class:Test_callback_registry +test_pickling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^ def test_pickling(self):$/;" m class:TestArrayMemoryError +test_pickling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^ def test_pickling(self):$/;" m class:TestUFuncNoLoopError +test_pickling adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickling(self):$/;" m class:TestMaskedArray +test_pickling adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_pickling(self):$/;" m class:TestMRecords +test_pickling adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pickling(self):$/;" m class:TestFrozen +test_pickling_keepalignment adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickling_keepalignment(self):$/;" m class:TestMaskedArray +test_pickling_maskedconstant adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickling_maskedconstant(self):$/;" m class:TestMaskedArray +test_pickling_subbaseclass adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickling_subbaseclass(self):$/;" m class:TestMaskedArray +test_pickling_subbaseclass adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_pickling_subbaseclass(self):$/;" m class:TestMaskedMatrix +test_pickling_wstructured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_pickling_wstructured(self):$/;" m class:TestMaskedArray +test_piecewise_linear_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_piecewise_linear_op(self, binary_input):$/;" m class:TorchIntegration +test_pie_ccw_true adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_ccw_true():$/;" f +test_pie_center_radius adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_center_radius():$/;" f +test_pie_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_default():$/;" f +test_pie_frame_grid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_frame_grid():$/;" f +test_pie_get_negative_values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_get_negative_values():$/;" f +test_pie_linewidth_0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_linewidth_0():$/;" f +test_pie_linewidth_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_linewidth_2():$/;" f +test_pie_nolabel_but_legend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_nolabel_but_legend():$/;" f +test_pie_rotatelabels_true adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_rotatelabels_true():$/;" f +test_pie_textprops adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pie_textprops():$/;" f +test_pil_kwargs_png adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_pil_kwargs_png():$/;" f +test_pil_kwargs_tiff adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_pil_kwargs_tiff():$/;" f +test_pinv adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_pinv(self):$/;" m class:TestProperties +test_pinv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_pinv(self):$/;" m class:TestOverwrite +test_pinv2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_pinv2(self):$/;" m class:TestOverwrite +test_pinvh adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_pinvh(self):$/;" m class:TestOverwrite +test_pinv_pinv2_comparison adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^def test_pinv_pinv2_comparison(): # As reported in gh-8861$/;" f +test_place adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_place(self):$/;" m class:TestExtins +test_plane_distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_plane_distance(self):$/;" m class:TestUtilities +test_plan_run adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_plan_run(self, blob_name, plan_name, net_name, value):$/;" m class:TestCWorkspace +test_plateau_size adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_plateau_size(self):$/;" m class:TestFindPeaks +test_platform_dependent_aliases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_platform_dependent_aliases(self):$/;" m class:TestDocStrings +test_plausible_finfo adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^def test_plausible_finfo():$/;" f +test_pLITTLEHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pLITTLEHUGE(self):$/;" m class:TestCorrPearsonr +test_pLITTLELITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pLITTLELITTLE(self):$/;" m class:TestCorrPearsonr +test_pLITTLEROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pLITTLEROUND(self):$/;" m class:TestCorrPearsonr +test_pLITTLETINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pLITTLETINY(self):$/;" m class:TestCorrPearsonr +test_plot adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_plot(self):$/;" m class:TestFreqs +test_plot adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_plot(self):$/;" m class:TestFreqz +test_plotsurface_1d_raises adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_plotsurface_1d_raises():$/;" f +test_plotting_positions adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def test_plotting_positions():$/;" f +test_plot_3d_from_2d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_plot_3d_from_2d():$/;" f +test_plot_bytes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_bytes(self, ax, plotter, bdata):$/;" m class:TestPlotBytes +test_plot_decimal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_plot_decimal(fig_test, fig_ref):$/;" f +test_plot_kwarg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_plot_kwarg(self):$/;" m class:TestBoxcoxNormplot +test_plot_kwarg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_plot_kwarg(self):$/;" m class:TestPpccPlot +test_plot_kwarg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_plot_kwarg(self):$/;" m class:TestProbplot +test_plot_masked_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_plot_masked_units():$/;" f +test_plot_numlike adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_numlike(self, ax, plotter, ndata):$/;" m class:TestPlotNumlike +test_plot_scalar adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_plot_scalar(fig_test, fig_ref):$/;" f +test_plot_unicode adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_unicode(self, ax, plotter):$/;" m class:TestPlotTypes +test_plot_xaxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_xaxis(self, ax, test_data, plotter):$/;" m class:TestPlotTypes +test_plot_xyaxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_xyaxis(self, ax, test_data, plotter):$/;" m class:TestPlotTypes +test_plot_yaxis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_plot_yaxis(self, ax, test_data, plotter):$/;" m class:TestPlotTypes +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf(self):$/;" m class:TestBinom +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf(self):$/;" m class:TestGeom +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf(self):$/;" m class:TestNBinom +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf(self):$/;" m class:TestRvDiscrete +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf(self):$/;" m class:TestSkellam +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pmf(self):$/;" m class:TestMultinomial +test_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pmf(self, x, m, n, expected):$/;" m class:TestMultivariateHypergeom +test_pmf_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf_basic(self):$/;" m class:TestPoisson +test_pmf_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pmf_broadcasting(self):$/;" m class:TestMultinomial +test_pmf_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pmf_broadcasting(self, x, m, n, expected):$/;" m class:TestMultivariateHypergeom +test_pmf_small_p adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_pmf_small_p(self):$/;" m class:TestLogser +test_pmt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_pmt(self):$/;" m class:TestFinancial +test_pmt_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_pmt_decimal(self):$/;" m class:TestFinancial +test_pngsuite adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_png.py /^def test_pngsuite():$/;" f +test_pointbiserial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_pointbiserial(self):$/;" f function:test_kendall_p_exact_large file: +test_pointbiserial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_pointbiserial():$/;" f +test_pointer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_pointer(self):$/;" m class:TestFromCTypes +test_pointer adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_pointer(self):$/;" m class:TestAsArray +test_pointers adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_pointers(self):$/;" m class:TestPointers +test_pointers_replicated adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_pointers_replicated(self):$/;" m class:TestPointerStructures +test_pointers_replicated_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_pointers_replicated_3d(self):$/;" m class:TestPointerStructures +test_points adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_points(a, b):$/;" f +TEST_POINTS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^TEST_POINTS = {$/;" v +test_points_near adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_points_near(self):$/;" m class:ConsistencyTests +test_points_near_l1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_points_near_l1(self):$/;" m class:ConsistencyTests +test_points_near_linf adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_points_near_linf(self):$/;" m class:ConsistencyTests +test_point_in_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_point_in_path():$/;" f +test_point_in_path_nan adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_point_in_path_nan():$/;" f +test_point_wise_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_point_wise_multiply(self):$/;" m class:TestLIL +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_poisson(self):$/;" m class:TestBroadcast +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_poisson(self):$/;" m class:TestRandomDist +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_poisson(self):$/;" m class:TestBroadcast +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_poisson(self):$/;" m class:TestRandomDist +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_poisson(self):$/;" m class:TestBroadcast +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_poisson(self):$/;" m class:TestRandomDist +test_poisson adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_poisson(self):$/;" m class:RNG +test_poisson adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_poisson(self):$/;" m class:TestExpect +test_poisson_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_poisson_exceptions(self):$/;" m class:TestRandomDist +test_poisson_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_poisson_exceptions(self):$/;" m class:TestRandomDist +test_poisson_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_poisson_exceptions(self):$/;" m class:TestRandomDist +test_poisson_logpmf_ticket_1436 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_poisson_logpmf_ticket_1436():$/;" f +test_polar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_polar():$/;" f +test_polar_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_alignment():$/;" f +test_polar_annotations adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_annotations():$/;" f +test_polar_box adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^def test_polar_box():$/;" f +test_polar_coord_annotations adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_coord_annotations():$/;" f +test_polar_gridlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_gridlines():$/;" f +test_polar_interpolation_steps_constant_r adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_interpolation_steps_constant_r(fig_test, fig_ref):$/;" f +test_polar_interpolation_steps_variable_r adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_polar_interpolation_steps_variable_r(fig_test, fig_ref):$/;" f +test_polar_interpolation_steps_variable_r adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_interpolation_steps_variable_r(fig_test, fig_ref):$/;" f +test_polar_invertedylim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_invertedylim():$/;" f +test_polar_invertedylim_rorigin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_invertedylim_rorigin():$/;" f +test_polar_negative_rmin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_negative_rmin():$/;" f +test_polar_not_datalim_adjustable adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_not_datalim_adjustable():$/;" f +test_polar_no_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_no_data():$/;" f +test_polar_rlabel_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rlabel_position():$/;" f +test_polar_rlim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rlim(fig_test, fig_ref):$/;" f +test_polar_rlim_bottom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rlim_bottom(fig_test, fig_ref):$/;" f +test_polar_rlim_zero adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rlim_zero():$/;" f +test_polar_rmin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rmin():$/;" f +test_polar_rorigin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_rorigin():$/;" f +test_polar_theta_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_theta_limits():$/;" f +test_polar_theta_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_theta_position():$/;" f +test_polar_twice adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_twice():$/;" f +test_polar_units_1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_units_1(fig_test, fig_ref):$/;" f +test_polar_units_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_units_2(fig_test, fig_ref):$/;" f +test_polar_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_polar_wrap(fig_test, fig_ref):$/;" f +test_poles adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_poles(self):$/;" m class:TestHyp1f1 +test_pole_one adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_pole_one(self):$/;" m class:Test_bode +test_pole_one adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_pole_one(self):$/;" m class:Test_dfreqresp +test_pole_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_pole_zero(self):$/;" m class:Test_freqresp +test_poly adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly(self):$/;" m class:TestPolynomial +test_poly1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_poly1d(self):$/;" m class:TestRegression +test_poly1d_math adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly1d_math(self):$/;" m class:TestPolynomial +test_poly1d_misc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly1d_misc(self):$/;" m class:TestPolynomial +test_poly1d_nan_roots adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_poly1d_nan_roots(self):$/;" m class:TestRegression +test_poly1d_resolution adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly1d_resolution(self):$/;" m class:TestPolynomial +test_poly1d_str_and_repr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly1d_str_and_repr(self):$/;" m class:TestPolynomial +test_poly1d_variable_arg adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly1d_variable_arg(self):$/;" m class:TestPolynomial +test_poly2cheb adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_poly2cheb(self):$/;" m class:TestMisc +test_poly2herm adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_poly2herm(self):$/;" m class:TestMisc +test_poly2herme adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_poly2herme(self):$/;" m class:TestMisc +test_poly2lag adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_poly2lag(self):$/;" m class:TestMisc +test_poly2leg adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_poly2leg(self):$/;" m class:TestMisc +test_poly3dcollection_alpha adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_poly3dcollection_alpha():$/;" f +test_poly3dcollection_closed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_poly3dcollection_closed():$/;" f +test_polyadd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyadd(self):$/;" m class:TestArithmetic +test_polycollection_close adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_polycollection_close():$/;" f +test_polycollection_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_polycollection_joinstyle():$/;" f +test_polyder adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyder(self):$/;" m class:TestDerivative +test_polyder adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_polyder():$/;" f +test_polyder_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyder_axis(self):$/;" m class:TestDerivative +test_polyder_return_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_polyder_return_type(self):$/;" m class:TestRegression +test_polydiv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_polydiv(self):$/;" m class:TestPolynomial +test_polydiv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polydiv(self):$/;" m class:TestArithmetic +test_polydiv_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_polydiv_type(self):$/;" m class:TestRegression +test_polydomain adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polydomain(self):$/;" m class:TestConstants +test_polyfit adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_polyfit(self):$/;" m class:TestPolynomial +test_polyfit adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_polyfit(self):$/;" m class:TestPolynomial +test_polyfit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyfit(self):$/;" m class:TestMisc +test_polyfit_build adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_polyfit_build(self):$/;" m class:TestRegression +test_polyfit_with_masked_NaNs adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_polyfit_with_masked_NaNs(self):$/;" m class:TestPolynomial +test_polyfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyfromroots(self):$/;" m class:TestMisc +test_polygamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_polygamma(self):$/;" m class:TestPolygamma +test_polygamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_polygamma(self):$/;" m class:TestSystematic +test_Polygon_close adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_Polygon_close():$/;" f +test_polygon_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_polygon_selector():$/;" f +test_polygrid2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polygrid2d(self):$/;" m class:TestEvaluation +test_polygrid3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polygrid3d(self):$/;" m class:TestEvaluation +test_polyint adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyint(self):$/;" m class:TestIntegral +test_polyint_axis adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyint_axis(self):$/;" m class:TestIntegral +test_polyint_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_polyint_type(self):$/;" m class:TestRegression +test_polyline adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyline(self):$/;" m class:TestMisc +test_polymul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polymul(self):$/;" m class:TestArithmetic +test_polymulx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polymulx(self):$/;" m class:TestArithmetic +test_polynomial_mapdomain adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_polynomial_mapdomain():$/;" f +test_polynomial_model adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_polynomial_model(self):$/;" m class:TestODR +test_polynomial_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_polynomial_str(self):$/;" m class:TestRepr +test_polynomial_str adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_polynomial_str(self):$/;" m class:TestStr +test_polyone adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyone(self):$/;" m class:TestConstants +test_polypow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polypow(self):$/;" m class:TestArithmetic +test_polyroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyroots(self):$/;" m class:TestMisc +test_polysub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polysub(self):$/;" m class:TestArithmetic +test_polytrim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polytrim(self):$/;" m class:TestMisc +test_polyval adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyval(self):$/;" m class:TestEvaluation +test_polyval2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyval2d(self):$/;" m class:TestEvaluation +test_polyval3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyval3d(self):$/;" m class:TestEvaluation +test_polyvalfromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyvalfromroots(self):$/;" m class:TestEvaluation +test_polyvander adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyvander(self):$/;" m class:TestVander +test_polyvander2d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyvander2d(self):$/;" m class:TestVander +test_polyvander3d adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyvander3d(self):$/;" m class:TestVander +test_polyx adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyx(self):$/;" m class:TestConstants +test_polyzero adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_polyzero(self):$/;" m class:TestConstants +test_poly_coeffs_mutable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly_coeffs_mutable(self):$/;" m class:TestPolynomial +test_poly_collection_2d_to_3d_empty adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_poly_collection_2d_to_3d_empty():$/;" f +test_poly_div adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_poly_div(self):$/;" m class:TestRegression +test_poly_eq adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly_eq(self):$/;" m class:TestPolynomial +test_poly_eq adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_poly_eq(self):$/;" m class:TestRegression +test_poly_int_overflow adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_poly_int_overflow(self):$/;" m class:TestPolynomial +test_poly_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_poly_marker(fig_test, fig_ref):$/;" f +test_poly_vs_filtfilt adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_poly_vs_filtfilt(self):$/;" m class:TestResample +test_pool adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_pool():$/;" f +test_pooling adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pool_op_test.py /^ def test_pooling(self, stride, pad, kernel, size,$/;" m class:PoolTest +test_pooling adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling(self, stride, pad, kernel, size,$/;" m class:TestPooling +test_pooling_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling_1d(self, stride, pad, kernel, size, input_channels,$/;" m class:TestPooling +test_pooling_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling_3d(self, stride, pad, kernel, size, input_channels,$/;" m class:TestPooling +test_pooling_big_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling_big_batch(self, gc, dc):$/;" m class:TestPooling +test_pooling_separate_stride_pad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling_separate_stride_pad(self, stride_h, stride_w,$/;" m class:TestPooling +test_pooling_with_index adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pooling_test.py /^ def test_pooling_with_index(self, stride, pad, kernel, size,$/;" m class:TestPooling +test_pop adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_pop(self):$/;" m class:TestAdditionalMappingFuncs +test_population_initiation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_population_initiation(self):$/;" m class:TestDifferentialEvolutionSolver +test_pop_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_pop_default(self):$/;" m class:TestAdditionalMappingFuncs +test_pop_item adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_pop_item(self):$/;" m class:TestAdditionalMappingFuncs +test_pop_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_pop_raises(self):$/;" m class:TestAdditionalMappingFuncs +test_posinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_posinf(self):$/;" m class:TestIsfinite +test_posinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_posinf(self):$/;" m class:TestIsinf +test_posinf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_posinf(self):$/;" m class:TestIsnan +test_posinf_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_posinf_scalar(self):$/;" m class:TestIsinf +test_positive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_positive(self):$/;" m class:TestBaseRepr +test_positive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_positive(self):$/;" m class:TestBinaryRepr +test_positive_on_non_number adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_positive_on_non_number(self):$/;" m class:TestPositiveOnNonNumerical +test_positive_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_positive_zero(self):$/;" m class:TestArctan2SpecialValues +test_post_hooks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_post_hooks(self):$/;" m class:DistAutogradTest +test_pos_and_sym adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_pos_and_sym(self):$/;" m class:TestSolve +test_pos_array_ufunc_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_pos_array_ufunc_override(self):$/;" m class:TestBinop +test_pos_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_pos_nan():$/;" f +test_pow adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_pow(self, inputs, gc, dc):$/;" m class:TestATen +test_pow adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_pow(self):$/;" m class:TestAlgebra +test_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_pow(Poly):$/;" f +test_pow adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_pow(self):$/;" m class:_TestCommon +test_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_powell(self):$/;" m class:CheckOptimizeParameterized +test_powell_bounded adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_powell_bounded(self):$/;" m class:CheckOptimizeParameterized +test_powell_limit adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_powell_limit(self):$/;" m class:TestIterationLimits +test_power adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_power(self):$/;" m class:TestMaskedArrayFunctions +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_power(self):$/;" m class:TestBroadcast +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_power(self):$/;" m class:TestRandomDist +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_power(self):$/;" m class:TestBroadcast +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_power(self):$/;" m class:TestRandomDist +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_power(self):$/;" m class:TestBroadcast +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_power(self):$/;" m class:TestRandomDist +test_power adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_power(self):$/;" m class:RNG +test_powerlaw_edge adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_powerlaw_edge():$/;" f +test_powerlaw_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_powerlaw_stats():$/;" f +test_PowerNorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_PowerNorm():$/;" f +test_PowerNorm_translation_invariance adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_PowerNorm_translation_invariance():$/;" f +test_power_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_power_complex(self):$/;" m class:TestPower +test_power_divergence_against_cressie_read_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_power_divergence_against_cressie_read_data():$/;" f +test_power_divergence_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_power_divergence_result_attributes(self):$/;" m class:TestPowerDivergence +Test_POWER_Features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^class Test_POWER_Features(AbstractTest):$/;" c +test_power_float adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_power_float(self):$/;" m class:TestPower +test_power_is_minus_one adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_power_is_minus_one(self, dt):$/;" m class:TestMatrixPower +test_power_is_one adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_power_is_one(self, dt):$/;" m class:TestMatrixPower +test_power_is_two adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_power_is_two(self, dt):$/;" m class:TestMatrixPower +test_power_is_zero adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_power_is_zero(self, dt):$/;" m class:TestMatrixPower +test_power_of_two adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_power_of_two(self):$/;" m class:TestUserblock +test_power_with_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_power_with_broadcasting(self):$/;" m class:TestMaskedArrayFunctions +test_power_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_power_zero(self):$/;" m class:TestPower +test_powt adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_powt(self, n, m, d, gc, dc, seed):$/;" m class:TestElementwiseOps +test_pow_array_object_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_pow_array_object_dtype(self):$/;" m class:TestBinop +test_pow_override_with_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_pow_override_with_errors(self):$/;" m class:TestBinop +test_ppc64_ibm_double_double128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_ppc64_ibm_double_double128(self):$/;" m class:TestRealScalars +test_ppcc_max_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_ppcc_max_bad_arg(self):$/;" m class:TestPpccMax +test_ppcc_max_basic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_ppcc_max_basic(self):$/;" m class:TestPpccMax +test_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf(self):$/;" m class:TestChi2 +test_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf(self):$/;" m class:TestGeom +test_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf(self):$/;" m class:TestKSTwo +test_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf(self):$/;" m class:TestNormInvGauss +test_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf(self):$/;" m class:TestRvDiscrete +test_ppf_of_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf_of_cdf(self):$/;" m class:TestKSTwo +test_ppf_of_cdf_sqrtn adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf_of_cdf_sqrtn(self):$/;" m class:TestKSTwo +test_ppf_ticket1131 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf_ticket1131(self):$/;" m class:TestTruncnorm +test_ppf_underflow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_ppf_underflow(self):$/;" m class:TestGeom +test_ppf_with_loc adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def test_ppf_with_loc(dist, args):$/;" f +test_ppmt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ppmt(self):$/;" m class:TestFinancial +test_ppmt_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ppmt_decimal(self):$/;" m class:TestFinancial +test_ppmt_special_rate adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ppmt_special_rate(self):$/;" m class:TestFinancial +test_ppmt_special_rate_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_ppmt_special_rate_decimal(self):$/;" m class:TestFinancial +test_ppoly adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_ppoly(self):$/;" m class:TestBSpline +test_pprint adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_pprint(self, value, domain, expected):$/;" m class:TestLogFormatter +test_pptrs_pptri_pptrf_ppsv_ppcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pptrs_pptri_pptrf_ppsv_ppcon(dtype, lower):$/;" f +test_pp_from_bp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_pp_from_bp(self):$/;" m class:TestPolyConversions +test_pratt adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_pratt(self):$/;" m class:TestWilcoxon +test_precenter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_precenter(self):$/;" m class:TestLombscargle +test_precise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_precise(self):$/;" m class:TestFisherExact +test_precision adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_precision(self):$/;" m class:TestHistogram +test_precision adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_precision(self):$/;" m class:TestMMIOCoordinate +test_precision adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_precision(self):$/;" m class:TestChi2 +test_precision adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_precision(self):$/;" m class:TestHypergeom +test_precision2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_precision2(self):$/;" m class:TestHypergeom +test_precisions_consistent adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_precisions_consistent(self):$/;" m class:TestComplexFunctions +test_precision_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_precision_zero(self):$/;" m class:TestPrintOptions +test_precomputed_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^def test_precomputed_cases():$/;" f +test_preconditioner adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_preconditioner(self):$/;" m class:TestGCROTMK +test_preconditioner adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lgmres.py /^ def test_preconditioner(self):$/;" m class:TestLGMRES +test_precond_dummy adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_precond_dummy():$/;" f +test_precond_inverse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_precond_inverse():$/;" f +test_preConvert adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/pre_convert_test.py /^ def test_preConvert(self, input_channels, batch_size):$/;" m class:PreConvertTest +test_predecessors adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_predecessors():$/;" f +test_predictor_memory_model adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_predictor_memory_model(self):$/;" m class:TestPredictor +test_predict_multi_output_model_with_dataset_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_multi_output_model_with_dataset_with_partial_batch($/;" m class:TestDistributionStrategyWithDatasets +test_predict_multi_output_model_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_multi_output_model_with_partial_batch($/;" m class:TestDistributionStrategyWithNumpyArrays +test_predict_on_dataset_shard_options_file_multi_worker_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_on_dataset_shard_options_file_multi_worker_mirrored($/;" m class:TestDistributionStrategyWithDatasetsFile +test_predict_on_dataset_with_unknown_cardinality_without_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_on_dataset_with_unknown_cardinality_without_steps($/;" m class:TestDistributionStrategyWithDatasets +test_predict_with_dataset_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_with_dataset_with_partial_batch($/;" m class:TestDistributionStrategyWithDatasets +test_predict_with_partial_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_predict_with_partial_batch(self, distribution):$/;" m class:TestDistributionStrategyWithNumpyArrays +test_prelu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_prelu(self, X, alpha, inplace, shared, order, seed, gc, dc):$/;" m class:TestActivations +test_prepare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_prepare(self):$/;" m class:TestSpecialMethods +test_prepare_constraint_infeasible_x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^def test_prepare_constraint_infeasible_x0():$/;" f +test_prepare_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_prepare_out(self):$/;" m class:TestSpecialMethods +test_prepend adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_prepend(request):$/;" f +test_prepend adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_prepend(self):$/;" m class:TestDiff +test_prepending_ones adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_prepending_ones(self):$/;" m class:TestBroadcastedAssignments +test_prepend_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/prepend_dim_test.py /^ def test_prepend_dim(self):$/;" m class:TestPrependDim +test_prepend_not_one adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_prepend_not_one(self):$/;" m class:TestBroadcastedAssignments +test_presence_mask adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_presence_mask(self, gc, dc):$/;" m class:TestTensorPackOps +test_presence_mask_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_presence_mask_empty(self):$/;" m class:TestTensorPackOps +test_preserve_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_preserve_order(self):$/;" m class:TestMoveaxis +test_preserve_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_preserve_shape(self):$/;" m class:TestUnivariateSpline +test_preserve_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_preserve_subclass(self):$/;" m class:TestApplyAlongAxis +test_preserve_subtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_preserve_subtype(self):$/;" m class:TestRequire +test_pressure_network_no_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_no_gradient(self):$/;" m class:TestFSolve +test_pressure_network_no_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_no_gradient(self):$/;" m class:TestRootHybr +test_pressure_network_no_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_no_gradient(self):$/;" m class:TestRootLM +test_pressure_network_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_with_gradient(self):$/;" m class:TestFSolve +test_pressure_network_with_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_with_gradient(self):$/;" m class:TestRootHybr +test_pressure_network_with_gradient_combined adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_pressure_network_with_gradient_combined(self):$/;" m class:TestRootHybr +test_pretty_print_format adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_finder.py /^def test_pretty_print_format():$/;" f +test_previous adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_previous(self):$/;" m class:TestInterp1D +test_prewitt01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_prewitt01(self, dtype):$/;" m class:TestNdimageFilters +test_prewitt02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_prewitt02(self, dtype):$/;" m class:TestNdimageFilters +test_prewitt03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_prewitt03(self, dtype):$/;" m class:TestNdimageFilters +test_prewitt04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_prewitt04(self, dtype):$/;" m class:TestNdimageFilters +test_pre_train_synchronization_barrier adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_pre_train_synchronization_barrier(self):$/;" m class:DataParallelModelTest +test_pre_transform_plotting adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_pre_transform_plotting():$/;" f +test_prim adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_prim(self, harness: primitive_harness.Harness):$/;" m class:JaxPrimitiveTest +test_primitive_coverage adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_primitive_coverage(self):$/;" m class:JaxPrimitiveTest +test_print adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_print(self, dtype):$/;" m class:TestOperators +test_print adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^ def test_print(self):$/;" m class:TestNetPrinter +test_printing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_threads.py /^ def test_printing(self):$/;" m class:TestErrorPrinting +test_printing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_printing():$/;" f +test_priority adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_priority(self):$/;" m class:TestSpecialMethods +test_priority_with_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_priority_with_scalar(self):$/;" m class:TestSpecialMethods +test_problematic_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_problematic_matrix(self):$/;" m class:TestExpmFrechet +test_problem_nonlin adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_problem_nonlin(self):$/;" m class:TestNonlin +test_problem_root adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_problem_root(self):$/;" m class:TestNonlin +test_probplot_bad_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_probplot_bad_args(self):$/;" m class:TestProbplot +test_process_group_debug_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_process_group_debug_info(self):$/;" m class:ProcessGroupAgentRpcTest +test_process_group_options_throw_on_timedelta_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_process_group_options_throw_on_timedelta_timeout(self):$/;" m class:ProcessGroupAgentRpcTest +test_process_group_set_default_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_process_group_set_default_timeout(self):$/;" m class:ProcessGroupAgentRpcTest +test_procrustes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes(self):$/;" m class:TestProcrustes +test_procrustes2 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes2(self):$/;" m class:TestProcrustes +test_procrustes_bad_number_of_dimensions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes_bad_number_of_dimensions(self):$/;" m class:TestProcrustes +test_procrustes_empty_rows_or_cols adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes_empty_rows_or_cols(self):$/;" m class:TestProcrustes +test_procrustes_no_variation adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes_no_variation(self):$/;" m class:TestProcrustes +test_procrustes_shape_mismatch adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__procrustes.py /^ def test_procrustes_shape_mismatch(self):$/;" m class:TestProcrustes +test_prod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_prod(self):$/;" m class:TestMethods +test_prod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_prod(self):$/;" m class:TestNonarrayArgs +test_prod adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_prod(self):$/;" m class:TestProperties +test_product_operator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_product_operator(self):$/;" m class:TestOperators +test_prod_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_prod_object(self):$/;" m class:TestMaskedArrayMathMethods +test_profiler_export_trace adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_export_trace(self):$/;" m class:RpcTest +test_profiler_remote_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_remote_cuda(self):$/;" m class:RpcTest +test_profiler_remote_events_profiled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_remote_events_profiled(self):$/;" m class:RpcTest +test_profiler_remote_events_profiled_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_remote_events_profiled_single_threaded(self):$/;" m class:RpcTest +test_profiler_rpc_key_names adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_rpc_key_names(self):$/;" m class:RpcTest +test_profiler_rpc_memory adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_rpc_memory(self):$/;" m class:RpcTest +test_profiler_rpc_record_shapes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_rpc_record_shapes(self):$/;" m class:RpcTest +test_profiler_with_async_rpc_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_async_rpc_builtin(self):$/;" m class:RpcTest +test_profiler_with_async_rpc_builtin_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_async_rpc_builtin_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_async_rpc_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_async_rpc_udf(self):$/;" m class:RpcTest +test_profiler_with_async_rpc_udf_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_async_rpc_udf_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_autograd_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_autograd_context(self):$/;" m class:RpcTest +test_profiler_with_autograd_context_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_autograd_context_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_remote_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_remote_builtin(self):$/;" m class:RpcTest +test_profiler_with_remote_builtin_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_remote_builtin_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_remote_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_remote_udf(self):$/;" m class:RpcTest +test_profiler_with_remote_udf_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_remote_udf_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_script_async_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_async_rpc(self):$/;" m class:RpcTest +test_profiler_with_script_async_rpc_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_async_rpc_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_script_remote_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_remote_rpc(self):$/;" m class:RpcTest +test_profiler_with_script_remote_rpc_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_remote_rpc_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_script_sync_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_sync_rpc(self):$/;" m class:RpcTest +test_profiler_with_script_sync_rpc_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_script_sync_rpc_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_sync_rpc_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_sync_rpc_builtin(self):$/;" m class:RpcTest +test_profiler_with_sync_rpc_builtin_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_sync_rpc_builtin_single_threaded(self):$/;" m class:RpcTest +test_profiler_with_sync_rpc_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_sync_rpc_udf(self):$/;" m class:RpcTest +test_profiler_with_sync_rpc_udf_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_profiler_with_sync_rpc_udf_single_threaded(self):$/;" m class:RpcTest +test_proj_axes_cube adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_proj_axes_cube():$/;" f +test_proj_axes_cube_ortho adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_proj_axes_cube_ortho():$/;" f +test_proj_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_proj_transform():$/;" f +test_prominence_condition adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_prominence_condition(self):$/;" m class:TestFindPeaks +test_promote_types_endian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_promote_types_endian(self):$/;" m class:TestTypes +test_promote_types_strings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_promote_types_strings(self):$/;" m class:TestTypes +test_propagates_nan_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_propagates_nan_values(self):$/;" m class:TestGeometricStandardDeviation +test_properties adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_properties():$/;" f +test_properties adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_properties(self):$/;" m class:TestStateSpaceDisc +test_properties adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_properties(self):$/;" m class:TestTransferFunction +test_properties adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_properties(self):$/;" m class:TestStateSpace +test_properties adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_properties(self):$/;" m class:TestTransferFunction +test_properties adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_properties(self):$/;" m class:TestFindPeaks +test_property adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_property(self):$/;" m class:TestCreateFillvalue +test_property adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_property(self):$/;" m class:TestFileProperty +test_property_collision_fill adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_property_collision_fill():$/;" f +test_property_collision_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_property_collision_plot():$/;" f +test_property_none adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_property_none(self):$/;" m class:TestCreateFillvalue +test_pROUNDROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pROUNDROUND(self):$/;" m class:TestCorrPearsonr +test_pro_ang1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_ang1(self):$/;" m class:TestCephes +test_pro_ang1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_ang1_cv(self):$/;" m class:TestCephes +test_pro_cv_seq adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_cv_seq(self):$/;" m class:TestProCvSeq +test_pro_rad1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_rad1(self):$/;" m class:TestCephes +test_pro_rad1_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_rad1_cv(self):$/;" m class:TestCephes +test_pro_rad2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_rad2(self):$/;" m class:TestCephes +test_pro_rad2_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_pro_rad2_cv(self):$/;" m class:TestCephes +test_psd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd(self):$/;" m class:TestSpectral +test_psd_csd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_psd_csd():$/;" f +test_psd_csd_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_csd_equal(self):$/;" m class:TestSpectral +test_psd_detrend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_detrend(self, make_data, detrend):$/;" m class:TestSpectral +test_psd_onesided_norm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^def test_psd_onesided_norm():$/;" f +test_psd_oversampling adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^def test_psd_oversampling():$/;" f +test_psd_windowarray adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_windowarray(self):$/;" m class:TestSpectral +test_psd_windowarray_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_windowarray_equal(self):$/;" m class:TestSpectral +test_psd_windowarray_scale_by_freq adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_windowarray_scale_by_freq(self):$/;" m class:TestSpectral +test_psd_window_hanning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_window_hanning(self):$/;" m class:TestSpectral +test_psd_window_hanning_detrend_linear adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_psd_window_hanning_detrend_linear(self):$/;" m class:TestSpectral +test_pseudodet_pinv adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_pseudodet_pinv(self):$/;" m class:TestMultivariateNormal +test_pseudo_huber adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_pseudo_huber():$/;" f +test_PsfontsMap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dviread.py /^def test_PsfontsMap(monkeypatch):$/;" f +test_psi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_psi(self):$/;" m class:TestCephes +test_psi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_psi(self):$/;" m class:TestPsi +test_pstf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pstf2():$/;" f +test_pstrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pstrf():$/;" f +test_pteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pteqr(dtype, realtype, compute_z):$/;" f +test_pteqr_error_non_spd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pteqr_error_non_spd(dtype, realtype, compute_z):$/;" f +test_pteqr_error_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pteqr_error_singular(dtype, realtype, compute_z):$/;" f +test_pteqr_NAG_f08jgf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pteqr_NAG_f08jgf(compute_z, d, e, d_expect, z_expect):$/;" f +test_pteqr_raise_error_wrong_shape adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pteqr_raise_error_wrong_shape(dtype, realtype, compute_z):$/;" f +test_pTINYROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pTINYROUND(self):$/;" m class:TestCorrPearsonr +test_pTINYTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pTINYTINY(self):$/;" m class:TestCorrPearsonr +test_ptp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ptp(self):$/;" m class:TestNonarrayArgs +test_ptp adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ptp(self):$/;" m class:TestMaskedArrayMathMethods +test_ptp adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_ptp(self):$/;" m class:TestArrayMethods +test_ptp adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_ptp(self):$/;" m class:TestProperties +test_ptsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_ptsvx(dtype, realtype, fact, df_de_lambda):$/;" f +test_ptsvx_error_raise_errors adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_ptsvx_error_raise_errors(dtype, realtype, fact, df_de_lambda):$/;" f +test_ptsvx_NAG adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_ptsvx_NAG(d, e, b, x):$/;" f +test_ptsvx_non_SPD_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_ptsvx_non_SPD_singular(dtype, realtype, fact, df_de_lambda):$/;" f +test_pttrf_pttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pttrf_pttrs(ddtype, dtype):$/;" f +test_pttrf_pttrs_errors_incompatible_shape adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pttrf_pttrs_errors_incompatible_shape(ddtype, dtype):$/;" f +test_pttrf_pttrs_errors_singular_nonSPD adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pttrf_pttrs_errors_singular_nonSPD(ddtype, dtype):$/;" f +test_pttrf_pttrs_NAG adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_pttrf_pttrs_NAG(d, e, d_expect, e_expect, b, x_expect):$/;" f +Test_PT_ONNX_TRT adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^class Test_PT_ONNX_TRT(unittest.TestCase):$/;" c +test_pure_subclass_info_preservation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_pure_subclass_info_preservation(self):$/;" m class:TestSubclassing +test_put adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_put(self):$/;" m class:TestMethods +test_put adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_put(self):$/;" m class:TestMaskedArrayMethods +test_putmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_putmask(self):$/;" m class:TestMaskedArrayMethods +test_putmask_noncontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_putmask_noncontiguous(self):$/;" m class:TestWritebackIfCopy +test_put_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_put_exceptions(self):$/;" m class:TestIndexErrors +test_put_hardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_put_hardmask(self):$/;" m class:TestMaskedArrayMethods +test_put_nomask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_put_nomask(self):$/;" m class:TestMaskedArrayMethods +test_put_noncontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_put_noncontiguous(self):$/;" m class:TestWritebackIfCopy +test_pv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_pv(self):$/;" m class:TestFinancial +test_pv_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_pv_decimal(self):$/;" m class:TestFinancial +test_pXBIG adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXBIG(self):$/;" m class:TestCorrPearsonr +test_pXHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXHUGE(self):$/;" m class:TestCorrPearsonr +test_pXLITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXLITTLE(self):$/;" m class:TestCorrPearsonr +test_pXROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXROUND(self):$/;" m class:TestCorrPearsonr +test_pXTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXTINY(self):$/;" m class:TestCorrPearsonr +test_pXX adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_pXX(self):$/;" m class:TestCorrPearsonr +test_py23_compatibility adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_py23_compatibility():$/;" f +test_py2_float_print adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_py2_float_print(self):$/;" m class:TestRealScalars +test_py3_compat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_py3_compat(self):$/;" m class:TestRegression +test_pydatetime_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_pydatetime_creation(self):$/;" m class:TestDateTime +test_pyobject_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_pyobject_roundtrip(self):$/;" m class:TestDateTime +test_pyplot_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_pyplot_axes():$/;" f +test_pyplot_box adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^def test_pyplot_box():$/;" f +test_pyplot_up_to_date adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^def test_pyplot_up_to_date(tmpdir):$/;" f +test_python2_python3_interoperability adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_python2_python3_interoperability():$/;" f +test_python_complex_conjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_python_complex_conjugate(self):$/;" m class:TestUfuncGenericLoops +test_python_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_python_type(self):$/;" m class:TestStats +test_pytree adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_pytree(self):$/;" m class:ShapePolyTest +test_pytrees adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_pytrees(self):$/;" m class:Jax2TfTest +test_pytype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_pytype(self):$/;" m class:TestMedian +test_py_0d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_py_0d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_py_1d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_py_1d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_py_2d_defaults adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_py_2d_defaults(self):$/;" m class:Test_init_nd_shape_and_axes +test_py_built_in adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_built_in(self):$/;" m class:RpcTest +test_py_class_constructor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_class_constructor(self):$/;" m class:RpcTest +test_py_class_instance_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_class_instance_method(self):$/;" m class:RpcTest +test_py_class_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_class_method(self):$/;" m class:RpcTest +test_py_class_static_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_class_static_method(self):$/;" m class:RpcTest +test_py_function_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_function_exception(self):$/;" m class:RpcTest +test_py_multi_async_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_multi_async_call(self):$/;" m class:RpcTest +test_py_nested_pickle adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_nested_pickle(self):$/;" m class:RpcTest +test_py_no_return_result adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_no_return_result(self):$/;" m class:RpcTest +test_py_raise_in_user_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_raise_in_user_func(self):$/;" m class:RpcTest +test_py_rpc_rref_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_rpc_rref_args(self):$/;" m class:RpcTest +test_py_rref_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_rref_args(self):$/;" m class:RpcTest +test_py_rref_args_user_share adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_rref_args_user_share(self):$/;" m class:RpcTest +test_py_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_tensors(self):$/;" m class:RpcTest +test_py_tensors_in_container adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_tensors_in_container(self):$/;" m class:RpcTest +test_py_tensors_multi_async_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_tensors_multi_async_call(self):$/;" m class:RpcTest +test_py_udf_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_udf_remote(self):$/;" m class:RpcTest +test_py_user_defined adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_py_user_defined(self):$/;" m class:RpcTest +test_py_vq adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_py_vq(self):$/;" m class:TestVq +test_p_is_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_p_is_nan(self):$/;" m class:TestBinomial +test_p_is_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_p_is_nan(self):$/;" m class:TestBinomial +test_p_is_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_p_is_nan(self):$/;" m class:TestBinomial +test_p_max_default adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_p_max_default(self):$/;" m class:TestExpmActionSimple +test_p_max_range adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_p_max_range(self):$/;" m class:TestExpmActionSimple +test_p_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_p_non_contiguous(self):$/;" m class:TestMultinomial +test_p_non_contiguous adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_p_non_contiguous(self):$/;" m class:TestMultinomial +test_p_zero_stream adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_p_zero_stream(self):$/;" m class:TestRegression +Test_Qhull adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^class Test_Qhull(object):$/;" c +test_qhull_large_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_qhull_large_offset():$/;" f +test_qhull_triangle_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_qhull_triangle_orientation():$/;" f +test_qmf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_qmf(self):$/;" m class:TestWavelets +test_qr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qr(self):$/;" m class:TestOverwrite +test_qr_empty adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_qr_empty(self, m, n):$/;" m class:TestQR +test_qr_mode_full_future_warning adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_deprecations.py /^def test_qr_mode_full_future_warning():$/;" f +test_qr_scalar adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_qr_scalar(self):$/;" m class:BaseQRdelete +test_qspline1d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_qspline1d(self):$/;" m class:TestBSplines +test_qspline1d_eval adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_qspline1d_eval(self):$/;" m class:TestBSplines +test_quadmesh_set_array adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_quadmesh_set_array():$/;" f +test_quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_quadratic(self):$/;" m class:TestDifferentialEvolutionSolver +test_quadratic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_quadratic(self):$/;" m class:TestBSplines +test_quadratic_at_zero adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_quadratic_at_zero(self):$/;" m class:TestChirp +test_quadratic_at_zero2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_quadratic_at_zero2(self):$/;" m class:TestChirp +test_quadratic_deriv adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_quadratic_deriv(self):$/;" m class:TestInterp +test_quadratic_freq_01 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_quadratic_freq_01(self):$/;" m class:TestChirp +test_quadratic_freq_02 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_quadratic_freq_02(self):$/;" m class:TestChirp +test_quadratic_from_diff_ev adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_quadratic_from_diff_ev(self):$/;" m class:TestDifferentialEvolutionSolver +test_quadratic_model adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_quadratic_model(self):$/;" m class:TestODR +test_quadratic_smoketest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_quadratic_smoketest(self):$/;" m class:TestCloughTocher2DInterpolator +test_quadrature adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_quadrature(self):$/;" m class:TestQuadrature +test_quadrature_miniter adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_quadrature_miniter(self):$/;" m class:TestQuadrature +test_quadrature_rtol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_quadrature_rtol(self):$/;" m class:TestQuadrature +test_quadrature_single_args adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_quadrature_single_args(self):$/;" m class:TestQuadrature +test_quad_vec_pool adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_quad_vec_pool():$/;" f +test_quad_vec_simple adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_quad_vec_simple(quadrature):$/;" f +test_quad_vec_simple_inf adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def test_quad_vec_simple_inf(quadrature):$/;" f +test_quantile_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ def test_quantile_1(self):$/;" m class:TestQuantile +test_quantile_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ def test_quantile_2(self):$/;" m class:TestQuantile +test_quantile_3 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ def test_quantile_3(self):$/;" m class:TestQuantile +test_quantile_4 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ def test_quantile_4(self):$/;" m class:TestQuantile +test_quantile_dimensions adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_quantile_dimensions(self):$/;" m class:TestWishart +test_quantize_and_dequantize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^ def test_quantize_and_dequantize_op(self, input_data):$/;" m class:TestFused8BitRowwiseQuantizationConversion +test_quantize_and_dequantize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^ def test_quantize_and_dequantize_op(self, batch_size, block_size, bit_rate):$/;" m class:TestFusedNBitRowwiseQuantizationConversion +test_quantize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/fused_8bit_rowwise_conversion_ops_test.py /^ def test_quantize_op(self, input_data):$/;" m class:TestFused8BitRowwiseQuantizationConversion +test_quantize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^ def test_quantize_op(self):$/;" m class:TestQuantize8bits +test_quantize_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_conversion_ops_test.py /^ def test_quantize_op(self, input_data, bit_rate):$/;" m class:TestFusedNBitRowwiseQuantizationConversion +test_quantize_tensor_with_const_row_op adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^ def test_quantize_tensor_with_const_row_op(self):$/;" m class:TestQuantize8bits +test_query_ball_point_length adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_query_ball_point_length(kdtree_type):$/;" f +test_query_ball_point_multithreading adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_query_ball_point_multithreading(kdtree_type):$/;" f +test_query_ball_point_vector_r adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_query_ball_point_vector_r(kdtree_type):$/;" f +test_query_pairs_single_node adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_query_pairs_single_node(kdtree_type):$/;" f +test_quintic_derivs adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_quintic_derivs(self):$/;" m class:TestInterp +test_quiver3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_quiver3d():$/;" f +test_quiver3d_empty adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_quiver3d_empty(fig_test, fig_ref):$/;" f +test_quiver3d_masked adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_quiver3d_masked():$/;" f +test_quiver3D_smoke adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_quiver3D_smoke(fig_test, fig_ref):$/;" f +test_quiverkey_angles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiverkey_angles():$/;" f +test_quiver_animate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_animate():$/;" f +test_quiver_arg_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_arg_sizes():$/;" f +test_quiver_copy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_copy():$/;" f +test_quiver_key_memory_leak adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_key_memory_leak():$/;" f +test_quiver_key_pivot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_key_pivot():$/;" f +test_quiver_key_xy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_key_xy():$/;" f +test_quiver_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_quiver_limits():$/;" f +test_quiver_memory_leak adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_memory_leak():$/;" f +test_quiver_number_of_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_number_of_args():$/;" f +test_quiver_setuvc_numbers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_setuvc_numbers():$/;" f +test_quiver_single adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_single():$/;" f +test_quiver_units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_quiver_units():$/;" f +test_quiver_with_key adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_with_key():$/;" f +test_quiver_xy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_quiver_xy():$/;" f +test_quoted_character adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_quoted_character.py /^ def test_quoted_character(self):$/;" m class:TestQuotedCharacter +test_quoted_nominal adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test_quoted_nominal = pjoin(data_path, 'quoted_nominal.arff')$/;" v +test_quoted_nominal_spaces adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^test_quoted_nominal_spaces = pjoin(data_path, 'quoted_nominal_spaces.arff')$/;" v +test_qz_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_complex(self):$/;" m class:TestQZ +test_qz_complex64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_complex64(self):$/;" m class:TestQZ +test_qz_double adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_double(self):$/;" m class:TestQZ +test_qz_double_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_double_complex(self):$/;" m class:TestQZ +test_qz_double_sort adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_double_sort(self):$/;" m class:TestQZ +test_qz_single adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_qz_single(self):$/;" m class:TestQZ +test_Q_subtree_pre_order adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_Q_subtree_pre_order(self):$/;" m class:TestLeavesList +test_r adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_r(self):$/;" m class:TestRQ +test_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_R(self):$/;" m class:TestMultinomial +test_r1array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_r1array(self):$/;" m class:TestAtleast1d +test_r2array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_r2array(self):$/;" m class:TestAtleast2d +test_radd adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_radd(self):$/;" m class:TestOperations +test_radd adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_radd(self):$/;" m class:_TestCommon +test_radian adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_radian(self):$/;" m class:TestCephes +test_radian adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_radian(self):$/;" m class:TestRadian +test_radianmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_radianmore(self):$/;" m class:TestRadian +test_radians adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_radians(self):$/;" m class:TestRadians +test_ragged_ndim_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ragged_ndim_object(self):$/;" m class:TestCreation +test_ragged_shape_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ragged_shape_object(self):$/;" m class:TestCreation +test_ragged_tensor_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_ragged_tensor_outputs(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_raise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_raise(self):$/;" m class:TestTake +test_raise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_raise(self):$/;" m class:TestKeepdims +test_raises adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_raises():$/;" f +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_raises(self):$/;" m class:TestInterpolate +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^ def test_raises(self):$/;" m class:TestInterpolate +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ def test_raises(self):$/;" m class:TestCompanion +test_raises adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_raises(self):$/;" m class:TestFindPeaks +test_raises adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_raises(self):$/;" m class:TestFisherExact +test_raises adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_raises():$/;" f +test_raises_on_csc_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_on_csc_input():$/;" f +test_raises_on_dense_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_on_dense_input():$/;" f +test_raises_on_floating_point_input adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_on_floating_point_input():$/;" f +test_raises_value_error_inf_entry adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_raises_value_error_inf_entry(self):$/;" m class:TestGeometricStandardDeviation +test_raises_value_error_negative_entry adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_raises_value_error_negative_entry(self):$/;" m class:TestGeometricStandardDeviation +test_raises_value_error_non_array_like_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_raises_value_error_non_array_like_input(self):$/;" m class:TestGeometricStandardDeviation +test_raises_value_error_zero_entry adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_raises_value_error_zero_entry(self):$/;" m class:TestGeometricStandardDeviation +test_raises_when_sink_is_out_of_bounds adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_when_sink_is_out_of_bounds(sink):$/;" f +test_raises_when_source_is_out_of_bounds adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_when_source_is_out_of_bounds(source):$/;" f +test_raises_when_source_is_sink adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_raises_when_source_is_sink():$/;" f +test_raise_degree adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_raise_degree(self):$/;" m class:TestBPolyFromDerivatives +test_raise_exception adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def test_raise_exception(self):$/;" m class:TestTrustRegionConstr +test_rand adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_rand(self):$/;" m class:TestRandomDist +test_rand adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rand(self):$/;" m class:TestRandomDist +test_rand adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_rand():$/;" f +test_rand adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_rand(self):$/;" m class:TestInterpolativeDecomposition +test_rand adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_rand(self):$/;" m class:TestConstructUtils +test_randint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_randint(self):$/;" m class:TestRandomDist +test_randint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_randint(self):$/;" m class:TestRandomDist +test_randint adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_randint(self):$/;" m class:TestExpect +test_randint_117 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_randint_117(self):$/;" m class:TestRegression +test_randn adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_randn(self):$/;" m class:TestRandomDist +test_randn adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_randn(self):$/;" m class:TestRandomDist +test_randn adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_randn():$/;" f +test_randn adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_randn(self):$/;" m class:TestConstructUtils +test_randn_inv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_randn_inv(self):$/;" m class:TestAlgorithm_2_2 +test_randn_singleton adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_randn_singleton(self):$/;" m class:TestRandomDist +test_random adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_random(self):$/;" m class:TestDigitize +test_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_random(self):$/;" m class:TestRandomDist +test_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_random(self):$/;" m class:TestRandomDist +test_random adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_random(self):$/;" m class:RNG +test_random adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_random(self, size):$/;" m class:TestRfftn +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random(self):$/;" m class:TestDet +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random(self):$/;" m class:TestInv +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random(self):$/;" m class:TestSolve +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random(self):$/;" m class:TestHessenberg +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random(self):$/;" m class:TestQR +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random(self):$/;" m class:TestRQ +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random(self):$/;" m class:TestSVD_GESDD +test_random adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_random(self):$/;" m class:TestCholesky +test_random adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_random(self):$/;" m class:Test_RandomDisplacement +test_random adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_random(self):$/;" m class:TestSpsolveTriangular +Test_RandomDisplacement adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class Test_RandomDisplacement(object):$/;" c +test_random_12 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_random_12(self):$/;" m class:TestBPolyFromDerivatives +test_random_1d_stacked_arrays adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_1d_stacked_arrays(self):$/;" m class:TestCDF2RDF +test_random_2d_stacked_arrays adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_2d_stacked_arrays(self):$/;" m class:TestCDF2RDF +test_random_accept_str_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_random_accept_str_dtype(self):$/;" m class:TestConstructUtils +test_random_ball_vectorized adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_random_ball_vectorized(kdtree_type):$/;" f +test_random_b_and_c adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_b_and_c(self):$/;" m class:TestSolveCirculant +test_random_cases adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_random_cases(self):$/;" m class:TestEinsum +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_random_complex(self):$/;" m class:_TestIFFTBase +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_random_complex(self, maxnlp, size):$/;" m class:TestIfftn +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_random_complex(self):$/;" m class:_TestIFFTBase +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_random_complex(self, maxnlp, size):$/;" m class:TestIfftn +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_complex(self):$/;" m class:TestDet +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_complex(self):$/;" m class:TestInv +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_complex(self):$/;" m class:TestSolve +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex(self):$/;" m class:TestHessenberg +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex(self):$/;" m class:TestQR +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex(self):$/;" m class:TestRQ +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex(self):$/;" m class:TestSVD_GESDD +test_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_random_complex(self):$/;" m class:TestCholesky +test_random_complex_economic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex_economic(self):$/;" m class:TestRQ +test_random_complex_exact adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_complex_exact(self):$/;" m class:TestLstsq +test_random_complex_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex_left(self):$/;" m class:TestQR +test_random_complex_overdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_complex_overdet(self):$/;" m class:TestLstsq +test_random_complex_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex_pivoting(self):$/;" m class:TestQR +test_random_complex_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_complex_right(self):$/;" m class:TestQR +test_random_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_random_data(self, axes):$/;" m class:TestFFTConvolve +test_random_data_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_random_data_axes(self, axes):$/;" m class:TestFFTConvolve +test_random_data_multidim_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_random_data_multidim_axes(self, axes):$/;" m class:TestFFTConvolve +test_random_even adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_even(self):$/;" m class:TestDiff +test_random_even adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_even(self):$/;" m class:TestHilbert +test_random_even adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_even(self):$/;" m class:TestTilbert +test_random_exact adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_exact(self):$/;" m class:TestLstsq +test_random_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_random_float(self):$/;" m class:TestRandomDist +test_random_float_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_random_float_scalar(self):$/;" m class:TestRandomDist +test_random_gen adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_random_gen(self):$/;" m class:TestBasinHopping +test_random_generator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_random_generator(self):$/;" m class:TestDifferentialEvolutionSolver +test_random_initial_float32 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_random_initial_float32():$/;" f +test_random_integers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_random_integers(self):$/;" m class:TestRandomDist +test_random_integers adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_random_integers(self):$/;" m class:TestRandomDist +test_random_integers_deprecated adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_random_integers_deprecated(self):$/;" m class:TestRandomDist +test_random_integers_deprecated adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_random_integers_deprecated(self):$/;" m class:TestRandomDist +test_random_integers_max_int adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_random_integers_max_int(self):$/;" m class:TestRandomDist +test_random_integers_max_int adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_random_integers_max_int(self):$/;" m class:TestRandomDist +test_random_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_left(self):$/;" m class:TestQR +test_random_matrices adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_random_matrices(self, dtype, trans, uplo, diag):$/;" m class:TestTbtrs +test_random_matrices_and_powers adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_random_matrices_and_powers(self):$/;" m class:TestFractionalMatrixPower +test_random_odd adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_odd(self):$/;" m class:TestDiff +test_random_odd adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_odd(self):$/;" m class:TestHilbert +test_random_odd adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_random_odd(self):$/;" m class:TestTilbert +test_random_overdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_overdet(self):$/;" m class:TestLstsq +test_random_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_pivoting(self):$/;" m class:TestQR +test_random_raw adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_random_raw(self):$/;" m class:Base +test_random_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_random_real(self):$/;" m class:_TestIFFTBase +test_random_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_random_real(self):$/;" m class:_TestIRFFTBase +test_random_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_random_real(self):$/;" m class:_TestIFFTBase +test_random_real adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_random_real(self):$/;" m class:_TestIRFFTBase +test_random_rectangular_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_random_rectangular_float(self):$/;" m class:TestMMIOArray +test_random_rectangular_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_random_rectangular_float(self):$/;" m class:TestMMIOSparseCSR +test_random_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_right(self):$/;" m class:TestQR +test_random_rotation_shape adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_random_rotation_shape():$/;" f +test_random_sample adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_random_sample(self):$/;" m class:TestRandomDist +test_random_sampling adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_random_sampling(self):$/;" m class:TestConstructUtils +test_random_seed_behaviour adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_random_seed_behaviour(self, device_options, set_seed):$/;" m class:TestOperators +test_random_shuffle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_random_shuffle(self):$/;" m class:TestRegression +test_random_sparse_matrix_returns_correct_number_of_non_zero_elements adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_random_sparse_matrix_returns_correct_number_of_non_zero_elements(self):$/;" m class:TestConstructUtils +test_random_state adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_random_state(self):$/;" m class:TestHausdorff +test_random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_random_state(self):$/;" m class:TestFrozen +test_random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_random_state(self):$/;" m class:TestMGCStat +test_random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_random_state(self):$/;" m class:TestRatioUniforms +test_random_state_None_int adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_random_state_None_int(self):$/;" m class:TestHausdorff +test_random_state_property adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^def test_random_state_property():$/;" f +test_random_sym adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_sym(self):$/;" m class:TestSolve +test_random_symmetric_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_random_symmetric_float(self):$/;" m class:TestMMIOArray +test_random_symmetric_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_random_symmetric_float(self):$/;" m class:TestMMIOSparseCSR +test_random_sym_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_random_sym_complex(self):$/;" m class:TestSolve +test_random_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall(self):$/;" m class:TestQR +test_random_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall(self):$/;" m class:TestRQ +test_random_tall_e adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall_e(self):$/;" m class:TestQR +test_random_tall_e_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall_e_pivoting(self):$/;" m class:TestQR +test_random_tall_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall_left(self):$/;" m class:TestQR +test_random_tall_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall_pivoting(self):$/;" m class:TestQR +test_random_tall_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_tall_right(self):$/;" m class:TestQR +test_random_trap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_trap(self):$/;" m class:TestQR +test_random_trap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_trap(self):$/;" m class:TestRQ +test_random_trap_economic adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_trap_economic(self):$/;" m class:TestRQ +test_random_trap_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_random_trap_pivoting(self):$/;" m class:TestQR +test_random_unsupported_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_random_unsupported_type(self):$/;" m class:TestRandomDist +test_random_volume_area adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_random_volume_area(self):$/;" m class:TestUtilities +test_rand_equation adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_rand_equation(optimize, n, reg, n_out, global_dim):$/;" f +test_rand_gen adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_rand_gen(self):$/;" m class:TestDualAnnealing +test_rand_quantization adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_test.py /^ def test_rand_quantization(self, X, bitwidth_, random_, gc, dc):$/;" m class:TestFloatToFusedRandRowwiseQuantized +test_rand_seed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_rand_seed(self):$/;" m class:TestRegression +test_rand_singleton adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rand_singleton(self):$/;" m class:TestRandomDist +test_range adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_range(self, gc, dc):$/;" m class:TestUtilityOps +test_range adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_dltisys.py /^ def test_range(self):$/;" m class:Test_bode +test_rank adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_rank(self):$/;" m class:TestAccess +test_rank adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_rank(self):$/;" m class:TestMultivariateNormal +test_rank0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank0(self, dt):$/;" m class:TestCorrelateComplex +test_rank01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank01(self):$/;" m class:TestNdimageFilters +test_rank02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank02(self):$/;" m class:TestNdimageFilters +test_rank03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank03(self):$/;" m class:TestNdimageFilters +test_rank04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank04(self):$/;" m class:TestNdimageFilters +test_rank05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank05(self):$/;" m class:TestNdimageFilters +test_rank06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank06(self):$/;" m class:TestNdimageFilters +test_rank06_overlap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank06_overlap(self):$/;" m class:TestNdimageFilters +test_rank07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank07(self):$/;" m class:TestNdimageFilters +test_rank08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank08(self):$/;" m class:TestNdimageFilters +test_rank09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank09(self, dtype):$/;" m class:TestNdimageFilters +test_rank1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1(self, dt):$/;" m class:TestSOSFilt +test_rank10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank10(self):$/;" m class:TestNdimageFilters +test_rank11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank11(self):$/;" m class:TestNdimageFilters +test_rank12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank12(self, dtype):$/;" m class:TestNdimageFilters +test_rank13 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank13(self, dtype):$/;" m class:TestNdimageFilters +test_rank14 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank14(self, dtype):$/;" m class:TestNdimageFilters +test_rank15 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_rank15(self, dtype):$/;" m class:TestNdimageFilters +test_rank1_full adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_full(self, dt):$/;" m class:TestCorrelateComplex +test_rank1_full adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_full(self, dt):$/;" m class:TestCorrelateReal +test_rank1_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_same(self, dt):$/;" m class:TestCorrelateComplex +test_rank1_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_same(self, dt):$/;" m class:TestCorrelateReal +test_rank1_valid adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_valid(self, dt):$/;" m class:TestCorrelateComplex +test_rank1_valid adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank1_valid(self, dt):$/;" m class:TestCorrelateReal +test_rank2 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_rank2(self):$/;" m class:TestArrayAssertLess +test_rank2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank2(self, dt):$/;" m class:TestSOSFilt +test_rank3 adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_rank3(self):$/;" m class:TestArrayAssertLess +test_rank3 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank3(self, dt):$/;" m class:TestCorrelateComplex +test_rank3 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank3(self, dt):$/;" m class:TestSOSFilt +test_rank3_all adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank3_all(self, dt):$/;" m class:TestCorrelateReal +test_rank3_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank3_same(self, dt):$/;" m class:TestCorrelateReal +test_rank3_valid adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank3_valid(self, dt):$/;" m class:TestCorrelateReal +test_rankdata adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_rankdata(self):$/;" m class:TestCompareWithStats +test_rankdata_object_string adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_rankdata_object_string(self):$/;" m class:TestRankData +test_ranking adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_ranking(self):$/;" m class:TestRanking +test_ranksums_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ranksums_result_attributes(self):$/;" m class:TestRankSums +test_rank_1_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_1_FIR(self):$/;" m class:_TestLinearFilter +test_rank_1_FIR_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_1_FIR_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_1_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_1_IIR(self):$/;" m class:_TestLinearFilter +test_rank_1_IIR_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_1_IIR_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_2_IIR_axis_0 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_2_IIR_axis_0(self):$/;" m class:_TestLinearFilter +test_rank_2_IIR_axis_0_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_2_IIR_axis_0_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_2_IIR_axis_1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_2_IIR_axis_1(self):$/;" m class:_TestLinearFilter +test_rank_2_IIR_axis_1_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_2_IIR_axis_1_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_3_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_3_FIR(self):$/;" m class:_TestLinearFilter +test_rank_3_FIR_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_3_FIR_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_3_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_3_IIR(self):$/;" m class:_TestLinearFilter +test_rank_3_IIR_init_cond adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rank_3_IIR_init_cond(self):$/;" m class:_TestLinearFilter +test_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_rank_deficient(self):$/;" m class:TestFirls +test_rank_deficient adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_rank_deficient(self, n, dim, shift):$/;" m class:TestSphericalVoronoi +test_rank_filter_noninteger_rank adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_rank_filter_noninteger_rank():$/;" f +test_rank_too_large adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^ def test_rank_too_large(self):$/;" m class:TestInterpolativeDecomposition +test_rasterize_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_rasterize_dpi():$/;" f +test_rate adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_rate(self):$/;" m class:TestFinancial +test_rate_decimal adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_rate_decimal(self):$/;" m class:TestFinancial +test_rational_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^def test_rational_dtype():$/;" f +test_ravel adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ravel(self):$/;" m class:TestMethods +test_ravel adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_ravel(self):$/;" m class:TestNonarrayArgs +test_ravel adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ravel(self):$/;" m class:TestMaskedArrayMethods +test_ravel adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_ravel(self):$/;" m class:TestMaskedMatrix +test_ravel_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ravel_subclass(self):$/;" m class:TestMethods +test_ravel_with_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ravel_with_order(self):$/;" m class:TestRegression +test_raw adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_raw(self):$/;" m class:TestUnicode +test_raw adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_raw(self):$/;" m class:Base +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_rayleigh(self):$/;" m class:TestBroadcast +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_rayleigh(self):$/;" m class:TestRandomDist +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_rayleigh(self):$/;" m class:TestBroadcast +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_rayleigh(self):$/;" m class:TestRandomDist +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rayleigh(self):$/;" m class:TestBroadcast +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rayleigh(self):$/;" m class:TestRandomDist +test_rayleigh adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_rayleigh(self):$/;" m class:RNG +test_rayleigh_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_rayleigh_0(self):$/;" m class:TestRandomDist +test_rayleigh_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_rayleigh_0(self):$/;" m class:TestRandomDist +test_rayleigh_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rayleigh_0(self):$/;" m class:TestRandomDist +test_rayleigh_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_rayleigh_accuracy():$/;" f +test_rbf_epsilon_none adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_rbf_epsilon_none():$/;" f +test_rbf_epsilon_none_collinear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_rbf_epsilon_none_collinear():$/;" f +test_rbf_interpolation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_rbf_interpolation():$/;" f +test_rbf_regularity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_rbf_regularity():$/;" f +test_rbf_stability adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_rbf_stability():$/;" f +test_rc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_rc():$/;" f +test_rcparams adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_rcparams(tmpdir):$/;" f +test_RcParams_class adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_RcParams_class():$/;" f +test_rcparams_init adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_rcparams_init():$/;" f +test_rcparams_reset_after_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_rcparams_reset_after_fail():$/;" f +test_rcparams_update adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_rcparams_update():$/;" f +test_rcparam_grid_minor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rcparam_grid_minor(grid_which, major_visible, minor_visible):$/;" f +test_rcupdate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_rcupdate():$/;" f +test_rc_grid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rc_grid():$/;" f +test_rc_major_minor_tick adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rc_major_minor_tick():$/;" f +test_rc_spines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rc_spines():$/;" f +test_rc_tick adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rc_tick():$/;" f +test_rdist_beta adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rdist_beta(self):$/;" m class:TestRdist +test_rdist_cdf_gh1285 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rdist_cdf_gh1285(self):$/;" m class:TestRdist +test_read adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_read(self):$/;" m class:TestEmpty +test_read adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_read(self):$/;" m class:TestArraySlicing +test_read adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_read(self):$/;" m class:TestFieldNames +test_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_read(self):$/;" m class:TestZlibInputStream +test_read adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^def test_read():$/;" f +test_reading adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_reading(self):$/;" m class:TestZeroShape +test_readonly adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_readonly(self):$/;" m class:TestDrivers +test_readonly adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_readonly(self):$/;" m class:TestFileOpen +test_readonly_delete_exception adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_readonly_delete_exception(self):$/;" m class:TestDelete +test_readonly_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_readonly_path():$/;" f +test_readsav adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_readsav(self):$/;" m class:TestPaths +test_readwrite adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_readwrite(self):$/;" m class:TestEnum +test_readwrite adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_readwrite(self):$/;" m class:TestFileOpen +test_read_1 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_1():$/;" f +test_read_2 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_2():$/;" f +test_read_3 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_3():$/;" f +test_read_32bit_integer_dense adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_32bit_integer_dense(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_32bit_integer_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_32bit_integer_sparse(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_4 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_4():$/;" f +test_read_5 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_5():$/;" f +test_read_64bit_integer_dense adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_64bit_integer_dense(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_64bit_integer_sparse_general adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_64bit_integer_sparse_general(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_64bit_integer_sparse_skew adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_64bit_integer_sparse_skew(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_64bit_integer_sparse_symmetric adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_64bit_integer_sparse_symmetric(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_array_header_1_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_read_array_header_1_0():$/;" f +test_read_array_header_2_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_read_array_header_2_0():$/;" f +test_read_bad_checksum adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_read_bad_checksum(self):$/;" m class:TestZlibInputStream +test_read_both_endian adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_read_both_endian():$/;" f +test_read_compressed_offsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^ def test_read_compressed_offsets(self):$/;" m class:TestReadDirectChunk +test_read_data adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_read_data(self):$/;" m class:TestDatasetSwmrRead +test_read_early_eof adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_early_eof():$/;" f +test_read_early_eof_with_data adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_early_eof_with_data():$/;" f +test_read_empty_lines adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_empty_lines(self):$/;" m class:TestMMIOCoordinate +test_read_example_data adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_example_data():$/;" f +test_read_general adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_general(self):$/;" m class:TestMMIOCoordinate +test_read_hermitian adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_hermitian(self):$/;" m class:TestMMIOCoordinate +test_read_incomplete_chunk adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_incomplete_chunk():$/;" f +test_read_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_read_magic():$/;" f +test_read_magic_bad_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_read_magic_bad_magic():$/;" f +test_read_max_length adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_read_max_length(self):$/;" m class:TestZlibInputStream +test_read_numeric adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_read_numeric():$/;" f +test_read_numeric_writeable adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_read_numeric_writeable():$/;" f +test_read_only adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_read_only(self):$/;" m class:TestInterp1D +test_read_only adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_read_only(self):$/;" m class:TestPPoly +test_read_only adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_read_only(self):$/;" m class:TestRegularGridInterpolator +test_read_only_existing adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_read_only_existing(self):$/;" m class:TestMakeTupleBunch +test_read_only_new adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_read_only_new(self):$/;" m class:TestMakeTupleBunch +test_read_opts adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_read_opts():$/;" f +test_read_over64bit_integer_dense adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_over64bit_integer_dense(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_over64bit_integer_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_over64bit_integer_sparse(self):$/;" m class:TestMMIOReadLargeIntegers +test_read_shorter_than_count_subarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_read_shorter_than_count_subarray(self):$/;" m class:TestIO +test_read_skew adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_skew(self):$/;" m class:TestMMIOCoordinate +test_read_stream adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_read_stream():$/;" f +test_read_symmetric adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_symmetric(self):$/;" m class:TestMMIOCoordinate +test_read_symmetric_pattern adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_read_symmetric_pattern(self):$/;" m class:TestMMIOCoordinate +test_read_tag adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_read_tag():$/;" f +test_read_uncompressed_offsets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^ def test_read_uncompressed_offsets(self):$/;" m class:TestReadDirectChunk +test_read_unknown_filetype_fail adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_unknown_filetype_fail():$/;" f +test_read_unknown_riff_form_type adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_unknown_riff_form_type():$/;" f +test_read_unknown_wave_format adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_read_unknown_wave_format():$/;" f +test_read_version_1_0_bad_magic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_read_version_1_0_bad_magic():$/;" f +test_read_with2dVar adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_with2dVar():$/;" f +test_read_withChar adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withChar():$/;" f +test_read_withFillValNaN adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withFillValNaN():$/;" f +test_read_withFillValueAndMissingValue adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withFillValueAndMissingValue():$/;" f +test_read_withMaskAndScaleFalse adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withMaskAndScaleFalse():$/;" f +test_read_withMissingValue adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withMissingValue():$/;" f +test_read_withNoFillValue adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withNoFillValue():$/;" f +test_read_withValuesNearFillValue adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_withValuesNearFillValue():$/;" f +test_read_write_chunk adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^ def test_read_write_chunk(self):$/;" m class:TestReadDirectChunk +test_read_write_files adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_write_files():$/;" f +test_read_write_sio adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_read_write_sio():$/;" f +test_real adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_real(self):$/;" m class:TestImag +test_real adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_real(self):$/;" m class:TestReal +test_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_real(self):$/;" m class:TestSolveBanded +test_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matmul_toeplitz.py /^ def test_real(self):$/;" m class:TestMatmulToeplitz +test_real adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_real(self):$/;" m class:TestPlacePoles +test_real adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real(self, axes):$/;" m class:TestFFTConvolve +test_real adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_real(self):$/;" m class:_TestCommon +test_real_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_axes(self, axes):$/;" m class:TestFFTConvolve +test_real_dispatch adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_loggamma.py /^def test_real_dispatch():$/;" f +test_real_eigs_real_k_subset adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_real_eigs_real_k_subset():$/;" f +test_real_imag adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_real_imag(self):$/;" m class:TestZeroRank +test_real_integer_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_real_integer_input(self):$/;" m class:TestCplxPair +test_real_integer_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_real_integer_input(self):$/;" m class:TestCplxReal +test_real_manylens adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_manylens(self, shape_a_0, shape_b_0):$/;" m class:TestOAConvolve +test_real_mixed_sign_spectrum adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_real_mixed_sign_spectrum(self):$/;" m class:TestLogM +test_real_nonsymmetric_modes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_real_nonsymmetric_modes():$/;" f +test_real_no_repeat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_no_repeat(self):$/;" m class:TestUniqueRoots +test_real_onesided_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even(self):$/;" m class:TestCSD +test_real_onesided_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even(self):$/;" m class:TestPeriodogram +test_real_onesided_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even(self):$/;" m class:TestWelch +test_real_onesided_even_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even_32(self):$/;" m class:TestCSD +test_real_onesided_even_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even_32(self):$/;" m class:TestPeriodogram +test_real_onesided_even_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_even_32(self):$/;" m class:TestWelch +test_real_onesided_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd(self):$/;" m class:TestCSD +test_real_onesided_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd(self):$/;" m class:TestPeriodogram +test_real_onesided_odd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd(self):$/;" m class:TestWelch +test_real_onesided_odd_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd_32(self):$/;" m class:TestCSD +test_real_onesided_odd_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd_32(self):$/;" m class:TestPeriodogram +test_real_onesided_odd_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_onesided_odd_32(self):$/;" m class:TestWelch +test_real_repeat adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_repeat(self):$/;" m class:TestUniqueRoots +test_real_same_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_same_mode(self, axes):$/;" m class:TestFFTConvolve +test_real_same_mode_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_real_same_mode_axes(self, axes):$/;" m class:TestFFTConvolve +test_real_spectrum adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_spectrum(self):$/;" m class:TestCSD +test_real_spectrum adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_spectrum(self):$/;" m class:TestPeriodogram +test_real_spectrum adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_spectrum(self):$/;" m class:TestWelch +test_real_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided(self):$/;" m class:TestCSD +test_real_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided(self):$/;" m class:TestPeriodogram +test_real_twosided adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided(self):$/;" m class:TestWelch +test_real_twosided_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided_32(self):$/;" m class:TestCSD +test_real_twosided_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided_32(self):$/;" m class:TestPeriodogram +test_real_twosided_32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_real_twosided_32(self):$/;" m class:TestWelch +test_real_write_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_real_write_read(self):$/;" m class:TestMMIOCoordinate +test_rebatching_parallel_producer_consumer adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def test_rebatching_parallel_producer_consumer($/;" m class:TestReBatchingQueue +test_rebatching_queue_closes_properly adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def test_rebatching_queue_closes_properly(self):$/;" m class:TestReBatchingQueue +test_rebatching_queue_multiple_components adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def test_rebatching_queue_multiple_components(self):$/;" m class:TestReBatchingQueue +test_rebatching_queue_multi_enqueue_dequeue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def test_rebatching_queue_multi_enqueue_dequeue(self):$/;" m class:TestReBatchingQueue +test_rebatching_queue_single_enqueue_dequeue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rebatching_queue_test.py /^ def test_rebatching_queue_single_enqueue_dequeue(self):$/;" m class:TestReBatchingQueue +test_recarray adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_recarray():$/;" f +test_recarrays adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_recarrays(self):$/;" m class:TestArrayEqual +test_recarray_conflict_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_conflict_fields(self):$/;" m class:TestFromrecords +test_recarray_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_recarray_copy(self):$/;" m class:TestRegression +test_recarray_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_recarray_fields(self):$/;" m class:TestRegression +test_recarray_fromarrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_fromarrays(self):$/;" m class:TestFromrecords +test_recarray_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_fromfile(self):$/;" m class:TestFromrecords +test_recarray_from_names adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_from_names(self):$/;" m class:TestFromrecords +test_recarray_from_obj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_from_obj(self):$/;" m class:TestFromrecords +test_recarray_from_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_from_repr(self):$/;" m class:TestFromrecords +test_recarray_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_repr(self):$/;" m class:TestFromrecords +test_recarray_returntypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_returntypes(self):$/;" m class:TestFromrecords +test_recarray_single_element adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_recarray_single_element(self):$/;" m class:TestRegression +test_recarray_slices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_slices(self):$/;" m class:TestFromrecords +test_recarray_stringtypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_stringtypes(self):$/;" m class:TestFromrecords +test_recarray_tolist adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_recarray_tolist(self):$/;" m class:TestRegression +test_recarray_views adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_recarray_views(self):$/;" m class:TestFromrecords +test_receives_base adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_receives_base(self):$/;" m class:TestArrayFinalize +test_recfromcsv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_recfromcsv(self):$/;" m class:TestFromTxt +test_recfromcsv adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_recfromcsv(self):$/;" m class:TestPathUsage +test_recfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_recfromtxt(self):$/;" m class:TestFromTxt +test_recfromtxt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_recfromtxt(self):$/;" m class:TestPathUsage +test_reciprocal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_reciprocal(self, X, inplace, gc, dc):$/;" m class:TestElementwiseOps +test_reciprocal_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reciprocal_values(self):$/;" m class:TestSpecialFloats +test_record adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record(self):$/;" m class:RoundtripTest +test_record adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record(self):$/;" m class:Testfromregex +test_record adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record(self):$/;" m class:TestFromTxt +test_record adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record(self):$/;" m class:TestLoadTxt +test_record_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record_2(self):$/;" m class:Testfromregex +test_record_3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record_3(self):$/;" m class:Testfromregex +test_record_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_array(self):$/;" m class:TestClip +test_record_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_array(self):$/;" m class:TestPutmask +test_record_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_array(self):$/;" m class:TestTake +test_record_array_with_object_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_array_with_object_dtype(self):$/;" m class:TestPickling +test_record_array_with_object_field adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^def test_record_array_with_object_field():$/;" f +test_record_function_jit_end_callbacks_with_fork adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_record_function_jit_end_callbacks_with_fork(self):$/;" m class:JitRpcTest +test_record_function_on_caller_rpc_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_record_function_on_caller_rpc_async(self):$/;" m class:JitRpcTest +test_record_hash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_hash(self):$/;" m class:TestRecord +test_record_no_hash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_record_no_hash(self):$/;" m class:TestRecord +test_record_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/record_queue_test.py /^ def test_record_queue(self):$/;" m class:TestRecordQueue +test_record_scalar_setitem adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_record_scalar_setitem(self):$/;" m class:TestRecord +test_record_unicode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_record_unicode(self):$/;" m class:Testfromregex +Test_rectangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class Test_rectangle:$/;" c +test_rectangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_rectangle(self):$/;" m class:TestVertexNeighborVertices +test_rectangle_handles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_rectangle_handles():$/;" f +test_rectangle_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_rectangle_selector():$/;" f +test_rectbivariatespline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ def test_rectbivariatespline(self):$/;" m class:TestGIL +test_recurrent adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_recurrent(self, hidden_size, num_layers, bidirectional, rnn_mode,$/;" m class:TestOperators +test_recursion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_recursion(self):$/;" m class:TestFromDTypeAttribute +test_rec_fromarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_rec_fromarray(self):$/;" m class:TestRegression +test_rec_iterate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_rec_iterate(self):$/;" m class:TestRegression +test_redraw_in_frame adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_redraw_in_frame():$/;" f +test_reduce adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^ def test_reduce(self, X, root, device_option, gc, dc):$/;" m class:TestMPI +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reduce(self):$/;" m class:TestRegression +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestBool +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestFmax +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestFmin +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestHypot +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestLogAddExp +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestLogAddExp2 +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestMaximum +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce(self):$/;" m class:TestMinimum +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_reduce(self):$/;" m class:TestUfuncs +test_reduce adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_reduce(self):$/;" m class:TestUfuncs +test_reduceat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_reduceat():$/;" f +test_reduceat_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_reduceat_empty():$/;" f +test_reduceat_shifting_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduceat_shifting_sum(self):$/;" m class:TestUfunc +test_reduced_rank adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_reduced_rank():$/;" f +test_reducemean adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_reducemean(self):$/;" m class:TestCaffe2Basic +test_reduces_binomial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reduces_binomial(self):$/;" m class:TestMultinomial +test_reduces_hypergeom adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reduces_hypergeom(self):$/;" m class:TestMultivariateHypergeom +test_reduces_to_triang adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_reduces_to_triang(self):$/;" m class:TestTrapezoid +test_reduces_to_uniform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_reduces_to_uniform(self):$/;" m class:TestTrapezoid +test_reduce_alignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_alignment(self):$/;" m class:TestAdd +test_reduce_arguments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduce_arguments(self):$/;" m class:TestUfunc +test_reduce_axis_float_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_reduce_axis_float_index(self):$/;" m class:TestFloatNonIntegerArgument +test_reduce_back_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_max(self, num_reduce_dim, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_back_max_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_max_with_length(self, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_back_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_mean(self, num_reduce_dim, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_back_mean_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_mean_with_length(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_back_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_sum(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_back_sum_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_back_sum_with_length(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_big_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reduce_big_object_array(self):$/;" m class:TestRegression +test_reduce_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_complex(self):$/;" m class:TestFmax +test_reduce_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_complex(self):$/;" m class:TestFmin +test_reduce_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_complex(self):$/;" m class:TestMaximum +test_reduce_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_complex(self):$/;" m class:TestMinimum +test_reduce_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reduce_contiguous(self):$/;" m class:TestRegression +test_reduce_front_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_max(self, num_reduce_dim, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_front_max_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_max_with_length(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_front_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_mean(self, num_reduce_dim, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_front_mean_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_mean_with_length(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_front_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_sum(self, num_reduce_dim, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_front_sum_empty_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_sum_empty_batch(self, num_reduce_dim, seed, gc, dc):$/;" m class:TestReduceFrontReductions +test_reduce_front_sum_with_length adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_front_sum_with_length(self, dc, gc):$/;" m class:TestReduceFrontReductions +test_reduce_full_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_full_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_full_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_full_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_full_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_full_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_full_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_full_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_group_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_group_max(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_group_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_group_min(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_group_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_group_product(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_group_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_group_sum(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_l1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_l1(self, n, m, k, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_l2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_l2(self, n, m, k, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_max(self, X, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_max(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_mean(self, X, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_min adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_min(self, X, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_min adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_min(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_multigpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_multigpu(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_noncontig_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduce_noncontig_output(self):$/;" m class:TestUfunc +test_reduce_ops_with_boolean_input adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_reduce_ops_with_boolean_input(self, f_jax):$/;" m class:JaxPrimitiveTest +test_reduce_ops_with_numerical_input adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_reduce_ops_with_numerical_input(self, f_jax):$/;" m class:JaxPrimitiveTest +test_reduce_product adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_product(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_reorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduce_reorder(self):$/;" m class:TestMinMax +test_reduce_scatter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def test_reduce_scatter(self, comm_size, blob_size, num_blobs,$/;" m class:TestCase +test_reduce_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduce_ops_test.py /^ def test_reduce_sum(self, n, m, k, t, keepdims, num_axes, gc, dc):$/;" m class:TestReduceOps +test_reduce_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_sum(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_sum_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_reduce_sum_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_reduce_wrong_dimension_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduce_wrong_dimension_output(self, f_reduce, output_shape):$/;" m class:TestUfunc +test_reduce_zero_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduce_zero_axis(self):$/;" m class:TestUfunc +test_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_reduction(self):$/;" m class:TestBitwiseUFuncs +test_reduction adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_reduction(name):$/;" f +test_reduction_none_indices adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_reduction_none_indices():$/;" f +test_reduction_no_indices adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_reduction_no_indices():$/;" f +test_reduction_scalar_calculation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_reduction_scalar_calculation():$/;" f +test_reduction_where_initial_needed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduction_where_initial_needed(self):$/;" m class:TestUfunc +test_reduction_with_where adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduction_with_where(self, axis, where):$/;" m class:TestUfunc +test_reduction_with_where_and_initial adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_reduction_with_where_and_initial(self, axis, where, initial):$/;" m class:TestUfunc +test_redundant_constraints_with_guess adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_redundant_constraints_with_guess(self):$/;" m class:TestLinprogRSCommon +test_reentering adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_reentering():$/;" f +test_reentering adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__threadsafety.py /^def test_reentering():$/;" f +test_reentrancy adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_reentrancy():$/;" f +test_reentrant_Dfun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_reentrant_Dfun(self):$/;" m class:TestLeastSq +test_reentrant_Dfunc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_reentrant_Dfunc(self):$/;" m class:TestFSolve +test_reentrant_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_reentrant_func(self):$/;" m class:TestFSolve +test_reentrant_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_reentrant_func(self):$/;" m class:TestLeastSq +test_ref adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5t.py /^ def test_ref(self):$/;" m class:TestCompound +test_ref adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_ref(self):$/;" m class:TestOrdQZ +test_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_refcount(self):$/;" m class:TestArray2String +test_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_refcount(self):$/;" m class:TestFlat +test_refcounting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^ def test_refcounting(self):$/;" m class:TestTake +test_refcounting adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_refcounting(self):$/;" m class:TestMedFilt +test_refcount_dictionary_setting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_refcount_dictionary_setting(self):$/;" m class:TestRecord +test_refcount_error_in_clip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_refcount_error_in_clip(self):$/;" m class:TestRegression +test_refcount_vdot adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_refcount_vdot(self):$/;" m class:TestRegression +test_refcount_vectorize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_refcount_vectorize(self):$/;" m class:TestRegression +test_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_reference(self):$/;" m class:TestRowWhere +test_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_reference(self):$/;" m class:TestWhere +test_reference adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_reference(self):$/;" m class:TestOpen +test_reference adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_reference(self):$/;" m class:TestObjectIndex +test_reference_cycles adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_reference_cycles(self):$/;" m class:TestAsArray +test_reference_data adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_seed_sequence.py /^def test_reference_data():$/;" f +test_reference_field adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_reference_field(self):$/;" m class:TestObjectIndex +test_reference_leak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_reference_leak(self):$/;" m class:TestNewBufferProtocol +test_reference_numpyobj adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_reference_numpyobj(self):$/;" m class:TestOpen +test_reference_types adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_reference_types():$/;" f +test_reflected_binary_methods adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_reflected_binary_methods(self):$/;" m class:TestNDArrayOperatorsMixin +test_reflection_coeffs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_reflection_coeffs():$/;" f +test_reflective_transformation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^def test_reflective_transformation():$/;" f +test_refresh adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_refresh(self):$/;" m class:TestDatasetSwmrRead +test_refresh_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_refresh_raises(self):$/;" m class:TestSwmrNotAvailable +test_refs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_refs(self):$/;" m class:TestBessel +test_ref_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_ref_shape(self):$/;" m class:TestRegionRefs +test_regex adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_regex(self):$/;" m class:TestStringEqual +test_register_cmap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_register_cmap():$/;" f +test_register_driver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_register_driver(self):$/;" m class:TestDriverRegistration +test_register_rpc_backend_and_set_and_start_rpc_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_register_rpc_backend_and_set_and_start_rpc_backend($/;" m class:RpcTest +test_registry_invalid adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_registry_invalid(self, input_dim, output_dim, batch_size):$/;" m class:TestTransform +test_regref adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_regref(self):$/;" m class:TestObjectIndex +test_regression adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_regression(self):$/;" m class:TestNanFunctions_Quantile +test_regression adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_regression():$/;" f +test_regression adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_regression(self):$/;" m class:TestGenlaguerre +test_regression_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_regression_1(self):$/;" m class:TestIndexExpression +test_regression_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_regression_1(self):$/;" m class:TestIx_ +test_regression_1310 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_regression_1310(self):$/;" m class:TestBisplrep +test_regression_2359 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_regression_2359(self):$/;" m class:TestEstimateGradients2DGlobal +test_regression_244 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_regression_244(self):$/;" m class:Testfft2 +test_regression_244 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_regression_244(self):$/;" m class:Testfft2 +test_regression_2639 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_regression_2639(self):$/;" m class:TestCurveFit +test_regression_5743 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_regression_5743(self):$/;" m class:TestSLSQP +test_regression_653 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_regression_653():$/;" f +test_regression_679 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_regression_679(self):$/;" m class:TestStruve +test_regression_arpackng_1315 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_regression_arpackng_1315():$/;" f +test_regression_std_vector_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_regression_std_vector_dtypes():$/;" f +test_regression_ticket_1293 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_regression_ticket_1293(self):$/;" m class:TestFrozen +test_regression_ticket_1316 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_regression_ticket_1316():$/;" f +test_regression_ticket_1326 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_regression_ticket_1326():$/;" f +test_regression_ticket_1421 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_regression_ticket_1421():$/;" f +test_regression_ticket_1530 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_regression_ticket_1530():$/;" f +test_regression_tukey_lambda adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_regression_tukey_lambda():$/;" f +test_regressor_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_regressor_basic_warm_starting(self):$/;" m class:BaseDNNWarmStartingTest +test_regressor_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_regressor_basic_warm_starting(self):$/;" m class:BaseLinearWarmStartingTest +test_regressor_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_regressor_basic_warm_starting(self):$/;" m class:BaseDNNWarmStartingTest +test_regressor_basic_warm_starting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_regressor_basic_warm_starting(self):$/;" m class:BaseLinearWarmStartingTest +test_regressXX adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regressXX(self):$/;" m class:TestRegression +test_regressZEROX adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regressZEROX(self):$/;" m class:TestRegression +test_regress_shape_error adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_shape_error(self):$/;" m class:TestRegression +test_regress_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def test_regress_simple():$/;" f +test_regress_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_simple(self):$/;" m class:TestRegression +test_regress_simple_negative_cor adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_simple_negative_cor(self):$/;" m class:TestRegression +test_regress_simple_onearg_cols adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_simple_onearg_cols(self):$/;" m class:TestRegression +test_regress_simple_onearg_rows adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_simple_onearg_rows(self):$/;" m class:TestRegression +test_regress_two_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_two_inputs(self):$/;" m class:TestRegression +test_regress_two_inputs_horizontal_line adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_regress_two_inputs_horizontal_line(self):$/;" m class:TestRegression +test_regularizer_context adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_test.py /^ def test_regularizer_context(self, X):$/;" m class:TestRegularizerContext +test_regularizer_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_regularizer_loss(self, distribution):$/;" m class:TestRegularizerLoss +test_regularpolycollection_rotate adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_regularpolycollection_rotate():$/;" f +test_regularpolycollection_scale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_regularpolycollection_scale():$/;" f +test_reinit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_reinit(self):$/;" m class:RpcTest +test_relative_ticklabel_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_relative_ticklabel_sizes(size):$/;" f +test_relaxed_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_relaxed_strides(self, c=np.ones((1, 10, 10), dtype='i8')):$/;" m class:TestNewBufferProtocol +test_relaxed_strides_buffer_info_leak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_relaxed_strides_buffer_info_leak(self, arr=np.ones((1, 10))):$/;" m class:TestNewBufferProtocol +test_release_blobs_when_used adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_release_blobs_when_used(self):$/;" m class:MemongerTest +test_relfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_relfreq():$/;" f +test_relim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_relim():$/;" f +test_relim_visible_only adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_relim_visible_only():$/;" f +test_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_relu(self):$/;" m class:BrewGPUTest +test_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_relu(self):$/;" m class:BrewTest +test_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^ def test_relu(self, X, engine, gc, dc):$/;" m class:TestFunctional +test_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/relu_op_test.py /^ def test_relu(self, X, inplace, gc, dc):$/;" m class:ReluTest +test_relu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_relu(self, X, in_place, engine, gc, dc):$/;" m class:TestActivations +test_relu_correctness adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_relu_correctness(self, size, input_channels, batch_size):$/;" m class:NNPackOpsTest +test_relu_empty_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_relu_empty_input(self, N, M, in_place, gc, dc):$/;" m class:TestActivations +test_relu_fp16 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_relu_fp16(self, X, in_place, engine, gc, dc):$/;" m class:TestActivations +test_relu_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_relu_graph(self):$/;" m class:TestCaffe2Basic +test_relu_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ def test_relu_graph(self):$/;" m class:OnnxifiTest +test_relu_graph_big_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_relu_graph_big_batch(self):$/;" m class:TensorRTOpTest +test_relu_graph_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_relu_graph_simple(self):$/;" m class:TensorRTOpTest +test_relu_n adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/activation_ops_test.py /^ def test_relu_n(self, X, n, in_place, gc, dc):$/;" m class:TestActivations +test_relu_timings adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_relu_timings(self, size, input_channels, batch_size):$/;" m class:NNPackOpsTest +test_rel_entr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_rel_entr():$/;" f +test_remainder_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_remainder_basic(self):$/;" m class:TestRemainder +test_remat1 adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_remat1(self):$/;" m class:Jax2TfTest +test_remat_free_var adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_remat_free_var(self):$/;" m class:Jax2TfTest +test_remote_complex_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_remote_complex_args(self):$/;" m class:DistAutogradTest +test_remote_message_builtin_delay_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_builtin_delay_timeout(self):$/;" m class:FaultyAgentRpcTest +test_remote_message_builtin_delay_timeout_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_builtin_delay_timeout_to_self(self):$/;" m class:FaultyAgentRpcTest +test_remote_message_dropped_pickle adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_dropped_pickle(self):$/;" m class:FaultyAgentRpcTest +test_remote_message_dropped_pickle_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_dropped_pickle_to_self(self):$/;" m class:FaultyAgentRpcTest +test_remote_message_script_delay_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_script_delay_timeout(self):$/;" m class:FaultyAgentRpcTest +test_remote_message_script_delay_timeout_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_message_script_delay_timeout_to_self(self):$/;" m class:FaultyAgentRpcTest +test_remote_parameters adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_remote_parameters(self):$/;" m class:RemoteModuleTest +test_remote_same_worker adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_same_worker(self):$/;" m class:RpcTest +test_remote_script_module adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_remote_script_module(self):$/;" m class:JitRpcTest +test_remote_script_throw adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_remote_script_throw(self):$/;" m class:JitRpcTest +test_remote_script_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_remote_script_udf(self):$/;" m class:JitRpcTest +test_remote_throw adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_throw(self):$/;" m class:RpcTest +test_remote_timeout_to_here_in_jit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_remote_timeout_to_here_in_jit(self):$/;" m class:JitFaultyAgentRpcTest +test_remote_with_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_remote_with_exception(self):$/;" m class:RpcTest +test_remove adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_remove(request):$/;" f +test_remove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_remove():$/;" f +test_removed_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_removed_axis():$/;" f +test_RemoveHTTPFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_RemoveHTTPFile(self):$/;" m class:TestRepositoryExists +test_remove_data_blocks adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_remove_data_blocks(self, data, indices, gc, dc):$/;" m class:TestSequenceOps +test_remove_duplicates adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_duplicates(self):$/;" m class:RemoveDuplicatesTest +test_remove_duplicates_aliases adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_duplicates_aliases(self):$/;" m class:RemoveDuplicatesTest +test_remove_duplicates_empty_node adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_duplicates_empty_node(self):$/;" m class:RemoveDuplicatesTest +test_remove_duplicates_multiple adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_duplicates_multiple(self):$/;" m class:RemoveDuplicatesTest +test_remove_duplicates_normal_and_from adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_duplicates_normal_and_from(self):$/;" m class:RemoveDuplicatesTest +test_remove_from_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def test_remove_from_figure(use_gridspec):$/;" f +test_remove_full_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_full_import(self):$/;" m class:RemoveImportTest +test_remove_full_importfrom adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_full_importfrom(self):$/;" m class:RemoveImportTest +test_remove_just_alias adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_just_alias(self):$/;" m class:RemoveImportTest +test_remove_just_alias_import_from adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_remove_just_alias_import_from(self):$/;" m class:RemoveImportTest +test_remove_overlap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_remove_overlap(remove_overlapping_locs, expected_num):$/;" f +test_remove_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_remove_padding(self, start_pad_width, end_pad_width, args, gc, dc):$/;" m class:TestSequenceOps +test_remove_redundancy_infeasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_remove_redundancy_infeasibility(self):$/;" m class:LinprogCommonTests +test_remove_shared_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_remove_shared_axes(shared_axes_generator, shared_axis_remover):$/;" f +test_remove_shared_axes_relim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_remove_shared_axes_relim():$/;" f +test_remove_zero_row adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^ def test_remove_zero_row(self):$/;" m class:RRCommonTests +test_rename_external_in_import adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_import(self):$/;" m class:RenameTest +test_rename_external_in_importfrom adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_importfrom(self):$/;" m class:RenameTest +test_rename_external_in_importfrom_alias adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_importfrom_alias(self):$/;" m class:RenameTest +test_rename_external_in_importfrom_alias_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_importfrom_alias_with_asname(self):$/;" m class:RenameTest +test_rename_external_in_import_multiple_aliases adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_import_multiple_aliases(self):$/;" m class:RenameTest +test_rename_external_in_import_with_asname adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_external_in_import_with_asname(self):$/;" m class:RenameTest +test_rename_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_rename_fields(self):$/;" m class:TestRecFunctions +test_rename_reads_attribute adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_reads_attribute(self):$/;" m class:RenameTest +test_rename_reads_name adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_reads_name(self):$/;" m class:RenameTest +test_rename_reads_name_as_attribute adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_reads_name_as_attribute(self):$/;" m class:RenameTest +test_rename_reads_noop adpepsenv/lib/python3.8/site-packages/pasta/augment/rename_test.py /^ def test_rename_reads_noop(self):$/;" m class:RenameTest +test_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_renderer():$/;" f +test_reorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ def test_reorder(self):$/;" m class:TestIterNested +test_repack_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_repack_fields(self):$/;" m class:TestRecFunctions +test_repeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_repeat(self):$/;" m class:TestMethods +test_repeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_repeat(self):$/;" m class:TestNonarrayArgs +test_repeatability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability(self, endpoint):$/;" m class:TestIntegers +test_repeatability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_repeatability(self):$/;" m class:TestRandint +test_repeatability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_repeatability(self):$/;" m class:TestRandint +test_repeatability1 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability1(self):$/;" m class:TestMultivariateHypergeometric +test_repeatability2 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability2(self):$/;" m class:TestMultivariateHypergeometric +test_repeatability3 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability3(self):$/;" m class:TestMultivariateHypergeometric +test_repeatability_32bit_boundary adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability_32bit_boundary(self, bound, expected):$/;" m class:TestIntegers +test_repeatability_32bit_boundary_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability_32bit_boundary_broadcasting(self):$/;" m class:TestIntegers +test_repeatability_32bit_boundary_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_repeatability_32bit_boundary_broadcasting(self):$/;" m class:TestRandint +test_repeatability_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_repeatability_broadcasting(self, endpoint):$/;" m class:TestIntegers +test_repeated_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_repeated_axis(self):$/;" m class:TestExpandDims +test_repeated_field_names adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_repeated_field_names(self, args):$/;" m class:TestMakeTupleBunch +test_repeated_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_repeated_input(self):$/;" m class:TestIx_ +test_repeated_save_with_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_repeated_save_with_alpha():$/;" f +test_repeated_t_values adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^def test_repeated_t_values():$/;" f +test_repeated_wrapping adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_repeated_wrapping(self):$/;" m class:TestWrap +test_repeat_broadcasting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_repeat_broadcasting(self):$/;" m class:TestRegression +test_repeat_discont adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_repeat_discont(self):$/;" m class:TestRegression +test_repeat_exp adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_repeat_exp(self):$/;" m class:TestFortranFormatParser +test_repeat_exp_exp adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_repeat_exp_exp(self):$/;" m class:TestFortranFormatParser +test_replace adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5pl.py /^def test_replace(request):$/;" f +test_replace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_replace(self):$/;" m class:TestMethods +test_replace_all_uses_with adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_replace_all_uses_with(self):$/;" m class:TestBindings +test_replace_as_consumer adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_replace_as_consumer(self):$/;" m class:TestBindings +test_replace_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def test_replace_blobs(self):$/;" m class:MetaNetDefTest +test_replace_max adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_replace_max(self):$/;" m class:TestPutAlongAxis +test_replace_nan adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_replace_nan(self, a, value, gc, dc):$/;" m class:TestOperators +test_replace_producer adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_replace_producer(self):$/;" m class:TestBindings +test_replace_space adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_replace_space(self):$/;" m class:TestFromTxt +test_replace_space_known_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_replace_space_known_dtype(self):$/;" m class:TestFromTxt +test_replace_subraph adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_replace_subraph(self):$/;" m class:TestBindings +test_repmat adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_repmat():$/;" f +TEST_REPORTER_TEST_ENV adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^TEST_REPORTER_TEST_ENV = "TEST_REPORT_FILE_PREFIX"$/;" v +test_report_fail_percentage adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_report_fail_percentage(self):$/;" m class:TestAssertAllclose +test_report_max_relative_error adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_report_max_relative_error(self):$/;" m class:TestAssertAllclose +test_repr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_datatype.py /^ def test_repr(self):$/;" m class:TestCreation +test_repr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_repr(self):$/;" m class:TestDimensionsHighLevel +test_repr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_repr(self):$/;" m class:TestRepr +test_repr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_repr(self):$/;" m class:TestRepr +test_repr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5.py /^ def test_repr(self):$/;" m class:TestH5 +test_repr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_gridspec.py /^def test_repr():$/;" f +test_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_repr(self):$/;" m class:TestNDArrayMethods +test_repr adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_repr(self):$/;" m class:TestMaskedConstant +test_repr adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_repr(self):$/;" m class:TestProperties +test_repr adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_repr(self):$/;" m class:Base +test_repr adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_repr(self):$/;" m class:TestSetState +test_repr adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_repr(self):$/;" m class:TestRootResults +test_repr adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_repr():$/;" f +test_repr adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_repr(self):$/;" m class:_TestCommon +test_repr adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_repr(self):$/;" m class:TestMakeTupleBunch +test_reproduce adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_reproduce(self):$/;" m class:TestDualAnnealing +test_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reproducibility(self):$/;" m class:TestMultivariateT +test_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reproducibility(self):$/;" m class:TestOrthoGroup +test_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reproducibility(self):$/;" m class:TestRandomCorrelation +test_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reproducibility(self):$/;" m class:TestSpecialOrthoGroup +test_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_reproducibility(self):$/;" m class:TestUnitaryGroup +test_repr_exact adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_repr_exact():$/;" f +test_repr_open adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_repr_open(self):$/;" m class:TestRepr +test_repr_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_repr_roundtrip():$/;" f +test_repr_roundtrip_bytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_repr_roundtrip_bytes():$/;" f +test_repr_roundtrip_foreign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_repr_roundtrip_foreign(self):$/;" m class:TestCommaDecimalPointLocale +test_repr_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_repr_structured(self):$/;" m class:TestString +test_repr_structured_datetime adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_repr_structured_datetime(self):$/;" m class:TestString +test_repr_structured_not_packed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_repr_structured_not_packed(self):$/;" m class:TestString +test_repr_str_subarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_repr_str_subarray(self):$/;" m class:TestString +test_requires adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def test_requires(self):$/;" m class:Distribution +test_require_each adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_require_each(self):$/;" m class:TestRequire +test_require_exception adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_require_exception(self):$/;" m class:TestRequire +test_reroute_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_reroute_tensor(self):$/;" m class:TestRerouteTensor +test_rerun_lwrk2_too_small adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_rerun_lwrk2_too_small(self):$/;" m class:TestSmoothBivariateSpline +test_resample adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_resample():$/;" f +test_resample_methods adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_resample_methods(self, method, ext, padtype):$/;" m class:TestResample +test_reset adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_reset(self):$/;" m class:TestDualAnnealing +test_reset_state adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_reset_state(self):$/;" m class:RNG +test_reset_state_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_reset_state_float(self):$/;" m class:RNG +test_reset_state_gauss adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_reset_state_gauss(self):$/;" m class:RNG +test_reset_state_uint32 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_reset_state_uint32(self):$/;" m class:RNG +test_reshape adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^def test_reshape(old_shape, new_shape, stride_only=False):$/;" f +test_reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_reshape(self, x):$/;" m class:PythonOpTest +test_reshape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_reshape(self):$/;" m class:TestCreateData +test_reshape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_reshape(self):$/;" m class:ShapePolyPrimitivesTest +test_reshape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_reshape(self):$/;" m class:TestSizeOf +test_reshape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_reshape(self):$/;" m class:TestMethods +test_reshape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_reshape(self):$/;" m class:TestNonarrayArgs +test_reshape adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_reshape(self):$/;" m class:TestMaskedArrayFunctions +test_reshape adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_reshape(self):$/;" m class:TestMaskedArrayMethods +test_reshape adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_reshape(self):$/;" m class:_TestCommon +test_reshape2d adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_reshape2d():$/;" f +test_reshape2d_pandas adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_reshape2d_pandas(pd):$/;" f +test_reshape_compiled adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_reshape_compiled(self):$/;" m class:ShapePolyPrimitivesTest +test_reshape_copy adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_reshape_copy(self):$/;" m class:TestCOO +test_reshape_from_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_reshape_from_zero(self):$/;" m class:TestResize +test_reshape_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_reshape_ops(self):$/;" m class:TestReShapeOps +test_reshape_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_reshape_ops(self):$/;" m class:TestLengthsToShapeOps +test_reshape_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reshape_order(self):$/;" m class:TestRegression +test_reshape_size_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reshape_size_overflow(self):$/;" m class:TestRegression +test_reshape_trailing_ones_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reshape_trailing_ones_strides(self):$/;" m class:TestRegression +test_reshape_zero_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reshape_zero_size(self):$/;" m class:TestRegression +test_reshape_zero_strides adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_reshape_zero_strides(self):$/;" m class:TestRegression +test_residuez_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_residuez_degenerate(self):$/;" m class:TestPartialFractionExpansion +test_residuez_general adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_residuez_general(self):$/;" m class:TestPartialFractionExpansion +test_residuez_trailing_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_residuez_trailing_zeros(self):$/;" m class:TestPartialFractionExpansion +test_residue_general adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_residue_general(self):$/;" m class:TestPartialFractionExpansion +test_residue_leading_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_residue_leading_zeros(self):$/;" m class:TestPartialFractionExpansion +test_resiliency_all_32 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resiliency_all_32(self, cls, method_name):$/;" m class:Test64Bit +test_resiliency_all_64 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resiliency_all_64(self, cls, method_name):$/;" m class:Test64Bit +test_resiliency_limit_10 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resiliency_limit_10(self, cls, method_name):$/;" m class:Test64Bit +test_resiliency_random adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resiliency_random(self, cls, method_name):$/;" m class:Test64Bit +test_resiude_degenerate adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_resiude_degenerate(self):$/;" m class:TestPartialFractionExpansion +test_resize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_resize(self):$/;" m class:TestResize +test_resize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_resize(self):$/;" m class:TestSizeOf +test_resize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_resize(self):$/;" m class:TestZeroSizeFlexible +test_resize adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resize(self):$/;" m class:_TestCommon +test_resize_axis adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_resize_axis(self):$/;" m class:TestResize +test_resize_blocked adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_resize_blocked(self):$/;" m class:TestBSR +test_resize_like adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_resize_like(self, ndims, gc, dc):$/;" m class:TestUtilityOps +test_resize_nearest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_3d_dnnlowp_op_test.py /^ def test_resize_nearest(self, N, T, H, W, C, scale_t, scale_w, scale_h, gc, dc):$/;" m class:DNNLowPResizeNearest3DOpTest +test_resize_nearest adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/resize_nearest_dnnlowp_op_test.py /^ def test_resize_nearest(self, N, H, W, C, scale_w, scale_h, gc, dc):$/;" m class:DNNLowPResizeNearestOpTest +test_resize_nearest_op_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_resize_nearest_op_cpu(self):$/;" m class:TorchIntegration +test_resize_nearest_op_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_resize_nearest_op_cuda(self):$/;" m class:TorchIntegration +test_resize_nonchunked adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_resize_nonchunked(self):$/;" m class:TestResize +test_resize_over adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_resize_over(self):$/;" m class:TestResize +test_resize_regression adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_resize_regression(self):$/;" m class:TestUnivariateSpline +test_resnet101 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet101(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet101_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet101_2(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet152 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet152(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet18 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet18(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet34 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet34(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet50 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_resnet50(self):$/;" m class:TestCaffe2End2End +test_resnet50 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet50(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet50 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_resnet50(self):$/;" m class:TensorRTOpTest +test_resnet50_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_resnet50_2(self):$/;" m class:Test_PT_ONNX_TRT +test_resnet50_core adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ def test_resnet50_core(self):$/;" m class:OnnxifiTransformTest +test_resnet50_core adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_resnet50_core(self):$/;" m class:TensorRTTransformTest +test_resnet_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^ def test_resnet_forward_only(self):$/;" m class:ResnetMemongerTest +test_resnet_forward_only_fast_simplenet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^ def test_resnet_forward_only_fast_simplenet(self):$/;" m class:ResnetMemongerTest +test_resnet_shared_grads adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^ def test_resnet_shared_grads(self, with_shapes, gc, dc):$/;" m class:ResnetMemongerTest +test_resolution adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_resolution(self):$/;" m class:TestMutableMapping +test_resolution adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_resolution(self):$/;" m class:TestMutableMapping +test_respects_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_respects_bbox():$/;" f +test_respect_dtype_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_respect_dtype_array(self, endpoint):$/;" m class:TestIntegers +test_respect_dtype_singleton adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_respect_dtype_singleton(self, endpoint):$/;" m class:TestIntegers +test_respect_dtype_singleton adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_respect_dtype_singleton(self):$/;" m class:TestRandint +test_respect_dtype_singleton adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_respect_dtype_singleton(self):$/;" m class:TestRandint +test_respect_maxiter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_respect_maxiter(self, method):$/;" m class:TestOptimizeSimple +test_respect_maxiter_trust_constr_ineq_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_respect_maxiter_trust_constr_ineq_constraints(self):$/;" m class:TestOptimizeSimple +test_response adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_response(self):$/;" m class:TestFirwin +test_restore_context_after_swtich_to_jit_thread adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/dist_autograd_test.py /^ def test_restore_context_after_swtich_to_jit_thread(self):$/;" m class:JitDistAutogradTest +test_result adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_result(self):$/;" m class:TestNegative +test_result adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_result(self):$/;" m class:TestSubtract +test_results adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_results(self):$/;" m class:TestRollaxis +test_results_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_baseline(self):$/;" m class:Test_boxplot_stats +test_results_bootstrapped adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_bootstrapped(self):$/;" m class:Test_boxplot_stats +test_results_whiskers_float adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_whiskers_float(self):$/;" m class:Test_boxplot_stats +test_results_whiskers_percentiles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_whiskers_percentiles(self):$/;" m class:Test_boxplot_stats +test_results_whiskers_range adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_whiskers_range(self):$/;" m class:Test_boxplot_stats +test_results_withlabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_results_withlabels(self):$/;" m class:Test_boxplot_stats +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestAnderson +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestAndersonKSamp +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestAnsari +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestBartlett +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestBayes_mvs +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_result_attributes(self):$/;" m class:TestLevene +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestFOneway +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestKruskal +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestMannwhitneyu +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestTtest_1samp +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestTtest_ind +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_result_attributes(self):$/;" m class:TestTtest_rel +test_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_result_attributes(self):$/;" m class:TestFOneWay +test_result_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_result_type(self):$/;" m class:TestTypes +test_result_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_result_types(self):$/;" m class:MatmulCommon +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:SharedNanFunctionsTestsMixin +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:TestNanFunctions_CumSumProd +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:TestNanFunctions_Median +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:TestNanFunctions_MinMax +test_result_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_result_values(self):$/;" m class:TestNanFunctions_Percentile +test_result_x_shape_when_len_x_is_one adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_result_x_shape_when_len_x_is_one():$/;" f +test_res_net adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/stax_test.py /^ def test_res_net(self):$/;" m class:StaxTest +test_retain_tick_visibility adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_retain_tick_visibility():$/;" f +test_retstep adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_retstep(self):$/;" m class:TestLinspace +test_return adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_return(self):$/;" m class:TestMultipleFields +test_return adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_return(self, dt):$/;" m class:TestNdpointerCFunc +test_returned adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_returned(self):$/;" m class:TestAverage +test_returns adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def test_returns(self):$/;" m class:TestOnenormest +test_returns_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_returns_copy(self):$/;" m class:TestConcatenate +test_returns_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_returns_copy(self, block):$/;" m class:TestBlock +test_returntype adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_returntype(self):$/;" m class:TestPower +test_return_array_bug_11746 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_return_array_bug_11746(self):$/;" m class:TestPearson3 +test_return_class_is_ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_return_class_is_ndarray(self):$/;" m class:TestAllclose +test_return_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_return_dtype(self):$/;" m class:TestSelect +test_return_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_return_future(self):$/;" m class:RpcTest +test_return_future_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_return_future_async(self):$/;" m class:RpcTest +test_return_future_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_return_future_remote(self):$/;" m class:RpcTest +test_return_local_rrefs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_return_local_rrefs(self):$/;" m class:RpcTest +test_return_local_script_class_rref_in_py_and_use_in_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_return_local_script_class_rref_in_py_and_use_in_script(self):$/;" m class:LocalRRefTest +test_return_local_script_module_rref_in_py_and_use_in_script adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_return_local_script_module_rref_in_py_and_use_in_script(self):$/;" m class:LocalRRefTest +test_return_sorted_None adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_return_sorted_None(self):$/;" m class:_Test_sorted_query_ball_point +test_return_sorted_True adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_return_sorted_True(self):$/;" m class:_Test_sorted_query_ball_point +test_return_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_return_type(self):$/;" m class:TestNonzero +test_return_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_return_type(self, dtype, dims):$/;" m class:TestIndices +test_return_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_return_type(self):$/;" m class:TestDigitize +test_return_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_return_type(self):$/;" m class:TestMeshgrid +test_return_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_return_type(self):$/;" m class:TestKron +test_reuse_checkpoint_manager adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_reuse_checkpoint_manager(self):$/;" m class:TestCheckpoint +test_reuse_from_other adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_reuse_from_other(self):$/;" m class:TestVlen +test_reuse_struct_from_other adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_reuse_struct_from_other(self):$/;" m class:TestVlen +test_reuse_workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/test/do_op_test.py /^ def test_reuse_workspace(self):$/;" m class:DoOpTest +test_reverse adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_reverse(self):$/;" m class:TestDigitize +test_reversed_strides_result_allocation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_reversed_strides_result_allocation(self):$/;" m class:TestIndexing +test_reverse_packed_segs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^ def test_reverse_packed_segs(self, data, gc, dc):$/;" m class:TestSequenceOps +test_reverse_strides_and_subspace_bufferinit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_reverse_strides_and_subspace_bufferinit(self):$/;" m class:TestIndexing +test_rf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_rf(self):$/;" m class:TestSystematic +test_rfft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_rfft(self):$/;" m class:TestFFT1D +test_rfft adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_rfft(self):$/;" m class:TestFFTThreadSafe +test_rfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_rfft(self):$/;" m class:TestFFT1D +test_rfft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_rfft(self):$/;" m class:TestFFTThreadSafe +test_rfft adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_rfft(self, N, num, window):$/;" m class:TestResample +test_rfft2 adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_rfft2(self):$/;" m class:TestFFT1D +test_rfft2 adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_rfft2(self):$/;" m class:TestFFT1D +test_rfftn adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def test_rfftn(self):$/;" m class:TestFFT1D +test_rfftn adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def test_rfftn(self):$/;" m class:TestFFT1D +test_rfft_irfft adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_rfft_irfft(self, dtype, fftsize, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_rfft_irfft adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_rfft_irfft(self, dtype, fftsize, overwrite_x, shape, axes):$/;" m class:TestOverwrite +test_rfind adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rfind(self):$/;" m class:TestInformation +test_rgamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_rgamma(self):$/;" m class:TestCephes +test_rgamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_rgamma(self):$/;" m class:TestGamma +test_rgamma adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_rgamma(self):$/;" m class:TestSystematic +test_rgamma_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_rgamma_complex(self):$/;" m class:TestSystematic +test_rgamma_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gamma.py /^ def test_rgamma_zeros(self):$/;" m class:TestRgamma +test_rgamma_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_rgamma_zeros():$/;" f +test_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_rgba(self):$/;" m class:Test_delete_masked_points +test_rgba_markers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_rgba_markers():$/;" f +test_rgb_data adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_rgb_data(self):$/;" m class:TestVoxels +test_rgb_hsv_round_trip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_rgb_hsv_round_trip():$/;" f +test_rgb_use_shorter_edge adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def test_rgb_use_shorter_edge(self):$/;" m class:VideoInputOpTest +test_rgb_with_temporal_jittering adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def test_rgb_with_temporal_jittering(self):$/;" m class:VideoInputOpTest +test_rgb_with_uniform_sampling adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^ def test_rgb_with_uniform_sampling(self):$/;" m class:VideoInputOpTest +test_rhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_rhp(self):$/;" m class:TestOrdQZ +test_riccati_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_riccati_jn(self):$/;" m class:TestRiccati +test_riccati_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_riccati_yn(self):$/;" m class:TestRiccati +test_rice_overflow adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rice_overflow(self):$/;" m class:TestExpect +test_rice_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rice_rvs(self):$/;" m class:TestRice +test_rice_zero_b adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rice_zero_b(self):$/;" m class:TestRice +test_richcompare_crash adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_richcompare_crash(self):$/;" m class:TestRegression +test_richcompare_scalar_and_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_richcompare_scalar_and_subclass(self):$/;" m class:TestRegression +test_ricker adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_wavelets.py /^ def test_ricker(self):$/;" m class:TestWavelets +test_ridder adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^def test_ridder():$/;" f +test_ridder adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_ridder(self):$/;" m class:TestBasic +test_ridges adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_ridges(self, name):$/;" m class:TestVoronoi +test_riemann_zeta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_riemann_zeta(self):$/;" m class:TestSystematic +test_riemann_zeta_avoid_overflow adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^def test_riemann_zeta_avoid_overflow():$/;" f +test_riemann_zeta_special_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^def test_riemann_zeta_special_cases():$/;" f +test_rightmost_binedge adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_rightmost_binedge(self):$/;" m class:TestHistogramdd +test_right_basic adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_right_basic(self):$/;" m class:TestDigitize +test_right_left_behavior adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_right_left_behavior(self):$/;" m class:TestInterp +test_right_open adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_right_open(self):$/;" m class:TestDigitize +test_right_open_random adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_right_open_random(self):$/;" m class:TestDigitize +test_right_open_reverse adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_right_open_reverse(self):$/;" m class:TestDigitize +test_rindex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rindex(self):$/;" m class:TestInformation +test_rint_big_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_rint_big_int():$/;" f +test_rjust adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rjust(self):$/;" m class:TestMethods +test_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_rmatvec(self):$/;" m class:_TestCommon +test_rmod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rmod(self):$/;" m class:TestOperations +test_rmsprop_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_rmsprop_sgd(self, inputs, in_place, decay, momentum, lr, epsilon,$/;" m class:TestOperators +test_rms_norm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rms_norm_op_test.py /^ def test_rms_norm(self, M, N, eps, dtype, gc, dc):$/;" m class:TestRMSNormOp +test_rmul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rmul(self):$/;" m class:TestOperations +test_rmul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_rmul_scalar(self):$/;" m class:_TestCommon +test_rndm_naive_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_rndm_naive_eval(self):$/;" m class:TestBSpline +test_rndm_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_rndm_splev(self):$/;" m class:TestBSpline +test_rndm_splrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_rndm_splrep(self):$/;" m class:TestBSpline +test_rndm_unity adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_rndm_unity(self):$/;" m class:TestBSpline +test_rng adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_rng(self):$/;" m class:TestIQR +test_rng_integers adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test_rng_integers():$/;" f +test_rng_zero_and_extremes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_rng_zero_and_extremes(self, endpoint):$/;" m class:TestIntegers +test_rng_zero_and_extremes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_rng_zero_and_extremes(self):$/;" m class:TestRandint +test_rng_zero_and_extremes adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_rng_zero_and_extremes(self):$/;" m class:TestRandint +test_rng_zero_and_extremes_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_rng_zero_and_extremes_array(self, endpoint):$/;" m class:TestIntegers +test_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_rnn(self):$/;" m class:MemongerTest +test_rnn_run_on_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def test_rnn_run_on_gpu(self):$/;" m class:TestRunAllOnGPU +test_robustness adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_robustness(self):$/;" m class:LossFunctionMixin +test_roi_align_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_roi_align_cpu(self):$/;" m class:TorchIntegration +test_roi_align_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_roi_align_cuda(self):$/;" m class:TorchIntegration +test_roi_align_rotated_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_roi_align_rotated_cpu(self):$/;" m class:TorchIntegration +test_roi_align_rotated_cuda adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def test_roi_align_rotated_cuda(self):$/;" m class:TorchIntegration +test_roll1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_roll1d(self):$/;" m class:TestRoll +test_roll2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_roll2d(self):$/;" m class:TestRoll +test_roll_empty adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_roll_empty(self):$/;" m class:TestRoll +test_romb adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_romb(self):$/;" m class:TestQuadrature +test_romberg adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_romberg(self):$/;" m class:TestQuadrature +test_romberg_rtol adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_romberg_rtol(self):$/;" m class:TestQuadrature +test_romb_gh_3731 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_romb_gh_3731(self):$/;" m class:TestQuadrature +test_root adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_root(self):$/;" m class:TestContains +test_roots adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_roots(self):$/;" m class:TestPolynomial +test_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_roots(Poly):$/;" f +test_roots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots(self):$/;" m class:TestPPoly +test_roots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_roots(self):$/;" m class:TestPCHIP +test_roots_all_zero adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_all_zero(self):$/;" m class:TestPPoly +test_roots_chebyc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_chebyc():$/;" f +test_roots_chebys adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_chebys():$/;" f +test_roots_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_chebyt():$/;" f +test_roots_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_chebyu():$/;" f +test_roots_croots adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_croots(self):$/;" m class:TestPPoly +test_roots_discont adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_discont(self):$/;" m class:TestPPoly +test_roots_extrapolate_gh_11185 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_roots_extrapolate_gh_11185():$/;" f +test_roots_gegenbauer adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_gegenbauer():$/;" f +test_roots_genlaguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_genlaguerre():$/;" f +test_roots_hermite adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_hermite():$/;" f +test_roots_hermitenorm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_hermitenorm():$/;" f +test_roots_hermite_asy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_hermite_asy():$/;" f +test_roots_idzero adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_idzero(self):$/;" m class:TestPPoly +test_roots_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_jacobi():$/;" f +test_roots_laguerre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_laguerre():$/;" f +test_roots_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_legendre():$/;" f +test_roots_random adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_random(self):$/;" m class:TestPPoly +test_roots_repeated adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_roots_repeated(self):$/;" m class:TestPPoly +test_roots_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_sh_chebyt():$/;" f +test_roots_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_sh_chebyu():$/;" f +test_roots_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_sh_jacobi():$/;" f +test_roots_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def test_roots_sh_legendre():$/;" f +test_root_anderson adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_anderson(self):$/;" m class:TestNonlinOldTests +test_root_broyden1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_broyden1(self):$/;" m class:TestNonlinOldTests +test_root_broyden2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_broyden2(self):$/;" m class:TestNonlinOldTests +test_root_diagbroyden adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_diagbroyden(self):$/;" m class:TestNonlinOldTests +test_root_excitingmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_excitingmixing(self):$/;" m class:TestNonlinOldTests +test_root_linearmixing adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_root_linearmixing(self):$/;" m class:TestNonlinOldTests +test_root_scalar_fail adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_root_scalar_fail(self):$/;" m class:TestBasic +test_rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_rosenbrock(self):$/;" m class:BaseMixin +test_rosenbrock adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_rosenbrock(self):$/;" m class:TestNewtonCg +test_rosenbrock_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_rosenbrock_bounds(self):$/;" m class:BoundsMixin +test_rosenbrock_cropped adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_rosenbrock_cropped(self):$/;" m class:BaseMixin +test_rosenbrock_with_no_exception adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def test_rosenbrock_with_no_exception(self):$/;" m class:TestHessianUpdateStrategy +test_rot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_rot():$/;" f +test_rot adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_rot():$/;" f +test_rotate01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate01(self, order):$/;" m class:TestNdimageInterpolation +test_rotate02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate02(self, order):$/;" m class:TestNdimageInterpolation +test_rotate03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate03(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_rotate04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate04(self, order):$/;" m class:TestNdimageInterpolation +test_rotate05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate05(self, order):$/;" m class:TestNdimageInterpolation +test_rotate06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate06(self, order):$/;" m class:TestNdimageInterpolation +test_rotate07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate07(self, order):$/;" m class:TestNdimageInterpolation +test_rotate08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate08(self, order):$/;" m class:TestNdimageInterpolation +test_rotate09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate09(self):$/;" m class:TestNdimageInterpolation +test_rotate10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate10(self):$/;" m class:TestNdimageInterpolation +test_rotated_arcs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_rotated_arcs():$/;" f +test_rotate_exact_180 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_rotate_exact_180(self):$/;" m class:TestNdimageInterpolation +test_rotate_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_rotate_image():$/;" f +test_rotate_rect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_rotate_rect():$/;" f +test_rotation_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_rotation_axes(self):$/;" m class:TestRot90 +test_rotation_within_numpy_array adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_rotation_within_numpy_array():$/;" f +test_rotvec_calc_pipeline adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_rotvec_calc_pipeline():$/;" f +test_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_round(self):$/;" m class:TestMethods +test_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_round(self):$/;" m class:TestNonarrayArgs +test_round adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_round(self):$/;" m class:TestRegression +test_round adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_round(self):$/;" m class:TestMaskedArrayFunctions +test_round adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_round(self):$/;" m class:_TestCommon +test_round adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_round(self):$/;" m class:TestCephes +test_round adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_round(self):$/;" m class:TestRound +test_rounding adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_rounding(self):$/;" m class:TestBdtr +test_rounding adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_rounding(self):$/;" m class:TestBdtrc +test_rounding adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_rounding(self):$/;" m class:TestBdtri +test_rounding adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_rounding(self):$/;" m class:TestPdtr +test_rounding adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_rounding(self):$/;" m class:TestPdtrc +test_roundtrip adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_roundtrip(self):$/;" m class:TestArraySlicing +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_roundtrip(self):$/;" m class:TestMemmap +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip(self):$/;" m class:TestNewBufferProtocol +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip(self):$/;" m class:TestPickling +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^ def test_roundtrip(self, ftype, frac_vals, exp_vals):$/;" m class:TestAsIntegerRatio +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_shell_utils.py /^def test_roundtrip(Parser, argv):$/;" f +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_roundtrip():$/;" f +test_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ def test_roundtrip(self, bitorder, count):$/;" m class:TestCount +test_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_roundtrip(self):$/;" m class:TestGammainc +test_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_roundtrip(self):$/;" m class:TestGammaincc +test_roundtrip_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ def test_roundtrip_axis(self, bitorder, count):$/;" m class:TestCount +test_roundtrip_binary_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_binary_str(self):$/;" m class:TestIO +test_roundtrip_boundary_extension adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_boundary_extension(self):$/;" m class:TestSTFT +test_roundtrip_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_complex(self):$/;" m class:TestSTFT +test_roundtrip_dump_pathlib adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_dump_pathlib(self):$/;" m class:TestIO +test_roundtrip_file adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_file(self):$/;" m class:TestIO +test_roundtrip_filename adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_filename(self):$/;" m class:TestIO +test_roundtrip_fixed_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_roundtrip_fixed_bytes(self):$/;" m class:TestStrings +test_roundtrip_float32 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_float32(self):$/;" m class:TestSTFT +test_roundtrip_half adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_half(self):$/;" m class:TestNewBufferProtocol +test_roundtrip_nola_not_cola adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_nola_not_cola(self):$/;" m class:TestSTFT +test_roundtrip_not_nola adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_not_nola(self):$/;" m class:TestSTFT +test_roundtrip_padded_FFT adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_padded_FFT(self):$/;" m class:TestSTFT +test_roundtrip_padded_signal adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_padded_signal(self):$/;" m class:TestSTFT +test_roundtrip_pathlib adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_pathlib(self):$/;" m class:TestIO +test_roundtrip_randsize adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_roundtrip_randsize():$/;" f +test_roundtrip_real adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_roundtrip_real(self):$/;" m class:TestSTFT +test_roundtrip_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_repr(self):$/;" m class:TestIO +test_roundtrip_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_scalar(self):$/;" m class:TestNewBufferProtocol +test_roundtrip_single_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_single_types(self):$/;" m class:TestNewBufferProtocol +test_roundtrip_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_roundtrip_str(self):$/;" m class:TestIO +test_roundtrip_truncated adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_roundtrip_truncated():$/;" f +test_roundtrip_vlen_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_roundtrip_vlen_bytes(self):$/;" m class:TestStrings +test_roundtrip_vlen_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_roundtrip_vlen_unicode(self):$/;" m class:TestStrings +test_round_py_consistency adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_round_py_consistency(self):$/;" m class:TestNonarrayArgs +test_round_trip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_round_trip(self):$/;" m class:TestUnicodeEncoding +test_round_trip adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_round_trip():$/;" f +test_round_trip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_round_trip(self):$/;" m class:TestKolmogi +test_round_trip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_round_trip(self):$/;" m class:TestKolmogorov +test_round_trip adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_round_trip(self):$/;" m class:TestSmirnovi +test_round_trip_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_complex(self):$/;" m class:TestFractionalMatrixPower +test_round_trip_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_complex(self):$/;" m class:TestLogM +test_round_trip_random_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_complex(self):$/;" m class:TestSqrtM +test_round_trip_random_float adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_float(self):$/;" m class:TestFractionalMatrixPower +test_round_trip_random_float adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_float(self):$/;" m class:TestLogM +test_round_trip_random_float adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_round_trip_random_float(self):$/;" m class:TestSqrtM +test_round_types adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_round_types():$/;" f +test_round_with_output adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_round_with_output(self):$/;" m class:TestMaskedArrayFunctions +test_round_with_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_round_with_scalar(self):$/;" m class:TestMaskedArrayFunctions +test_rowspace_dense adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_rowspace_dense(self):$/;" m class:TestProjections +test_rowspace_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_rowspace_sparse(self):$/;" m class:TestProjections +test_rowwhere adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_rowwhere(self, N, gc, dc, engine):$/;" m class:TestRowWhere +test_rowwhere_dim2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_rowwhere_dim2(self, N, gc, dc, engine):$/;" m class:TestRowWhere +test_rowwise_counter adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rowwise_counter_test.py /^ def test_rowwise_counter(self):$/;" m class:TestRowWiseCounter +test_rowwise_dnnlowp_fully_connected_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/fully_connected_rowwise_dnnlowp_op_test.py /^ def test_rowwise_dnnlowp_fully_connected_int($/;" m class:RowWiseDNNLowPFullyConnectedOpTest +test_rowwise_max adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reduction_ops_test.py /^ def test_rowwise_max(self, batch_size, m, n, gc, dc):$/;" m class:TestReductionOps +test_row_column_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_row_column_indexing(self):$/;" m class:TestNewScalarIndexing +test_row_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_row_mul(self, inputs, gc, dc):$/;" m class:TestOperators +test_row_or_col_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_row_or_col_zero(self):$/;" m class:TestFisherExact +test_row_wise_sparse_adagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_row_wise_sparse_adagrad(self, inputs, lr, epsilon, weight_decay, gc, dc):$/;" m class:TestAdagrad +test_row_wise_sparse_adagrad_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_row_wise_sparse_adagrad_empty(self, inputs, lr, epsilon, gc, dc):$/;" m class:TestAdagrad +test_row_wise_sparse_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_row_wise_sparse_adam(self, inputs, ITER, LR, beta1, beta2, epsilon,$/;" m class:TestAdam +test_row_wise_sparse_adam_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_row_wise_sparse_adam_output_grad(self, inputs, ITER, LR, beta1, beta2,$/;" m class:TestAdam +test_rpartition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rpartition(self):$/;" m class:TestMethods +test_rpc_async_jit_profiled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rpc_async_jit_profiled(self):$/;" m class:JitRpcTest +test_rpc_builtin_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_builtin_timeout(self):$/;" m class:FaultyAgentRpcTest +test_rpc_complex_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_rpc_complex_args(self):$/;" m class:DistAutogradTest +test_rpc_profiling_async_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_profiling_async_function(self):$/;" m class:RpcTest +test_rpc_profiling_async_function_single_threaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_profiling_async_function_single_threaded(self):$/;" m class:RpcTest +test_rpc_profiling_remote_record_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_profiling_remote_record_function(self):$/;" m class:RpcTest +test_rpc_return_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_return_rref(self):$/;" m class:RpcTest +test_rpc_script_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_script_timeout(self):$/;" m class:FaultyAgentRpcTest +test_rpc_timeouts adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rpc_timeouts(self):$/;" m class:RpcTest +test_rpc_torchscript_record_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rpc_torchscript_record_function(self):$/;" m class:JitRpcTest +test_rq adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_rq(self):$/;" m class:TestOverwrite +test_rref_as_arg_and_return adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_as_arg_and_return(self):$/;" m class:RRefTypingTest +test_rref_context_debug_info adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_context_debug_info(self):$/;" m class:RpcTest +test_rref_forward_chain adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_forward_chain(self):$/;" m class:RpcTest +test_rref_get_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_get_future(self):$/;" m class:RpcTest +test_rref_is_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_is_owner(self):$/;" m class:RRefAPITest +test_rref_jit_pickle_not_supported adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_jit_pickle_not_supported(self):$/;" m class:JitRpcTest +test_rref_leak adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_leak(self):$/;" m class:RpcTest +test_rref_list_mutate adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_list_mutate(self):$/;" m class:RRefAPITest +test_rref_local_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_local_value(self):$/;" m class:RRefAPITest +test_rref_proxy_class adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_class(self):$/;" m class:RpcTest +test_rref_proxy_class_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_class_self(self):$/;" m class:RpcTest +test_rref_proxy_non_exist adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_non_exist(self):$/;" m class:RpcTest +test_rref_proxy_reuse adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_reuse(self):$/;" m class:RpcTest +test_rref_proxy_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_tensor(self):$/;" m class:RpcTest +test_rref_proxy_tensor_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_proxy_tensor_self(self):$/;" m class:RpcTest +test_rref_python_annotation adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_rref_python_annotation(self):$/;" m class:RRefTypingTest +test_rref_py_pickle_not_supported adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_py_pickle_not_supported(self):$/;" m class:RpcTest +test_rref_str adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_str(self):$/;" m class:RpcTest +test_rref_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_timeout(self):$/;" m class:RpcTest +test_rref_timeout_pickle_in_jit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_rref_timeout_pickle_in_jit(self):$/;" m class:JitFaultyAgentRpcTest +test_rref_timeout_pickle_script_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_rref_timeout_pickle_script_func(self):$/;" m class:JitFaultyAgentRpcTest +test_rref_to_here_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_to_here_timeout(self):$/;" m class:FaultyAgentRpcTest +test_rref_to_here_timeout_in_jit adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_rref_to_here_timeout_in_jit(self):$/;" m class:JitFaultyAgentRpcTest +test_rref_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_type(self):$/;" m class:RpcTest +test_rref_type_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_type_owner(self):$/;" m class:RpcTest +test_rref_type_slow_init adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_type_slow_init(self):$/;" m class:RpcTest +test_rref_type_with_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_rref_type_with_error(self):$/;" m class:RpcTest +test_RRuleLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_RRuleLocator():$/;" f +test_RRuleLocator_dayrange adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_RRuleLocator_dayrange():$/;" f +test_rrulewrapper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_rrulewrapper():$/;" f +test_rrulewrapper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_rrulewrapper():$/;" f +test_rrulewrapper_pytz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_rrulewrapper_pytz():$/;" f +test_RR_infeasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ test_RR_infeasibility = LCT.test_remove_redundancy_infeasibility$/;" v class:RRTests +test_rsh adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_rsh():$/;" f +test_rsplit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rsplit(self):$/;" m class:TestMethods +test_rsqrt adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_rsqrt(self, X, inplace, gc, dc):$/;" m class:TestElementwiseOps +test_rstrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_rstrip(self):$/;" m class:TestMethods +test_rsub adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_rsub(self):$/;" m class:_TestCommon +test_rt adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_rt(self):$/;" m class:TestCompound +test_run adpepsenv/lib/python3.8/site-packages/caffe2/contrib/prof/cuda_profile_ops_test.py /^ def test_run(self):$/;" m class:CudaProfileOpsTest +test_run adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^ def test_run(self):$/;" m class:TestPredictor +test_run adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_run(self, t):$/;" m class:TestBuiltin +test_runtime_threads adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_runtime_threads(self):$/;" m class:TestReaderWithLimit +test_run_map adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^ def test_run_map(self):$/;" m class:TestPredictor +test_rvgeneric_std adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_rvgeneric_std():$/;" f +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestBernoulli +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestBinom +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestDLaplace +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestGeom +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestHistogram +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestHypergeom +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestLogser +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestNBinom +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestPearson3 +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestPoisson +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestRandInt +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestRvDiscrete +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestSkewNorm +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs(self):$/;" m class:TestZipf +test_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_rvs(self):$/;" m class:TestMultivariateHypergeom +test_rvs_broadcast adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_rvs_broadcast(dist, shape_args):$/;" f +test_rvs_broadcast adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^def test_rvs_broadcast(dist, shape_args):$/;" f +test_rvs_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_rvs_broadcasting(self):$/;" m class:TestMultivariateHypergeom +test_rvs_Generator adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_Generator(self):$/;" m class:TestTruncnorm +test_rvs_gh2069_regression adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_rvs_gh2069_regression():$/;" f +test_rvs_negative_p adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_negative_p(self):$/;" m class:TestGenInvGauss +test_rvs_new_method adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_new_method(self):$/;" m class:TestGenInvGauss +test_rvs_no_size_warning adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_rvs_no_size_warning():$/;" f +test_rvs_np adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_rvs_np(self):$/;" m class:TestMultinomial +test_rvs_p_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_p_zero(self):$/;" m class:TestGenInvGauss +test_rvs_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^def test_rvs_scalar(distname, arg):$/;" f +test_rvs_shape adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_rvs_shape(self):$/;" m class:TestMultivariateNormal +test_rvs_without_mode_shift adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_without_mode_shift(self):$/;" m class:TestGenInvGauss +test_rvs_with_mode_shift adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rvs_with_mode_shift(self):$/;" m class:TestGenInvGauss +test_rv_frozen_in_namespace adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_rv_frozen_in_namespace(self):$/;" m class:TestFrozen +test_rv_generation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_rv_generation(self):$/;" m class:TestRatioUniforms +test_r_almost_exactly_neg1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_r_almost_exactly_neg1(self):$/;" m class:TestCorrPearsonr +test_r_almost_exactly_pos1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_r_almost_exactly_pos1(self):$/;" m class:TestCorrPearsonr +test_R_kSamples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_R_kSamples(self):$/;" m class:TestAndersonKSamp +test_R_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_R_values(self):$/;" m class:TestMultivariateNormal +test_safe_binop adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_safe_binop():$/;" f +test_safe_blobs_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_safe_blobs_queue(self, num_producers, num_consumers,$/;" m class:TestOperators +test_safe_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_safe_casting(self):$/;" m class:TestUfunc +test_safe_dequeue_blob__raises_exception_when_hang adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_safe_dequeue_blob__raises_exception_when_hang($/;" m class:TestOperators +test_safe_eval_nameconstant adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def test_safe_eval_nameconstant():$/;" f +test_safe_first_element_pandas_series adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_safe_first_element_pandas_series(pd):$/;" f +test_same adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_same(self):$/;" m class:TestIsSubDType +test_same adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_same():$/;" f +test_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_same(self):$/;" m class:_TestConvolve +test_same_as_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_same_as_ufunc():$/;" f +test_same_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_same_color():$/;" f +test_same_distribution adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_same_distribution(self):$/;" m class:TestEnergyDistance +test_same_distribution adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_same_distribution(self):$/;" m class:TestWassersteinDistance +test_same_eq adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_same_eq(self):$/;" m class:_TestConvolve +test_same_input_shapes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_same_input_shapes():$/;" f +test_same_kind_index_casting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_same_kind_index_casting(self):$/;" m class:TestIndexing +test_same_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_same_mode(self):$/;" m class:TestConvolve +test_same_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_same_mode(self):$/;" m class:TestConvolve2d +test_same_name_different_dtypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_same_name_different_dtypes(self):$/;" m class:TestJoinBy +test_same_name_different_dtypes_key adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_same_name_different_dtypes_key(self):$/;" m class:TestJoinBy +test_same_pad_image adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_same_pad_image(self, pad, size, input_channels, batch_size, order,$/;" m class:TestOperators +test_same_prepend_append adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_same_prepend_append(self, mode):$/;" m class:TestStatistic +test_sandboxing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_sandboxing(self):$/;" m class:TestDataSourceAbspath +test_sandboxing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_sandboxing(self):$/;" m class:TestRepositoryAbspath +test_sanitize_sequence adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_sanitize_sequence():$/;" f +test_sanitize_source adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^ def test_sanitize_source(self):$/;" m class:UtilsTest +test_sankey adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_sankey.py /^def test_sankey():$/;" f +test_savefig adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_savefig():$/;" f +test_savefig_backend adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_savefig_backend():$/;" f +test_savefig_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_savefig_metadata(monkeypatch):$/;" f +test_savefig_tight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_savefig_tight():$/;" f +test_savefig_to_stringio adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_savefig_to_stringio(format, use_log, rcParams, orientation,$/;" f +test_savefig_warns adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_savefig_warns():$/;" f +test_savemat adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_savemat(self):$/;" m class:TestPaths +test_savez_compressed_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_savez_compressed_load(self):$/;" m class:TestPathUsage +test_savez_filename_clashes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_savez_filename_clashes(self):$/;" m class:TestSavezLoad +test_savez_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_savez_load(self):$/;" m class:TestPathUsage +test_save_and_load_empty adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_save_and_load_empty():$/;" f +test_save_and_load_one_entry adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_save_and_load_one_entry():$/;" f +test_save_and_load_random adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def test_save_and_load_random():$/;" f +test_save_animation_smoketest adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^def test_save_animation_smoketest(tmpdir, writer, output):$/;" f +test_save_dict adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_save_dict():$/;" f +test_save_empty_dict adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_save_empty_dict():$/;" f +test_save_load adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_save_load(self):$/;" m class:TestPathUsage +test_save_load_h5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_save_load_h5(self, distribution, optimizer):$/;" m class:TestDistributionStrategySaveLoadWeights +test_save_load_memmap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_save_load_memmap(self):$/;" m class:TestPathUsage +test_save_load_memmap_readwrite adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_save_load_memmap_readwrite(self):$/;" m class:TestPathUsage +test_save_load_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_save_load_trackable(self, distribution, optimizer):$/;" m class:TestDistributionStrategySaveLoadWeights +test_save_object adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_save_object():$/;" f +test_save_unicode_field adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_save_unicode_field(tmpdir):$/;" f +TEST_SAVE_XML adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_SAVE_XML = args.save_xml$/;" v +test_sBIGBIG adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sBIGBIG(self):$/;" m class:TestCorrSpearmanr +test_sBIGHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sBIGHUGE(self):$/;" m class:TestCorrSpearmanr +test_sBIGLITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sBIGLITTLE(self):$/;" m class:TestCorrSpearmanr +test_sBIGROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sBIGROUND(self):$/;" m class:TestCorrSpearmanr +test_sBIGTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sBIGTINY(self):$/;" m class:TestCorrSpearmanr +test_sbmv_hbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_sbmv_hbmv(self):$/;" m class:TestFBLAS2Simple +test_scal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_scal(self):$/;" m class:TestFBLAS1Simple +test_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_scalar(self):$/;" m class:TestObjectIndex +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_scalar(self):$/;" m class:TestMinMax +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_scalar(self):$/;" m class:TestCpow +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_scalar(self):$/;" m class:TestVectorize +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_scalar(self):$/;" m class:SharedNanFunctionsTestsMixin +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_scalar(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_scalar(self):$/;" m class:TestNanFunctions_Median +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_scalar(self):$/;" m class:TestNanFunctions_MinMax +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_scalar(self):$/;" m class:TestNanFunctions_Percentile +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ def test_scalar(self):$/;" m class:TestIscomplexobj +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def test_scalar(self):$/;" m class:TestUfunclike +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_scalar(self):$/;" m class:TestSeed +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_scalar(self):$/;" m class:TestSeed +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_scalar(self):$/;" m class:TestSeed +test_scalar adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_scalar(self):$/;" m class:TestAsCtypesType +test_scalar adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_scalar(self):$/;" m class:TestFixedQuad +test_scalar adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_scalar(self):$/;" m class:TestBarycentric +test_scalar adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_scalar(self):$/;" m class:TestKrogh +test_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_scalar(self):$/;" m class:TestCorrSpearmanr +test_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_scalar(self):$/;" m class:TestMode +test_scalarlike adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_scalarlike(self):$/;" m class:TestIQR +test_scalarmap_update adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_scalarmap_update(fig_test, fig_ref):$/;" f +test_scalars adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_scalars(self):$/;" m class:TestStdVar +test_scalars adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_scalars(self):$/;" m class:TestStdVarComplex +test_scalars adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_scalars(self):$/;" m class:TestPointerStructures +test_scalars adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_scalars(self):$/;" m class:TestStructures +test_scalars_replicated adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_scalars_replicated(self):$/;" m class:TestStructures +test_scalars_replicated_3d adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_scalars_replicated_3d(self):$/;" m class:TestStructures +test_scalarvalue_unique_enforce_feasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def test_scalarvalue_unique_enforce_feasibility(self):$/;" m class:TestStrictBounds +test_scalarvalue_vector_enforce_feasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def test_scalarvalue_vector_enforce_feasibility(self):$/;" m class:TestStrictBounds +test_scalar_00 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_00(self):$/;" m class:TestToeplitz +test_scalar_01 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_01(self):$/;" m class:TestToeplitz +test_scalar_02 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_02(self):$/;" m class:TestToeplitz +test_scalar_03 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_03(self):$/;" m class:TestToeplitz +test_scalar_04 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_04(self):$/;" m class:TestToeplitz +test_scalar_a adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_scalar_a(self):$/;" m class:_TestLinearFilter +test_scalar_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_scalar_add(self):$/;" m class:RpcTest +test_scalar_and_1d_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_scalar_and_1d_args(self):$/;" m class:TestBlockDiag +test_scalar_arithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_scalar_arithmetic(self):$/;" m class:TestMaskedArrayArithmetic +test_scalar_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_scalar_array(self, cls=np.ndarray):$/;" m class:TestApplyAlongAxis +test_scalar_array_bool adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_scalar_array_bool(self):$/;" m class:TestIndexing +test_scalar_array_equiv adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_scalar_array_equiv(self, endpoint):$/;" m class:TestIntegers +test_scalar_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_scalar_assignment(self):$/;" m class:TestStructured +test_scalar_assign_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_scalar_assign_2(self):$/;" m class:_TestGetSet +test_scalar_a_and_1D_b adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_scalar_a_and_1D_b(self):$/;" m class:TestSolve +test_scalar_basic1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_scalar_basic1(self):$/;" m class:TestFixedPoint +test_scalar_basic2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_scalar_basic2(self):$/;" m class:TestFixedPoint +test_scalar_compare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_scalar_compare(self):$/;" m class:TestRegression +test_scalar_comparison_to_none adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_scalar_comparison_to_none(self):$/;" m class:TestConversion +test_scalar_compound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_scalar_compound(self):$/;" m class:TestScalarCompound +test_scalar_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_scalar_constraints(self):$/;" m class:TestSLSQP +test_scalar_copy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_scalar_copy(self):$/;" m class:TestRegression +test_scalar_covariance_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_scalar_covariance_dataset(self):$/;" m class:TestGaussianKDECustom +test_scalar_cutoffs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_scalar_cutoffs(self):$/;" m class:TestRealScalars +test_scalar_dim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_scalar_dim(self, scalar):$/;" m class:TestScalarPEP3118 +test_scalar_domains_three_conditions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_scalar_domains_three_conditions(self):$/;" m class:TestPiecewise +test_scalar_element_deletion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_scalar_element_deletion():$/;" f +test_scalar_empty_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_scalar_empty_dataset(self):$/;" m class:TestGaussianKDECustom +test_scalar_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_scalar_equal(self):$/;" m class:TestUfunc +test_scalar_exception_propagation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_scalar_exception_propagation(self):$/;" m class:TestRandomDist +test_scalar_exception_propagation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_scalar_exception_propagation(self):$/;" m class:TestRandomDist +test_scalar_exception_propagation adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_scalar_exception_propagation(self):$/;" m class:TestRandomDist +test_scalar_extraction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^def test_scalar_extraction():$/;" f +test_scalar_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def test_scalar_format():$/;" f +test_scalar_idx_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_scalar_idx_dtype(self):$/;" m class:TestBSR +test_scalar_idx_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_scalar_idx_dtype(self):$/;" m class:TestCSC +test_scalar_idx_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_scalar_idx_dtype(self):$/;" m class:TestCSR +test_scalar_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_scalar_index(self):$/;" m class:TestSingleElement +test_scalar_indexing adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_scalar_indexing(self):$/;" m class:TestNewScalarIndexing +test_scalar_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_scalar_input(self):$/;" m class:TestIndices +test_scalar_interface adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_scalar_interface(self, val, iface, expected):$/;" m class:TestArrayInterface +test_scalar_interpolation_point adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_scalar_interpolation_point(self):$/;" m class:TestInterp +test_scalar_known_code adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_scalar_known_code(self, scalar, code):$/;" m class:TestScalarPEP3118 +test_scalar_list_and_ndarray_arguments adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_scalar_list_and_ndarray_arguments(self, loc, shape, df, loc_ans, shape_ans, df_ans)/;" m class:TestMultivariateT +test_scalar_loses1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_loses1(self):$/;" m class:TestCommonType +test_scalar_loses2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_loses2(self):$/;" m class:TestCommonType +test_scalar_match_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_scalar_match_array(self, scalar):$/;" m class:TestScalarPEP3118 +test_scalar_mul adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_scalar_mul(self):$/;" m class:TestLIL +test_scalar_null adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_scalar_null(self):$/;" m class:TestSingleElement +test_scalar_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_scalar_output(self):$/;" m class:MatmulCommon +test_scalar_q adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_scalar_q(self):$/;" m class:TestPercentile +test_scalar_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_scalar_reduction(self):$/;" m class:TestUfunc +test_scalar_return adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_scalar_return(self):$/;" m class:TestIsclose +test_scalar_return_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_scalar_return_type(self):$/;" m class:TestFieldIndexing +test_scalar_return_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_scalar_return_type(self):$/;" m class:TestIndexing +test_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_scalar_scalar(self):$/;" m class:TestApproxDerivativeLinearOperator +test_scalar_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_scalar_scalar(self):$/;" m class:TestApproxDerivativesDense +test_scalar_scalar_abs_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_scalar_scalar_abs_step(self):$/;" m class:TestApproxDerivativesDense +test_scalar_search_armijo adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_scalar_search_armijo(self):$/;" m class:TestLineSearch +test_scalar_search_wolfe1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_scalar_search_wolfe1(self):$/;" m class:TestLineSearch +test_scalar_search_wolfe2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_scalar_search_wolfe2(self):$/;" m class:TestLineSearch +test_scalar_search_wolfe2_regression adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_scalar_search_wolfe2_regression(self):$/;" m class:TestLineSearch +test_scalar_search_wolfe2_with_low_amax adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_scalar_search_wolfe2_with_low_amax(self):$/;" m class:TestLineSearch +test_scalar_squeeze adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_scalar_squeeze():$/;" f +test_scalar_t adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_scalar_t(self):$/;" m class:TestGeometricSlerp +test_scalar_to_tensor adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_scalar_to_tensor(self):$/;" m class:TestLengthsToShapeOps +test_scalar_trivial adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_scalar_trivial(self):$/;" m class:TestFixedPoint +test_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_type(self):$/;" m class:Test_sctype2char +test_scalar_type_pow adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_scalar_type_pow(self):$/;" m class:TestAlgebra +test_scalar_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_scalar_values(self):$/;" m class:TestDirichlet +test_scalar_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_scalar_values(self):$/;" m class:TestMultivariateNormal +test_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_scalar_vector(self):$/;" m class:TestApproxDerivativeLinearOperator +test_scalar_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_scalar_vector(self):$/;" m class:TestApproxDerivativesDense +test_scalar_wins adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_wins(self):$/;" m class:TestCommonType +test_scalar_wins2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_wins2(self):$/;" m class:TestCommonType +test_scalar_wins3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_scalar_wins3(self): # doesn't go up to 'f16' on purpose$/;" m class:TestCommonType +test_scale adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_scale(self, X, in_place, scale, gc, dc):$/;" m class:TestOperators +test_scale adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_scale(self):$/;" m class:TestIQR +test_scaled_expm_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_scaled_expm_multiply(self):$/;" m class:TestExpmActionSimple +test_scaled_expm_multiply_single_timepoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_scaled_expm_multiply_single_timepoint(self):$/;" m class:TestExpmActionSimple +test_scale_dimensions adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_scale_dimensions(self):$/;" m class:TestWishart +test_scale_invariance adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_scale_invariance(self):$/;" m class:TestLFilterZI +test_scale_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/scale_op_test.py /^ def test_scale_ops(self, dim, scale, num_tensors, gc, dc):$/;" m class:TestScaleOps +test_scale_rows_and_cols adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_spfuncs.py /^ def test_scale_rows_and_cols(self):$/;" m class:TestSparseFunctions +test_scaling adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_scaling(self):$/;" m class:TestMatrix_Balance +test_scaling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_scaling(self):$/;" m class:TestFirwin +test_scaling_order adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_scaling_order(self):$/;" m class:TestMatrix_Balance +test_scaling_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_scaling_vector(self):$/;" m class:TestBounds +test_scan adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_scan(self):$/;" m class:ControlFlowOpsTest +test_scan_custom_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_scan_custom_jvp(self):$/;" m class:ControlFlowOpsTest +test_scan_custom_vjp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_scan_custom_vjp(self):$/;" m class:ControlFlowOpsTest +test_scan_partial_eval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_scan_partial_eval(self):$/;" m class:ControlFlowOpsTest +test_scan_remat adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_scan_remat(self):$/;" m class:ControlFlowOpsTest +test_scatter adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_scatter(self):$/;" m class:DistributedTest._DistTestBase +test_scatter3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_scatter3d():$/;" f +test_scatter3d_color adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_scatter3d_color():$/;" f +test_scatter3d_sorting adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_scatter3d_sorting(fig_ref, fig_test, depthshade):$/;" f +test_scatter_2D adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_2D(self):$/;" m class:TestScatter +test_scatter_c adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_c(self, c_case, re_key):$/;" m class:TestScatter +test_scatter_checks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_scatter_checks(self):$/;" m class:DistributedTest._DistTestBase +test_scatter_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_color(self):$/;" m class:TestScatter +test_scatter_decimal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_decimal(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_different_shapes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_different_shapes(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_element0_masked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_scatter_element0_masked():$/;" f +test_scatter_empty_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_scatter_empty_data():$/;" f +test_scatter_full_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_scatter_full_group(self):$/;" m class:DistributedTest._DistTestBase +test_scatter_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_scatter_group(self):$/;" m class:DistributedTest._DistTestBase +test_scatter_invalid_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_invalid_color(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_linewidths adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_linewidths(self):$/;" m class:TestScatter +test_scatter_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_marker(self):$/;" m class:TestScatter +test_scatter_norm_vminvmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_norm_vminvmax(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_no_invalid_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_no_invalid_color(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_plot(self):$/;" m class:TestScatter +test_scatter_post_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_scatter_post_alpha():$/;" f +test_scatter_series_non_zero_index adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_scatter_series_non_zero_index(pd):$/;" f +test_scatter_single_color_c adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_single_color_c(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_single_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_single_point(self, fig_test, fig_ref):$/;" m class:TestScatter +test_scatter_size_arg_size adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def test_scatter_size_arg_size(self):$/;" m class:TestScatter +test_scatter_static adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_scatter_static(self, op):$/;" m class:JaxPrimitiveTest +test_schur adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_schur(self):$/;" m class:TestOverwrite +test_schur_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_schur_complex(self):$/;" m class:TestOverwrite +test_scilimits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_scilimits(self, sci_type, scilimits, lim, orderOfMag, fewticks):$/;" m class:TestScalarFormatter +TEST_SCIPY adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_SCIPY = _check_module_exists('scipy')$/;" v +test_scope_trailing_comma adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_scope_trailing_comma(self):$/;" m class:IndentationTest +test_score_thresh adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_score_thresh(self, gc):$/;" m class:TestBoxWithNMSLimitOp +test_score_weight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_score_weight():$/;" f +test_scott_multidim_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_scott_multidim_dataset(self):$/;" m class:TestGaussianKDECustom +test_scott_singledim_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_scott_singledim_dataset(self):$/;" m class:TestGaussianKDECustom +test_scott_vs_stone adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_scott_vs_stone(self):$/;" m class:TestHistogramOptimBinNums +Test_sctype2char adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^class Test_sctype2char:$/;" c +test_sctypeNA adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_sctypeNA(self):$/;" m class:TestSctypeNA +test_sc_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_sc_diff(self):$/;" m class:TestOverwrite +test_sdot_bug_8577 adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_sdot_bug_8577():$/;" f +test_searchsorted adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_searchsorted(self):$/;" m class:TestMethods +test_searchsorted adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_searchsorted(self):$/;" m class:TestNonarrayArgs +test_searchsorted_return_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_searchsorted_return_type(self):$/;" m class:TestMethods +test_searchsorted_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_searchsorted_unicode(self):$/;" m class:TestMethods +test_searchsorted_variable_length adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_searchsorted_variable_length(self):$/;" m class:TestRegression +test_searchsorted_with_invalid_sorter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_searchsorted_with_invalid_sorter(self):$/;" m class:TestMethods +test_searchsorted_with_sorter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_searchsorted_with_sorter(self):$/;" m class:TestMethods +test_searchsorted_wrong_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_searchsorted_wrong_dtype(self):$/;" m class:TestRegression +test_search_sorted_invalid_arguments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_search_sorted_invalid_arguments(self):$/;" m class:TestRegression +test_sec2 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_sec2(self):$/;" m class:TestDrivers +test_secant_by_name adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_secant_by_name(self):$/;" m class:TestBasic +test_secondary_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_secondary_fail():$/;" f +test_secondary_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_secondary_formatter():$/;" f +test_secondary_minorloc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_secondary_minorloc():$/;" f +test_secondary_resize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_secondary_resize():$/;" f +test_secondary_xy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_secondary_xy():$/;" f +test_second_derivative_continuity_gh_11758 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_second_derivative_continuity_gh_11758(self):$/;" m class:TestCubicSpline +test_second_order_accurate adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_second_order_accurate(self):$/;" m class:TestGradient +test_seed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_seed(self):$/;" m class:TestDefaultRNG +test_seed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_seed(self):$/;" m class:RNG +test_seed adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_seed(self):$/;" m class:TestDefaultRNG +test_seed adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_seed():$/;" f +test_seedsequence adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def test_seedsequence():$/;" f +test_seedsequence adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_seedsequence(self):$/;" m class:TestSeed +test_seed_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_seed_array(self):$/;" m class:RNG +test_seed_float_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_seed_float_array(self):$/;" m class:TestMT19937 +test_seed_gives_repeatability adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_seed_gives_repeatability(self):$/;" m class:TestDifferentialEvolutionSolver +test_seed_reproducibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_seed_reproducibility(self):$/;" m class:TestBasinHopping +test_seed_returns_identically adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ def test_seed_returns_identically(self):$/;" m class:TestClarksonWoodruffTransform +test_seed_returns_identical_transform_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ def test_seed_returns_identical_transform_matrix(self):$/;" m class:TestClarksonWoodruffTransform +test_seed_sub adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def test_seed_sub(gkde_trail):$/;" f function:test_seed file: +test_seek adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_seek(self):$/;" m class:TestZlibInputStream +test_seek_bad_checksum adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def test_seek_bad_checksum(self):$/;" m class:TestZlibInputStream +test_segfault_issue_9710 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_segfault_issue_9710():$/;" f +test_segmentation_fault adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_segmentation_fault(self):$/;" m class:TestAsArray +test_segment_hits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_segment_hits():$/;" f +test_segment_ids_to_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_segment_ids_to_lengths(self, lengths, gc, dc):$/;" m class:TestOperators +test_segment_ids_to_ranges adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_segment_ids_to_ranges(self, gc, dc):$/;" m class:TestOperators +test_segment_one_hot adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^ def test_segment_one_hot(self, hot_indices):$/;" m class:TestOneHotOps +test_segment_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_segment_ops(self, X, gc, dc):$/;" f member:TesterBase._test file: +test_select_samples adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test_select_samples(self):$/;" m class:TestDifferentialEvolutionSolver +test_select_step adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^def test_select_step(args, kwargs, expected_levels, expected_factor):$/;" f +test_select_step24 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^def test_select_step24(args, kwargs, expected_levels, expected_factor):$/;" f +test_select_step360 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^def test_select_step360(args, kwargs, expected_levels, expected_factor):$/;" f +test_self adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ class test_self(object):$/;" c member:TestCurveFit.test_func_is_classmethod file: +test_self_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_add(self):$/;" m class:RpcTest +test_self_containing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_self_containing(self):$/;" m class:TestArrayRepr +test_self_dual_polytope_intersection adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_self_dual_polytope_intersection(self):$/;" m class:Test_HalfspaceIntersection +test_self_py_udf_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_py_udf_remote(self):$/;" m class:RpcTest +test_self_remote_rref_as_remote_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_remote_rref_as_remote_arg(self):$/;" m class:RpcTest +test_self_remote_rref_as_rpc_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_remote_rref_as_rpc_arg(self):$/;" m class:RpcTest +test_self_remote_rref_as_self_remote_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_remote_rref_as_self_remote_arg(self):$/;" m class:RpcTest +test_self_remote_rref_as_self_rpc_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_self_remote_rref_as_self_rpc_arg(self):$/;" m class:RpcTest +test_self_self_assignment adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_self_self_assignment(self):$/;" m class:_TestSlicingAssign +test_self_unions adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_self_unions(n):$/;" f +test_selu_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def test_selu_1(self, X, gc, dc, engine):$/;" m class:TestSelu +test_selu_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def test_selu_2(self, X, gc, dc, engine):$/;" m class:TestSelu +test_selu_3 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def test_selu_3(self, X, gc, dc, engine):$/;" m class:TestSelu +test_selu_inplace adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/selu_op_test.py /^ def test_selu_inplace(self, X, gc, dc, engine):$/;" m class:TestSelu +test_sem adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_sem(self):$/;" m class:TestCompareWithStats +test_sem adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_sem(self):$/;" m class:TestVariability +test_sem adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sem(self):$/;" m class:TestVariability +test_semantic_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_semantic_broadcast(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_sendrecv adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^ def test_sendrecv($/;" m class:TestMPI +test_send_recv adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_send_recv(self):$/;" m class:DistributedTest._DistTestBase +test_send_recv_any_source adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_send_recv_any_source(self):$/;" m class:DistributedTest._DistTestBase +test_send_recv_with_tag adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_send_recv_with_tag(self):$/;" m class:DistributedTest._DistTestBase +test_separate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_separate(self):$/;" m class:TestMatrix_Balance +test_sepfir2d_invalid_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^def test_sepfir2d_invalid_filter():$/;" f +test_sepfir2d_invalid_image adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^def test_sepfir2d_invalid_image():$/;" f +test_sequence adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_sequence(self):$/;" m class:TestPercentile +test_sequence_assignment adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sequence_assignment(self):$/;" m class:_TestFancyIndexingAssign +test_sequence_mask_batching_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_batching_lengths(self, x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_batching_triangle adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_batching_triangle(self, x, mode, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_batching_window adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_batching_window(self, x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_repeated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_repeated(self, x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_triangle adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_triangle(self, x, mode, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_with_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_with_lengths(self, x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_mask_with_window adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def test_sequence_mask_with_window(self, x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +test_sequence_non_homogenous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sequence_non_homogenous(self):$/;" m class:TestCreation +test_sequence_per adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sequence_per(self):$/;" m class:TestScoreatpercentile +test_seq_repeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_seq_repeat(self):$/;" m class:TestMultiply +test_server_process_global_profiler adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_server_process_global_profiler(self):$/;" m class:RpcTest +test_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def test_session(self,$/;" m class:TensorFlowTestCase +test_set adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_set(self):$/;" m class:TestSeterr +test_setastest adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_setastest(self):$/;" m class:TestNoseDecorators +test_setattr_cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_setattr_cm():$/;" f +test_setdata_xya adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_setdata_xya(image_cls, x, y, a):$/;" f +test_setdefault adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_setdefault(self):$/;" m class:TestAdditionalMappingFuncs +test_setdefault_no_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_setdefault_no_default(self):$/;" m class:TestAdditionalMappingFuncs +test_setdefault_with_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_setdefault_with_default(self):$/;" m class:TestAdditionalMappingFuncs +test_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag(self):$/;" m class:TestBSR +test_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag(self):$/;" m class:_TestCommon +test_setdiag_comprehensive adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag_comprehensive(self):$/;" m class:TestBSR +test_setdiag_comprehensive adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag_comprehensive(self):$/;" m class:_TestCommon +test_setdiag_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag_dtype(self):$/;" m class:TestDIA +test_setdiag_noncanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setdiag_noncanonical(self):$/;" m class:TestCOONonCanonical +test_setdiff1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_setdiff1d(self):$/;" m class:TestSetOps +test_setdiff1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_setdiff1d(self):$/;" m class:TestArraySetOps +test_setdiff1d_char_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_setdiff1d_char_array(self):$/;" m class:TestSetOps +test_setdiff1d_char_array adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_setdiff1d_char_array(self):$/;" m class:TestArraySetOps +test_setdiff1d_unique adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_setdiff1d_unique(self):$/;" m class:TestSetOps +test_setelement adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setelement(self):$/;" m class:_TestGetSet +test_seterr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def test_seterr():$/;" f +test_setfield adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_setfield(self):$/;" m class:TestStructured +test_setfield_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_setfield_object(self):$/;" m class:TestStructured +test_setitem adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_setitem(self):$/;" m class:TestCApiAccess +test_setitem adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_setitem(self):$/;" m class:TestMaskedFields +test_setitem_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_setitem_scalar(self):$/;" m class:TestMaskedFields +test_setitem_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_setitem_sparse(self):$/;" m class:_NonCanonicalCSMixin +test_setp adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_artist.py /^def test_setp():$/;" f +test_setslices_hardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_setslices_hardmask(self):$/;" m class:TestMRecords +test_setstate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_setstate(self):$/;" m class:TestDateTime +test_setting_rank0_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_setting_rank0_string(self):$/;" m class:TestRegression +test_setulb_floatround adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lbfgsb_setulb.py /^def test_setulb_floatround():$/;" f +test_setup adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_setup(self):$/;" m class:TestNetBuilder +test_setxor1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_setxor1d(self):$/;" m class:TestSetOps +test_setxor1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_setxor1d(self):$/;" m class:TestArraySetOps +test_set_alignment adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ def test_set_alignment(self):$/;" m class:TestFA +test_set_and_get_default_rpc_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_set_and_get_default_rpc_timeout(self):$/;" m class:RpcTest +test_set_and_get_num_send_recv_threads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_set_and_get_num_send_recv_threads(self):$/;" m class:ProcessGroupAgentRpcTest +test_set_and_get_num_worker_threads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_set_and_get_num_worker_threads(self):$/;" m class:TensorPipeAgentRpcTest +test_set_drawstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_set_drawstyle():$/;" f +test_set_elements adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_elements(self):$/;" m class:TestMRecords +test_set_element_as_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_set_element_as_object(self):$/;" m class:TestMaskedArray +test_set_fields adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_fields(self):$/;" m class:TestMRecords +test_set_fields_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_fields_mask(self):$/;" m class:TestMRecords +test_set_fig_size adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_set_fig_size():$/;" f +test_set_fill_value_unicode_py3 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_set_fill_value_unicode_py3(self):$/;" m class:TestRegression +test_set_gc_state adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^def test_set_gc_state():$/;" f +test_set_get adpepsenv/lib/python3.8/site-packages/caffe2/distributed/file_store_handler_op_test.py /^ def test_set_get(self):$/;" m class:TestFileStoreHandlerOp +test_set_get adpepsenv/lib/python3.8/site-packages/caffe2/distributed/redis_store_handler_op_test.py /^ def test_set_get(self):$/;" m class:TestRedisStoreHandlerOp +test_set_get adpepsenv/lib/python3.8/site-packages/caffe2/distributed/store_ops_test_util.py /^ def test_set_get(cls, create_store_handler_fn):$/;" m class:StoreOpsTests +test_set_get_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_set_get_ticklabels():$/;" f +test_set_get_workers adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def test_set_get_workers():$/;" f +test_set_key adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_set_key(self):$/;" m class:TestPhilox +test_set_label adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dimension_scales.py /^ def test_set_label(self):$/;" m class:TestDimensionsHighLevel +test_set_line_coll_dash adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_set_line_coll_dash():$/;" f +test_set_line_coll_dash_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_set_line_coll_dash_image():$/;" f +test_set_line_coll_dash_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^def test_set_line_coll_dash_image():$/;" f +test_set_margin_updates_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_set_margin_updates_limits():$/;" f +test_set_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_mask(self):$/;" m class:TestMRecords +test_set_mask_fromarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_mask_fromarray(self):$/;" m class:TestMRecords +test_set_mask_fromfields adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_set_mask_fromfields(self):$/;" m class:TestMRecords +test_set_module adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_set_module(self):$/;" m class:TestNumPyFunctions +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestFixedLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestIndexLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestLinearLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestLogLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestMultipleLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestNullLocator +test_set_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_set_params(self):$/;" m class:TestSymmetricalLogLocator +test_set_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_set_position():$/;" f +test_set_position adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_set_position():$/;" f +test_set_records_masks adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_set_records_masks(self):$/;" m class:TestMaskedFields +test_set_record_element adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_set_record_element(self):$/;" m class:TestMaskedFields +test_set_record_slice adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_set_record_slice(self):$/;" m class:TestMaskedFields +test_set_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_set_slice(self):$/;" m class:_TestSlicingAssign +test_set_stridesattr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_set_stridesattr(self):$/;" m class:TestAttributes +test_set_string_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_set_string_function(self):$/;" m class:TestStringFunction +test_set_ticks_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_set_ticks_inverted():$/;" f +test_set_workers_invalid adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def test_set_workers_invalid():$/;" f +test_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf(self):$/;" m class:TestGumbelR +test_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf(self):$/;" m class:TestKSTwo +test_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf(self):$/;" m class:TestPareto +test_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf(self):$/;" m class:TestPlanck +test_sfrk_hfrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_sfrk_hfrk():$/;" f +test_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf_isf(self):$/;" m class:TestGumbelL +test_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf_isf(self):$/;" m class:TestInvGamma +test_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf_isf(self):$/;" m class:TestLogistic +test_sf_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_sf_isf(self):$/;" m class:TestNakagami +test_sgemv_on_osx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_sgemv_on_osx(self):$/;" m class:TestSgemv +test_sgesdd_lwork_bug_workaround adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_sgesdd_lwork_bug_workaround():$/;" f +test_sg_coeffs_compare adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_compare():$/;" f +test_sg_coeffs_deriv adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_deriv():$/;" f +test_sg_coeffs_deriv_gt_polyorder adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_deriv_gt_polyorder():$/;" f +test_sg_coeffs_exact adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_exact():$/;" f +test_sg_coeffs_large adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_large():$/;" f +test_sg_coeffs_trivial adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_coeffs_trivial():$/;" f +test_sg_filter_2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_filter_2d():$/;" f +test_sg_filter_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_filter_basic():$/;" f +test_sg_filter_interp_edges adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_filter_interp_edges():$/;" f +test_sg_filter_interp_edges_3d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_filter_interp_edges_3d():$/;" f +test_sg_filter_trivial adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_savitzky_golay.py /^def test_sg_filter_trivial():$/;" f +test_shadow adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_shadow(fig_test, fig_ref):$/;" f +test_shadow_framealpha adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_shadow_framealpha():$/;" f +test_shallow_statistic_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_shallow_statistic_range(self, mode):$/;" m class:TestConditionalShortcuts +test_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_shape(self, data, gc, dc):$/;" m class:TestOperators +test_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^ def test_shape(self, n, c, h, w, gc, dc):$/;" m class:ShapeTest +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:Test1DFloat +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:Test1DZeroFloat +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:Test2DFloat +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:Test2DZeroFloat +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:TestEmpty +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:TestScalarArray +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:TestScalarCompound +test_shape adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_shape(self):$/;" m class:TestScalarFloat +test_shape adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_shape(self):$/;" m class:TestShape +test_shape adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_shape(self):$/;" m class:TestNdpointer +test_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_shape(self, fforward):$/;" m class:Test_DCTN_IDCTN +test_shape adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_shape(self, fforward):$/;" m class:Test_DCTN_IDCTN +test_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_shape(self):$/;" m class:TestPPolyCommon +test_shape adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def test_shape():$/;" f +test_shape adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_shape(self):$/;" m class:TestDecimate +test_shape adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_shape(self):$/;" m class:TestRatioUniforms +test_shaped_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shaped_data(fig_test, fig_ref):$/;" f +test_shaped_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_shaped_dtype(self):$/;" m class:TestFromTxt +test_shaped_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_shaped_dtype(self):$/;" m class:TestLoadTxt +test_shapes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_shapes(self):$/;" m class:MatmulCommon +test_shapes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_shapes(self):$/;" m class:TestInterp +test_shapes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^def test_shapes():$/;" f +test_shapes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_shapes(self):$/;" m class:TestDGEMM +test_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_shapes(self):$/;" m class:TestSS2TF +test_shapes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_shapes(self):$/;" m class:Test_abcd_normalize +test_shapes_1d_vectorvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_1d_vectorvalue(self):$/;" m class:TestBarycentric +test_shapes_1d_vectorvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_1d_vectorvalue(self):$/;" m class:TestKrogh +test_shapes_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_args(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_identifiers_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_identifiers_1(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_identifiers_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_identifiers_2(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_identifiers_3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_identifiers_3(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_identifiers_nodefaults adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_identifiers_nodefaults(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_keywords adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_keywords(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_kwargs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_kwargs(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_scalarvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_scalarvalue(self):$/;" m class:TestBarycentric +test_shapes_scalarvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_scalarvalue(self):$/;" m class:TestKrogh +test_shapes_scalarvalue_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_scalarvalue_derivative(self):$/;" m class:TestKrogh +test_shapes_signature adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_signature(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_signature_inconsistent adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_signature_inconsistent(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_string adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shapes_string(self):$/;" m class:TestSubclassingExplicitShapes +test_shapes_vectorvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_vectorvalue(self):$/;" m class:TestBarycentric +test_shapes_vectorvalue adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_vectorvalue(self):$/;" m class:TestKrogh +test_shapes_vectorvalue_derivative adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_shapes_vectorvalue_derivative(self):$/;" m class:TestKrogh +test_shape_1_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_shape_1_fields(self):$/;" m class:TestShape1Fields +test_shape_3d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_shape_3d(self):$/;" m class:TestHistogramdd +test_shape_4d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_shape_4d(self):$/;" m class:TestHistogramdd +test_shape_and_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_shape_and_dtype(self):$/;" m class:TestIx_ +test_shape_and_type_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^ def test_shape_and_type_inference(self):$/;" m class:TestGatherRanges +test_shape_and_type_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_hash_ops_test.py /^ def test_shape_and_type_inference(self):$/;" m class:TestIndexHashOps +test_shape_argument adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_shape_argument(self):$/;" m class:TestFillingValues +test_shape_argument adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_shape_argument(self):$/;" m class:TestFftn +test_shape_argument adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_shape_argument(self):$/;" m class:TestFftn +test_shape_argument_more adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_shape_argument_more(self):$/;" m class:TestFftn +test_shape_argument_more adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_shape_argument_more(self):$/;" m class:TestFftn +test_shape_axes_argument adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_shape_axes_argument(self):$/;" m class:TestFftn +test_shape_axes_argument adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_shape_axes_argument(self):$/;" m class:TestFftn +test_shape_axes_argument2 adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_shape_axes_argument2(self):$/;" m class:TestFftn +test_shape_axes_argument2 adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_shape_axes_argument2(self):$/;" m class:TestFftn +test_shape_axes_subset adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^ def test_shape_axes_subset(self):$/;" m class:Test_init_nd_shape_and_axes +test_shape_calculation_positive_step adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_shape_calculation_positive_step(self):$/;" m class:TestVirtualSource +test_shape_compatibility adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_shape_compatibility(self):$/;" m class:TestLinsolve +test_shape_conflict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_shape_conflict(self):$/;" m class:TestCreateRequire +test_shape_correctness adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_shape_correctness(self):$/;" m class:TestMultivariateT +test_shape_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_equal(self):$/;" m class:TestSubarray +test_shape_error adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_shape_error(self, gc, dc):$/;" m class:TestFillerOperator +test_shape_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_shape_error(self):$/;" m class:ShapePolyTest +test_shape_invalid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_invalid(self):$/;" m class:TestSubarray +test_shape_is_none_with_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^ def test_shape_is_none_with_axes(self, fforward, finverse, axes):$/;" m class:Test_DCTN_IDCTN +test_shape_is_none_with_axes adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def test_shape_is_none_with_axes(self, fforward, finverse, axes):$/;" m class:Test_DCTN_IDCTN +test_shape_matches_ndim adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_matches_ndim(self):$/;" m class:TestSubarray +test_shape_mismatch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_shape_mismatch(self):$/;" m class:TestEig +test_shape_monster adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_monster(self):$/;" m class:TestSubarray +test_shape_property adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_shape_property(self, n_dims, n_pts):$/;" m class:TestGeometricSlerp +test_shape_rv_sample adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_shape_rv_sample(self):$/;" m class:TestRvDiscrete +test_shape_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_shape_scalar(self):$/;" m class:TestShapeBase +test_shape_sequence adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_sequence(self):$/;" m class:TestSubarray +test_shape_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_shape_simple(self):$/;" m class:TestSubarray +test_shape_with_axes adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_shape_with_axes(self, data, gc, dc):$/;" m class:TestOperators +test_shape_with_axes adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/shape_op_test.py /^ def test_shape_with_axes(self, n, c, h, w, axes, gc, dc):$/;" m class:ShapeTest +test_shared adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_shared():$/;" f +test_shared adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_shared():$/;" f +test_shared_and_moved adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_shared_and_moved():$/;" f +test_shared_axes_autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_axes_autoscale():$/;" f +test_shared_bool adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_bool():$/;" f +test_shared_grads adpepsenv/lib/python3.8/site-packages/caffe2/python/models/imagenet_trainer_test_utils.py /^def test_shared_grads($/;" f +test_shared_scale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_scale():$/;" f +test_shared_with_aspect_1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_with_aspect_1():$/;" f +test_shared_with_aspect_2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_with_aspect_2():$/;" f +test_shared_with_aspect_3 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_shared_with_aspect_3():$/;" f +test_shares_memory_api adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def test_shares_memory_api():$/;" f +test_sharing_modulo_commutativity adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_sharing_modulo_commutativity(eq, backend):$/;" f +test_sharing_nesting adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_sharing_nesting(backend):$/;" f +test_sharing_reused_cache adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_sharing_reused_cache(backend):$/;" f +test_sharing_value adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_sharing_value(eq, backend):$/;" f +test_sharing_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def test_sharing_with_constants(backend):$/;" f +test_shi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_shi(self):$/;" m class:TestSystematic +test_shichi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_shichi(self):$/;" m class:TestCephes +test_shichi_consistency adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sici.py /^def test_shichi_consistency():$/;" f +test_shift adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_shift(self):$/;" m class:TestOverwrite +test_shift adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_shift():$/;" f +test_shift adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_shift(self):$/;" m class:TestEnergyDistance +test_shift adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_shift(self):$/;" m class:TestWassersteinDistance +test_shift01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift01(self, order):$/;" m class:TestNdimageInterpolation +test_shift02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift02(self, order):$/;" m class:TestNdimageInterpolation +test_shift03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift03(self, order):$/;" m class:TestNdimageInterpolation +test_shift04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift04(self, order):$/;" m class:TestNdimageInterpolation +test_shift05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift05(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_shift06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift06(self, order):$/;" m class:TestNdimageInterpolation +test_shift07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift07(self, order):$/;" m class:TestNdimageInterpolation +test_shift08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift08(self, order):$/;" m class:TestNdimageInterpolation +test_shift09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift09(self, order):$/;" m class:TestNdimageInterpolation +test_shift_all_bits adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_shift_all_bits(self, type_code, op):$/;" m class:TestBitShifts +test_shift_grid_constant1 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_grid_constant1(self, shift, order):$/;" m class:TestNdimageInterpolation +test_shift_grid_constant_order1 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_grid_constant_order1(self):$/;" m class:TestNdimageInterpolation +test_shift_grid_wrap adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_grid_wrap(self, shift, order):$/;" m class:TestNdimageInterpolation +test_shift_nearest_boundary adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_nearest_boundary(self, order, prefilter):$/;" m class:TestNdimageInterpolation +test_shift_reflect adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_reflect(self, order):$/;" m class:TestNdimageInterpolation +test_shift_vs_padded adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_vs_padded(self, order, mode):$/;" m class:TestNdimageInterpolation +test_shift_with_nonzero_cval adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_shift_with_nonzero_cval(self, order, mode, dtype):$/;" m class:TestNdimageInterpolation +test_shift_x adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_shift_x(self):$/;" m class:TestUpfirdn +test_shi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_shi_complex(self):$/;" m class:TestSystematic +test_shl_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_shl_128():$/;" f +test_shock_layer adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_shock_layer():$/;" f +test_shortest_path_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_shortest_path_indices():$/;" f +test_shortest_path_min_only_random adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_shortest_path_min_only_random(n):$/;" f +test_short_circuit adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_short_circuit(self):$/;" m class:TestMove +test_short_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_short_data(self):$/;" m class:TestCSD +test_short_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_short_data(self):$/;" m class:TestSpectrogram +test_short_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_short_data(self):$/;" m class:TestWelch +test_short_knn adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^def test_short_knn(kdtree_type):$/;" f +test_short_nfft adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_short_nfft(self):$/;" m class:TestPeriodogram +test_short_x_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_short_x_FIR(self):$/;" m class:_TestLinearFilter +test_short_x_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_short_x_IIR(self):$/;" m class:_TestLinearFilter +test_should_stop_as_criteria_net_execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_should_stop_as_criteria_net_execution_step($/;" m class:TestOperators +test_show_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^def test_show_options():$/;" f +test_shrink_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_shrink_mask(self):$/;" m class:TestMaskedArrayAttributes +test_shr_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_shr_128():$/;" f +test_shuffle adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_shuffle(self):$/;" m class:TestCreateShuffle +test_shuffle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_shuffle(self):$/;" m class:TestRandomDist +test_shuffle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_shuffle(self):$/;" m class:TestRandomDist +test_shuffle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_shuffle(self):$/;" m class:TestRandomDist +test_shuffle adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_shuffle(self):$/;" m class:RNG +test_shufflenet adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_shufflenet(self):$/;" m class:TensorRTOpTest +test_shufflenet_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^ def test_shufflenet_forward_only(self):$/;" m class:ShufflenetMemongerTest +test_shufflenet_forward_only_fast_simplenet adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^ def test_shufflenet_forward_only_fast_simplenet(self):$/;" m class:ShufflenetMemongerTest +test_shufflenet_shared_grads adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^ def test_shufflenet_shared_grads(self, with_shapes, gc, dc):$/;" m class:ShufflenetMemongerTest +test_shufflenet_v2_x0_5 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_shufflenet_v2_x0_5(self):$/;" m class:Test_PT_ONNX_TRT +test_shufflenet_v2_x1_0 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_shufflenet_v2_x1_0(self):$/;" m class:Test_PT_ONNX_TRT +test_shuffle_axis_nonsquare adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_shuffle_axis_nonsquare(self):$/;" m class:TestRandomDist +test_shuffle_custom_axis adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_shuffle_custom_axis(self):$/;" m class:TestRandomDist +test_shuffle_exceptions adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_shuffle_exceptions(self):$/;" m class:TestRandomDist +test_shuffle_input_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^ def test_shuffle_input_convolution($/;" m class:TestConvolution +test_shuffle_masked adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_shuffle_masked(self):$/;" m class:TestRandomDist +test_shuffle_masked adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_shuffle_masked(self):$/;" m class:TestRandomDist +test_shuffle_masked adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_shuffle_masked(self):$/;" m class:TestRandomDist +test_shuffle_mixed_dimension adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_shuffle_mixed_dimension(self):$/;" m class:TestRegression +test_shuffle_mixed_dimension adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_shuffle_mixed_dimension(self):$/;" m class:TestRegression +test_shuffle_mixed_dimension adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_shuffle_mixed_dimension(self):$/;" m class:TestRegression +test_shuffle_of_array_of_different_length_strings adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_shuffle_of_array_of_different_length_strings(self):$/;" m class:TestRegression +test_shuffle_of_array_of_different_length_strings adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_shuffle_of_array_of_different_length_strings(self):$/;" m class:TestRegression +test_shuffle_of_array_of_different_length_strings adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_shuffle_of_array_of_different_length_strings(self):$/;" m class:TestRegression +test_shuffle_of_array_of_objects adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_shuffle_of_array_of_objects(self):$/;" m class:TestRegression +test_shuffle_of_array_of_objects adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_shuffle_of_array_of_objects(self):$/;" m class:TestRegression +test_shuffle_of_array_of_objects adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_shuffle_of_array_of_objects(self):$/;" m class:TestRegression +test_sHUGEHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sHUGEHUGE(self):$/;" m class:TestCorrSpearmanr +test_sHUGEROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sHUGEROUND(self):$/;" m class:TestCorrSpearmanr +test_sHUGETINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sHUGETINY(self):$/;" m class:TestCorrSpearmanr +test_shutdown_followed_by_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_shutdown_followed_by_rpc(self):$/;" m class:RpcTest +test_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_sh_chebyt(self):$/;" m class:_test_sh_chebyt +test_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_chebyt(self):$/;" m class:TestPolys +test_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_chebyt(self):$/;" m class:TestRecurrence +test_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_sh_chebyu(self):$/;" m class:_test_sh_chebyu +test_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_chebyu(self):$/;" m class:TestPolys +test_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_chebyu(self):$/;" m class:TestRecurrence +test_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_sh_jacobi(self):$/;" m class:_test_sh_jacobi +test_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_jacobi(self):$/;" m class:TestPolys +test_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_jacobi(self):$/;" m class:TestRecurrence +test_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ def test_sh_legendre(self):$/;" m class:_test_sh_legendre +test_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_legendre(self):$/;" m class:TestPolys +test_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^ def test_sh_legendre(self):$/;" m class:TestRecurrence +test_si adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_si(self):$/;" m class:TestSystematic +test_siamese_embedding_model_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_embedding_model_correctness_test.py /^ def test_siamese_embedding_model_correctness(self, distribution, use_numpy,$/;" m class:DistributionStrategySiameseEmbeddingModelCorrectnessTest +test_sibling_class adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_sibling_class(self):$/;" m class:TestIsSubDType +test_sici adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_sici(self):$/;" m class:TestCephes +test_sici_consistency adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sici.py /^def test_sici_consistency():$/;" f +test_side adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_side(self, dtype_):$/;" m class:TestTRMM +test_siegelslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def test_siegelslopes():$/;" f +test_sigmaclip1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sigmaclip1(self):$/;" m class:TestSigmaClip +test_sigmaclip2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sigmaclip2(self):$/;" m class:TestSigmaClip +test_sigmaclip3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sigmaclip3(self):$/;" m class:TestSigmaClip +test_sigmaclip_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sigmaclip_result_attributes(self):$/;" m class:TestSigmaClip +test_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/sigmoid_op_test.py /^ def test_sigmoid(self, X, inplace, gc, dc):$/;" m class:SigmoidTest +test_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_sigmoid(self, X, inplace, engine, gc, dc):$/;" m class:TestElementwiseOps +test_sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def test_sigmoid_cross_entropy_with_logits($/;" m class:TestCrossEntropyOps +test_sign adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/math_ops_test.py /^ def test_sign(self, X, exponent, gc, dc):$/;" m class:TestMathOps +test_sign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sign(self):$/;" m class:TestSign +test_signaling_nan_exceptions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_signaling_nan_exceptions():$/;" f +test_signal_edges adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_signal_edges(self, x):$/;" m class:TestLocalMaxima1d +test_signature0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature0(self):$/;" m class:TestUfunc +test_signature1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature1(self):$/;" m class:TestUfunc +test_signature2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature2(self):$/;" m class:TestUfunc +test_signature3 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature3(self):$/;" m class:TestUfunc +test_signature4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature4(self):$/;" m class:TestUfunc +test_signature5 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature5(self):$/;" m class:TestUfunc +test_signature6 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature6(self):$/;" m class:TestUfunc +test_signature7 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature7(self):$/;" m class:TestUfunc +test_signature8 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature8(self):$/;" m class:TestUfunc +test_signature_center adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_center(self):$/;" m class:TestVectorize +test_signature_computed_size adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_computed_size(self):$/;" m class:TestVectorize +test_signature_excluded adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_excluded(self):$/;" m class:TestVectorize +test_signature_failure_extra_parenthesis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature_failure_extra_parenthesis(self):$/;" m class:TestUfunc +test_signature_failure_mismatching_parenthesis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature_failure_mismatching_parenthesis(self):$/;" m class:TestUfunc +test_signature_failure_signature_missing_input_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature_failure_signature_missing_input_arg(self):$/;" m class:TestUfunc +test_signature_failure_signature_missing_output_arg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_signature_failure_signature_missing_output_arg(self):$/;" m class:TestUfunc +test_signature_inspection adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_signature_inspection(self):$/;" m class:TestSubclassingNoShapes +test_signature_inspection_2args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_signature_inspection_2args(self):$/;" m class:TestSubclassingNoShapes +test_signature_inspection_2args_incorrect_shapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_signature_inspection_2args_incorrect_shapes(self):$/;" m class:TestSubclassingNoShapes +test_signature_invalid_inputs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_invalid_inputs(self):$/;" m class:TestVectorize +test_signature_invalid_outputs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_invalid_outputs(self):$/;" m class:TestVectorize +test_signature_mean_last adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_mean_last(self):$/;" m class:TestVectorize +test_signature_otypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_otypes(self):$/;" m class:TestVectorize +test_signature_outer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_outer(self):$/;" m class:TestVectorize +test_signature_override adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def test_signature_override():$/;" f +test_signature_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_simple(self):$/;" m class:TestVectorize +test_signature_two_outputs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_signature_two_outputs(self):$/;" m class:TestVectorize +test_signed_integer_data adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_signed_integer_data(self, bins):$/;" m class:TestHistogramOptimBinNums +test_signed_integer_division_overflow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_signed_integer_division_overflow(self):$/;" m class:TestRegression +test_signed_overflow_bounds adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_signed_overflow_bounds(self):$/;" m class:TestHistogram +test_signed_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_signed_zeros(self):$/;" m class:TestComplexDivision +test_sign_bit adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_sign_bit(self):$/;" m class:TestRegression +test_sign_dtype_nan_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sign_dtype_nan_object(self):$/;" m class:TestSign +test_sign_dtype_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sign_dtype_object(self):$/;" m class:TestSign +test_sign_for_complex_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_sign_for_complex_nan(self):$/;" m class:TestRegression +test_sign_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_sign_spacing(self):$/;" m class:TestPrintOptions +test_sign_spacing_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_sign_spacing_structured(self):$/;" m class:TestPrintOptions +test_sig_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sig_dtype(self):$/;" m class:TestUfuncKwargs +test_sig_signature adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sig_signature(self):$/;" m class:TestUfuncKwargs +test_silverman_multidim_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_silverman_multidim_dataset(self):$/;" m class:TestGaussianKDECustom +test_silverman_singledim_dataset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_silverman_singledim_dataset(self):$/;" m class:TestGaussianKDECustom +test_simard_lecuyer_table1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_simard_lecuyer_table1(self):$/;" m class:TestKSTwo +test_simo_round_trip adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_simo_round_trip(self):$/;" m class:TestSS2TF +test_simo_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_simo_tf(self):$/;" m class:TestC2D +test_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_simple(self):$/;" m class:TestBindings +test_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def test_simple(self, gc):$/;" m class:TestBoxWithNMSLimitOp +test_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def test_simple(self):$/;" m class:TestTypeGeneration +test_simple adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_simple(self):$/;" m class:ShapePolyTest +test_simple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_basic.py /^def test_simple():$/;" f +test_simple adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_simple():$/;" f +test_simple adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_simple(self):$/;" m class:TestVoxels +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_simple(self):$/;" m class:TestFromDTypeAttribute +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^ def test_simple(self):$/;" m class:TestTake +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple(self, dt):$/;" m class:TestNeighborhoodIter +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple(self):$/;" m class:TestIndices +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_simple(self):$/;" m class:TestHypot +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestCabs +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestCarg +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestCexp +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestClog +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestCpow +test_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple(self):$/;" m class:TestCsqrt +test_simple adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^ def test_simple(self):$/;" m class:TestLibraryInfo +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_simple(self):$/;" m class:TestEmpty +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestBincount +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestCheckFinite +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestCorrCoef +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestKaiser +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestMeshgrid +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestMsort +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestPiecewise +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestSinc +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestTrapz +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestUnique +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestUnwrap +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:TestVectorize +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple(self):$/;" m class:Test_I0 +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_simple(self):$/;" m class:TestHistogram +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_simple(self):$/;" m class:TestHistogramdd +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_simple(self):$/;" m class:TestHistogramOptimBinNums +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_simple(self):$/;" m class:TestApplyAlongAxis +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_simple(self):$/;" m class:TestApplyOverAxes +test_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_simple(self):$/;" m class:TestHistogram2d +test_simple adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple(self):$/;" m class:TestArrayAlmostEqual +test_simple adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple(self):$/;" m class:TestAssertAllclose +test_simple adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple(self):$/;" m class:TestStringEqual +test_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple(self):$/;" m class:TestBPoly +test_simple adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple(self):$/;" m class:TestPPoly +test_simple adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^ def test_simple(self):$/;" m class:TestHBReader +test_simple adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_hb.py /^ def test_simple(self):$/;" m class:TestHBReadWrite +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple(self):$/;" m class:TestDet +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple(self):$/;" m class:TestInv +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple(self):$/;" m class:TestSolve +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple(self):$/;" m class:TestSolveTriangular +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestDiagSVD +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestEig +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestEigVals +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestHessenberg +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestLU +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestQR +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestRQ +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestSchur +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestSVDVals +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple(self):$/;" m class:TestSVD_GESDD +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_simple(self):$/;" m class:TestCholesky +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_ldl.py /^def test_simple():$/;" f +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple(self):$/;" m class:BaseAxpy +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple(self):$/;" m class:BaseCopy +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple(self):$/;" m class:BaseGemv +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple(self):$/;" m class:BaseScal +test_simple adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple(self):$/;" m class:BaseSwap +test_simple adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^ def test_simple(self):$/;" m class:TestCobyla +test_simple adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_simple(self, dt):$/;" m class:TestTf2zpk +test_simple adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_simple(self):$/;" m class:TestLocalMaxima1d +test_simple adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_simple(self):$/;" m class:TestVoronoi +test_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_simple(self):$/;" m class:TestMedianTest +test_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_simple(self):$/;" m class:TestEnergyDistance +test_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_simple(self):$/;" m class:TestKruskal +test_simple adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_simple(self):$/;" m class:TestWassersteinDistance +test_simple101 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_simple101(self):$/;" m class:TestApplyAlongAxis +test_simple2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple2(self):$/;" m class:TestBincount +test_simple2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple2(self):$/;" m class:TestBPoly +test_simple2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple2(self):$/;" m class:TestSolve +test_simple2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple2(self):$/;" m class:TestHessenberg +test_simple2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple2(self):$/;" m class:TestLU +test_simple2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple2d(self, dt):$/;" m class:TestNeighborhoodIter +test_simple2_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple2_complex(self):$/;" m class:TestLU +test_simple3 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple3(self):$/;" m class:TestBPoly +test_simple3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple3(self):$/;" m class:TestHessenberg +test_simple4 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple4(self):$/;" m class:TestBPoly +test_simple5 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple5(self):$/;" m class:TestBPoly +test_SimplePatchShadow_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patheffects.py /^def test_SimplePatchShadow_offset():$/;" f +test_simplex_algorithm_wikipedia_example adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_simplex_algorithm_wikipedia_example(self):$/;" m class:LinprogCommonTests +test_simple_0d_arrays adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple_0d_arrays(self):$/;" m class:TestApproxEqual +test_simple_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_simple_1(self):$/;" m class:TestIndexExpression +test_simple_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple_1d(self):$/;" m class:TestNdPPoly +test_simple_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple_2d(self):$/;" m class:TestNdPPoly +test_simple_3d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple_3d(self):$/;" m class:TestNdPPoly +test_simple_4d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_simple_4d(self):$/;" m class:TestNdPPoly +test_simple_arrays adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple_arrays(self):$/;" m class:TestArrayAssertLess +test_simple_broadcasting_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_simple_broadcasting_errors(self):$/;" m class:TestBroadcastedAssignments +test_simple_cflags adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^ def test_simple_cflags(self):$/;" m class:TestParseFlags +test_simple_circular adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple_circular(self):$/;" m class:TestStackedNeighborhoodIter +test_simple_cnnmodel adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^ def test_simple_cnnmodel(self):$/;" m class:TensorboardExporterTest +test_simple_cols adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_cols(self):$/;" m class:TestPinv +test_simple_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_complex(self):$/;" m class:TestClip +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_complex(self):$/;" m class:TestMMIOArray +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_complex(self):$/;" m class:TestMMIOSparseCSR +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestDet +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestInv +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestPinv +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestPinvSymmetric +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestSolve +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex(self):$/;" m class:TestSolveTriangular +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestEig +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestEigVals +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestHessenberg +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestLU +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestQR +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestRQ +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestSVDVals +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex(self):$/;" m class:TestSVD_GESDD +test_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_simple_complex(self):$/;" m class:TestCholesky +test_simple_complex2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_complex2(self):$/;" m class:TestSolve +test_simple_complex_eig adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_eig(self):$/;" m class:TestEig +test_simple_complex_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_left(self):$/;" m class:TestQR +test_simple_complex_left_conjugate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_left_conjugate(self):$/;" m class:TestQR +test_simple_complex_left_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_left_pivoting(self):$/;" m class:TestQR +test_simple_complex_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_pivoting(self):$/;" m class:TestQR +test_simple_complex_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_right(self):$/;" m class:TestQR +test_simple_complex_right_conjugate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_right_conjugate(self):$/;" m class:TestQR +test_simple_complex_right_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_right_pivoting(self):$/;" m class:TestQR +test_simple_complex_tall_left_conjugate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_complex_tall_left_conjugate(self):$/;" m class:TestQR +test_simple_conjugate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_simple_conjugate(self):$/;" m class:TestCsqrt +test_simple_const adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple_const(self):$/;" m class:TestStackedNeighborhoodIter +test_simple_dnn_metric_correctness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def test_simple_dnn_metric_correctness(self, distribution):$/;" m class:TestDistributionStrategyDnnMetricCorrectness +test_simple_double adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_double(self):$/;" m class:TestClip +test_simple_endian_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_simple_endian_types(self):$/;" m class:TestFromCTypes +test_simple_exact adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_exact(self):$/;" m class:TestLstsq +test_simple_exp adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_simple_exp(self):$/;" m class:TestFortranFormatParser +test_simple_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat(self):$/;" m class:TestQR +test_simple_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat(self):$/;" m class:TestRQ +test_simple_fat_e adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_e(self):$/;" m class:TestQR +test_simple_fat_e_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_e_pivoting(self):$/;" m class:TestQR +test_simple_fat_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_left(self):$/;" m class:TestQR +test_simple_fat_left_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_left_pivoting(self):$/;" m class:TestQR +test_simple_fat_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_pivoting(self):$/;" m class:TestQR +test_simple_fat_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_right(self):$/;" m class:TestQR +test_simple_fat_right_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_fat_right_pivoting(self):$/;" m class:TestQR +test_simple_fieldexc adpepsenv/lib/python3.8/site-packages/h5py/tests/test_selections.py /^ def test_simple_fieldexc(self):$/;" m class:TestTypeGeneration +test_simple_flexible adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_simple_flexible(self):$/;" m class:TestRecursiveFillFields +test_simple_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_float(self):$/;" m class:TestMMIOArray +test_simple_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_float(self):$/;" m class:TestMMIOSparseCSR +test_simple_fractions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^ def test_simple_fractions(self, ftype):$/;" m class:TestAsIntegerRatio +test_simple_function_def adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^ def test_simple_function_def(self):$/;" m class:CheckAstEqualityTest +test_simple_gets adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5f.py /^ def test_simple_gets(self):$/;" m class:TestCacheConfig +test_simple_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_flow.py /^def test_simple_graph():$/;" f +test_simple_hermitian_complex adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_hermitian_complex(self):$/;" m class:TestMMIOArray +test_simple_hermitian_complex adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_hermitian_complex(self):$/;" m class:TestMMIOSparseCSR +test_simple_inplace_01 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_inplace_01(self):$/;" m class:TestClip +test_simple_inplace_02 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_inplace_02(self):$/;" m class:TestClip +test_simple_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_int(self):$/;" m class:TestClip +test_simple_int adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_simple_int(self):$/;" m class:TestFortranFormatParser +test_simple_int32_inout adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_int32_inout(self, casting):$/;" m class:TestClip +test_simple_int32_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_int32_out(self):$/;" m class:TestClip +test_simple_int64_inout adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_int64_inout(self):$/;" m class:TestClip +test_simple_int64_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_int64_out(self):$/;" m class:TestClip +test_simple_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_simple_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_integer(self, typeval, dtype):$/;" m class:TestMMIOSparseCSR +test_simple_item adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple_item(self):$/;" m class:TestAlmostEqual +test_simple_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple_items(self):$/;" m class:TestApproxEqual +test_simple_items adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_simple_items(self):$/;" m class:TestArrayAssertLess +test_simple_known adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_known(self):$/;" m class:TestLU +test_simple_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_left(self):$/;" m class:TestQR +test_simple_left_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_left_pivoting(self):$/;" m class:TestQR +test_simple_lflags adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^ def test_simple_lflags(self):$/;" m class:TestParseFlags +test_simple_lower_triangle_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_lower_triangle_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_simple_lower_triangle_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_lower_triangle_integer(self, typeval, dtype):$/;" m class:TestMMIOSparseCSR +test_simple_memonger adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_simple_memonger(self, input_dim, output_dim, batch_size, do, algo):$/;" m class:MemongerTest +test_simple_mirror adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple_mirror(self):$/;" m class:TestStackedNeighborhoodIter +test_simple_nonnative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_nonnative(self):$/;" m class:TestClip +test_simple_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_simple_out(self):$/;" m class:TestClip +test_simple_overdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_overdet(self):$/;" m class:TestLstsq +test_simple_overdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_overdet(self):$/;" m class:TestSVDVals +test_simple_overdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_overdet(self):$/;" m class:TestSVD_GESDD +test_simple_overdet_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_overdet_complex(self):$/;" m class:TestLstsq +test_simple_overdet_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_overdet_complex(self):$/;" m class:TestSVDVals +test_simple_pattern adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_pattern(self):$/;" m class:TestMMIOSparseCSR +test_simple_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_pivoting(self):$/;" m class:TestQR +test_simple_polynomial adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_printing.py /^ def test_simple_polynomial(self):$/;" m class:TestLatexRepr +test_simple_python_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/python_op_test.py /^ def test_simple_python_op(self, x, n, w):$/;" m class:PythonOpTest +test_simple_range adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_simple_range(self):$/;" m class:TestHistogramOptimBinNums +test_simple_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_real(self):$/;" m class:TestPinv +test_simple_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_real(self):$/;" m class:TestPinvSymmetric +test_simple_rectangular_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_rectangular_float(self):$/;" m class:TestMMIOArray +test_simple_rectangular_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_rectangular_float(self):$/;" m class:TestMMIOSparseCSR +test_simple_rectangular_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_rectangular_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_simple_rectangular_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_rectangular_integer(self, typeval, dtype):$/;" m class:TestMMIOSparseCSR +test_simple_repeated_int adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_simple_repeated_int(self):$/;" m class:TestFortranFormatParser +test_simple_replace adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^ def test_simple_replace(self):$/;" m class:TestNomnigraphTransformations +test_simple_rewire adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^ def test_simple_rewire(self):$/;" m class:TestNomnigraphTransformations +test_simple_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_right(self):$/;" m class:TestQR +test_simple_right_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_right_pivoting(self):$/;" m class:TestQR +test_simple_rotations adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/roi_align_rotated_op_test.py /^ def test_simple_rotations($/;" m class:RoIAlignRotatedOp +test_simple_rows adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_rows(self):$/;" m class:TestPinv +test_simple_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_singular(self):$/;" m class:TestPinv +test_simple_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_singular(self):$/;" m class:TestSVD_GESDD +test_simple_skew_symmetric_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_skew_symmetric_float(self):$/;" m class:TestMMIOArray +test_simple_skew_symmetric_float adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_skew_symmetric_float(self):$/;" m class:TestMMIOSparseCSR +test_simple_skew_symmetric_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_skew_symmetric_integer(self):$/;" m class:TestMMIOArray +test_simple_skew_symmetric_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_skew_symmetric_integer(self):$/;" m class:TestMMIOSparseCSR +test_simple_stat_length adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_simple_stat_length(self):$/;" m class:TestStatistic +test_simple_strict_within adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_simple_strict_within(self):$/;" m class:TestStackedNeighborhoodIter +test_simple_sym adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_sym(self):$/;" m class:TestSolve +test_simple_symmetric_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_symmetric_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_simple_symmetric_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_symmetric_integer(self, typeval, dtype):$/;" m class:TestMMIOSparseCSR +test_simple_sym_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_sym_complex(self):$/;" m class:TestSolve +test_simple_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall(self):$/;" m class:TestQR +test_simple_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall(self):$/;" m class:TestRQ +test_simple_tall_complex_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_complex_left(self):$/;" m class:TestQR +test_simple_tall_e adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_e(self):$/;" m class:TestQR +test_simple_tall_e_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_e_pivoting(self):$/;" m class:TestQR +test_simple_tall_left adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_left(self):$/;" m class:TestQR +test_simple_tall_left_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_left_pivoting(self):$/;" m class:TestQR +test_simple_tall_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_pivoting(self):$/;" m class:TestQR +test_simple_tall_right adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_right(self):$/;" m class:TestQR +test_simple_tall_right_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tall_right_pivoting(self):$/;" m class:TestQR +test_simple_tie adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_simple_tie(self):$/;" m class:TestKruskal +test_simple_tr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_tr(self):$/;" m class:TestEigVals +test_simple_transform adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_simple_transform(self, input_dim, output_dim, batch_size):$/;" m class:TestTransform +test_simple_transpose adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple_transpose(self):$/;" m class:BaseGemv +test_simple_transpose_conj adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_simple_transpose_conj(self):$/;" m class:BaseGemv +test_simple_trap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_trap(self):$/;" m class:TestQR +test_simple_trap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_trap(self):$/;" m class:TestRQ +test_simple_trap_pivoting adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_trap_pivoting(self):$/;" m class:TestQR +test_simple_underdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_simple_underdet(self):$/;" m class:TestLstsq +test_simple_underdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_underdet(self):$/;" m class:TestSVDVals +test_simple_underdet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_underdet(self):$/;" m class:TestSVD_GESDD +test_simple_underdet_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_simple_underdet_complex(self):$/;" m class:TestSVDVals +test_simple_upper_triangle_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_upper_triangle_integer(self, typeval, dtype):$/;" m class:TestMMIOArray +test_simple_upper_triangle_integer adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_simple_upper_triangle_integer(self, typeval, dtype):$/;" m class:TestMMIOSparseCSR +test_simple_variable adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_npy_pkg_config.py /^ def test_simple_variable(self):$/;" m class:TestLibraryInfo +test_simple_weight adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple_weight(self):$/;" m class:TestBincount +test_simple_weight2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_simple_weight2(self):$/;" m class:TestBincount +test_simple_weighted adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_simple_weighted(self):$/;" m class:TestHistogramOptimBinNums +test_simplify_cells adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_simplify_cells():$/;" f +test_simplify_curve adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_simplify_curve():$/;" f +test_simps adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_simps(self):$/;" m class:TestQuadrature +test_simpson adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_simpson(self):$/;" m class:TestQuadrature +test_sin adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sin(self, input_tensor, gc, dc):$/;" m class:TestOperators +test_sin adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_sin(self):$/;" m class:TestDiff +test_sin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_precompute_utils.py /^ def test_sin(self):$/;" m class:TestInversion +test_sinc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_sinc(self):$/;" m class:TestTrigonometric +test_sincos_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sincos_float32(self):$/;" m class:TestAVXFloat32Transcendental +test_sincos_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sincos_values(self):$/;" m class:TestSpecialFloats +test_sindg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_sindg(self):$/;" m class:TestCephes +test_sindg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_sindg(self):$/;" m class:TestTrigonometric +test_sindgmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_sindgmore(self):$/;" m class:TestTrigonometric +test_sine adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_sine(self):$/;" m class:TestFiltFilt +test_sine_plus_noise adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_sine_plus_noise():$/;" f +test_sine_weighted_finite adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_sine_weighted_finite(self):$/;" m class:TestQuad +test_sine_weighted_infinite adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_sine_weighted_infinite(self):$/;" m class:TestQuad +test_single adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_single(self):$/;" m class:TestAccess +test_single adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_single(self):$/;" m class:TestArray +test_single adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_single(self):$/;" m class:TestLibver +test_single adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_single(self):$/;" m class:TestNewLibver +test_single adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_single(self):$/;" m class:TestDelete +test_single adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_single(self):$/;" m class:TestULP +test_singlerecord adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_singlerecord(self):$/;" m class:TestMergeArrays +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_singleton(self):$/;" m class:TestDouble +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_singleton(self):$/;" m class:TestHalf +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_singleton(self):$/;" m class:TestLongdouble +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_singleton(self):$/;" m class:TestPythonFloat +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_singleton(self):$/;" m class:TestSingle +test_singleton adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_singleton(self):$/;" m class:TestAlen +test_singleton adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_singleton(self, len_h, len_x):$/;" m class:TestUpfirdn +test_singleton_autolim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_singleton_autolim():$/;" f +test_singleton_dimension_broadcast adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_singleton_dimension_broadcast():$/;" f +test_singleton_row_eq_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_singleton_row_eq_1(self):$/;" m class:LinprogCommonTests +test_singleton_row_eq_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_singleton_row_eq_2(self):$/;" m class:LinprogCommonTests +test_singleton_row_ub_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_singleton_row_ub_1(self):$/;" m class:LinprogCommonTests +test_singleton_row_ub_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_singleton_row_ub_2(self):$/;" m class:LinprogCommonTests +test_single_1dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_1dperiod(self):$/;" m class:TestVectorstrength +test_single_2dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_2dperiod(self):$/;" m class:TestVectorstrength +test_single_array0x0real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_single_array0x0real(self):$/;" m class:TestCDF2RDF +test_single_array2x2_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_single_array2x2_complex(self):$/;" m class:TestCDF2RDF +test_single_array2x2_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_single_array2x2_real(self):$/;" m class:TestCDF2RDF +test_single_array3x3_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_single_array3x3_complex(self):$/;" m class:TestCDF2RDF +test_single_array3x3_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_single_array3x3_real(self):$/;" m class:TestCDF2RDF +test_single_artist_usenotex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_single_artist_usenotex(fmt):$/;" f +test_single_artist_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_single_artist_usetex():$/;" f +test_single_bigdist adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_single_bigdist(self):$/;" m class:TestRidgeLines +test_single_biggap adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_single_biggap(self):$/;" m class:TestRidgeLines +test_single_biggaps adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_single_biggaps(self):$/;" m class:TestRidgeLines +test_single_bool_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_single_bool_index(self):$/;" m class:TestIndexing +test_single_checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_single_checkpoint(self):$/;" m class:TestCheckpoint +test_single_dataset_element adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_single_dataset_element(self):$/;" m class:TestGaussianKDECustom +test_single_date adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_single_date():$/;" f +test_single_dtype_wo_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_single_dtype_wo_names(self):$/;" m class:TestFromTxt +test_single_dtype_w_explicit_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_single_dtype_w_explicit_names(self):$/;" m class:TestFromTxt +test_single_dtype_w_implicit_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_single_dtype_w_implicit_names(self):$/;" m class:TestFromTxt +test_single_element adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_element(self):$/;" m class:TestFFTConvolve +test_single_element adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_element(self):$/;" m class:TestOAConvolve +test_single_element adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_element(self):$/;" m class:_TestConvolve +test_single_element_subscript adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_single_element_subscript(self):$/;" m class:TestMaskedArray +test_single_elt adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_single_elt(self):$/;" m class:TestExpM +test_single_hemisphere_handling adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_single_hemisphere_handling(self, dim, shift):$/;" m class:TestSphericalVoronoi +test_single_identity_invariance adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_single_identity_invariance():$/;" f +test_single_identity_magnitude adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_single_identity_magnitude():$/;" f +test_single_index adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_single_index(self):$/;" m class:TestSingleElement +test_single_input adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_single_input(self):$/;" m class:TestIndices +test_single_input adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_single_input(self):$/;" m class:TestMeshgrid +test_single_intrinsic_extrinsic_rotation adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_single_intrinsic_extrinsic_rotation():$/;" f +test_single_int_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_single_int_index(self):$/;" m class:TestIndexing +test_single_minus_sign adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_single_minus_sign():$/;" f +test_single_non_masked_value_on_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_single_non_masked_value_on_axis(self):$/;" m class:TestMedian +test_single_null adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_single_null(self):$/;" m class:TestSingleElement +test_single_object adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_single_object():$/;" f +test_single_pass adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_single_pass(self):$/;" m class:TestRidgeLines +test_single_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_single_path(tmpdir):$/;" f +test_single_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_single_point():$/;" f +test_single_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_single_query(self):$/;" m class:Test_vectorization_cKDTree +test_single_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_single_query(self):$/;" m class:Test_vectorization_KDTree +test_single_query_all_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_single_query_all_neighbors(self, r):$/;" m class:Test_vectorization_KDTree +test_single_query_multiple_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_single_query_multiple_neighbors(self):$/;" m class:Test_vectorization_cKDTree +test_single_query_multiple_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_single_query_multiple_neighbors(self):$/;" m class:Test_vectorization_KDTree +test_single_range adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_single_range(self):$/;" m class:TestVirtualSource +test_single_reduction adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_single_reduction(name):$/;" f +test_single_spectrum_helper_unsupported_modes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_single_spectrum_helper_unsupported_modes(self, mode):$/;" m class:TestSpectral +test_single_str_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_single_str_input(self, fig_test, fig_ref, str_pattern):$/;" m class:TestSubplotMosaic +test_single_subarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_single_subarray(self):$/;" m class:TestSubarray +test_single_threaded_rref_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_single_threaded_rref_owner(self):$/;" m class:ProcessGroupAgentRpcTest +test_single_threaded_rref_to_here adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_single_threaded_rref_to_here(self):$/;" m class:ProcessGroupAgentRpcTest +test_single_unique_root adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_single_unique_root(self):$/;" m class:TestUniqueRoots +test_single_v108 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_single_v108(self):$/;" m class:TestNewLibver +test_single_v110 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_single_v110(self):$/;" m class:TestNewLibver +test_single_value adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_single_value(self):$/;" m class:TestAsPairs +test_singular adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_singular(self):$/;" m class:TestCond +test_singular adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_singular(self):$/;" m class:TestQuad +test_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_singular(self):$/;" m class:TestSolveCirculant +test_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_singular(self):$/;" m class:TestEig +test_singular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_singular(self):$/;" m class:TestFractionalMatrixPower +test_singular adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_singular(self):$/;" m class:TestGroupDelay +test_singular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_singular(self):$/;" m class:TestLinsolve +test_singular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_singular(self):$/;" m class:TestSpsolveTriangular +test_singular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_singular():$/;" f +test_singularity adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_singularity(self):$/;" m class:TestSolve +test_singularity adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_singularity():$/;" f function:test_are_validate_args file: +test_singular_gh_3312 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_singular_gh_3312(self):$/;" m class:TestLinsolve +test_singular_term adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_singular_term():$/;" f +test_singular_without_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_singular_without_umfpack(self):$/;" m class:TestFactorized +test_singular_with_umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_singular_with_umfpack(self):$/;" m class:TestFactorized +test_sing_val_update adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_sing_val_update(self):$/;" m class:TestDlasd4 +test_sinh adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^ def test_sinh(self, X, gc, dc):$/;" m class:TestHyperbolicOps +test_sinpi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_sinpi(self):$/;" m class:TestSystematic +test_sinpi_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_sinpi_complex(self):$/;" m class:TestSystematic +test_sinpi_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_sinpi_zeros():$/;" f +test_sinusoid_embedding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sinusoid_position_encoding_op_test.py /^ def test_sinusoid_embedding($/;" m class:TestSinusoidPositionEncodingOp +test_size adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_size(self):$/;" m class:TestEmpty +test_size adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_size(self):$/;" m class:TestVeryLargeArray +test_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_size(self):$/;" m class:TestNonarrayArgs +test_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_size(self):$/;" m class:TestMultinomial +test_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_size(self):$/;" m class:TestMultinomial +test_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_size(self):$/;" m class:TestMultinomial +test_size_0_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^ def test_size_0_axis(self, axis, method, dtype):$/;" m class:TestRankData +test_size_accuracy adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_size_accuracy(self):$/;" m class:_TestIFFTBase +test_size_accuracy adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_size_accuracy(self):$/;" m class:_TestIRFFTBase +test_size_accuracy adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_size_accuracy(self):$/;" m class:_TestIFFTBase +test_size_accuracy adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_size_accuracy(self):$/;" m class:_TestIRFFTBase +test_size_accuracy_large adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_size_accuracy_large(self, size):$/;" m class:TestFftnSingle +test_size_accuracy_large adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_size_accuracy_large(self, size):$/;" m class:TestFftnSingle +test_size_accuracy_small adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def test_size_accuracy_small(self, size):$/;" m class:TestFftnSingle +test_size_accuracy_small adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def test_size_accuracy_small(self, size):$/;" m class:TestFftnSingle +test_size_by_dict adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^def test_size_by_dict():$/;" f +test_size_footprint_both_set adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_size_footprint_both_set():$/;" f +test_size_in_xy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test_size_in_xy():$/;" f +test_size_mismatch_with_1D_b adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_size_mismatch_with_1D_b(self):$/;" m class:TestSolve +test_size_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_size_op(self, gc, dc):$/;" m class:TestUtilityOps +test_size_zero_conversions adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_size_zero_conversions(self):$/;" m class:_TestCommon +test_size_zero_matrix_arithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_size_zero_matrix_arithmetic(self):$/;" m class:_TestCommon +test_size_zero_memleak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_size_zero_memleak(self):$/;" m class:TestMethods +test_size_zero_output adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_size_zero_output(self):$/;" m class:TestVectorize +test_size_zero_with_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_size_zero_with_axis(self, axis):$/;" m class:TestMedianAbsDeviation +test_si_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_si_complex(self):$/;" m class:TestSystematic +test_skellam adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_skellam(self):$/;" m class:TestExpect +test_sketch_dimensions adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ def test_sketch_dimensions(self):$/;" m class:TestClarksonWoodruffTransform +test_sketch_preserves_frobenius_norm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ def test_sketch_preserves_frobenius_norm(self):$/;" m class:TestClarksonWoodruffTransform +test_sketch_preserves_vector_norm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ def test_sketch_preserves_vector_norm(self):$/;" m class:TestClarksonWoodruffTransform +test_skew adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skew(self):$/;" m class:TestCompareWithStats +test_skewness adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skewness(self):$/;" m class:TestMoments +test_skewness adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_skewness(self):$/;" m class:TestMoments +test_skewness_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_skewness_scalar(self):$/;" m class:TestMoments +test_skewtest adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skewtest(self):$/;" m class:TestCompareWithStats +test_skewtest_2D_notmasked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skewtest_2D_notmasked(self):$/;" m class:TestCompareWithStats +test_skewtest_2D_WithMask adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skewtest_2D_WithMask(self):$/;" m class:TestCompareWithStats +test_skewtest_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_skewtest_result_attributes(self):$/;" m class:TestCompareWithStats +test_skewtest_too_few_samples adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_skewtest_too_few_samples():$/;" f +test_skew_propagate_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_skew_propagate_nan(self):$/;" m class:TestMoments +test_skew_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^def test_skew_rectangle():$/;" f +test_skiprows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_skiprows(self):$/;" m class:TestFromTxt +test_skiprows adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_skiprows(self):$/;" m class:TestLoadTxt +TEST_SKIPS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^TEST_SKIPS = {$/;" v +TEST_SKIP_FAST adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_SKIP_FAST = os.getenv('PYTORCH_TEST_SKIP_FAST', '0') == '1'$/;" v +test_skip_footer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_skip_footer(self):$/;" m class:TestFromTxt +test_skip_footer_with_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_skip_footer_with_invalid(self):$/;" m class:TestFromTxt +test_skip_functions_callable adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_skip_functions_callable(self):$/;" m class:TestNoseDecorators +test_skip_functions_hardcoded adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_skip_functions_hardcoded(self):$/;" m class:TestNoseDecorators +test_skip_generators_callable adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_skip_generators_callable(self):$/;" m class:TestNoseDecorators +test_skip_generators_hardcoded adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_skip_generators_hardcoded(self):$/;" m class:TestNoseDecorators +test_skip_variable adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_skip_variable():$/;" f +test_slamch adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_lapack.py /^ def test_slamch(self):$/;" m class:TestLamch +test_slerp adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp():$/;" f +test_slerp_call_scalar_time adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_call_scalar_time():$/;" f +test_slerp_call_time_dim_mismatch adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_call_time_dim_mismatch():$/;" f +test_slerp_call_time_out_of_range adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_call_time_out_of_range():$/;" f +test_slerp_decreasing_times adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_decreasing_times():$/;" f +test_slerp_equal_times adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_equal_times():$/;" f +test_slerp_num_rotations_mismatch adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_num_rotations_mismatch():$/;" f +test_slerp_single_rot adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_single_rot():$/;" f +test_slerp_time_dim_mismatch adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_slerp_time_dim_mismatch():$/;" f +test_slice adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_slice(self, input, slice_dim, a, b, is_empty, gc, dc):$/;" m class:TestOperators +test_slice adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_slice(self):$/;" m class:TestCaffe2Basic +test_slice adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_slice(self, X, args, gc, dc):$/;" m class:TestUtilityOps +test_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice(self):$/;" m class:Test1DZeroFloat +test_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice(self):$/;" m class:TestEmpty +test_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice(self):$/;" m class:TestScalarArray +test_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice(self):$/;" m class:TestScalarCompound +test_slice adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice(self):$/;" m class:TestScalarFloat +test_slice adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_slice(self):$/;" m class:TestOperations +test_slice adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_slice(self):$/;" m class:TestMakeTupleBunch +test_sliced_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_sliced_input(self):$/;" m class:TestInterp +test_sliced_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_sliced_input(self):$/;" m class:TestLSQ +test_slices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_slices(self):$/;" m class:TestDelete +test_slice_assignment adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_slice_assignment(self):$/;" m class:_TestSlicingAssign +test_slice_assign_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_slice_assign_2(self):$/;" m class:_TestSlicingAssign +test_slice_backwards adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_backwards(self):$/;" m class:Test1DFloat +test_slice_negindexes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_negindexes(self):$/;" m class:Test1DFloat +test_slice_of_length_zero adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_slice_of_length_zero(self):$/;" m class:TestZeroLengthSlicing +test_slice_other_dimension adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_slice_other_dimension(self):$/;" m class:TestZeroLengthSlicing +test_slice_outofrange adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_outofrange(self):$/;" m class:Test1DFloat +test_slice_scalar_assign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_slice_scalar_assign(self):$/;" m class:_TestSlicingAssign +test_slice_simple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_simple(self):$/;" m class:Test1DFloat +test_slice_source adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_highlevel_vds.py /^ def test_slice_source(self):$/;" m class:SlicingTestCase +test_slice_stop_less_than_start adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_stop_less_than_start(self):$/;" m class:Test1DFloat +test_slice_stop_less_than_start adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_stop_less_than_start(self):$/;" m class:Test1DZeroFloat +test_slice_strides adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_strides(self):$/;" m class:Test1DFloat +test_slice_zerosize adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_zerosize(self):$/;" m class:Test1DFloat +test_slice_zerostride adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_slice_zerostride(self):$/;" m class:Test1DFloat +test_slice_zero_length_dimension adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_slice_zero_length_dimension(self):$/;" m class:TestZeroLengthSlicing +test_slicing_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_slicing_2(self):$/;" m class:_TestSlicing +test_slicing_3 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_slicing_3(self):$/;" m class:_TestSlicing +test_slicing_keeps_references adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_slicing_keeps_references(self):$/;" m class:TestMemmap +test_slicing_no_floats adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_slicing_no_floats(self):$/;" m class:TestIndexing +test_slider_horizontal_vertical adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_slider_horizontal_vertical():$/;" f +test_slider_slidermin_slidermax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_slider_slidermin_slidermax():$/;" f +test_slider_slidermin_slidermax_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_slider_slidermin_slidermax_invalid():$/;" f +test_slider_valmin_valmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_slider_valmin_valmax():$/;" f +test_slinear_dtypes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_slinear_dtypes(self):$/;" m class:TestInterp1D +test_sLITTLEHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sLITTLEHUGE(self):$/;" m class:TestCorrSpearmanr +test_sLITTLELITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sLITTLELITTLE(self):$/;" m class:TestCorrSpearmanr +test_sLITTLEROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sLITTLEROUND(self):$/;" m class:TestCorrSpearmanr +test_sLITTLETINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sLITTLETINY(self):$/;" m class:TestCorrSpearmanr +test_slope_learning_rate_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/learning_rate_op_test.py /^ def test_slope_learning_rate_op(self, gc, dc):$/;" m class:TestLearningRate +test_slow adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_decorators.py /^ def test_slow(self):$/;" m class:TestNoseDecorators +test_slsqp_respect_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_slsqp_respect_bounds(self):$/;" m class:TestOptimizeSimple +test_small adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_methods.py /^ def test_small(self, ftype, f, ratio):$/;" m class:TestAsIntegerRatio +test_small adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_small(self):$/;" m class:TestHistogramOptimBinNums +test_Small adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_Small():$/;" f +test_small adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_small(self):$/;" m class:TestAnsari +test_smallest_equal adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_smallest_equal(self, data):$/;" m class:TestMode +test_smallmask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_smallmask(self):$/;" m class:TestMaskedArrayAttributes +test_smallpcdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_smallpcdf(self):$/;" m class:TestKolmogi +test_smallpsf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_smallpsf(self):$/;" m class:TestKolmogi +test_smallx adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_smallx(self):$/;" m class:TestKolmogorov +test_small_boolean_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_small_boolean_arrays(self):$/;" m class:TestEinsum +test_small_dx adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_small_dx(self):$/;" m class:TestCubicSpline +test_small_large adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_small_large(self):$/;" m class:TestNanFunctions_Median +test_small_multiplication adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_small_multiplication(self):$/;" m class:_TestCommon +test_small_norm_expm_frechet adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_small_norm_expm_frechet(self):$/;" m class:TestExpmFrechet +test_small_range_loglocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^def test_small_range_loglocator(numticks):$/;" f +test_small_regressions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_small_regressions(self):$/;" m class:TestIndexing +test_small_tolerances_for_lm adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^def test_small_tolerances_for_lm():$/;" f +test_small_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_small_types(self):$/;" m class:TestPower +test_smirnov adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_smirnov(self):$/;" m class:TestCephes +test_smirnovc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_smirnovc(self):$/;" m class:TestCephes +test_smirnovci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_smirnovci(self):$/;" m class:TestCephes +test_smirnovi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_smirnovi(self):$/;" m class:TestCephes +test_smirnovp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_smirnovp(self):$/;" m class:TestCephes +test_smoketest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_smoketest(self):$/;" m class:TestEstimateGradients2DGlobal +test_smoketest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_smoketest(self):$/;" m class:TestLinearNDInterpolation +test_smoketest_alternate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_smoketest_alternate(self):$/;" m class:TestLinearNDInterpolation +test_smoketest_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_smoketest_rescale(self):$/;" m class:TestLinearNDInterpolation +test_smoke_bisplrep_bisplev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_smoke_bisplrep_bisplev(self):$/;" m class:TestSmokeTests +test_smoke_splint_spalde adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_smoke_splint_spalde(self):$/;" m class:TestSmokeTests +test_smoke_splprep_splrep_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_smoke_splprep_splrep_splev(self):$/;" m class:TestSmokeTests +test_smoke_splrep_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_smoke_splrep_splev(self):$/;" m class:TestSmokeTests +test_smoke_sproot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_smoke_sproot(self):$/;" m class:TestSmokeTests +test_sobel01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_sobel01(sel, dtype):$/;" m class:TestNdimageFilters +test_sobel02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_sobel02(self, dtype):$/;" m class:TestNdimageFilters +test_sobel03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_sobel03(self, dtype):$/;" m class:TestNdimageFilters +test_sobel04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_sobel04(self, dtype):$/;" m class:TestNdimageFilters +test_softlinks adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_softlinks(self):$/;" m class:TestContains +test_softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/softmax_op_test.py /^ def test_softmax(self, size, input_channels, batch_size, inplace, gc, dc):$/;" m class:SoftmaxTest +test_softmax adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax(self, n, D, engine, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_axis(self, axis, engine, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_fixtures adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_softmax_fixtures():$/;" f +test_softmax_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_grad(self, n, D, engine, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_multi_axes adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_logsumexp.py /^def test_softmax_multi_axes():$/;" f +test_softmax_with_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss(self, n, D, gc, only_loss, dc):$/;" m class:TestSoftmaxOps +test_softmax_with_loss_axis_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_axis_2($/;" m class:TestSoftmaxOps +test_softmax_with_loss_label_prob adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_label_prob(self, n, D, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_with_loss_label_prob_weighted adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_label_prob_weighted(self, n, D, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_with_loss_large adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_large(self, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_with_loss_weighted adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_weighted(self, n, D, only_loss, gc, dc):$/;" m class:TestSoftmaxOps +test_softmax_with_loss_zero_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_softmax_with_loss_zero_weight(self, n, D, weighted, gc, dc):$/;" m class:TestSoftmaxOps +test_softplus adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softplus_op_test.py /^ def test_softplus(self, X, gc, dc):$/;" m class:TestSoftplus +test_softsign adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_softsign(self, X, inplace, gc, dc):$/;" m class:TestOperators +test_softsign adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_softsign(self, X, inplace, gc, dc):$/;" m class:TestElementwiseOps +test_soft_label_cross_entropy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def test_soft_label_cross_entropy(self, n, b, gc, dc):$/;" m class:TestCrossEntropyOps +test_sokalmichener adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_sokalmichener():$/;" f +test_sokalsneath_all_false adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_sokalsneath_all_false():$/;" f +test_solo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_solo(self):$/;" m class:TestMergeArrays +test_solo adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_solo(self):$/;" m class:TestStackArrays +test_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_solout(self):$/;" m class:TestComplexSolout +test_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_solout(self):$/;" m class:TestSolout +test_solout_after_initial adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_solout_after_initial(self):$/;" m class:TestSolout +test_solout_break adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_solout_break(self):$/;" m class:TestComplexSolout +test_solout_break adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_solout_break(self):$/;" m class:TestSolout +test_solo_w_flatten adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_solo_w_flatten(self):$/;" m class:TestMergeArrays +test_solve adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_solve(self):$/;" m class:TestOverwrite +test_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_solve(self):$/;" m class:_NonCanonicalCSMixin +test_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_solve(self):$/;" m class:_TestSolve +test_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_solve(self):$/;" f function:_test_solve file: +test_solveh_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_solveh_banded(self):$/;" m class:TestOverwrite +test_solver_concordance adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_solver_concordance(self):$/;" m class:TestTrustRegionSolvers +test_solver_select adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_solver_select(self):$/;" m class:TestLinprogIPSpecific +test_solver_selection adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_solver_selection(self):$/;" m class:SparseMixin +test_solve_banded adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_solve_banded(self):$/;" m class:TestOverwrite +test_solve_continuous_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def test_solve_continuous_are():$/;" f +test_solve_discrete_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def test_solve_discrete_are():$/;" f +test_solve_equivalence adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_solve_equivalence():$/;" f +test_solve_generalized_continuous_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def test_solve_generalized_continuous_are():$/;" f +test_solve_generalized_discrete_are adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def test_solve_generalized_discrete_are():$/;" f +test_solve_shape_vars adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_solve_shape_vars(self):$/;" m class:ShapePolyTest +test_solve_triangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_solve_triangular(self):$/;" m class:TestOverwrite +test_solve_with_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_solve_with_broadcast(self):$/;" f function:_test_solve_with_broadcast file: +test_some_code_paths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_some_code_paths(self):$/;" m class:TestKSTwoSamples +test_some_kwargs_are_populated_by_defaults adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_some_kwargs_are_populated_by_defaults(self):$/;" m class:JitRpcOpTest +test_some_nan_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_some_nan_values(self):$/;" m class:TestHistogram +test_some_non_alphabet_maintains_order adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_contract.py /^def test_some_non_alphabet_maintains_order():$/;" f +test_some_values adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_some_values(self):$/;" m class:TestStruve +test_sort adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_sort(self, x, gc, dc):$/;" m class:TestATen +test_sort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort(self):$/;" m class:TestMethods +test_sort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort(self):$/;" m class:TestZeroSizeFlexible +test_sort adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_sort(self):$/;" m class:TestMaskedArrayMethods +test_sort adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_sort(self):$/;" m class:TestSchur +test_sorted_segment_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sorted_segment_ops(self):$/;" m class:TestSegmentOps +test_sorted_segment_range_log_mean_exp adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sorted_segment_range_log_mean_exp(self, gc, dc):$/;" m class:TestSegmentOps +test_sorted_segment_range_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sorted_segment_range_mean(self, gc, dc):$/;" m class:TestSegmentOps +test_sort_2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_sort_2d(self):$/;" m class:TestMaskedArrayMethods +test_sort_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_axis(self):$/;" m class:TestMethods +test_sort_bad_ordering adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_bad_ordering(self):$/;" m class:TestMethods +test_sort_bigendian adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_sort_bigendian(self):$/;" m class:TestRegression +test_sort_check adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_sort_check(self):$/;" m class:TestPPolyCommon +test_sort_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_complex(self, part, dtype):$/;" m class:TestMethods +test_sort_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_sort_complex(self):$/;" m class:TestSortComplex +test_sort_complex_byte_swapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_complex_byte_swapping(self):$/;" m class:TestMethods +test_sort_degraded adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_degraded(self):$/;" m class:TestMethods +test_sort_errors adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_sort_errors(self):$/;" m class:TestSchur +test_sort_explicit adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_sort_explicit(self):$/;" m class:TestOrdQZ +test_sort_flexible adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_sort_flexible(self):$/;" m class:TestMaskedArrayMethods +test_sort_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sort_indices(self):$/;" m class:TestCSC +test_sort_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sort_indices(self):$/;" m class:TestCSR +test_sort_matrix_none adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_sort_matrix_none():$/;" f +test_sort_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_object(self):$/;" m class:TestMethods +test_sort_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_order(self):$/;" m class:TestMethods +test_sort_raises adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_raises(self):$/;" m class:TestMethods +test_sort_real adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_sort_real(self, type_in, type_out):$/;" m class:TestSortComplex +test_sort_signed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_signed(self, dtype):$/;" m class:TestMethods +test_sort_size_0 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_size_0(self):$/;" m class:TestMethods +test_sort_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_string(self, dtype):$/;" m class:TestMethods +test_sort_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_structured(self):$/;" m class:TestMethods +test_sort_time adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_time(self, dtype):$/;" m class:TestMethods +test_sort_unicode_kind adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_unicode_kind(self):$/;" m class:TestMethods +test_sort_unsigned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sort_unsigned(self, dtype):$/;" m class:TestMethods +test_sort_vertices_of_regions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_sort_vertices_of_regions(self):$/;" m class:TestSphericalVoronoi +test_sort_vertices_of_regions_dimensionality adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_sort_vertices_of_regions_dimensionality(self):$/;" m class:TestSphericalVoronoi +test_sort_vertices_of_regions_flattened adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_sort_vertices_of_regions_flattened(self):$/;" m class:TestSphericalVoronoi +test_sos2tf adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_result_type.py /^def test_sos2tf():$/;" f +test_sosfilt_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_result_type.py /^def test_sosfilt_zi():$/;" f +test_sosfilt_zi adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_sosfilt_zi(self, dt):$/;" m class:TestSOSFilt +test_sosfreqz_basic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_sosfreqz_basic(self):$/;" m class:TestSOSFreqz +test_sosfreqz_design_ellip adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_sosfreqz_design_ellip(self):$/;" m class:TestSOSFreqz +test_sosfrez_design adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_sosfrez_design(self):$/;" m class:TestSOSFreqz +test_sos_consistency adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^def test_sos_consistency():$/;" f +test_sos_freqz_against_mp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_sos_freqz_against_mp(self):$/;" m class:TestSOSFreqz +test_source adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def test_source(envs):$/;" f +test_spacedelimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_spacedelimiter(self):$/;" m class:TestFromTxt +test_spaced_1dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_spaced_1dperiod(self):$/;" m class:TestVectorstrength +test_spaced_2dperiod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_spaced_2dperiod(self):$/;" m class:TestVectorstrength +test_spaces adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^def test_spaces(fig_test, fig_ref):$/;" f +test_spaces adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_spaces(self):$/;" m class:TestEinsumPath +test_space_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_space_delimiter(self):$/;" m class:TestLineSplitter +test_space_to_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_space_to_batch(self, n, c, h, w, pad, block_size, gc, dc):$/;" m class:TestOperators +test_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_spacing():$/;" f +test_spacing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_spacing(self):$/;" m class:TestGradient +test_spacingf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_spacingf():$/;" f +test_spacingl adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_spacingl():$/;" f +test_spacing_gfortran adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def test_spacing_gfortran():$/;" f +test_spacing_nextafter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_half.py /^ def test_spacing_nextafter(self):$/;" m class:TestHalf +test_spalde_scalar_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_regression.py /^ def test_spalde_scalar_input(self):$/;" m class:TestRegression +test_span_selector adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^def test_span_selector():$/;" f +test_sparams_keyword adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_sparams_keyword(self):$/;" m class:TestProbplot +test_sparse adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_sparse(self):$/;" m class:TestIndices +test_sparse adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_sparse(self):$/;" m class:TestNonzero +test_sparse adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_sparse(self):$/;" m class:TestMeshgrid +test_sparse adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_sparse(self):$/;" m class:TestGrid +test_sparse adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_sparse(string):$/;" f +test_SparseSegmentUint8 adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_rowwise_8bit_ops_test.py /^ def test_SparseSegmentUint8(self):$/;" m class:TestQuantize8bits +test_sparse_adadelta adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def test_sparse_adadelta(self, inputs, lr, epsilon, decay, gc, dc):$/;" m class:TestAdadelta +test_sparse_adadelta_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adadelta_test.py /^ def test_sparse_adadelta_empty(self, inputs, lr, epsilon, decay, gc, dc):$/;" m class:TestAdadelta +test_sparse_adagrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_sparse_adagrad(self, inputs, lr, epsilon, weight_decay, gc, dc):$/;" m class:TestAdagrad +test_sparse_adagrad_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adagrad_test.py /^ def test_sparse_adagrad_empty(self, inputs, lr, epsilon, gc, dc):$/;" m class:TestAdagrad +test_sparse_adam adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_sparse_adam(self, inputs, ITER, LR, beta1, beta2, epsilon,$/;" m class:TestAdam +test_sparse_adam_output_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/adam_test.py /^ def test_sparse_adam_output_grad(self, inputs, ITER, LR, beta1, beta2, epsilon,$/;" m class:TestAdam +test_sparse_all_reduce_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_sparse_all_reduce_sum(self):$/;" m class:DistributedTest._DistTestBase +test_sparse_all_reduce_sum_cuda adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_sparse_all_reduce_sum_cuda(self):$/;" m class:DistributedTest._DistTestBase +test_sparse_and_LinearOperator adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_sparse_and_LinearOperator(self):$/;" m class:SparseMixin +test_sparse_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_linear.py /^ def test_sparse_bounds(self):$/;" m class:SparseMixin +test_sparse_expm_multiply adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_sparse_expm_multiply(self):$/;" m class:TestExpmActionSimple +test_sparse_expm_multiply_interval adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_sparse_expm_multiply_interval(self):$/;" m class:TestExpmActionInterval +test_sparse_expm_multiply_interval_dtypes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_sparse_expm_multiply_interval_dtypes(self):$/;" m class:TestExpmActionInterval +test_sparse_field_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_sparse_field_assignment(self):$/;" m class:TestStructuredDtypeSparseFields +test_sparse_field_assignment_fancy adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_sparse_field_assignment_fancy(self):$/;" m class:TestStructuredDtypeSparseFields +test_sparse_formats adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^ def test_sparse_formats(self):$/;" m class:TestMMIOCoordinate +test_sparse_formats adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def test_sparse_formats():$/;" f +test_sparse_format_conversions adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sparse_format_conversions(self):$/;" m class:_TestCommon +test_sparse_ftrl_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sparse_ftrl_sgd(self, inputs, alpha, beta, lambda1, lambda2,$/;" m class:TestOperators +test_sparse_ftrl_sgd_send_alpha_by_input adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sparse_ftrl_sgd_send_alpha_by_input(self, inputs, alpha, beta,$/;" m class:TestOperators +test_sparse_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_gradient_checker_test.py /^ def test_sparse_gradient(self, M, N, K, sparsity, gc, dc):$/;" m class:TestSparseGradient +test_sparse_in_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_sparse_in_struct():$/;" f +test_sparse_lengths_fp16 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_fp16(self, input, data_strategy, is_mean, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_indices_in_gradient_mean_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_indices_in_gradient_mean_gpu(self, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_indices_in_gradient_sum_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_indices_in_gradient_sum_gpu(self, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^ def test_sparse_lengths_mean(self, num_rows, blocksize, seed, empty_indices, fp16):$/;" m class:TestLengthsReducerOpsFused8BitRowwise +test_sparse_lengths_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^ def test_sparse_lengths_mean($/;" m class:TestLengthsReducerOpsFusedNBitRowwise +test_sparse_lengths_mean adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_mean(self, inputs, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_mean_rowwise_sparse_with_skipped_pruning adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^ def test_sparse_lengths_mean_rowwise_sparse_with_skipped_pruning($/;" m class:TestLengthsReducerOpsFusedNBitRowwise +test_sparse_lengths_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_ops(self):$/;" m class:TestSegmentOps +test_sparse_lengths_positional_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_positional_weighted_sum($/;" m class:TestSegmentOps +test_sparse_lengths_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/lengths_reducer_fused_8bit_rowwise_ops_test.py /^ def test_sparse_lengths_sum($/;" m class:TestLengthsReducerOpsFused8BitRowwise +test_sparse_lengths_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^ def test_sparse_lengths_sum($/;" m class:TestLengthsReducerOpsFusedNBitRowwise +test_sparse_lengths_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_sum(self, inputs, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_sum_8BitsRowwiseOp_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def test_sparse_lengths_sum_8BitsRowwiseOp_cpu($/;" m class:TestSpecializedSegmentOps +test_sparse_lengths_sum_8BitsRowwiseOp_cpu_invalid_index adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def test_sparse_lengths_sum_8BitsRowwiseOp_cpu_invalid_index($/;" m class:TestSpecializedSegmentOps +test_sparse_lengths_sum_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def test_sparse_lengths_sum_cpu($/;" m class:TestSpecializedSegmentOps +test_sparse_lengths_sum_invalid_index adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_sum_invalid_index(self, gc, dc):$/;" m class:TestSegmentOps +test_sparse_lengths_sum_rowwise_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/lengths_reducer_fused_nbit_rowwise_ops_test.py /^ def test_sparse_lengths_sum_rowwise_sparse($/;" m class:TestLengthsReducerOpsFusedNBitRowwise +test_sparse_lengths_weightedsum_8BitsRowwiseOp_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def test_sparse_lengths_weightedsum_8BitsRowwiseOp_cpu($/;" m class:TestSpecializedSegmentOps +test_sparse_lengths_weightedsum_cpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/specialized_segment_ops_test.py /^ def test_sparse_lengths_weightedsum_cpu($/;" m class:TestSpecializedSegmentOps +test_sparse_lengths_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_lengths_weighted_sum($/;" m class:TestSegmentOps +test_sparse_lpnorm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_lp_regularizer_test.py /^ def test_sparse_lpnorm(self, inputs, p, reg_lambda, data_strategy, gc, dc):$/;" m class:TestSparseLpNorm +test_sparse_matrices adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_sparse_matrices():$/;" f +test_sparse_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_projections.py /^ def test_sparse_matrix(self):$/;" m class:TestOrthogonality +test_sparse_matrix_norms adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_sparse_matrix_norms(self):$/;" m class:TestVsNumpyNorm +test_sparse_matrix_norms_with_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_sparse_matrix_norms_with_axis(self):$/;" m class:TestVsNumpyNorm +test_sparse_momentum_sgd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/momentum_sgd_test.py /^ def test_sparse_momentum_sgd($/;" m class:TestMomentumSGD +test_sparse_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_normalize_test.py /^ def test_sparse_normalize(self, inputs, use_max_norm, norm,$/;" m class:TestSparseNormalize +test_sparse_not_supported adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_sparse_not_supported(self):$/;" m class:TestLM +test_sparse_reshape_mm adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^ def test_sparse_reshape_mm(self):$/;" m class:TestSparseMatrixReshapeOp +test_sparse_shared_indices_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_sparse_shared_indices_gpu(self):$/;" m class:SparseDataParallelModelTestWithSharedIndices +test_sparse_solve_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_sparse_solve_options(self):$/;" m class:TestLinprogIPSparse +test_sparse_sorted_segment_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_sorted_segment_ops(self):$/;" m class:TestSegmentOps +test_sparse_tensor_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_sparse_tensor_outputs(self, distribution):$/;" m class:TestDistributionStrategyWithKerasModels +test_sparse_to_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sparse_to_dense(self, inp, gc, dc):$/;" m class:TestOperators +test_sparse_to_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_test.py /^ def test_sparse_to_dense(self):$/;" m class:TestSparseToDense +test_sparse_to_dense_invalid_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_test.py /^ def test_sparse_to_dense_invalid_inputs(self):$/;" m class:TestSparseToDense +test_sparse_to_dense_mask adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^ def test_sparse_to_dense_mask(self, n, k, use_length, gc, dc):$/;" m class:TestFcOperator +test_sparse_to_dense_mask_empty_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_empty_lengths(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_float adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_float(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_high_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^ def test_sparse_to_dense_mask_high_dim(self, n, k, dim, gc, dc):$/;" m class:TestFcOperator +test_sparse_to_dense_mask_invalid_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_invalid_inputs(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_no_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_no_lengths(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_presence_mask adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_presence_mask(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_string adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_string(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_subtensor adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_mask_test.py /^ def test_sparse_to_dense_mask_subtensor(self):$/;" m class:TestSparseToDenseMask +test_sparse_to_dense_mask_with_int64 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sparse_to_dense_mask_op_test.py /^ def test_sparse_to_dense_mask_with_int64(self, n, k, use_length, gc, dc):$/;" m class:TestFcOperator +test_sparse_to_dense_shape_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_test.py /^ def test_sparse_to_dense_shape_inference(self):$/;" m class:TestSparseToDense +test_sparse_to_dense_with_data_to_infer_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/sparse_to_dense_test.py /^ def test_sparse_to_dense_with_data_to_infer_dim(self):$/;" m class:TestSparseToDense +test_sparse_unsorted_segment_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_sparse_unsorted_segment_ops(self):$/;" m class:TestSegmentOps +test_sparse_vector_norms adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_sparse_vector_norms(self):$/;" m class:TestVsNumpyNorm +test_sparse_wngrad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def test_sparse_wngrad(self, inputs, seq_b, lr, epsilon, gc, dc):$/;" m class:TestWngrad +test_sparse_wngrad_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def test_sparse_wngrad_empty(self, inputs, seq_b, lr, epsilon, gc, dc):$/;" m class:TestWngrad +test_sparsity_modifying_assignment adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sparsity_modifying_assignment(self):$/;" m class:_TestSlicingAssign +test_sparsity_preservation adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_sparsity_preservation(self):$/;" m class:TestLinsolve +test_spath adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_spath(self):$/;" m class:TestSoftLinks +test_spatialbn_brew_wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_brew_wrapper($/;" m class:TestSpatialBN +test_spatialbn_test_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^ def test_spatialbn_test_mode($/;" m class:TestSpatialBN +test_spatialbn_test_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^ def test_spatialbn_test_mode(self, size, input_channels,$/;" m class:MKLSpatialBNTest +test_spatialbn_test_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_test_mode($/;" m class:TestSpatialBN +test_spatialbn_test_mode_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_test_mode_1d($/;" m class:TestSpatialBN +test_spatialbn_test_mode_3d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_test_mode_3d($/;" m class:TestSpatialBN +test_spatialbn_train_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^ def test_spatialbn_train_mode($/;" m class:TestSpatialBN +test_spatialbn_train_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/mkl/mkl_sbn_op_test.py /^ def test_spatialbn_train_mode($/;" m class:MKLSpatialBNTest +test_spatialbn_train_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_train_mode($/;" m class:TestSpatialBN +test_spatialbn_train_mode_gradient_check adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/spatial_bn_op_test.py /^ def test_spatialbn_train_mode_gradient_check($/;" m class:TestSpatialBN +test_spatialbn_train_mode_gradient_check adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_train_mode_gradient_check($/;" m class:TestSpatialBN +test_spatialbn_train_mode_gradient_check_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatialbn_train_mode_gradient_check_1d($/;" m class:TestSpatialBN +test_spatial_bn_multi_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatial_bn_multi_batch($/;" m class:TestSpatialBN +test_spatial_bn_multi_batch_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/spatial_bn_op_test.py /^ def test_spatial_bn_multi_batch_grad($/;" m class:TestSpatialBN +test_spatial_softmax_with_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_spatial_softmax_with_loss(self, n, D, weighted, gc, dc):$/;" m class:TestSoftmaxOps +test_spatial_softmax_with_loss_allignore adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/softmax_ops_test.py /^ def test_spatial_softmax_with_loss_allignore(self, n, D, weighted, gc, dc):$/;" m class:TestSoftmaxOps +test_spdiags adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_spdiags(self):$/;" m class:TestConstructUtils +test_spearmanr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_spearmanr(self):$/;" m class:TestCompareWithStats +test_spearmanr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_spearmanr(self):$/;" m class:TestCorr +test_spearmanr_backcompat_useties adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_spearmanr_backcompat_useties(self):$/;" m class:TestCompareWithStats +test_spearmanr_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_spearmanr_result_attributes(self):$/;" m class:TestCorrSpearmanr +test_spearmanr_vs_r adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_spearmanr_vs_r(self):$/;" m class:TestCorrSpearmanr2 +test_specgram adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_specgram():$/;" f +test_specgram adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_specgram(self, kwargs):$/;" m class:TestSpectral +test_specgram_angle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_specgram_angle():$/;" f +test_specgram_auto_default_psd_equal adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_specgram_auto_default_psd_equal(self, mode):$/;" m class:TestSpectral +test_specgram_complex_equivalent adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_specgram_complex_equivalent(self, mode, conv):$/;" m class:TestSpectral +test_specgram_fs_none adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_specgram_fs_none():$/;" f +test_specgram_magnitude adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_specgram_magnitude():$/;" f +test_specgram_warn_only1seg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_specgram_warn_only1seg(self):$/;" m class:TestSpectral +test_special adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_special(self):$/;" m class:TestExpm1 +test_special adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_special(self):$/;" m class:TestLog1p +test_special adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_special(self):$/;" m class:TestMedian +test_specialpoints adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_specialpoints(self):$/;" m class:TestTandg +test_specialpoints adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_specialpoints(self):$/;" m class:TestTrigonometric +test_special_cases adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/heatmap_max_keypoint_op_test.py /^ def test_special_cases(self):$/;" m class:TestHeatmapMaxKeypointOp +test_special_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_special_cases(self):$/;" m class:TestHyperu +test_special_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_hypergeometric.py /^ def test_special_cases(self, a, b, x, result):$/;" m class:TestHyp1f1 +test_special_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_owens_t.py /^def test_special_cases():$/;" f +test_special_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spence.py /^def test_special_points():$/;" f +test_special_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_special_values(self):$/;" m class:TestCarg +test_special_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_special_values(self):$/;" m class:TestCexp +test_special_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_special_values(self):$/;" m class:TestClog +test_special_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_special_values(self):$/;" m class:TestCsqrt +test_special_values adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_digamma.py /^def test_special_values():$/;" f +test_special_values2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_special_values2(self):$/;" m class:TestCexp +test_specific_axes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_specific_axes(self):$/;" m class:TestGradient +test_specific_input_validation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_specific_input_validation(self):$/;" m class:Test2opt +test_specific_input_validation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_specific_input_validation(self):$/;" m class:TestFAQ +test_spectral_helper_psd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_spectral_helper_psd(self, mode, case):$/;" m class:TestSpectral +test_spectral_helper_raises adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_spectral_helper_raises(self):$/;" m class:TestSpectral +test_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_spectrum():$/;" f +test_spectrum adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_spectrum(self, kind):$/;" m class:TestSpectral +test_speed_of_rand_quantization adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rand_quantization_op_speed_test.py /^ def test_speed_of_rand_quantization(self, bitwidth_, random_, data_shape_, gc, dc):$/;" m class:TestSpeedFloatToFusedRandRowwiseQuantized +test_spence adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_spence(self):$/;" m class:TestCephes +test_spence adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spence(self):$/;" m class:TestSystematic +test_spence_circle adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_spence_circle():$/;" f +test_spence_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spence_complex(self):$/;" m class:TestSystematic +test_spherharm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherharm(self):$/;" m class:TestSystematic +test_spherical_in adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_in(self):$/;" m class:TestSystematic +test_spherical_in_at_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_at_zero(self):$/;" m class:TestSphericalIn +test_spherical_in_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_in_complex(self):$/;" m class:TestSystematic +test_spherical_in_d_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_d_zero(self):$/;" m class:TestSphericalInDerivatives +test_spherical_in_exact adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_exact(self):$/;" m class:TestSphericalIn +test_spherical_in_inf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_inf_complex(self):$/;" m class:TestSphericalIn +test_spherical_in_inf_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_inf_real(self):$/;" m class:TestSphericalIn +test_spherical_in_recurrence_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_recurrence_complex(self):$/;" m class:TestSphericalIn +test_spherical_in_recurrence_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_in_recurrence_real(self):$/;" m class:TestSphericalIn +test_spherical_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_jn(self):$/;" m class:TestSystematic +test_spherical_jn_at_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_at_zero(self):$/;" m class:TestSphericalJn +test_spherical_jn_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_jn_complex(self):$/;" m class:TestSystematic +test_spherical_jn_d_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_d_zero(self):$/;" m class:TestSphericalJnDerivatives +test_spherical_jn_exact adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_exact(self):$/;" m class:TestSphericalJn +test_spherical_jn_inf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_inf_complex(self):$/;" m class:TestSphericalJn +test_spherical_jn_inf_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_inf_real(self):$/;" m class:TestSphericalJn +test_spherical_jn_large_arg_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_large_arg_1(self):$/;" m class:TestSphericalJn +test_spherical_jn_large_arg_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_large_arg_2(self):$/;" m class:TestSphericalJn +test_spherical_jn_recurrence_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_recurrence_complex(self):$/;" m class:TestSphericalJn +test_spherical_jn_recurrence_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_recurrence_real(self):$/;" m class:TestSphericalJn +test_spherical_jn_yn_cross_product_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_yn_cross_product_1(self):$/;" m class:TestSphericalJnYnCrossProduct +test_spherical_jn_yn_cross_product_2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_jn_yn_cross_product_2(self):$/;" m class:TestSphericalJnYnCrossProduct +test_spherical_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_kn(self):$/;" m class:TestSystematic +test_spherical_kn_at_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_at_zero(self):$/;" m class:TestSphericalKn +test_spherical_kn_at_zero_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_at_zero_complex(self):$/;" m class:TestSphericalKn +test_spherical_kn_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_kn_complex(self):$/;" m class:TestSystematic +test_spherical_kn_exact adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_exact(self):$/;" m class:TestSphericalKn +test_spherical_kn_inf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_inf_complex(self):$/;" m class:TestSphericalKn +test_spherical_kn_inf_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_inf_real(self):$/;" m class:TestSphericalKn +test_spherical_kn_recurrence_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_recurrence_complex(self):$/;" m class:TestSphericalKn +test_spherical_kn_recurrence_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_kn_recurrence_real(self):$/;" m class:TestSphericalKn +test_spherical_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_yn(self):$/;" m class:TestSystematic +test_spherical_yn_at_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_at_zero(self):$/;" m class:TestSphericalYn +test_spherical_yn_at_zero_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_at_zero_complex(self):$/;" m class:TestSphericalYn +test_spherical_yn_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_spherical_yn_complex(self):$/;" m class:TestSystematic +test_spherical_yn_exact adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_exact(self):$/;" m class:TestSphericalYn +test_spherical_yn_inf_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_inf_complex(self):$/;" m class:TestSphericalYn +test_spherical_yn_inf_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_inf_real(self):$/;" m class:TestSphericalYn +test_spherical_yn_recurrence_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_recurrence_complex(self):$/;" m class:TestSphericalYn +test_spherical_yn_recurrence_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_spherical_yn_recurrence_real(self):$/;" m class:TestSphericalYn +test_sph_harm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_sph_harm():$/;" f +test_sph_harm_ufunc_loop_selection adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_sph_harm_ufunc_loop_selection():$/;" f +test_sph_in adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_sph_in(self):$/;" m class:TestSphericalOld +test_sph_in_kn_order0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_sph_in_kn_order0(self):$/;" m class:TestSphericalOld +test_sph_jn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_sph_jn(self):$/;" m class:TestSphericalOld +test_sph_kn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_sph_kn(self):$/;" m class:TestSphericalOld +test_sph_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spherical_bessel.py /^ def test_sph_yn(self):$/;" m class:TestSphericalOld +test_spilu adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_spilu(matrices):$/;" f +test_spilu_drop_rule adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_spilu_drop_rule(self):$/;" m class:TestSplu +test_spilu_nnz0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_spilu_nnz0(self):$/;" m class:TestSplu +test_spilu_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_spilu_smoketest(self):$/;" m class:TestSplu +test_spines_axes_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^def test_spines_axes_positions():$/;" f +test_spines_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^def test_spines_capstyle():$/;" f +test_spines_data_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^def test_spines_data_positions():$/;" f +test_spines_properbbox_after_zoom adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_spines_properbbox_after_zoom():$/;" f +test_spine_nonlinear_data_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_spines.py /^def test_spine_nonlinear_data_positions(fig_test, fig_ref):$/;" f +test_splantider adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splantider(self):$/;" m class:TestInterop +test_splantider_vs_splint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_splantider_vs_splint(self):$/;" m class:TestSplder +test_splder adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splder(self):$/;" m class:TestInterop +test_splder_vs_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^ def test_splder_vs_splev(self):$/;" m class:TestSplder +test_splev adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splev(self):$/;" m class:TestInterop +test_splev_der_k adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def test_splev_der_k():$/;" f +test_spline01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_spline01(self, dtype, order):$/;" m class:TestNdimageInterpolation +test_spline02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_spline02(self, dtype, order):$/;" m class:TestNdimageInterpolation +test_spline03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_spline03(self, dtype, order):$/;" m class:TestNdimageInterpolation +test_spline04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_spline04(self, dtype, order):$/;" m class:TestNdimageInterpolation +test_spline05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_spline05(self, dtype, order):$/;" m class:TestNdimageInterpolation +test_spline_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_spline_2d(self):$/;" m class:TestInterpN +test_spline_2d_outofbounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_spline_2d_outofbounds(self):$/;" m class:TestInterpN +test_spline_2_rotations adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_spline_2_rotations():$/;" f +test_spline_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_bsplines.py /^ def test_spline_filter(self):$/;" m class:TestBSplines +test_spline_filter_vs_matrix_solution adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_splines.py /^def test_spline_filter_vs_matrix_solution(order, mode):$/;" f +test_spline_nans adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_spline_nans(self):$/;" m class:TestInterp1D +test_spline_properties adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_spline.py /^def test_spline_properties():$/;" f +test_splint adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splint(self):$/;" m class:TestInterop +test_split adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^ def test_split(self, tensor_splits, split_as_arg, gc, dc):$/;" m class:TestFunctional +test_split adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^ def test_split(self, tensor_splits, split_as_arg, gc, dc):$/;" m class:TestConcatSplitOps +test_split adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def test_split(self, tensor_splits, split_as_arg, gc, dc):$/;" m class:TestConcatSplitOps +test_split adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_split(self):$/;" m class:TestMethods +test_split adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_split(self):$/;" m class:Test_rectangle +test_splitlines adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_splitlines(self):$/;" m class:TestMethods +test_split_by_lengths adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def test_split_by_lengths(self, inputs, split_by_scaling_lengths, gc, dc):$/;" m class:TestConcatSplitOps +test_split_from_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_split_from_import(self):$/;" m class:SplitImportTest +test_split_imports_multiple adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_split_imports_multiple(self):$/;" m class:SplitImportTest +test_split_imports_with_alias adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_split_imports_with_alias(self):$/;" m class:SplitImportTest +test_split_nested_imports adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_split_nested_imports(self):$/;" m class:SplitImportTest +test_split_normal_import adpepsenv/lib/python3.8/site-packages/pasta/augment/import_utils_test.py /^ def test_split_normal_import(self):$/;" m class:SplitImportTest +test_splprep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splprep(self):$/;" m class:TestInterop +test_splprep_errors adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splprep_errors(self):$/;" m class:TestInterop +test_splprep_segfault adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack.py /^def test_splprep_segfault():$/;" f +test_splrep adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splrep(self):$/;" m class:TestInterop +test_splrep_errors adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_splrep_errors(self):$/;" m class:TestInterop +test_splu adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_splu(matrices):$/;" f +test_splu_basic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_splu_basic(self):$/;" m class:TestSplu +test_splu_nnz0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_splu_nnz0(self):$/;" m class:TestSplu +test_splu_perm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_splu_perm(self):$/;" m class:TestSplu +test_splu_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_splu_smoketest(self):$/;" m class:TestSplu +test_spmv_hpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_spmv_hpmv(self):$/;" m class:TestFBLAS2Simple +test_spr2_hpr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_spr2_hpr2(self):$/;" m class:TestFBLAS2Simple +test_sproot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_sproot(self):$/;" m class:TestInterop +test_spr_hpr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_spr_hpr(self):$/;" m class:TestFBLAS2Simple +test_spsolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_spsolve(matrices):$/;" f +test_spsolve_triangular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_spsolve_triangular(matrices):$/;" f +test_spy adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_spy(fig_test, fig_ref):$/;" f +test_spy_invalid_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_spy_invalid_kwargs():$/;" f +test_sqeuclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_sqeuclidean(self):$/;" m class:TestSomeDistanceFunctions +test_sqeuclidean_dtypes adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_sqeuclidean_dtypes():$/;" f +test_sqr adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_sqr(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_sqrt adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_sqrt(self, X, inplace, gc, dc):$/;" m class:TestElementwiseOps +test_sqrtm_type_conversion_mixed_sign_or_complex_spectrum adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_sqrtm_type_conversion_mixed_sign_or_complex_spectrum(self):$/;" m class:TestSqrtM +test_sqrtm_type_preservation_and_conversion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_sqrtm_type_preservation_and_conversion(self):$/;" m class:TestSqrtM +test_sqrt_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_sqrt_values(self):$/;" m class:TestSpecialFloats +test_sqr_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_1_col(self):$/;" m class:BaseQRdelete +test_sqr_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_1_col(self):$/;" m class:BaseQRinsert +test_sqr_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_1_row(self):$/;" m class:BaseQRdelete +test_sqr_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_1_row(self):$/;" m class:BaseQRinsert +test_sqr_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_p_col(self):$/;" m class:BaseQRdelete +test_sqr_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_p_col(self):$/;" m class:BaseQRinsert +test_sqr_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_p_row(self):$/;" m class:BaseQRdelete +test_sqr_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_p_row(self):$/;" m class:BaseQRinsert +test_sqr_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_rank_1(self):$/;" m class:BaseQRupdate +test_sqr_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_sqr_rank_p(self):$/;" m class:BaseQRupdate +test_square adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_square(self):$/;" m class:TestLinearNDInterpolation +test_squareform_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_squareform_matrix(self):$/;" m class:TestSquareForm +test_squareform_multi_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_squareform_multi_matrix(self):$/;" m class:TestSquareForm +test_squareform_vector adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_squareform_vector(self):$/;" m class:TestSquareForm +test_square_aliased_fn_ranges_and_opts adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_square_aliased_fn_ranges_and_opts(self):$/;" m class:TestNQuad +test_square_aliased_ranges_and_opts adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_square_aliased_ranges_and_opts(self):$/;" m class:TestNQuad +test_square_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_square_plot():$/;" f +test_square_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_square_rescale(self):$/;" m class:TestLinearNDInterpolation +test_square_rescale_manual adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_square_rescale_manual(self):$/;" m class:TestGriddata +test_square_root_divide adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^ def test_square_root_divide(self, data_and_scale, gc, dc):$/;" m class:TestSquareRootDivide +test_square_separate_fn_ranges_and_opts adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_square_separate_fn_ranges_and_opts(self):$/;" m class:TestNQuad +test_square_separate_ranges_and_opts adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_square_separate_ranges_and_opts(self):$/;" m class:TestNQuad +test_square_shape adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_square_shape():$/;" f function:test_are_validate_args file: +test_square_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_square_values(self):$/;" m class:TestSpecialFloats +test_squeeze adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^ def test_squeeze(self, squeeze_dims, inplace, gc, dc):$/;" m class:ExpandDimsSqueezeTest +test_squeeze adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_squeeze(self):$/;" m class:ShapePolyPrimitivesTest +test_squeeze adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_squeeze(self):$/;" m class:TestMethods +test_squeeze adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_squeeze(self):$/;" m class:TestNonarrayArgs +test_squeeze adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_squeeze(self):$/;" m class:TestMaskedArrayMethods +test_squeezenet adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_squeezenet(self):$/;" m class:TestCaffe2End2End +test_squeezenet adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_squeezenet(self):$/;" m class:TensorRTOpTest +test_squeezenet1_0 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_squeezenet1_0(self):$/;" m class:Test_PT_ONNX_TRT +test_squeezenet1_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_squeezenet1_1(self):$/;" m class:Test_PT_ONNX_TRT +test_squeeze_axis_handling adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_squeeze_axis_handling(self):$/;" m class:TestRegression +test_squeeze_contiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_squeeze_contiguous(self):$/;" m class:TestRegression +test_squeeze_element adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio_utils.py /^def test_squeeze_element():$/;" f +test_squeeze_expand_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_squeeze_expand_dims(self, data, gc, dc):$/;" m class:TestOperators +test_squeeze_fallback adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/expanddims_squeeze_op_test.py /^ def test_squeeze_fallback(self, squeeze_dims, inplace, gc, dc):$/;" m class:ExpandDimsSqueezeTest +test_squeeze_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_squeeze_type(self):$/;" m class:TestRegression +test_sq_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_sq_cases(self):$/;" m class:LinalgSquareTestCase +test_SR1_skip_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def test_SR1_skip_update(self):$/;" m class:TestHessianUpdateStrategy +test_src_dir_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^def test_src_dir_path(relative_path):$/;" f +test_src_dir_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^def test_src_dir_path(relative_path):$/;" f +test_srepr adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_srepr(self):$/;" m class:TestSoftLinks +test_sROUNDROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sROUNDROUND(self):$/;" m class:TestCorrSpearmanr +test_ssa adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/ssa_test.py /^ def test_ssa(self):$/;" m class:TestFrontendSSAConversion +test_ss_diff adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_ss_diff(self):$/;" m class:TestOverwrite +test_stable adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_stable(self):$/;" m class:TestVectorNorms +test_stable_sort adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_stable_sort(self):$/;" m class:TestMaskedArrayMethods +test_stack adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^def test_stack():$/;" f +test_stack adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_stack():$/;" f +test_stacked_singular adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_stacked_singular(self):$/;" m class:TestCond +test_stackplot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_stackplot():$/;" f +test_stackplot_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_stackplot_baseline():$/;" f +test_stackplot_smoke adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^def test_stackplot_smoke():$/;" f +test_stack_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_stack_1d(self):$/;" m class:TestStack +test_stack_masks adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_stack_masks(self):$/;" m class:TestStack +test_stack_nd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_stack_nd(self):$/;" m class:TestStack +test_standalone_loss_without_loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_standalone_loss_without_loss_reduction(self, distribution):$/;" m class:TestDistributionStrategyErrorCases +test_standard adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_standard(self):$/;" m class:TestMergeArrays +test_standard_cauchy adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_cauchy(self):$/;" m class:TestRandomDist +test_standard_cauchy adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_cauchy(self):$/;" m class:TestRandomDist +test_standard_cauchy adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_cauchy(self):$/;" m class:TestRandomDist +test_standard_cauchy adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_cauchy(self):$/;" m class:RNG +test_standard_deviation01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation01():$/;" f +test_standard_deviation02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation02():$/;" f +test_standard_deviation03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation03():$/;" f +test_standard_deviation04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation04():$/;" f +test_standard_deviation05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation05():$/;" f +test_standard_deviation06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation06():$/;" f +test_standard_deviation07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_standard_deviation07():$/;" f +test_standard_eigh_lworks adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_standard_eigh_lworks(pfx, driver):$/;" f +test_standard_expoential_type_error adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_expoential_type_error(self):$/;" m class:TestRandomDist +test_standard_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_exponential(self):$/;" m class:TestRandomDist +test_standard_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_exponential(self):$/;" m class:TestRandomDist +test_standard_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_exponential(self):$/;" m class:TestRandomDist +test_standard_exponential adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_exponential(self):$/;" m class:RNG +test_standard_exponential_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_exponential_float(self):$/;" m class:RNG +test_standard_exponential_float_log adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_exponential_float_log(self):$/;" m class:RNG +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gamma(self):$/;" m class:TestBroadcast +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gamma(self):$/;" m class:TestRandomDist +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_gamma(self):$/;" m class:TestBroadcast +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_gamma(self):$/;" m class:TestRandomDist +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_gamma(self):$/;" m class:TestBroadcast +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_gamma(self):$/;" m class:TestRandomDist +test_standard_gamma adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_gamma(self):$/;" m class:RNG +test_standard_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gamma_0(self):$/;" m class:TestRandomDist +test_standard_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_gamma_0(self):$/;" m class:TestRandomDist +test_standard_gamma_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_gamma_0(self):$/;" m class:TestRandomDist +test_standard_gamma_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gamma_float(self):$/;" m class:TestRandomDist +test_standard_gamma_unknown_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gamma_unknown_type(self):$/;" m class:TestRandomDist +test_standard_gammma_float_out adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gammma_float_out(self):$/;" m class:TestRandomDist +test_standard_gammma_scalar_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_gammma_scalar_float(self):$/;" m class:TestRandomDist +test_standard_nonsymmetric_no_convergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_standard_nonsymmetric_no_convergence():$/;" f +test_standard_nonsymmetric_starting_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_standard_nonsymmetric_starting_vector():$/;" f +test_standard_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_normal(self):$/;" m class:TestRandomDist +test_standard_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_normal(self):$/;" m class:TestRandomDist +test_standard_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_normal(self):$/;" m class:TestRandomDist +test_standard_normal adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_normal(self):$/;" m class:RNG +test_standard_normal_unsupported_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_normal_unsupported_type(self):$/;" m class:TestRandomDist +test_standard_normal_zig adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_normal_zig(self):$/;" m class:RNG +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_t(self):$/;" m class:TestBroadcast +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_standard_t(self):$/;" m class:TestRandomDist +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_t(self):$/;" m class:TestBroadcast +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_standard_t(self):$/;" m class:TestRandomDist +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_t(self):$/;" m class:TestBroadcast +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_standard_t(self):$/;" m class:TestRandomDist +test_standard_t adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_standard_t(self):$/;" m class:RNG +test_starargs_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_starargs_raise(self):$/;" m class:TestSubclassingNoShapes +test_startpoints adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_startpoints():$/;" f +test_startswith adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_startswith(self):$/;" m class:TestInformation +test_startswith adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_startswith(self):$/;" m class:TestRegression +test_start_stop_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_start_stop_array(self):$/;" m class:TestGeomspace +test_start_stop_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_start_stop_array(self):$/;" m class:TestLinspace +test_start_stop_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_start_stop_array(self):$/;" m class:TestLogspace +test_start_stop_array_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_start_stop_array_scalar(self):$/;" m class:TestGeomspace +test_start_stop_array_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_start_stop_array_scalar(self):$/;" m class:TestLinspace +test_start_with_moveto adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_start_with_moveto():$/;" f +test_star_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_star_args(self):$/;" m class:TestSubclassingExplicitShapes +test_star_args_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_star_args_2(self):$/;" m class:TestSubclassingExplicitShapes +test_star_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^def test_star_marker():$/;" f +test_stateful_convolution_forward_only adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def test_stateful_convolution_forward_only($/;" m class:RecurrentNetworkTest +test_statement_prefix_suffix adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_statement_prefix_suffix(self):$/;" m class:PrefixSuffixTest +test_state_setting adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_state_setting(self):$/;" m class:TestSetState +test_state_tuple adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_state_tuple(self):$/;" m class:TestMT19937 +test_static_input_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_static_input_batch_size(self, distribution):$/;" m class:TestDistributionStrategyWithStaticShapes +test_statistic_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_statistic_1(self):$/;" m class:TestEppsSingleton +test_statistic_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_statistic_2(self):$/;" m class:TestEppsSingleton +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestDLaplace +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestLevyStable +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestLoggamma +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestNormInvGauss +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestPareto +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self):$/;" m class:TestPoisson +test_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats(self, c, expected_stats):$/;" m class:TestGenpareto +test_stats2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats2(self):$/;" m class:TestDLaplace +test_stats_broadcast adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_stats_broadcast(self):$/;" m class:TestF +test_stats_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_ops_test.py /^ def test_stats_ops(self):$/;" m class:TestCounterOps +test_stats_shapes_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_stats_shapes_argcheck():$/;" f +test_stat_funcs_2d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_stat_funcs_2d():$/;" f +test_std adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_std(self):$/;" m class:TestNonarrayArgs +test_stddev_put_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/stats_put_ops_test.py /^ def test_stddev_put_ops(self):$/;" m class:TestPutOps +test_stdio adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_stdio(self):$/;" m class:TestDrivers +test_stdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_stdtr(self):$/;" m class:TestCephes +test_stdtr adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_stdtr(self):$/;" m class:TestCDFlib +test_stdtridf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_stdtridf(self):$/;" m class:TestCephes +test_stdtridf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_stdtridf(self):$/;" m class:TestCDFlib +test_stdtrit adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_stdtrit(self):$/;" m class:TestCephes +test_stdtrit adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_stdtrit(self):$/;" m class:TestCDFlib +test_std_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_std_pdf(self, x, K, expected):$/;" m class:TestExponNorm +test_std_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_std_values(self):$/;" m class:TestStats +test_std_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_std_zero(self):$/;" m class:TestSigmaClip +test_stem adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_stem(use_line_collection):$/;" f +test_stem_args adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_stem_args():$/;" f +test_stem_dates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_stem_dates():$/;" f +test_stem_remove adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_container.py /^def test_stem_remove():$/;" f +test_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def test_step(self, data):$/;" m class:Model +test_step_fails adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_step_fails(args):$/;" f +test_step_invariant adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_step_invariant(self, sys, sample_time, samples_number):$/;" m class:TestC2dInvariants +test_step_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_step_linestyle():$/;" f +test_step_markers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_step_markers(fig_test, fig_ref):$/;" f +test_step_size_to_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_lsq_common.py /^ def test_step_size_to_bounds(self):$/;" m class:TestBounds +test_sticky_shared_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_sticky_shared_axes(fig_test, fig_ref):$/;" f +test_sTINYROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sTINYROUND(self):$/;" m class:TestCorrSpearmanr +test_sTINYTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sTINYTINY(self):$/;" m class:TestCorrSpearmanr +Test_Storage adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^class Test_Storage(object):$/;" c +test_storm_dense adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def test_storm_dense(self, inputs, grad_sq_sum, lr, momentum, beta, gc, dc):$/;" m class:TestStorm +test_storm_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def test_storm_sparse(self, inputs, grad_sq_sum, lr,$/;" m class:TestStorm +test_storm_sparse_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/storm_test.py /^ def test_storm_sparse_empty(self, inputs, grad_sq_sum, lr, momentum,$/;" m class:TestStorm +test_stouffer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_stouffer(self):$/;" m class:TestCombinePvalues +test_stouffer2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_stouffer2(self):$/;" m class:TestCombinePvalues +test_str adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attribute_create.py /^ def test_str(self):$/;" m class:TestArray +test_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_str(self):$/;" m class:TestComplexArray +test_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def test_str(self):$/;" m class:TestRealScalars +test_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^ def test_str(self):$/;" m class:TestArrayMemoryError +test_str adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_str(self):$/;" m class:Base +test_str adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_str(self):$/;" m class:_TestCommon +test_straightforward_examples adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_straightforward_examples(self, start, end, expected):$/;" m class:TestGeometricSlerp +test_StrCategoryFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_StrCategoryFormatter(self, ax, ydata):$/;" m class:TestStrCategoryFormatter +test_StrCategoryFormatterPlot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_StrCategoryFormatterPlot(self, ax, ydata, plotter):$/;" m class:TestStrCategoryFormatter +test_StrCategoryLocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_StrCategoryLocator(self):$/;" m class:TestStrCategoryLocator +test_StrCategoryLocatorPlot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_StrCategoryLocatorPlot(self, ax, plotter):$/;" m class:TestStrCategoryLocator +test_streamplot_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def test_streamplot_limits():$/;" f +test_stress_heavy_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_stress_heavy_rpc(self):$/;" m class:RpcTest +test_stress_heavy_rpc_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_stress_heavy_rpc_torchscript(self):$/;" m class:RpcTest +test_stress_light_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_stress_light_rpc(self):$/;" m class:RpcTest +test_strictly_increasing_x adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_strictly_increasing_x(self):$/;" m class:TestUnivariateSpline +test_strict_upper_triangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_strict_upper_triangular(self):$/;" m class:TestSqrtM +test_strided adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^ def test_strided(self):$/;" m class:TestByteBounds +test_strided_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_strided_array(self):$/;" m class:TestMaximum +test_strided_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_strided_array(self):$/;" m class:TestMinimum +test_strided_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_strided_float32(self):$/;" m class:TestAVXFloat32Transcendental +test_strided_loop_alignments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_strided_loop_alignments(self):$/;" m class:TestAlignment +test_stridesattr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_stridesattr(self):$/;" m class:TestAttributes +test_stride_ensure_integer_type adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_ensure_integer_type(self):$/;" m class:TestStride +test_stride_only adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/sparse_reshape_op_test.py /^ def test_stride_only(self):$/;" m class:TestSparseMatrixReshapeOp +test_stride_repeat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_repeat(self, n, axis):$/;" m class:TestStride +test_stride_repeat_invalid_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_repeat_invalid_axis(self, axis):$/;" m class:TestStride +test_stride_repeat_invalid_input_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_repeat_invalid_input_shape(self, shape):$/;" m class:TestStride +test_stride_repeat_n_lt_1_ValueError adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_repeat_n_lt_1_ValueError(self):$/;" m class:TestStride +test_stride_windows adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_windows(self, n, noverlap, axis):$/;" m class:TestStride +test_stride_windows_invalid_input_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_windows_invalid_input_shape(self, shape):$/;" m class:TestStride +test_stride_windows_invalid_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_windows_invalid_params(self, n, noverlap):$/;" m class:TestStride +test_stride_windows_n32_noverlap0_unflatten adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_stride_windows_n32_noverlap0_unflatten(self, axis):$/;" m class:TestStride +test_striding adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_striding(self):$/;" m class:TestCdist +test_striding adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def test_striding(self):$/;" m class:TestPdist +test_string adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_string(self):$/;" m class:TestTypes +test_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_string(self):$/;" m class:TestComparisonDeprecations +test_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_string(self):$/;" m class:TestDatetime64Timezone +test_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_string(self):$/;" m class:TestIO +test_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_string(self):$/;" m class:TestStringCompare +test_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_string(self):$/;" m class:TestWhere +test_stringlike_empty_list adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_stringlike_empty_list(self):$/;" m class:TestAssignment +test_stringload adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_stringload(self):$/;" m class:LoadTxtBase +test_strings adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_strings(self):$/;" m class:TestEye +test_strings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_strings(self):$/;" m class:TestMode +test_string_aliases adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_string_aliases(self):$/;" m class:TestInterp +test_string_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_string_align(self):$/;" m class:TestFlags +test_string_arg adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_string_arg(self):$/;" m class:TestAdd_newdoc_ufunc +test_string_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_string_arg(self):$/;" m class:TestMatrix_Balance +test_string_argsort_with_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_argsort_with_zeros(self):$/;" m class:TestRegression +test_string_arrays adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_string_arrays(self):$/;" m class:TestArrayEqual +test_string_array_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_array_size(self):$/;" m class:TestRegression +test_string_astype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_astype(self):$/;" m class:TestRegression +test_string_callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def test_string_callback(self):$/;" m class:TestF77Callback +test_string_callback_array adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def test_string_callback_array(self):$/;" m class:TestF77Callback +test_string_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^def test_string_cast():$/;" f +test_string_dtype adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attribute_create.py /^ def test_string_dtype(self):$/;" m class:TestArray +test_string_ends_with adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def test_string_ends_with(self, strings):$/;" m class:TestStringOps +test_string_fixed adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_string_fixed(self):$/;" m class:TestAutoCreate +test_string_index_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_ops_test.py /^ def test_string_index_ops(self):$/;" m class:TestIndexOps +test_string_mergesort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_mergesort(self):$/;" m class:TestRegression +test_string_NULL adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_NULL(self):$/;" m class:TestRegression +test_string_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def test_string_output(input, name=None):$/;" f +test_string_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def test_string_output_eager_fallback(input, name, ctx):$/;" f +test_string_parser_error_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_string_parser_error_check(self):$/;" m class:TestDateTime +test_string_parser_variants adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_string_parser_variants(self):$/;" m class:TestDateTime +test_string_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def test_string_prefix(self, strings):$/;" m class:TestStringOps +test_string_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_string_scalar(self):$/;" m class:TestTypes +test_string_seq adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def test_string_seq(self):$/;" m class:Test_delete_masked_points +test_string_serde adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_string_serde(self, s):$/;" m class:TestOperators +test_string_serde adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def test_string_serde(self, name, value):$/;" m class:TestCWorkspace +test_string_sort_with_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_sort_with_zeros(self):$/;" m class:TestRegression +test_string_starts_with adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def test_string_starts_with(self, strings):$/;" m class:TestStringOps +test_string_suffix adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^ def test_string_suffix(self, strings):$/;" m class:TestStringOps +test_string_ticket_1892 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_string_ticket_1892(self):$/;" m class:TestVectorize +test_string_to_boolean_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_string_to_boolean_cast(dtype, out_dtype):$/;" f +test_string_to_boolean_cast_errors adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_string_to_boolean_cast_errors(dtype, out_dtype):$/;" f +test_string_to_complex_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_api.py /^def test_string_to_complex_cast(str_type, scalar_type):$/;" f +test_string_to_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_string_to_object(self):$/;" m class:TestStringConverter +test_string_truncation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_truncation(self):$/;" m class:TestRegression +test_string_truncation_ucs2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_string_truncation_ucs2(self):$/;" m class:TestRegression +test_string_with_ws adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_string_with_ws(self):$/;" m class:TestIO +test_strip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_strip(self):$/;" m class:TestMethods +test_strmethodformatter_auto_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_strmethodformatter_auto_formatter():$/;" f +test_strong_connections adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_strong_connections():$/;" f +test_strong_connections2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_strong_connections2():$/;" f +test_structarray_title adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_structarray_title(self):$/;" m class:TestRegression +test_structname_len adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_structname_len():$/;" f +test_structure adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_structure(self):$/;" m class:TestAsCtypesType +test_structure adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_structure(self):$/;" m class:TestScalars +test_structured adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured(self):$/;" m class:TestPickling +test_structured adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_structured(self):$/;" m class:TestSaveTxt +test_structuredscalar_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_structuredscalar_indexing(self):$/;" m class:TestStructured +test_structured_aligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_aligned(self):$/;" m class:TestPickling +test_structured_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_structured_array(self):$/;" m class:TestInsert +test_structured_arrays adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_structured_arrays(self):$/;" m class:TestItemfreq +test_structured_arrays_with_objects1 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_structured_arrays_with_objects1(self):$/;" m class:TestRegression +test_structured_arrays_with_objects2 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_structured_arrays_with_objects2(self):$/;" m class:TestRegression +test_structured_count_nonzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_structured_count_nonzero(self):$/;" m class:TestRegression +test_structured_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_structured_data():$/;" f +test_structured_equal adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_structured_equal(self):$/;" m class:TestUfunc +test_structured_non_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_structured_non_void(self):$/;" m class:TestDtypedescr +test_structured_object_create_delete adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_object_create_delete(self, dt, pat, count, singleton,$/;" m class:TestStructuredObjectRefcounting +test_structured_object_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_object_indexing(self, shape, index, items_changed,$/;" m class:TestStructuredObjectRefcounting +test_structured_object_item_setting adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_object_item_setting(self, dt, pat, count, singleton):$/;" m class:TestStructuredObjectRefcounting +test_structured_object_take_and_repeat adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_object_take_and_repeat(self, dt, pat, count, singleton):$/;" m class:TestStructuredObjectRefcounting +test_structured_padded adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_padded(self):$/;" m class:TestPickling +test_structured_padded adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_structured_padded(self):$/;" m class:TestSaveTxt +test_structured_titles adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_titles(self):$/;" m class:TestPickling +test_structured_to_unstructured adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_structured_to_unstructured(self):$/;" m class:TestRecFunctions +test_structured_type_to_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_structured_type_to_object(self):$/;" m class:TestRegression +test_structured_unaligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_structured_unaligned(self):$/;" m class:TestPickling +test_structure_aligned adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_structure_aligned(self):$/;" m class:TestAsCtypesType +test_structure_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_structure_format(self):$/;" m class:TestArray2String +test_structure_unpack adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_structure_unpack(self):$/;" m class:TestLoadTxt +test_struct_array_pointer adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_struct_array_pointer(self):$/;" m class:TestAsArray +test_struct_ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_struct_ufunc(self):$/;" m class:TestUfunc +test_struve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_struve(self):$/;" m class:TestCephes +test_struveh adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_struveh(self):$/;" m class:TestSystematic +test_struvel adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_struvel(self):$/;" m class:TestSystematic +test_str_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_str_dtype(self):$/;" m class:TestLoadTxt +test_str_leading_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_str_leading_zeros(self):$/;" m class:TestPolynomial +test_str_repr adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_str_repr(self):$/;" m class:TestMaskedArray +test_str_repr_legacy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_str_repr_legacy(self):$/;" m class:TestMaskedArray +test_str_round adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_str_round():$/;" f +test_str_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_str_transform():$/;" f +test_str_ucs4 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_str_ucs4(self, s):$/;" m class:TestScalarPEP3118 +test_sub adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sub(self):$/;" m class:TestOperators +test_sub adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_sub(self, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +test_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_sub(Poly):$/;" f +test_sub adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sub(self):$/;" m class:_TestCommon +test_subarray adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_subarray(self):$/;" m class:TestAsCtypesType +test_subarray_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subarray_comparison(self):$/;" m class:TestStructured +test_subarray_field_access adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subarray_field_access(self):$/;" m class:TestStructured +test_subarray_fillvalue adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_subarray_fillvalue(self):$/;" m class:TestFillingValues +test_subarray_int_shape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subarray_int_shape(self):$/;" m class:TestPickling +test_subarray_key adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_subarray_key(self):$/;" m class:TestJoinBy +test_subclass adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^def test_subclass(fig_test, fig_ref):$/;" f +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_subclass(self):$/;" m class:TestArrayRepr +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestGeomspace +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestLinspace +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestLogspace +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subclass(self):$/;" m class:TestStats +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_subclass(self):$/;" m class:TestIsSubDType +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestAngle +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestDelete +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestDiff +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestInsert +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclass(self):$/;" m class:TestMedian +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_subclass(self):$/;" m class:TestNDArrayOperatorsMixin +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_subclass(self):$/;" m class:SharedNanFunctionsTestsMixin +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_subclass(self):$/;" m class:TestNanFunctions_ArgminArgmax +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^ def test_subclass(self):$/;" m class:TestNanFunctions_MinMax +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_subclass(self):$/;" m class:TestApplyAlongAxis +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_subclass(self):$/;" m class:TestMaskedConstant +test_subclass adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_subclass(self):$/;" m class:TestArrayAlmostEqual +test_subclasses adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subclasses(self):$/;" m class:TestAverage +test_subclasses adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_subclasses(self):$/;" m class:TestExpandDims +test_subclasses adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_subclasses():$/;" f +test_subclassing adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_subclassing(self):$/;" m class:TestBSpline +test_subclassing adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def test_subclassing(self):$/;" m class:TestUnivariateSpline +test_subclasspreservation adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_subclasspreservation(self):$/;" m class:TestSubclassing +test_subclass_backwards adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_subclass_backwards(self):$/;" m class:TestIsSubDType +test_subclass_int_tuple_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_subclass_int_tuple_assignment(self):$/;" m class:TestRegression +test_subclass_items adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_subclass_items(self):$/;" m class:TestSubclassing +test_subclass_nomask_items adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_subclass_nomask_items(self):$/;" m class:TestSubclassing +test_subclass_op adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_subclass_op(self):$/;" m class:TestSubclass +test_subclass_other adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subclass_other(self):$/;" m class:TestArrayPriority +test_subclass_preservation adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_subclass_preservation(self):$/;" m class:TestApplyAlongAxis +test_subclass_repr adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_subclass_repr(self):$/;" m class:TestSubclassing +test_subclass_str adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def test_subclass_str(self):$/;" m class:TestSubclassing +test_subclass_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_subclass_subclass(self):$/;" m class:TestArrayPriority +test_subclass_that_cannot_be_bool adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_subclass_that_cannot_be_bool(self):$/;" m class:TestAlmostEqual +test_subclass_that_cannot_be_bool adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_subclass_that_cannot_be_bool(self):$/;" m class:TestArrayAlmostEqual +test_subclass_that_does_not_implement_npall adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_subclass_that_does_not_implement_npall(self):$/;" m class:TestArrayEqual +test_subclass_that_overrides_eq adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_subclass_that_overrides_eq(self):$/;" m class:TestArrayEqual +test_subclass_writeable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_subclass_writeable(self):$/;" m class:TestIndexing +test_subdtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_subdtype(self):$/;" m class:TestStackArrays +test_sublabel adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_sublabel(self):$/;" m class:TestLogFormatter +test_subok adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_subok(self):$/;" m class:TestCopy +test_Subplot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axislines.py /^def test_Subplot():$/;" f +test_subplot adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_floating_axes.py /^def test_subplot():$/;" f +test_subplots_offsettext adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_subplots.py /^def test_subplots_offsettext():$/;" f +test_subplots_shareax_loglabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_subplots_shareax_loglabels():$/;" f +test_subplottool adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^def test_subplottool():$/;" f +test_SubplotZero adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axislines.py /^def test_SubplotZero():$/;" f +test_subplot_key_hash adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_subplot_key_hash():$/;" f +test_subplot_kw adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def test_subplot_kw(self, fig_test, fig_ref, subplot_kw):$/;" m class:TestSubplotMosaic +test_subsampled_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_subsampled_ticklabels():$/;" f +test_subscript_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_einsum.py /^ def test_subscript_range(self):$/;" m class:TestEinsum +test_subsets adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_disjoint_set.py /^def test_subsets(n):$/;" f +test_subsets adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_subsets(self, A, B, seed, expected):$/;" m class:TestHausdorff +test_subspace adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_subspace(self):$/;" m class:TestHelmert +test_subspace_angles adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def test_subspace_angles():$/;" f +test_sub_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_sub_128():$/;" f +test_sub_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sub_dense(self):$/;" m class:_TestCommon +test_sufficient_width adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_sufficient_width(self):$/;" m class:TestBinaryRepr +test_sum adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_sum(self, inputs, gc, dc):$/;" m class:TestATen +test_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_sum(self, inputs, in_place, gc, dc):$/;" m class:TestOperators +test_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_sum($/;" m class:TestUtilityOps +test_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_sum(self):$/;" m class:TestBool +test_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_sum(self):$/;" m class:TestNonarrayArgs +test_sum adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sum(self):$/;" m class:TestUfunc +test_sum adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_sum(self):$/;" m class:TestProperties +test_sum adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sum(self):$/;" m class:_TestCommon +test_sum01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum01():$/;" f +test_sum02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum02():$/;" f +test_sum03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum03():$/;" f +test_sum04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum04():$/;" f +test_sum05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum05():$/;" f +test_sum06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum06():$/;" f +test_sum07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum07():$/;" f +test_sum08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum08():$/;" f +test_sum09 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum09():$/;" f +test_sum10 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum10():$/;" f +test_sum11 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum11():$/;" f +test_sum12 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum12():$/;" f +test_summarize_1d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_summarize_1d(self):$/;" m class:TestArray2String +test_summarize_2d adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_summarize_2d(self):$/;" m class:TestArray2String +test_summ_wrong_side adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_summ_wrong_side(self):$/;" m class:TestBLAS3Symm +test_sum_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sum_complex(self):$/;" m class:TestUfunc +test_sum_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sum_dtype(self):$/;" m class:_TestCommon +test_sum_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sum_duplicates(self):$/;" m class:TestCOO +test_sum_forwarding_implementation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_sum_forwarding_implementation(self):$/;" m class:TestNumPyFunctions +test_sum_initial adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sum_initial(self):$/;" m class:TestUfunc +test_sum_invalid_params adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sum_invalid_params(self):$/;" m class:_TestCommon +test_sum_is_one adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_sum_is_one(self):$/;" m class:TestBdtr +test_sum_is_one adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_sum_is_one(self):$/;" m class:TestBdtrc +test_sum_is_one adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_sum_is_one(self):$/;" m class:TestBdtri +test_sum_labels adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_sum_labels():$/;" f +test_sum_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def test_sum_mul(self, T, n, d):$/;" m class:RecurrentNetworkTest +test_sum_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_sum_object(self):$/;" m class:TestMaskedArrayMathMethods +test_sum_on_mock_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_sum_on_mock_array(self):$/;" m class:TestNumPyFunctions +test_sum_out adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_sum_out(self):$/;" m class:_TestCommon +test_sum_reduce adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_sum_reduce(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_sum_reduce_empty_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_sum_reduce_empty_blob(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_sum_reduce_fp16 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def test_sum_reduce_fp16(self, gc, dc):$/;" m class:TestElementwiseBroadcast +test_sum_stability adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sum_stability(self):$/;" m class:TestUfunc +test_sum_where adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_sum_where(self):$/;" m class:TestUfunc +test_superclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_superclass(self):$/;" m class:TestExtraArgs +test_superlu_dlamch_i386_nan adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_superlu_dlamch_i386_nan(self):$/;" m class:TestSplu +test_support adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_support(dist):$/;" f +test_suppress_warnings_decorate_no_record adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_suppress_warnings_decorate_no_record():$/;" f +test_suppress_warnings_forwarding adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_suppress_warnings_forwarding():$/;" f +test_suppress_warnings_module adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_suppress_warnings_module():$/;" f +test_suppress_warnings_record adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_suppress_warnings_record():$/;" f +test_suppress_warnings_type adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_suppress_warnings_type():$/;" f +test_suptitle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_suptitle():$/;" f +test_suptitle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_suptitle():$/;" f +test_suptitle_fontproperties adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_suptitle_fontproperties():$/;" f +test_surface3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_surface3d():$/;" f +test_surface3d_shaded adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_surface3d_shaded():$/;" f +test_svd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_svd(self):$/;" m class:TestOverwrite +test_svds adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_pydata_sparse.py /^def test_svds(matrices):$/;" f +test_svds_partial_return adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svds_partial_return():$/;" f +test_svds_wrong_eigen_type adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svds_wrong_eigen_type():$/;" f +test_svdvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_svdvals(self):$/;" m class:TestOverwrite +test_svd_build adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_svd_build(self):$/;" m class:TestRegression +test_svd_linop adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_linop():$/;" f +test_svd_LM_ones_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_LM_ones_matrix():$/;" f +test_svd_LM_zeros_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_LM_zeros_matrix():$/;" f +test_svd_LM_zeros_matrix_gh_3452 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_LM_zeros_matrix_gh_3452():$/;" f +test_svd_maxiter adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_maxiter():$/;" f +test_svd_no_uv adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_regression.py /^ def test_svd_no_uv(self):$/;" m class:TestRegression +test_svd_return adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_return():$/;" f +test_svd_simple_complex adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_simple_complex():$/;" f +test_svd_simple_real adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_simple_real():$/;" f +test_svd_v0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_v0():$/;" f +test_svd_which adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_svd_which():$/;" f +test_svgnone_with_data_coordinates adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_svgnone_with_data_coordinates():$/;" f +test_svg_clear_all_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_svg_clear_all_metadata():$/;" f +test_svg_clear_default_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_svg_clear_default_metadata(monkeypatch):$/;" f +test_svg_default_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_svg_default_metadata(monkeypatch):$/;" f +test_svg_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_svg_metadata():$/;" f +test_swap adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_swap(self):$/;" m class:TestFBLAS1Simple +test_swapaxes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_swapaxes(self):$/;" m class:TestMethods +test_swapaxes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_swapaxes(self):$/;" m class:TestNonarrayArgs +test_swapaxes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_swapaxes(self):$/;" m class:TestMaskedArrayMethods +test_swapaxes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_swapaxes(self):$/;" m class:TestArrayMethods +test_swapcase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_swapcase(self):$/;" m class:TestMethods +test_swapped_byte_order adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_real_transforms.py /^def test_swapped_byte_order(func):$/;" f +test_swapped_byte_order_complex adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def test_swapped_byte_order_complex(func):$/;" f +test_swapped_byte_order_real adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def test_swapped_byte_order_real(func):$/;" f +test_swapped_v_w_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_swapped_v_w_error(self):$/;" m class:TestCDF2RDF +test_swapping adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_swapping(self):$/;" m class:Test_Qhull +test_swap_full adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_swap_full(self, dt):$/;" m class:TestCorrelateComplex +test_swap_real adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_swap_real(self):$/;" m class:TestRegression +test_swap_same adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_swap_same(self, dt):$/;" m class:TestCorrelateComplex +test_sweep_poly_const adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_const(self):$/;" m class:TestSweepPoly +test_sweep_poly_cubic adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_cubic(self):$/;" m class:TestSweepPoly +test_sweep_poly_cubic2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_cubic2(self):$/;" m class:TestSweepPoly +test_sweep_poly_cubic3 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_cubic3(self):$/;" m class:TestSweepPoly +test_sweep_poly_linear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_linear(self):$/;" m class:TestSweepPoly +test_sweep_poly_quad1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_quad1(self):$/;" m class:TestSweepPoly +test_sweep_poly_quad2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_sweep_poly_quad2(self):$/;" m class:TestSweepPoly +test_swish adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_swish(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_swish_gradient_inplace adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def test_swish_gradient_inplace(self, n, m, gc, dc, seed):$/;" m class:TestElementwiseOps +test_switch_swmr_mode_off_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_switch_swmr_mode_off_raises(self):$/;" m class:TestDatasetSwmrWrite +test_switch_swmr_mode_on adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_switch_swmr_mode_on(self):$/;" m class:TestDatasetSwmrWrite +test_switch_to_autolocator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_switch_to_autolocator(self):$/;" m class:TestLogLocator +test_swmr_mode_raises adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_swmr.py /^ def test_swmr_mode_raises(self):$/;" m class:TestSwmrNotAvailable +test_sXBIG adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXBIG(self):$/;" m class:TestCorrSpearmanr +test_sXHUGE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXHUGE(self):$/;" m class:TestCorrSpearmanr +test_sXLITTLE adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXLITTLE(self):$/;" m class:TestCorrSpearmanr +test_sXROUND adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXROUND(self):$/;" m class:TestCorrSpearmanr +test_sXTINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXTINY(self):$/;" m class:TestCorrSpearmanr +test_sXX adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_sXX(self):$/;" m class:TestCorrSpearmanr +test_syconv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_syconv():$/;" f +test_sycon_hecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_sycon_hecon():$/;" f +test_syequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_syequb():$/;" f +test_sygst adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_sygst():$/;" f +test_symlog adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_symlog():$/;" f +test_symlog2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_symlog2():$/;" f +test_SymLogNorm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_SymLogNorm():$/;" f +test_SymLogNorm_colorbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_SymLogNorm_colorbar():$/;" f +test_SymLogNorm_single_zero adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_SymLogNorm_single_zero():$/;" f +test_symlog_mask_nan adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_scale.py /^def test_symlog_mask_nan():$/;" f +test_symm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_symm(self):$/;" m class:TestBLAS3Symm +test_symmetric_graph_laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def test_symmetric_graph_laplacian():$/;" f +test_symmetric_modes adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_symmetric_modes():$/;" f +test_symmetric_no_convergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_symmetric_no_convergence():$/;" f +test_symmetric_rank adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_symmetric_rank(self):$/;" m class:TestMatrixRank +test_symmetric_starting_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_symmetric_starting_vector():$/;" f +test_symmetries adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_owens_t.py /^def test_symmetries():$/;" f +test_symmetry adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_symmetry():$/;" f function:test_are_validate_args file: +test_symmetry adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_symmetry(self):$/;" m class:TestIIRFilter +test_symmetry adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_hausdorff.py /^ def test_symmetry(self):$/;" m class:TestHausdorff +test_symmetry adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_faddeeva.py /^ def test_symmetry(self):$/;" m class:TestVoigtProfile +test_symm_wrong_uplo adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_symm_wrong_uplo(self):$/;" m class:TestBLAS3Symm +test_symm_zc adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_symm_zc(self):$/;" m class:TestSyHe +test_sym_boundary adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_sym_boundary(self):$/;" m class:_TestConvolve2d +test_SyncBatchNorm_process_group adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def test_SyncBatchNorm_process_group(self):$/;" m class:DistributedTest._DistTestBase +test_synchronization_barrier adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def test_synchronization_barrier(self):$/;" m class:DataParallelModelTest +test_syr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syr2(self):$/;" m class:TestFBLAS2Simple +test_syr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syr2k(self):$/;" m class:TestBLAS3Syr2k +test_syr2k_wrong_c adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syr2k_wrong_c(self):$/;" m class:TestBLAS3Syr2k +test_syr2k_zr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syr2k_zr(self):$/;" m class:TestSyHe +test_syrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syrk(self):$/;" m class:TestBLAS3Syrk +test_syrk_wrong_c adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syrk_wrong_c(self):$/;" m class:TestBLAS3Syrk +test_syrk_zr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syrk_zr(self):$/;" m class:TestSyHe +test_syr_her adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_syr_her(self):$/;" m class:TestFBLAS2Simple +test_sytrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_sytrd(self, dtype, n):$/;" m class:TestSytrd +test_sytrd_with_zero_dim_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_sytrd_with_zero_dim_array(self, dtype):$/;" m class:TestSytrd +test_szip adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_szip(self):$/;" m class:TestCreateSZIP +test_table adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^test_table = StructTestTable(bounds=[(-10, 10), (-10, 10)],$/;" v +test_tableau_order adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_tableau_order():$/;" f +test_table_cells adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_table_cells():$/;" f +test_tabs_below_spaces_and_tab adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_tabs_below_spaces_and_tab(self):$/;" m class:IndentationTest +test_tab_below_spaces adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def test_tab_below_spaces(self):$/;" m class:IndentationTest +test_tab_delimiter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_tab_delimiter(self):$/;" m class:TestLineSplitter +test_tail adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_tail(self): # Regression test for ticket 807$/;" m class:TestExpon +test_tail adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_tail(self):$/;" m class:TestExponpow +test_take adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_take(self):$/;" m class:TestNonarrayArgs +test_take adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_take(self):$/;" m class:TestMaskedArrayMethods +test_TakeTransposeInnerOuter adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_TakeTransposeInnerOuter(self):$/;" m class:TestMaskedArrayArithmetic +test_take_from_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexerrors.py /^ def test_take_from_object(self):$/;" m class:TestIndexErrors +test_take_masked_indices adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_take_masked_indices(self):$/;" m class:TestMaskedArrayMethods +test_take_mode_raise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_take_mode_raise(self):$/;" m class:TestWritebackIfCopy +test_take_object_fail adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_take_object_fail(self):$/;" m class:TestRegression +test_take_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_take_output(self):$/;" m class:TestRegression +test_take_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_take_refcount(self):$/;" m class:TestRegression +test_tall_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_1_col(self):$/;" m class:BaseQRdelete +test_tall_1_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_1_col(self):$/;" m class:BaseQRinsert +test_tall_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_1_row(self):$/;" m class:BaseQRdelete +test_tall_1_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_1_row(self):$/;" m class:BaseQRinsert +test_tall_matrix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_tall_matrix(self):$/;" m class:TestFillDiagonal +test_tall_matrix_wrap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_tall_matrix_wrap(self):$/;" m class:TestFillDiagonal +test_tall_p_col adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_col(self):$/;" m class:BaseQRdelete +test_tall_p_col_fat adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_col_fat(self):$/;" m class:BaseQRinsert +test_tall_p_col_sqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_col_sqr(self):$/;" m class:BaseQRinsert +test_tall_p_col_tall adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_col_tall(self):$/;" m class:BaseQRinsert +test_tall_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_row(self):$/;" m class:BaseQRdelete +test_tall_p_row adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_p_row(self):$/;" m class:BaseQRinsert +test_tall_rank_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_rank_1(self):$/;" m class:BaseQRupdate +test_tall_rank_p adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_tall_rank_p(self):$/;" m class:BaseQRupdate +test_tall_transposed adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tall_transposed(self):$/;" m class:TestPinv +test_tan adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/trigonometric_op_test.py /^ def test_tan(self, X, gc, dc):$/;" m class:TestTrigonometricOp +test_tandg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_tandg(self):$/;" m class:TestCephes +test_tandg adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_tandg(self):$/;" m class:TestTandg +test_tandgmore adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_tandgmore(self):$/;" m class:TestTandg +test_tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_tanh(self):$/;" m class:BrewGPUTest +test_tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_tanh(self):$/;" m class:BrewTest +test_tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tanh(self, X, gc, dc):$/;" m class:TestOperators +test_tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^ def test_tanh(self, X, in_place, engine, gc, dc):$/;" m class:TestHyperbolicOps +test_tbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_tbmv(self):$/;" m class:TestFBLAS2Simple +test_tbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_tbsv(self):$/;" m class:TestFBLAS2Simple +test_tck adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_tck(self):$/;" m class:TestBSpline +test_tell_seek adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^def test_tell_seek():$/;" f +test_temp1 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_temp1(self):$/;" m class:TestSystemInfoReading +test_temp2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def test_temp2(self):$/;" m class:TestSystemInfoReading +test_tempdir adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_tempdir():$/;" f +test_tempdir adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_tmpdirs.py /^def test_tempdir():$/;" f +test_TemporaryFile adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_TemporaryFile(self):$/;" m class:TestFileObj +test_temporary_with_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_temporary_with_cast(self):$/;" m class:TestTemporaryElide +test_temppath adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_temppath():$/;" f +test_tensorboard_graphs adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^ def test_tensorboard_graphs(self):$/;" m class:TensorboardTest +test_tensorflow adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_tensorflow(string):$/;" f +test_tensorflow_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_tensorflow_with_constants(constants):$/;" f +test_tensorflow_with_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_tensorflow_with_sharing(string):$/;" f +test_tensorinv_ind_limit adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_tensorinv_ind_limit(self, ind):$/;" m class:TestTensorinv +test_tensorinv_result adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_tensorinv_result(self):$/;" m class:TestTensorinv +test_tensorinv_shape adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_tensorinv_shape(self, shape, ind):$/;" m class:TestTensorinv +test_tensorpipe_options_throw_on_timedelta_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_tensorpipe_options_throw_on_timedelta_timeout(self):$/;" m class:TensorPipeAgentRpcTest +test_tensorpipe_set_default_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_tensorpipe_set_default_timeout(self):$/;" m class:TensorPipeAgentRpcTest +test_tensor_blas adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_blas.py /^def test_tensor_blas(inp, benchmark):$/;" f +test_tensor_filling_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_tensor_filling_ops(self):$/;" m class:TestCaffe2Basic +test_tensor_filling_ops_c_backend adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_tensor_filling_ops_c_backend(self):$/;" m class:TestCaffe2Basic +test_testAddSumProd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testAddSumProd(self):$/;" m class:TestMa +test_testAPI adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testAPI(self):$/;" m class:TestMa +test_testArithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testArithmetic(self):$/;" m class:TestMa +test_testArrayAttributes adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testArrayAttributes(self):$/;" m class:TestMa +test_testArrayMethods adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testArrayMethods(self):$/;" m class:TestMa +test_testAverage1 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_testAverage1(self):$/;" m class:TestAverage +test_testAverage1 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testAverage1(self):$/;" m class:TestMa +test_testAverage2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_testAverage2(self):$/;" m class:TestAverage +test_testAverage2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testAverage2(self):$/;" m class:TestMa +test_testAverage3 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_testAverage3(self):$/;" m class:TestAverage +test_testBasic1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testBasic1d(self):$/;" m class:TestMa +test_testBasic2d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testBasic2d(self):$/;" m class:TestMa +test_testCI adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testCI(self):$/;" m class:TestMa +test_testCopySize adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testCopySize(self):$/;" m class:TestMa +test_testInplace adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testInplace(self):$/;" m class:TestMa +test_testMaPut adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testMaPut(self):$/;" m class:TestMa +test_testMasked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testMasked(self):$/;" m class:TestMa +test_testMinMax adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testMinMax(self):$/;" m class:TestMa +test_testMinMax2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testMinMax2(self):$/;" m class:TestMa +test_testMixedArithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testMixedArithmetic(self):$/;" m class:TestMa +test_testOddFeatures adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testOddFeatures(self):$/;" m class:TestMa +test_testPickle adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testPickle(self):$/;" m class:TestMa +test_testPut adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testPut(self):$/;" m class:TestMa +test_testPut2 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testPut2(self):$/;" m class:TestMa +test_testScalarArithmetic adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testScalarArithmetic(self):$/;" m class:TestMa +test_testSingleElementSubscript adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testSingleElementSubscript(self):$/;" m class:TestMa +test_testTakeTransposeInnerOuter adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testTakeTransposeInnerOuter(self):$/;" m class:TestMa +test_testToPython adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testToPython(self):$/;" m class:TestMa +test_testUfuncRegression adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_testUfuncRegression(self):$/;" m class:TestUfuncs +test_testUfuncRegression adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testUfuncRegression(self):$/;" m class:TestUfuncs +test_testUfuncs1 adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_testUfuncs1(self):$/;" m class:TestMa +test_test_interning adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_test_interning(self):$/;" m class:TestBool +test_test_zero_rank adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_test_zero_rank(self):$/;" m class:TestSubscripting +test_tetrahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def test_tetrahedral():$/;" f +test_text3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_text3d():$/;" f +test_textcomp_full adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_textcomp_full():$/;" f +test_text_as_path_opacity adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_text_as_path_opacity():$/;" f +test_text_as_text_opacity adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_text_as_text_opacity():$/;" f +test_text_file_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/text_file_reader_test.py /^ def test_text_file_reader(self):$/;" m class:TestTextFileReader +test_text_labelsize adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_text_labelsize():$/;" f +test_text_repr adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_text_repr():$/;" f +test_text_size_binding adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_text_size_binding():$/;" f +test_text_stale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_text_stale():$/;" f +test_text_urls adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_text_urls():$/;" f +test_tex_restart_after_error adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_tex_restart_after_error():$/;" f +test_tfsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_tfsm():$/;" f +test_tfttr_trttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_tfttr_trttf():$/;" f +test_that_adding_gradient_scope_does_no_fancy_renaming adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^ def test_that_adding_gradient_scope_does_no_fancy_renaming(self):$/;" m class:TensorboardExporterTest +test_that_auto_ssa_gives_non_colliding_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^ def test_that_auto_ssa_gives_non_colliding_names(self):$/;" m class:TensorboardExporterTest +test_that_operators_gets_non_colliding_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^ def test_that_operators_gets_non_colliding_names(self):$/;" m class:TensorboardExporterTest +test_that_replacing_colons_gives_non_colliding_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter_test.py /^ def test_that_replacing_colons_gives_non_colliding_names(self):$/;" m class:TensorboardExporterTest +test_theano adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_theano(string):$/;" f +test_theano_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_theano_with_constants(constants):$/;" f +test_theano_with_sharing adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_theano_with_sharing(string):$/;" f +test_theilslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^def test_theilslopes():$/;" f +test_theilslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_theilslopes():$/;" f +test_thetalim_valid_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^def test_thetalim_valid_invalid():$/;" f +test_theta_monotonicity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def test_theta_monotonicity(self):$/;" m class:TestExpmActionSimple +test_third_party_scalar_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_third_party_scalar_type(self):$/;" m class:Test_sctype2char +test_threaded_same adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def test_threaded_same(x, func, workers):$/;" f +test_threads adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_threads():$/;" f +test_threadsafety adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_threadsafety(self):$/;" m class:TestMultivariateCtypesQuad +test_threadsafety adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def test_threadsafety():$/;" f +test_threads_parallel adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_threads_parallel(self):$/;" m class:TestSplu +test_thread_local_context_id adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_thread_local_context_id(self):$/;" m class:DistAutogradTest +test_three_arguments_and_out adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_three_arguments_and_out(self):$/;" m class:TestMultiDot +test_three_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_three_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_three_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_three_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_three_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_three_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_three_groups adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_three_groups(self):$/;" m class:TestKruskal +test_three_points adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_three_points(self):$/;" m class:TestCubicSpline +test_threshold adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_threshold(self):$/;" m class:TestPascal +test_thresholded_relu_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^ def test_thresholded_relu_1(self, input, gc, dc, engine):$/;" m class:TestThresholdedRelu +test_thresholded_relu_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^ def test_thresholded_relu_2(self, input, alpha, gc, dc, engine):$/;" m class:TestThresholdedRelu +test_thresholded_relu_3 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/thresholded_relu_op_test.py /^ def test_thresholded_relu_3(self, input, alpha, gc, dc, engine):$/;" m class:TestThresholdedRelu +test_threshold_condition adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_threshold_condition(self):$/;" m class:TestFindPeaks +test_throw_rendering_complexity_exceeded adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^def test_throw_rendering_complexity_exceeded():$/;" f +test_tickdirs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_tickdirs():$/;" f +test_ticket1160 adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ticket1160(self):$/;" m class:TestDOK +test_ticket1441 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ticket1441(self):$/;" m class:TestFreqz +test_ticket1441 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_ticket1441(self):$/;" m class:TestFreqz_zpk +test_ticket1876 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_ticket1876():$/;" f +test_ticket_11800 adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_ticket_11800(self):$/;" m class:TestODR +test_ticket_1253 adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_ticket_1253(self):$/;" m class:TestODR +test_ticket_1434 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1434(self):$/;" m class:TestRegression +test_ticket_1459_arpack_crash adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def test_ticket_1459_arpack_crash():$/;" f +test_ticket_1538 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1538(self):$/;" m class:TestRegression +test_ticket_1539 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1539(self):$/;" m class:TestRegression +test_ticket_1608 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1608(self):$/;" m class:TestRegression +test_ticket_1645 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_ticket_1645(self):$/;" m class:TestRegression +test_ticket_1720 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_ticket_1720():$/;" f +test_ticket_1756 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1756(self):$/;" m class:TestRegression +test_ticket_1770 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ticket_1770(self):$/;" m class:TestRegression +test_ticket_503 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ticket_503(self):$/;" m class:TestBessel +test_ticket_623 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ticket_623(self):$/;" m class:TestBessel +test_ticket_701 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_ticket_701():$/;" f +test_ticket_742 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_ticket_742():$/;" f +test_ticket_853 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ticket_853(self):$/;" m class:TestBessel +test_ticket_854 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ticket_854(self):$/;" m class:TestBessel +test_ticklabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axis_artist.py /^def test_ticklabels():$/;" f +test_ticklabel_format adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_ticklabel_format(fig_test, fig_ref):$/;" f +test_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_axis_artist.py /^def test_ticks():$/;" f +test_tick_label_update adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_tick_label_update():$/;" f +test_tick_padding_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_tick_padding_tightbbox():$/;" f +test_tick_param_label_rotation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_tick_param_label_rotation():$/;" f +test_tick_space_size_0 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_tick_space_size_0():$/;" f +test_tie0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tie0(self):$/;" m class:TestCorrSpearmanr2 +test_tie1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tie1(self):$/;" m class:TestCorrSpearmanr2 +test_tie2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tie2(self):$/;" m class:TestCorrSpearmanr2 +test_ties_axis_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_ties_axis_1(self):$/;" m class:TestCorrSpearmanr2 +test_ties_options adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_ties_options(self):$/;" m class:TestMedianTest +test_tightbbox adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_tightbbox():$/;" f +test_tightbbox_box_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_tightbbox_box_aspect():$/;" f +test_tight_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_tight_bounds(self):$/;" m class:TestAdjustSchemeToBounds +test_tight_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_tight_bounds(self):$/;" m class:TestApproxDerivativesDense +test_tight_layout1 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout1():$/;" f +test_tight_layout2 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout2():$/;" f +test_tight_layout3 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout3():$/;" f +test_tight_layout4 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout4():$/;" f +test_tight_layout5 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout5():$/;" f +test_tight_layout6 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout6():$/;" f +test_tight_layout7 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout7():$/;" f +test_tight_layout8 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout8():$/;" f +test_tight_layout9 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout9():$/;" f +test_tight_layout_offsetboxes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_tight_layout_offsetboxes():$/;" f +test_tight_layout_text adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_tight_layout_text(fig_test, fig_ref):$/;" f +test_tight_pcolorfast adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^def test_tight_pcolorfast():$/;" f +test_tilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_tilbert(self):$/;" m class:TestOverwrite +test_tilbert_relation adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_tilbert_relation(self):$/;" m class:TestHilbert +test_tilde_in_tempfilename adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_tilde_in_tempfilename(tmpdir):$/;" f +test_tile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def test_tile(self, M, K, N, tiles, axis, gc, dc):$/;" m class:TestTile +test_tilewinput adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def test_tilewinput(self, M, K, N, tiles, axis, gc, dc):$/;" m class:TestTile +test_tile_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def test_tile_grad(self, M, N, tiles, gc, dc):$/;" m class:TestTile +test_tile_one_repetition_on_array_gh4679 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_tile_one_repetition_on_array_gh4679(self):$/;" m class:TestTile +test_timedelta64 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_timedelta64(self):$/;" m class:TestGradient +test_timedelta_0_dim_object_array_conversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_0_dim_object_array_conversion(self):$/;" m class:TestDateTime +test_timedelta_arange adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_arange(self):$/;" m class:TestDateTime +test_timedelta_arange_no_dtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_arange_no_dtype(self):$/;" m class:TestDateTime +test_timedelta_array_str adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_array_str(self):$/;" m class:TestDateTime +test_timedelta_divmod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_divmod(self, op1, op2):$/;" m class:TestDateTime +test_timedelta_divmod_warnings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_divmod_warnings(self, op1, op2):$/;" m class:TestDateTime +test_timedelta_floor_divide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_floor_divide(self, op1, op2, exp):$/;" m class:TestDateTime +test_timedelta_floor_div_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_floor_div_error(self, val1, val2):$/;" m class:TestDateTime +test_timedelta_floor_div_precision adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_floor_div_precision(self, val1, val2):$/;" m class:TestDateTime +test_timedelta_floor_div_warnings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_floor_div_warnings(self, op1, op2):$/;" m class:TestDateTime +test_timedelta_modulus adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_modulus(self, val1, val2, expected):$/;" m class:TestDateTime +test_timedelta_modulus_div_by_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_modulus_div_by_zero(self):$/;" m class:TestDateTime +test_timedelta_modulus_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_modulus_error(self, val1, val2):$/;" m class:TestDateTime +test_timedelta_modulus_type_resolution adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_modulus_type_resolution(self, val1, val2):$/;" m class:TestDateTime +test_timedelta_nat_argsort_stability adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_nat_argsort_stability(self, size):$/;" m class:TestDateTime +test_timedelta_nat_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_nat_format(self):$/;" m class:TestDateTime +test_timedelta_np_int_construction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_np_int_construction(self, unit):$/;" m class:TestDateTime +test_timedelta_object_array_conversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_object_array_conversion(self):$/;" m class:TestDateTime +test_timedelta_scalar_construction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_scalar_construction(self):$/;" m class:TestDateTime +test_timedelta_scalar_construction_units adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ def test_timedelta_scalar_construction_units(self):$/;" m class:TestDateTime +test_timeout_in_python adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_timeout_in_python(self):$/;" m class:JitFaultyAgentRpcTest +test_timeout_in_torchscript_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^ def test_timeout_in_torchscript_function(self):$/;" m class:JitFaultyAgentRpcTest +test_times adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_times(self):$/;" m class:TestDiff +test_time_limit_reader_without_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_time_limit_reader_without_limit(self):$/;" m class:TestReaderWithLimit +test_time_limit_reader_with_long_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_time_limit_reader_with_long_limit(self):$/;" m class:TestReaderWithLimit +test_time_limit_reader_with_short_limit adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def test_time_limit_reader_with_short_limit(self):$/;" m class:TestReaderWithLimit +test_timings adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def test_timings(self):$/;" m class:NCCLOpsTest +test_timings adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nnpack/nnpack_ops_test.py /^ def test_timings(self, stride, pad, kernel, size,$/;" m class:NNPackOpsTest +test_tinypages adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_sphinxext.py /^def test_tinypages(tmpdir):$/;" f +test_tippett adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tippett(self):$/;" m class:TestCombinePvalues +test_title adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_title(self):$/;" m class:TestMethods +test_titles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_titles():$/;" f +test_titlesetpos adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_titlesetpos():$/;" f +test_titletwiny adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_titletwiny():$/;" f +test_title_location_roundtrip adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_title_location_roundtrip():$/;" f +test_title_no_move_off_page adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_title_no_move_off_page():$/;" f +test_title_pad adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_title_pad():$/;" f +test_title_xticks_top adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_title_xticks_top():$/;" f +test_title_xticks_top_both adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_title_xticks_top_both():$/;" f +test_tklmbda adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_tklmbda(self):$/;" m class:TestCephes +test_tklmbda_neg_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_tklmbda_neg_shape(self):$/;" m class:TestCDFlib +test_tklmbda_pos_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_tklmbda_pos_shape(self):$/;" m class:TestCDFlib +test_tklmbda_zero_shape adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def test_tklmbda_zero_shape(self):$/;" m class:TestCDFlib +test_tmax adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_tmax(self):$/;" m class:TestCompareWithStats +test_tmax adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tmax(self):$/;" m class:TestTrimmedStats +test_tmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_tmean(self):$/;" m class:TestCompareWithStats +test_tmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tmean(self):$/;" m class:TestTrimmedStats +test_tmin adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_tmin(self):$/;" m class:TestCompareWithStats +test_tmin adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tmin(self):$/;" m class:TestTrimmedStats +test_tmpconfigdir_warning adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_matplotlib.py /^def test_tmpconfigdir_warning(tmpdir):$/;" f +test_tnc1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc1(self):$/;" m class:TestTnc +test_tnc1b adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc1b(self):$/;" m class:TestTnc +test_tnc1c adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc1c(self):$/;" m class:TestTnc +test_tnc2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc2(self):$/;" m class:TestTnc +test_tnc3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc3(self):$/;" m class:TestTnc +test_tnc38 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc38(self):$/;" m class:TestTnc +test_tnc4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc4(self):$/;" m class:TestTnc +test_tnc45 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc45(self):$/;" m class:TestTnc +test_tnc5 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_tnc.py /^ def test_tnc5(self):$/;" m class:TestTnc +test_toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_toarray(self):$/;" m class:_TestCommon +test_tobsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_tobsr(self):$/;" m class:_TestCommon +test_tobytes_FORTRANORDER_discontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_tobytes_FORTRANORDER_discontiguous(self):$/;" m class:TestRegression +test_todense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_todense(self):$/;" m class:_TestCommon +test_todia_all_zeros adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_todia_all_zeros(self):$/;" m class:TestCOO +test_todok_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_todok_duplicates(self):$/;" m class:TestCOO +test_tofile_cleanup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_tofile_cleanup(self):$/;" m class:TestIO +test_tofile_format adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_tofile_format(self):$/;" m class:TestIO +test_tofile_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_tofile_fromfile(self):$/;" m class:TestPathUsage +test_tofile_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ def test_tofile_roundtrip(self):$/;" m class:TestFileBased +test_tofile_sep adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_tofile_sep(self):$/;" m class:TestIO +test_toflex adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_toflex(self):$/;" m class:TestMaskedArrayMethods +test_tolerances adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_tolerances(self):$/;" m class:TestCplxPair +test_tolerance_float32 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_tolerance_float32():$/;" f +test_tolist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_tolist(self):$/;" m class:TestMaskedArrayMethods +test_tolist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_tolist(self):$/;" m class:TestMRecords +test_tolist_specialcase adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_tolist_specialcase(self):$/;" m class:TestMaskedArrayMethods +test_tol_norm adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def test_tol_norm(self):$/;" m class:TestRoot +test_tol_norm_called adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def test_tol_norm_called(self):$/;" m class:TestNonlin +test_tol_parameter adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def test_tol_parameter(self):$/;" m class:TestRoot +test_tol_sign adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_tol_sign(self, tol):$/;" m class:TestGeometricSlerp +test_tol_type adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_tol_type(self, tol):$/;" m class:TestGeometricSlerp +test_tomaxint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_tomaxint(self):$/;" m class:TestRandomDist +test_toms748 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def test_toms748(self):$/;" m class:TestBasic +test_too_big_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_too_big_error(self):$/;" m class:TestCreation +test_too_few_args adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_too_few_args(self):$/;" m class:TestLevene +test_too_few_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_too_few_dimensions():$/;" f +test_too_few_inputs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_too_few_inputs(self, args):$/;" m class:TestFOneWay +test_too_few_input_arrays adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_too_few_input_arrays(self):$/;" m class:TestMultiDot +test_too_large_image adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^def test_too_large_image():$/;" f +test_too_many_date_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_too_many_date_ticks(caplog):$/;" f +test_too_many_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_too_many_dimensions():$/;" f +test_too_many_duck_arrays adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_too_many_duck_arrays(self):$/;" m class:TestGetImplementingArgs +test_too_many_fancy_indices_special_case adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_too_many_fancy_indices_special_case(self):$/;" m class:TestIndexing +test_too_many_figures adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_too_many_figures():$/;" f +test_topological_sort_longest_path adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_topological_sort_longest_path(self):$/;" m class:MemongerTest +test_topological_sort_longest_path_multi_target adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_topological_sort_longest_path_multi_target(self):$/;" m class:MemongerTest +test_topological_sort_longest_path_single_node adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_topological_sort_longest_path_single_node(self):$/;" m class:MemongerTest +test_topython adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_topython(self):$/;" m class:TestMaskedArray +test_top_k adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k(self, X, flatten_indices, seed, gc, dc):$/;" m class:TestTopK +test_top_k_1 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_1(self, bs, n, k, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_2(self, bs, n, k, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_3 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_3(self, bs, n, k, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_4 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_4(self, bs, n, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_5 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_5(self, bs, n, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_6 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_6(self, bs, n, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_axis adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_axis(self, X, k, axis, flatten_indices, gc, dc):$/;" m class:TestTopK +test_top_k_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def test_top_k_grad(self, X, k, axis, gc, dc):$/;" m class:TestTopK +test_top_level_imports adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_top_level_imports(self):$/;" m class:ScopeTest +test_torch adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_torch(string):$/;" f +test_torchscript_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_torchscript_function(self):$/;" m class:JitRpcTest +test_torchscript_functions_not_supported adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_torchscript_functions_not_supported(self):$/;" m class:JitRpcTest +test_torchscript_function_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_torchscript_function_exception(self):$/;" m class:JitRpcTest +test_torch_with_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^def test_torch_with_constants(constants):$/;" f +test_tostring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_tostring(self):$/;" m class:TestToString +test_tostring_matches_tobytes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_tostring_matches_tobytes(self):$/;" m class:TestToString +test_to_128 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_to_128():$/;" f +test_to_64 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_extint128.py /^def test_to_64():$/;" f +test_to_assure_2d_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_to_assure_2d_array(self):$/;" m class:TestKhatriRao +test_to_bool_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_to_bool_scalar(self):$/;" m class:TestConversion +test_to_corr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_to_corr(self):$/;" m class:TestRandomCorrelation +test_to_ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_to_ctypes(self):$/;" m class:TestRegression +test_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_to_dense(self):$/;" f function:_test_to_dense file: +test_to_fortran adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_to_fortran(self):$/;" m class:TestExpFormat +test_to_fortran adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_to_fortran(self):$/;" m class:TestIntFormat +test_to_int_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_to_int_scalar(self):$/;" m class:TestConversion +test_to_midstep adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_midstep():$/;" f +test_to_midstep_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_midstep_empty():$/;" f +test_to_numpy adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_byteordercodes.py /^def test_to_numpy():$/;" f +test_to_poststep adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_poststep():$/;" f +test_to_poststep_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_poststep_empty():$/;" f +test_to_prestep adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_prestep():$/;" f +test_to_prestep_empty adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_to_prestep_empty():$/;" f +test_to_rgba_array_single_str adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_to_rgba_array_single_str():$/;" f +test_to_writeable adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_to_writeable():$/;" f +test_tpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_tpmv(self):$/;" m class:TestFBLAS2Simple +test_tpqrt_tpmqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_tpqrt_tpmqrt(self):$/;" m class:TestBlockedQR +test_tpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_tpsv(self):$/;" m class:TestFBLAS2Simple +test_tpttr_trttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_tpttr_trttp():$/;" f +test_trace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_trace(self):$/;" m class:TestMethods +test_trace adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_trace(self):$/;" m class:TestNonarrayArgs +test_trace adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_trace(self):$/;" m class:TestMaskedArrayMathMethods +test_trace adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_trace(self):$/;" m class:TestArrayMethods +test_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def test_trace(self):$/;" f function:_test_trace file: +test_trace_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_trace_subclass(self):$/;" m class:TestMethods +test_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_track_order(self):$/;" m class:TestTrackOrder +test_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_track_order(self):$/;" m class:TestTrackOrder +test_track_order adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_track_order(self):$/;" m class:TestTrackOrder +test_track_times adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_track_times(self):$/;" m class:TestCreateLike +test_trailing_padding adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_trailing_padding(self):$/;" m class:TestPEP3118Dtype +test_trailing_skip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_trailing_skip(self):$/;" m class:TestTrimZeros +test_trailing_slash adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_trailing_slash(self):$/;" m class:TestContains +test_trainer_ps adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_trainer_ps(self):$/;" m class:DistAutogradTest +test_trainer_ps_torchscript_functions adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_trainer_ps_torchscript_functions(self):$/;" m class:DistAutogradTest +test_transferfunction adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_transferfunction(self):$/;" m class:TestC2D +test_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_transform():$/;" f +test_transformed_patch_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_transformed_patch_path():$/;" f +test_transformed_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_transformed_path():$/;" f +test_transformer_AddNNPACK adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_AddNNPACK(self):$/;" m class:TestTransformations +test_transformer_FuseConv3DBN adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseConv3DBN($/;" m class:TestTransformations +test_transformer_FuseConvBN adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseConvBN(self, size, input_channels, seed, order, epsilon):$/;" m class:TestTransformations +test_transformer_FuseConvBNNoConvBias adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseConvBNNoConvBias(self, size, input_channels, seed, order, epsilon):$/;" m class:TestTransformations +test_transformer_FuseConvBNNoConvBiasDuplicatedName adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseConvBNNoConvBiasDuplicatedName(self, size, input_channels, seed, or/;" m class:TestTransformations +test_transformer_FuseNNPACKConvRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvRelu(self):$/;" m class:TestTransformations +test_transformer_FuseNNPACKConvReluFollowedByMultipleInputOp adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvReluFollowedByMultipleInputOp(self):$/;" m class:TestTransformations +test_transformer_FuseNNPACKConvReluInplaceFollowedByMultipleInputOp adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvReluInplaceFollowedByMultipleInputOp(self):$/;" m class:TestTransformations +test_transformer_FuseNNPACKConvReluInplaceRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvReluInplaceRelu(self):$/;" m class:TestTransformations +test_transformer_FuseNNPACKConvReluNoInplace adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvReluNoInplace(self):$/;" m class:TestTransformations +test_transformer_FuseNNPACKConvReluPingPongNaming adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def test_transformer_FuseNNPACKConvReluPingPongNaming(self):$/;" m class:TestTransformations +test_transform_angles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_transform_angles():$/;" f +test_transform_depth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_transform_depth(self):$/;" m class:TestBasicTransform +test_transform_shortcuts adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def test_transform_shortcuts(self):$/;" m class:TestBasicTransform +test_transform_single_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^def test_transform_single_point():$/;" f +test_transparency adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_ps.py /^def test_transparency():$/;" f +test_transparent_markers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_transparent_markers():$/;" f +test_transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^ def test_transpose(self, X, use_axes, gc, dc):$/;" m class:TransposeTest +test_transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def test_transpose(self, X, use_axes, gc, dc):$/;" m class:TestTransposeOp +test_transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def test_transpose(self, dtype, ndims, seed, null_axes, engine, gc, dc):$/;" m class:TestUtilityOps +test_transpose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_transpose(self):$/;" m class:TestMethods +test_transpose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_transpose(self):$/;" m class:TestNonarrayArgs +test_transpose adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^ def test_transpose(self):$/;" m class:TestSizeSumExample +test_transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_transpose(self):$/;" m class:_TestCommon +test_transposed_keyword adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_transposed_keyword(self):$/;" m class:TestSolve +test_transposed_notimplemented adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_transposed_notimplemented(self):$/;" m class:TestSolve +test_transposes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_cython_blas.py /^ def test_transposes(self):$/;" m class:TestDGEMM +test_transpose_cudnn adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def test_transpose_cudnn(self, X, use_axes, gc, dc):$/;" m class:TestTransposeOp +test_transpose_large_matrix adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def test_transpose_large_matrix(self, M, N, gc, dc):$/;" m class:TestTransposeOp +test_transpose_network adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^ def test_transpose_network(self, batch_size, channels, height, width, seed,$/;" m class:TestNomnigraphTransformations +test_transpose_noconjugate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^def test_transpose_noconjugate():$/;" f +test_transpose_view adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_transpose_view(self):$/;" m class:TestMaskedArrayMethods +test_trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_trapezoid(self):$/;" m class:TestTrapezoid +test_trapezoid_vect adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_trapezoid_vect(self):$/;" m class:TestTrapezoid +test_trapz adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_trapz(self):$/;" m class:TestTrapezoid +test_trapz adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_trapz(self):$/;" m class:TestTrapezoid +test_trapz_matrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_interaction.py /^def test_trapz_matrix():$/;" f +test_traversal adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_test.py /^ def test_traversal(self):$/;" m class:TestBindings +test_treatment_of_NotImplemented adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_treatment_of_NotImplemented(self):$/;" m class:TestUfuncs +test_trianalyzer_mismatched_indices adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_trianalyzer_mismatched_indices():$/;" f +test_triangle adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_triangle(self):$/;" m class:TestVertexNeighborVertices +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_triangular(self):$/;" m class:TestBroadcast +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_triangular(self):$/;" m class:TestRandomDist +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_triangular(self):$/;" m class:TestBroadcast +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_triangular(self):$/;" m class:TestRandomDist +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_triangular(self):$/;" m class:TestBroadcast +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_triangular(self):$/;" m class:TestRandomDist +test_triangular adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_triangular(self):$/;" m class:RNG +test_triangularity_perturbation adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_triangularity_perturbation(self):$/;" m class:TestExpM +test_tricky_B adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_tricky_B(self):$/;" m class:TestPlacePoles +test_tricky_converter_bug1666 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_tricky_converter_bug1666(self):$/;" m class:TestFromTxt +test_tricontour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_tricontour():$/;" f +test_tricontourf_decreasing_levels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tricontourf_decreasing_levels():$/;" f +test_tricontour_non_finite_z adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tricontour_non_finite_z():$/;" f +test_tridiag_01_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_01_complex(self):$/;" m class:TestSolveHBanded +test_tridiag_01_float32 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_01_float32(self):$/;" m class:TestSolveHBanded +test_tridiag_01_lower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_01_lower(self):$/;" m class:TestSolveHBanded +test_tridiag_01_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_01_upper(self):$/;" m class:TestSolveHBanded +test_tridiag_02_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_02_complex(self):$/;" m class:TestSolveHBanded +test_tridiag_02_float32 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_02_float32(self):$/;" m class:TestSolveHBanded +test_tridiag_02_lower adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_02_lower(self):$/;" m class:TestSolveHBanded +test_tridiag_02_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_02_upper(self):$/;" m class:TestSolveHBanded +test_tridiag_03_upper adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_03_upper(self):$/;" m class:TestSolveHBanded +test_tridiag_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_complex(self):$/;" m class:TestSolveBanded +test_tridiag_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_tridiag_real(self):$/;" m class:TestSolveBanded +test_trifinder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_trifinder():$/;" f +test_triinterp adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterp():$/;" f +test_triinterpcubic_C1_continuity adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterpcubic_C1_continuity():$/;" f +test_triinterpcubic_cg_solver adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterpcubic_cg_solver():$/;" f +test_triinterpcubic_geom_weights adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterpcubic_geom_weights():$/;" f +test_triinterp_colinear adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterp_colinear():$/;" f +test_triinterp_transformations adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triinterp_transformations():$/;" f +test_tril adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_extract.py /^ def test_tril(self):$/;" m class:TestExtract +test_tril_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_tril_indices():$/;" f +test_tril_triu_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_tril_triu_dtype():$/;" f +test_tril_triu_ndim2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_tril_triu_ndim2():$/;" f +test_tril_triu_ndim3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_tril_triu_ndim3():$/;" f +test_tril_triu_with_inf adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^def test_tril_triu_with_inf():$/;" f +test_trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_trim(Poly):$/;" f +test_trim adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trim(self):$/;" m class:TestTrimming +test_trim1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_trim1(self):$/;" m class:TestTrim +test_trimboth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trimboth(self):$/;" m class:TestCompareWithStats +test_trimboth adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_trimboth(self):$/;" m class:TestTrim +test_trimcoef adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_trimcoef(self):$/;" m class:TestMisc +test_trimmed1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_trimmed1(self):$/;" m class:TestFligner +test_trimmed1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_trimmed1(self):$/;" m class:TestLevene +test_trimmed2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_trimmed2(self):$/;" m class:TestFligner +test_trimmed2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_trimmed2(self):$/;" m class:TestLevene +test_trimmedmean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trimmedmean(self):$/;" m class:TestTrimming +test_trimmed_mean_ci adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_extras.py /^def test_trimmed_mean_ci():$/;" f +test_trimmed_stde adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trimmed_stde(self):$/;" m class:TestTrimming +test_trimr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trimr(self):$/;" m class:TestTrimming +test_trimseq adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polyutils.py /^ def test_trimseq(self):$/;" m class:TestMisc +test_trim_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_trim_mean(self):$/;" m class:TestTrim +test_trim_old adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_trim_old(self):$/;" m class:TestTrimming +test_tripcolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tripcolor():$/;" f +test_triple_integral adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_triple_integral(self):$/;" m class:TestQuad +test_triplot_return adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_triplot_return():$/;" f +test_tripoints_input_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tripoints_input_rescale(self):$/;" m class:TestCloughTocher2DInterpolator +test_tripoints_input_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tripoints_input_rescale(self):$/;" m class:TestLinearNDInterpolation +test_trirefine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_trirefine():$/;" f +test_trirefiner_fortran_contiguous_triangles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_trirefiner_fortran_contiguous_triangles():$/;" f +test_trirefine_masked adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_trirefine_masked(interpolator):$/;" f +test_trisurf3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_trisurf3d():$/;" f +test_trisurf3d_shaded adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_trisurf3d_shaded():$/;" f +test_tritools adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tritools():$/;" f +test_triu adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_extract.py /^ def test_triu(self):$/;" m class:TestExtract +test_triu_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_triu_indices(self):$/;" m class:TestTriuIndices +test_trivial adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def test_trivial(self):$/;" m class:TestSolveSylvester +test_trivial adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_trivial(self):$/;" m class:TestFOneWay +test_trivial_fancy_not_possible adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_trivial_fancy_not_possible(self):$/;" m class:TestIndexing +test_trivial_fancy_out_of_bounds adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_trivial_fancy_out_of_bounds(self):$/;" m class:TestIndexing +test_trivial_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_trivial_input(self):$/;" m class:TestCplxPair +test_trivial_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_trivial_input(self):$/;" m class:TestCplxReal +test_tri_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tri_input(self):$/;" m class:TestCloughTocher2DInterpolator +test_tri_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tri_input(self):$/;" m class:TestLinearNDInterpolation +test_tri_input_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tri_input_rescale(self):$/;" m class:TestCloughTocher2DInterpolator +test_tri_input_rescale adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_tri_input_rescale(self):$/;" m class:TestLinearNDInterpolation +test_tri_smooth_contouring adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tri_smooth_contouring():$/;" f +test_tri_smooth_gradient adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^def test_tri_smooth_gradient():$/;" f +test_trmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_trmv(self):$/;" m class:TestFBLAS2Simple +test_trsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^def test_trsm():$/;" f +test_trsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^ def test_trsv(self):$/;" m class:TestFBLAS2Simple +test_trsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_trsyl(self):$/;" m class:TestFlapackSimple +test_truediv adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_truediv(Poly):$/;" f +test_truetype_conversion adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ttconv.py /^def test_truetype_conversion(recwarn):$/;" f +test_true_divide adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_true_divide(self):$/;" m class:TestUfunc +test_truncate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_truncate(self):$/;" m class:TestCompress +test_truncate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_truncate(Poly):$/;" f +test_truncate adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_gcrotmk.py /^ def test_truncate(self):$/;" m class:TestGCROTMK +test_truncated_buffer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_png.py /^def test_truncated_buffer():$/;" f +test_truncated_file adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_png.py /^def test_truncated_file(tmpdir):$/;" f +test_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^def test_truncated_normal(assert_equal, assert_all_close, n, y,$/;" f +test_truncexpon_accuracy adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_truncexpon_accuracy():$/;" f +test_trust_exact_start_in_optimum adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_trust_exact_start_in_optimum(self):$/;" m class:TestTrustRegionSolvers +test_trust_krylov_start_in_optimum adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_trust_krylov_start_in_optimum(self):$/;" m class:TestTrustRegionSolvers +test_trust_ncg_hessp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_trust_ncg_hessp(self):$/;" m class:TestTrustRegionSolvers +test_trust_ncg_start_in_optimum adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def test_trust_ncg_start_in_optimum(self):$/;" m class:TestTrustRegionSolvers +test_trust_region_barely_feasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_trust_region_barely_feasible(self):$/;" m class:TestProjectCG +test_trust_region_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tests/test_qp_subproblem.py /^ def test_trust_region_infeasible(self):$/;" m class:TestProjectCG +test_truth_table_bitwise adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_truth_table_bitwise(self):$/;" m class:TestBool +test_truth_table_logical adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_truth_table_logical(self):$/;" m class:TestBool +test_try_nested_imports adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_try_nested_imports(self):$/;" m class:ScopeTest +test_tr_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_tr_options(self):$/;" m class:SparseMixin +test_tsem adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_tsem(self):$/;" m class:TestCompareWithStats +test_tsem adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tsem(self):$/;" m class:TestTrimmedStats +test_tstd adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tstd(self):$/;" m class:TestTrimmedStats +test_ttest_1samp_new adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_1samp_new():$/;" f +test_ttest_ind adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind():$/;" f +test_ttest_ind_axis_size_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_axis_size_zero(b, expected_shape):$/;" f +test_ttest_ind_empty_1d_returns_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_empty_1d_returns_nan():$/;" f +test_ttest_ind_nan_2nd_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_nan_2nd_arg():$/;" f +test_ttest_ind_nonaxis_size_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_nonaxis_size_zero():$/;" f +test_ttest_ind_nonaxis_size_zero_different_lengths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_nonaxis_size_zero_different_lengths():$/;" f +test_ttest_ind_with_uneq_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_ind_with_uneq_var():$/;" f +test_ttest_rel adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_rel():$/;" f +test_ttest_rel_axis_size_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_rel_axis_size_zero(b, expected_shape):$/;" f +test_ttest_rel_empty_1d_returns_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_rel_empty_1d_returns_nan():$/;" f +test_ttest_rel_nan_2nd_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_rel_nan_2nd_arg():$/;" f +test_ttest_rel_nonaxis_size_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_ttest_rel_nonaxis_size_zero():$/;" f +test_tt_contraction adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_contraction_op_test.py /^ def test_tt_contraction(self, D, K, M, N, gc, dc):$/;" m class:TestTTContraction +test_tt_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_layer(self, gc, dc):$/;" m class:TestOperators +test_tt_pad adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_pad_op_test.py /^ def test_tt_pad(self, K, M, N, gc, dc):$/;" m class:TestTTPad +test_tt_sls adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls(self, gc, dc):$/;" m class:TestOperators +test_tt_sls_gradientop adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls_gradientop(self, gc, dc):$/;" m class:TestOperators +test_tt_sls_gradientop1 adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls_gradientop1(self, gc, dc):$/;" m class:TestOperators +test_tt_sls_gradientop2 adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls_gradientop2(self, gc, dc):$/;" m class:TestOperators +test_tt_sls_layer adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls_layer(self, gc, dc):$/;" m class:TestOperators +test_tt_sls_repro adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_tt_sls_repro(self, gc, dc):$/;" m class:TestOperators +test_tukeylambda_stats_invalid adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_tukeylambda_stats.py /^def test_tukeylambda_stats_invalid():$/;" f +test_tukeylambda_stats_known_exact adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_tukeylambda_stats.py /^def test_tukeylambda_stats_known_exact():$/;" f +test_tukeylambda_stats_mpmath adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_tukeylambda_stats.py /^def test_tukeylambda_stats_mpmath():$/;" f +test_tukeylambda_stats_ticket_1545 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_tukeylambda_stats_ticket_1545():$/;" f +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:Test1DFloat +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:Test1DZeroFloat +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:TestEmpty +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:TestScalarArray +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:TestScalarCompound +test_tuple adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset_getitem.py /^ def test_tuple(self):$/;" m class:TestScalarFloat +test_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_tuple(self):$/;" m class:TestFancyIndexing +test_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_tuple(self):$/;" m class:CreateValues +test_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def test_tuple(self, block):$/;" m class:TestBlock +test_tuple adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_fir_filter_design.py /^ def test_tuple(self):$/;" m class:TestFirwin2 +test_tuple_func1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_tuple_func1d(self):$/;" m class:TestApplyAlongAxis +test_tuple_like adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_tuple_like(self):$/;" m class:TestMakeTupleBunch +test_tuple_of_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attribute_create.py /^ def test_tuple_of_unicode(self):$/;" m class:TestArray +test_tuple_subclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_tuple_subclass(self):$/;" m class:TestIndexing +test_tvar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_tvar(self):$/;" m class:TestCompareWithStats +test_tvar adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_tvar(self):$/;" m class:TestTrimmedStats +test_twinx_axis_scales adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twinx_axis_scales():$/;" f +test_twinx_cla adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twinx_cla():$/;" f +test_twinx_knows_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twinx_knows_limits():$/;" f +test_twin_axes_empty_and_removed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_twin_axes_empty_and_removed():$/;" f +test_twin_axis_locators_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_axis_locators_formatters():$/;" f +test_twin_inherit_autoscale_setting adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_inherit_autoscale_setting():$/;" f +test_twin_logscale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_logscale(fig_test, fig_ref, twin):$/;" f +test_twin_spines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_spines():$/;" f +test_twin_spines_on_top adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_spines_on_top():$/;" f +test_twin_with_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_twin_with_aspect(twin):$/;" f +test_twodiags adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def test_twodiags(self):$/;" m class:TestLinsolve +test_twosamp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_twosamp(self):$/;" m class:TestMGCStat +test_TwoSlopeNorm_autoscale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_autoscale():$/;" f +test_TwoSlopeNorm_autoscale_None_vmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_autoscale_None_vmax():$/;" f +test_TwoSlopeNorm_autoscale_None_vmin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_autoscale_None_vmin():$/;" f +test_TwoSlopeNorm_Even adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_Even():$/;" f +test_TwoSlopeNorm_Odd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_Odd():$/;" f +test_TwoSlopeNorm_premature_scaling adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_premature_scaling():$/;" f +test_TwoSlopeNorm_scale adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_scale():$/;" f +test_TwoSlopeNorm_scaleout_center adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_scaleout_center():$/;" f +test_TwoSlopeNorm_scaleout_center_max adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_scaleout_center_max():$/;" f +test_TwoSlopeNorm_TwoSlopeNorm_VminGTVmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_TwoSlopeNorm_VminGTVmax():$/;" f +test_TwoSlopeNorm_VcenterGTVmax adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_VcenterGTVmax():$/;" f +test_TwoSlopeNorm_VmaxEqualsVcenter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_VmaxEqualsVcenter():$/;" f +test_TwoSlopeNorm_VminEqualsVcenter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_VminEqualsVcenter():$/;" f +test_TwoSlopeNorm_VminGTVcenter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def test_TwoSlopeNorm_VminGTVcenter():$/;" f +test_two_2line_texts adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_two_2line_texts(spacing1, spacing2):$/;" f +test_two_argument adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_two_argument(self):$/;" m class:TestCurveFit +test_two_arguments_and_out adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_two_arguments_and_out(self):$/;" m class:TestMultiDot +test_two_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_two_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_two_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_two_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_two_arg_funcs adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_two_arg_funcs(self):$/;" m class:TestSingleEltArrayInput +test_two_arg_function_is_callable adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^def test_two_arg_function_is_callable():$/;" f +test_two_compatible_by_ones_input_shapes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_two_compatible_by_ones_input_shapes():$/;" f +test_two_compatible_by_prepending_ones_input_shapes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_two_compatible_by_prepending_ones_input_shapes():$/;" f +test_two_conditions adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_two_conditions(self):$/;" m class:TestPiecewise +test_two_globals adpepsenv/lib/python3.8/site-packages/pasta/base/test_utils_test.py /^ def test_two_globals(self):$/;" m class:CheckAstEqualityTest +test_two_grads adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def test_two_grads(self):$/;" m class:TestGradientCalculation +test_two_integer_indexed adpepsenv/lib/python3.8/site-packages/h5py/tests/test_vds/test_virtual_source.py /^ def test_two_integer_indexed(self):$/;" m class:TestVirtualSource +test_two_intervals adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_two_intervals(self):$/;" m class:TestBPoly +test_two_keys_two_vars adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_two_keys_two_vars(self):$/;" m class:TestJoinBy2 +test_two_methods_give_expected_result_on_small_inputs adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_linear_assignment.py /^def test_two_methods_give_expected_result_on_small_inputs($/;" f +test_two_methods_give_same_result_on_many_sparse_inputs adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_linear_assignment.py /^def test_two_methods_give_same_result_on_many_sparse_inputs():$/;" f +test_two_points adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_two_points(self):$/;" m class:TestPCHIP +test_two_scalar_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_two_scalar_params(self):$/;" m class:ODECheckParameterUse +test_two_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_two_values(self):$/;" m class:TestAsPairs +test_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def test_type(self):$/;" m class:TestLinspace +test_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_type(t):$/;" f member:TestRegression.test_signed_integer_division_overflow file: +test_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_type(self):$/;" m class:TestHistogram +test_type adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_multiarray.py /^ def test_type(self):$/;" m class:TestView +test_Type1Font adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_type1font.py /^def test_Type1Font():$/;" f +test_type42 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_type42():$/;" f +test_TypeError adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def test_TypeError(self):$/;" m class:TestBasinHopping +test_typeNA adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_typeNA(self):$/;" m class:TestRegression +test_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_types(self):$/;" m class:TestFromiter +test_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_types(self):$/;" m class:TestTypes +test_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_types(self):$/;" m class:TestBitwiseUFuncs +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:SVDBaseTests +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestDet +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestEig +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestEigh +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestEigvals +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestEigvalsh +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestInv +test_types adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_types(self, dtype):$/;" m class:TestSolve +test_types adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_types(self):$/;" m class:TestVectorNorms +test_type_add adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_type_add(self):$/;" m class:TestTypes +test_type_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_type_cast(self):$/;" m class:TestUfunc +test_type_cast_01 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_01(self):$/;" m class:TestClip +test_type_cast_02 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_02(self):$/;" m class:TestClip +test_type_cast_03 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_03(self):$/;" m class:TestClip +test_type_cast_04 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_04(self):$/;" m class:TestClip +test_type_cast_05 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_05(self):$/;" m class:TestClip +test_type_cast_06 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_06(self):$/;" m class:TestClip +test_type_cast_07 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_07(self):$/;" m class:TestClip +test_type_cast_08 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_08(self):$/;" m class:TestClip +test_type_cast_09 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_09(self):$/;" m class:TestClip +test_type_cast_10 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_10(self):$/;" m class:TestClip +test_type_cast_11 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_11(self):$/;" m class:TestClip +test_type_cast_12 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_type_cast_12(self):$/;" m class:TestClip +test_type_conflict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_type_conflict(self):$/;" m class:TestCreateRequire +test_type_conversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_type_conversion(self):$/;" m class:TestFloat_power +test_type_conversion_mixed_sign_or_complex_spectrum adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_type_conversion_mixed_sign_or_complex_spectrum(self):$/;" m class:TestFractionalMatrixPower +test_type_create adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_type_create(self):$/;" m class:TestTypes +test_type_error adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_type_error(self):$/;" m class:LinprogCommonTests +test_type_errors adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_type_errors():$/;" f +test_type_errors adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test_type_errors():$/;" f +test_type_parsing adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_type_parsing(self):$/;" m class:TestHeader +test_type_preservation_and_conversion adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_type_preservation_and_conversion(self):$/;" m class:TestFractionalMatrixPower +test_type_promotion adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def test_type_promotion(self, f_jax=jnp.add):$/;" m class:JaxPrimitiveTest +test_typical adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_typical(self):$/;" m class:TestCtypesQuad +test_typical adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_typical(self):$/;" m class:TestMultivariateCtypesQuad +test_typical adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_typical(self):$/;" m class:TestQuad +test_typical_cases adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_typical_cases(self, nsample, method, size):$/;" m class:TestMultivariateHypergeometric +test_tzrzf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^def test_tzrzf():$/;" f +test_tz_utc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_tz_utc():$/;" f +test_t_eval adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_t_eval():$/;" f +test_t_eval_dense_output adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/tests/test_ivp.py /^def test_t_eval_dense_output():$/;" f +test_t_values_conversion adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_t_values_conversion(self, t):$/;" m class:TestGeometricSlerp +test_t_values_limits adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_t_values_limits(self, t):$/;" m class:TestGeometricSlerp +test_udf_remote_message_delay_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_udf_remote_message_delay_timeout(self):$/;" m class:FaultyAgentRpcTest +test_udf_remote_message_delay_timeout_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_udf_remote_message_delay_timeout_to_self(self):$/;" m class:FaultyAgentRpcTest +test_udf_remote_message_dropped_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_udf_remote_message_dropped_timeout(self):$/;" m class:FaultyAgentRpcTest +test_udf_remote_message_dropped_timeout_to_self adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_udf_remote_message_dropped_timeout_to_self(self):$/;" m class:FaultyAgentRpcTest +test_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_ufunc(self):$/;" m class:TestVectorize +test_ufunc adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ufunc(self):$/;" m class:TestMaskedConstant +test_ufunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_lambertw.py /^def test_ufunc():$/;" f +test_ufuncs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ufuncs(self):$/;" m class:TestCSC +test_ufuncs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_ufuncs(self):$/;" m class:TestCSR +test_ufunc_arg adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_ufunc_arg(self):$/;" m class:TestAdd_newdoc_ufunc +test_ufunc_at adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_ufunc_at(self):$/;" m class:TestNDArrayOperatorsMixin +test_ufunc_at_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_ufunc_at_manual(self):$/;" m class:TestUFunc +test_ufunc_binop_interaction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ufunc_binop_interaction(self):$/;" m class:TestBinop +test_ufunc_casting_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ufunc_casting_out(self):$/;" m class:TestRegression +test_ufunc_custom_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_ufunc_custom_out(self):$/;" m class:TestUfunc +test_ufunc_nomask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_ufunc_nomask(self):$/;" m class:TestMaskedArrayArithmetic +test_ufunc_noncontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^def test_ufunc_noncontiguous(ufunc):$/;" f +test_ufunc_no_unnecessary_views adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ufunc_no_unnecessary_views(self):$/;" m class:TestRegression +test_ufunc_override adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override(self):$/;" m class:TestSpecialMethods +test_ufunc_override adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_classes.py /^def test_ufunc_override(Poly):$/;" f +test_ufunc_override_disabled adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_disabled(self):$/;" m class:TestSpecialMethods +test_ufunc_override_exception adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_exception(self):$/;" m class:TestSpecialMethods +test_ufunc_override_methods adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_methods(self):$/;" m class:TestSpecialMethods +test_ufunc_override_mro adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_mro(self):$/;" m class:TestSpecialMethods +test_ufunc_override_normalize_signature adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_ufunc_override_normalize_signature(self):$/;" m class:TestBinop +test_ufunc_override_not_implemented adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_not_implemented(self):$/;" m class:TestSpecialMethods +test_ufunc_override_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_out(self):$/;" m class:TestSpecialMethods +test_ufunc_override_with_super adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_ufunc_override_with_super(self):$/;" m class:TestSpecialMethods +test_ufunc_reduce_memoryleak adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_ufunc_reduce_memoryleak(self):$/;" m class:TestRegression +test_ufunc_return_ndarray adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_ufunc_return_ndarray(self):$/;" m class:TestMemmap +test_ufunc_two_outputs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_ufunc_two_outputs(self):$/;" m class:TestNDArrayOperatorsMixin +test_ufunc_types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^def test_ufunc_types(ufunc):$/;" f +test_ufunc_warn_with_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^def test_ufunc_warn_with_nan(ufunc):$/;" f +test_ufunc_with_output adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_ufunc_with_output():$/;" f +test_ufunc_with_out_varied adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^def test_ufunc_with_out_varied():$/;" f +test_uint adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_abc.py /^ def test_uint(self):$/;" m class:TestABC +test_uint adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_uint(self, t):$/;" m class:TestMaximumSctype +test_uint16 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_uint16(self):$/;" m class:TestScalars +test_uint32 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_uint32(self):$/;" m class:TestScalars +test_uint64 adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^ def test_uint64(self):$/;" m class:TestScalars +test_uint64_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_uint64_dtype(self):$/;" m class:TestStringConverter +test_uint64_fromstring adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_uint64_fromstring(self):$/;" m class:TestIO +test_uint64_from_negative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_uint64_from_negative(self):$/;" m class:TestFromInt +test_uint64_max adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_datatypes.py /^def test_uint64_max():$/;" f +test_uint64_type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_uint64_type(self):$/;" m class:TestLoadTxt +test_uintalignment_and_alignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def test_uintalignment_and_alignment():$/;" f +test_uint_int_conversion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_uint_int_conversion(self):$/;" m class:TestRegression +test_unaligned adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_unaligned(self):$/;" m class:TestIndexing +test_unaligned_unicode_access adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unaligned_unicode_access(self):$/;" m class:TestRegression +test_unary_gufunc_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_unary_gufunc_fuzz(self):$/;" m class:TestUFunc +test_unary_methods adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def test_unary_methods(self):$/;" m class:TestNDArrayOperatorsMixin +test_unary_PyUFunc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_unary_PyUFunc(self, input_dtype, output_dtype, f=np.exp, x=0, y=1):$/;" m class:TestUfuncGenericLoops +test_unary_PyUFunc_O_O adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_unary_PyUFunc_O_O(self):$/;" m class:TestUfuncGenericLoops +test_unary_PyUFunc_O_O_method_full adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_unary_PyUFunc_O_O_method_full(self, ufunc):$/;" m class:TestUfuncGenericLoops +test_unary_PyUFunc_O_O_method_simple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_unary_PyUFunc_O_O_method_simple(self, foo=foo):$/;" m class:TestUfuncGenericLoops +test_unary_ufunc_1d_manual adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_unary_ufunc_1d_manual(self):$/;" m class:TestUFunc +test_unary_ufunc_call_fuzz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_unary_ufunc_call_fuzz(self):$/;" m class:TestUFunc +test_unary_ufunc_overrides adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_unary_ufunc_overrides(self):$/;" m class:_TestCommon +test_unary_ufunc_where_same adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def test_unary_ufunc_where_same(self):$/;" m class:TestUFunc +test_unautoscalex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_unautoscalex(auto):$/;" f +test_unautoscaley adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_unautoscaley(auto):$/;" f +test_unbounded adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded(self):$/;" m class:LinprogCommonTests +test_unbounded_approximated adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_unbounded_approximated(self):$/;" m class:TestSLSQP +test_unbounded_below_no_presolve_corrected adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_below_no_presolve_corrected(self):$/;" m class:LinprogCommonTests +test_unbounded_below_no_presolve_original adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_below_no_presolve_original(self):$/;" m class:TestLinprogIPSpecific +test_unbounded_given adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def test_unbounded_given(self):$/;" m class:TestSLSQP +test_unbounded_no_nontrivial_constraints_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_no_nontrivial_constraints_1(self):$/;" m class:LinprogCommonTests +test_unbounded_no_nontrivial_constraints_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_no_nontrivial_constraints_1(self):$/;" m class:TestLinprogSimplexNoPresolve +test_unbounded_no_nontrivial_constraints_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_no_nontrivial_constraints_2(self):$/;" m class:LinprogCommonTests +test_unbounded_no_nontrivial_constraints_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unbounded_no_nontrivial_constraints_2(self):$/;" m class:TestLinprogSimplexNoPresolve +test_UnboundMethod_ticket_1156 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_UnboundMethod_ticket_1156(self):$/;" m class:TestVectorize +test_unclipped adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_unclipped():$/;" f +test_uncontiguous_subspace_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_uncontiguous_subspace_assignment(self):$/;" m class:TestIndexing +test_undefined_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^ def test_undefined_blob(self):$/;" m class:TestNetPrinter +test_underlow adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_machar.py /^ def test_underlow(self):$/;" m class:TestMachAr +test_under_bounds adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def test_under_bounds(self, gc, dc):$/;" m class:TestTensorPackOps +test_undirected adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_undirected():$/;" f +test_undirected_sparse_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_undirected_sparse_zero():$/;" f +test_unequal_lengths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_unequal_lengths(self):$/;" m class:TestCorrPearsonr +test_unequal_lengths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_unequal_lengths(self):$/;" m class:TestCorrSpearmanr2 +test_unequal_split adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_unequal_split(self):$/;" m class:TestSplit +test_uneven_2d_shapes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_uneven_2d_shapes(self):$/;" m class:TestCorrSpearmanr +test_uneven_dims adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_helper.py /^ def test_uneven_dims(self):$/;" m class:TestFFTShift +test_uneven_lengths adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_uneven_lengths(self):$/;" m class:TestCorrSpearmanr +test_unexepected_kwarg_is_specified adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_unexepected_kwarg_is_specified(self):$/;" m class:JitRpcOpTest +test_unexpected_kwarg adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_unexpected_kwarg(self):$/;" m class:TestArray2String +test_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_unicode(self):$/;" m class:TestUnicode +test_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_unicode(self):$/;" m class:TestUnicode +test_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_unicode(self):$/;" m class:TestCreate +test_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_unicode(self):$/;" m class:TestStringCompare +test_unicode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unicode(self):$/;" m class:TestSaveTxt +test_unicode_alloc_dealloc_match adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_alloc_dealloc_match(self):$/;" m class:TestRegression +test_unicode_and_bytes_fmt adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unicode_and_bytes_fmt(self, fmt, iotype):$/;" m class:TestSaveTxt +test_unicode_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_unicode_assignment(self):$/;" m class:TestAssignment +test_unicode_bytestream adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unicode_bytestream(self):$/;" m class:TestSaveTxt +test_unicode_decode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_unicode_decode(self):$/;" m class:TestExternalLinks +test_unicode_default adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_unicode_default(self):$/;" m class:TestCreate +test_unicode_encode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_unicode_encode(self):$/;" m class:TestExternalLinks +test_unicode_field_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_unicode_field_names():$/;" f +test_unicode_hdf5_path adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_unicode_hdf5_path(self):$/;" m class:TestExternalLinks +test_unicode_hdf5_python_consistent adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_unicode_hdf5_python_consistent(self):$/;" m class:TestUnicode +test_unicode_hist_label adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_unicode_hist_label():$/;" f +test_unicode_mat4 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_unicode_mat4():$/;" f +test_unicode_minus adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_unicode_minus(self, unicode_minus, result):$/;" m class:TestScalarFormatter +test_unicode_minus adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_unicode_minus(fig_test, fig_ref):$/;" f +test_unicode_mode adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_item_selection.py /^ def test_unicode_mode(self):$/;" m class:TestTake +test_unicode_mode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_unicode_mode():$/;" f +test_unicode_names adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_unicode_names(self):$/;" m class:TestFieldNames +test_unicode_object_array adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^def test_unicode_object_array():$/;" f +test_unicode_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_unicode_order(self):$/;" m class:TestRecord +test_unicode_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unicode_roundtrip(self):$/;" m class:TestSaveTxt +test_unicode_scalar adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_unicode_scalar(self):$/;" m class:TestTypes +test_unicode_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_scalar(self):$/;" m class:TestRegression +test_unicode_stringstream adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unicode_stringstream(self):$/;" m class:TestSaveTxt +test_unicode_string_comparison adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_string_comparison(self):$/;" m class:TestRegression +test_unicode_swapping adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_swapping(self):$/;" m class:TestRegression +test_unicode_to_string_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_to_string_cast(self):$/;" m class:TestRegression +test_unicode_to_string_cast_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unicode_to_string_cast_error(self):$/;" m class:TestRegression +test_unicode_upconvert adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_unicode_upconvert(self):$/;" m class:TestBasic +test_unicode_won adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_unicode_won():$/;" f +test_unicode_write_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_unicode_write_bytes(self):$/;" m class:TestStrings +test_unicode_write_error adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_unicode_write_error(self):$/;" m class:TestStrings +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_uniform(self):$/;" m class:TestBroadcast +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_uniform(self):$/;" m class:TestRandomDist +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_uniform(self):$/;" m class:TestBroadcast +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_uniform(self):$/;" m class:TestRandomDist +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_uniform(self):$/;" m class:TestBroadcast +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_uniform(self):$/;" m class:TestRandomDist +test_uniform adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_uniform(self):$/;" m class:RNG +test_uniform01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform01(self):$/;" m class:TestNdimageFilters +test_uniform01_complex adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform01_complex(self):$/;" m class:TestNdimageFilters +test_uniform02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform02(self):$/;" m class:TestNdimageFilters +test_uniform03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform03(self):$/;" m class:TestNdimageFilters +test_uniform04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform04(self):$/;" m class:TestNdimageFilters +test_uniform05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform05(self):$/;" m class:TestNdimageFilters +test_uniform06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform06(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_uniform06_complex adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform06_complex(self, dtype_array, dtype_output):$/;" m class:TestNdimageFilters +test_uniform_array adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_uniform_array(self):$/;" m class:RNG +test_uniform_double adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_uniform_double(self):$/;" m class:Base +test_uniform_fill_using_arg adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_uniform_fill_using_arg(self, gc, dc):$/;" m class:TestFillerOperator +test_uniform_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def test_uniform_filter1d(self):$/;" m class:TestThreading +test_uniform_filter1d_roundoff_errors adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_uniform_filter1d_roundoff_errors():$/;" f +test_uniform_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_uniform_fit(self):$/;" m class:TestFitMethod +test_uniform_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def test_uniform_float(self):$/;" m class:Base +test_uniform_float adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_uniform_float(self):$/;" m class:RNG +test_uniform_int_fill_op_blob_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def test_uniform_int_fill_op_blob_input(self, shape, a, b, gc, dc):$/;" m class:TestFillerOperator +test_uniform_range_bounds adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_uniform_range_bounds(self):$/;" m class:TestRandomDist +test_uniform_range_bounds adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_uniform_range_bounds(self):$/;" m class:TestRandomDist +test_uniform_range_bounds adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_uniform_range_bounds(self):$/;" m class:TestRandomDist +test_unilinear_model adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_unilinear_model(self):$/;" m class:TestODR +test_unimplemented_parameter_server_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def test_unimplemented_parameter_server_strategy(self):$/;" m class:TestDistributionStrategyWithKerasModels +test_unindent adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^def test_unindent():$/;" f +test_unindent_dict adpepsenv/lib/python3.8/site-packages/scipy/misc/tests/test_doccer.py /^def test_unindent_dict():$/;" f +test_union adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_union(self):$/;" m class:TestFromCTypes +test_union adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_union(self):$/;" m class:TestAsCtypesType +test_union1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_union1d(self):$/;" m class:TestSetOps +test_union1d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_union1d(self):$/;" m class:TestArraySetOps +test_union_packed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_union_packed(self):$/;" m class:TestFromCTypes +test_union_struct adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_union_struct(self):$/;" m class:TestRecord +test_union_with_struct_packed adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_union_with_struct_packed(self):$/;" m class:TestFromCTypes +test_unique adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/aten_test.py /^ def test_unique(self, gc, dc):$/;" m class:TestATen +test_unique adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_unique(self, input, with_remapping, gc, dc):$/;" m class:TestOperators +test_unique_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_1d(self):$/;" m class:TestUnique +test_unique_1d_with_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_1d_with_axis(self, axis):$/;" m class:TestUnique +test_unique_allmasked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_unique_allmasked(self):$/;" m class:TestArraySetOps +test_unique_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_axis(self):$/;" m class:TestUnique +test_unique_axis_errors adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_axis_errors(self):$/;" m class:TestUnique +test_unique_axis_list adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_axis_list(self):$/;" m class:TestUnique +test_unique_axis_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_axis_zeros(self):$/;" m class:TestUnique +test_unique_masked adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_masked(self):$/;" m class:TestUnique +test_unique_onlist adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_unique_onlist(self):$/;" m class:TestArraySetOps +test_unique_onmaskedarray adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_extras.py /^ def test_unique_onmaskedarray(self):$/;" m class:TestArraySetOps +test_unique_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^ def test_unique_op(self, X, return_remapping, gc, dc):$/;" m class:TestUniqueOps +test_unique_sort_order_with_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def test_unique_sort_order_with_axis(self):$/;" m class:TestUnique +test_unique_stable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unique_stable(self):$/;" m class:TestRegression +test_unique_uniform_int_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_uniform_fill_op_test.py /^ def test_unique_uniform_int_fill(self, r, avoid, dtypes, s, gc, dc):$/;" m class:TestUniqueUniformFillOp +test_unique_zero_sized adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_unique_zero_sized(self):$/;" m class:TestRegression +test_unit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_unit(self, data, locs):$/;" m class:TestUnitData +test_unitarity adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_unitarity(self):$/;" m class:TestUnitaryGroup +test_units_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_units_rectangle():$/;" f +test_unity adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^ def test_unity(self):$/;" m class:TestDPSS +test_unit_fweights_and_aweights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_unit_fweights_and_aweights(self):$/;" m class:TestCov +test_unit_sphere_enforcement adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^ def test_unit_sphere_enforcement(self, start, end):$/;" m class:TestGeometricSlerp +test_univariate adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_univariate(self):$/;" m class:TestExpmConditionNumber +test_universal_newline adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_universal_newline(self):$/;" m class:TestLoadTxt +test_unknown_method adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^ def test_unknown_method(self):$/;" m class:TestChirp +test_unknown_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_unknown_options(self):$/;" m class:LinprogCommonTests +test_unknown_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^ def test_unknown_options(self):$/;" m class:QAPCommonTests +test_unknown_requirement adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_unknown_requirement(self):$/;" m class:TestRequire +test_unknown_solvers_and_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def test_unknown_solvers_and_options():$/;" f +test_unk_scaling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_unk_scaling(self):$/;" m class:TestCSD +test_unk_scaling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_unk_scaling(self):$/;" m class:TestPeriodogram +test_unk_scaling adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_unk_scaling(self):$/;" m class:TestWelch +test_unmatched_conjugates adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_unmatched_conjugates(self):$/;" m class:TestCplxPair +test_unmatched_conjugates adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_unmatched_conjugates(self):$/;" m class:TestCplxReal +test_unnamed_and_named_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_unnamed_and_named_fields(self):$/;" m class:TestStackArrays +test_unnamed_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_unnamed_fields(self):$/;" m class:TestPEP3118Dtype +test_unnamed_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_unnamed_fields(self):$/;" m class:TestStackArrays +test_unnamed_file adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_unnamed_file(self):$/;" m class:TestMemmap +test_unpackbits adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_unpackbits():$/;" f +test_unpackbits_empty adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_unpackbits_empty():$/;" f +test_unpackbits_empty_with_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_unpackbits_empty_with_axis():$/;" f +test_unpackbits_large adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^def test_unpackbits_large():$/;" f +test_unpacking adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_bunch.py /^ def test_unpacking(self):$/;" m class:TestMakeTupleBunch +test_unpack_condition_args adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^def test_unpack_condition_args():$/;" f +test_unpack_rnn_seqence adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^ def test_unpack_rnn_seqence(self, n, k, dim, gc, dc):$/;" m class:TestPackRNNSequenceOperator +test_unpickle_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^def test_unpickle_canvas():$/;" f +test_unpickle_dtype_with_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_unpickle_dtype_with_object(self):$/;" m class:TestRegression +test_unregister_driver adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_unregister_driver(self):$/;" m class:TestDriverRegistration +test_unregister_filter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_filters.py /^def test_unregister_filter(request):$/;" f +test_unroll_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_unroll_attention(self, input_tensor, encoder_length,$/;" m class:RNNCellTest +test_unroll_lstm adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_unroll_lstm(self, input_tensor, dim_out, outputs_with_grads,$/;" m class:RNNCellTest +test_unroll_mul adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def test_unroll_mul(self, input_tensor, num_layers, outputs_with_grad):$/;" m class:RNNCellTest +test_unseekable_fromfile adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_unseekable_fromfile(self):$/;" m class:TestIO +test_unsigned_max adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_getlimits.py /^ def test_unsigned_max(self):$/;" m class:TestIinfo +test_unsigned_monotonicity_check adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_unsigned_monotonicity_check(self):$/;" m class:TestHistogram +test_unsorted_arithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_unsorted_arithmetic(self):$/;" m class:TestCSC +test_unsorted_arithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def test_unsorted_arithmetic(self):$/;" m class:TestCSR +test_unsorted_means_large adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_unsorted_means_large(self, gc, dc):$/;" m class:TestSegmentOps +test_unsorted_segment_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_unsorted_segment_ops(self):$/;" m class:TestSegmentOps +test_unsorted_segment_ops_gpu adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_unsorted_segment_ops_gpu(self):$/;" m class:TestSegmentOps +test_unsorted_sums_large adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def test_unsorted_sums_large(self, gc, dc):$/;" m class:TestSegmentOps +test_unstable adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_unstable():$/;" f +test_unstructured_void_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_unstructured_void_repr(self):$/;" m class:TestArray2String +test_unsupported_commontype adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_unsupported_commontype():$/;" f +test_unsupported_dtypes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_unsupported_dtypes(self):$/;" m class:BaseQRdelete +test_unsupported_dtypes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_unsupported_dtypes(self):$/;" m class:BaseQRinsert +test_unsupported_dtypes adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_unsupported_dtypes(self):$/;" m class:BaseQRupdate +test_unsupported_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_unsupported_features(self, distribution, mode):$/;" m class:TestDistributionStrategyErrorCases +test_unsupported_methods adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_unsupported_methods(self):$/;" m class:RemoteModuleTest +test_unsupported_mmap adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_unsupported_mmap():$/;" f +test_unsupported_mode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^def test_unsupported_mode(mode):$/;" f +test_unsupported_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_unsupported_type(self, endpoint):$/;" m class:TestIntegers +test_unsupported_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_unsupported_type(self):$/;" m class:TestRandint +test_unsupported_type adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_unsupported_type(self):$/;" m class:TestRandint +test_unsupported_uncondensed_distance_matrix_linkage_warning adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def test_unsupported_uncondensed_distance_matrix_linkage_warning():$/;" f +test_unused_converter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unused_converter(self):$/;" m class:TestFromTxt +test_unused_converter adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_unused_converter(self):$/;" m class:TestLoadTxt +test_unusual_order_negative_stride adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^ def test_unusual_order_negative_stride(self):$/;" m class:TestByteBounds +test_unusual_order_positive_stride adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^ def test_unusual_order_positive_stride(self):$/;" m class:TestByteBounds +test_unweighted_path adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^def test_unweighted_path():$/;" f +test_upcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^def test_upcast():$/;" f +test_upcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_upcast(self):$/;" m class:TestSparseUtils +test_upcasting adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_upcasting(self):$/;" m class:TestAverage +test_update adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_update(self):$/;" m class:TestUnitData +Test_UPDATEIFCOPY adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class Test_UPDATEIFCOPY(_DeprecationTestCase):$/;" c +test_update_dict adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_update_dict(self):$/;" m class:TestAdditionalMappingFuncs +test_update_iter adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_update_iter(self):$/;" m class:TestAdditionalMappingFuncs +test_update_kwargs adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_update_kwargs(self):$/;" m class:TestAdditionalMappingFuncs +test_update_mutate_input adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_update_mutate_input():$/;" f +test_update_plot adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def test_update_plot(self, ax, plotter):$/;" m class:TestPlotTypes +test_upgrade adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_upgrade(self):$/;" m class:TestStringConverter +test_upgrademapper adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_upgrademapper(self):$/;" m class:TestStringConverter +test_UPLO adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_UPLO(self):$/;" m class:TestEigh +test_UPLO adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_UPLO(self):$/;" m class:TestEigvalsh +test_upload_checkpoint adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def test_upload_checkpoint(self):$/;" m class:TestCheckpoint +test_upper adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_defchararray.py /^ def test_upper(self):$/;" m class:TestMethods +test_upper_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_upper_complex(self):$/;" m class:TestCholeskyBanded +test_upper_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_cholesky.py /^ def test_upper_real(self):$/;" m class:TestCholeskyBanded +test_upsample adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_upsample(self):$/;" m class:TestCaffe2Basic +test_upsample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^ def test_upsample(self, height_scale, width_scale, height, width,$/;" m class:TestUpSample +test_upsample_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/upsample_op_test.py /^ def test_upsample_grad(self, height_scale, width_scale, height, width,$/;" m class:TestUpSample +test_url adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_url():$/;" f +test_url_tick adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_url_tick(monkeypatch):$/;" f +test_use adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_use():$/;" f +test_use14corefonts adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pdf.py /^def test_use14corefonts():$/;" f +test_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols(self):$/;" m class:TestFromTxt +test_usecols adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols(self):$/;" m class:TestLoadTxt +test_usecols_as_css adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols_as_css(self):$/;" m class:TestFromTxt +test_usecols_with_integer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols_with_integer(self):$/;" m class:TestFromTxt +test_usecols_with_named_columns adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols_with_named_columns(self):$/;" m class:TestFromTxt +test_usecols_with_structured_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_usecols_with_structured_dtype(self):$/;" m class:TestFromTxt +test_userconverters_with_explicit_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_userconverters_with_explicit_dtype(self):$/;" m class:TestFromTxt +test_user_filling_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_user_filling_values(self):$/;" m class:TestFromTxt +test_user_fonts_linux adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_user_fonts_linux(tmpdir, monkeypatch):$/;" f +test_user_fonts_win32 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_user_fonts_win32():$/;" f +test_user_missing_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_user_missing_values(self):$/;" m class:TestFromTxt +test_user_rrefs_confirmed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_user_rrefs_confirmed(self):$/;" m class:RRefAPITest +test_user_rrefs_confirmed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_user_rrefs_confirmed(self):$/;" m class:RpcTest +test_user_rrefs_confirmed_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def test_user_rrefs_confirmed_remote(self):$/;" m class:RRefAPITest +test_user_rrefs_confirmed_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_user_rrefs_confirmed_remote(self):$/;" m class:RpcTest +test_uses_per_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^def test_uses_per_path():$/;" f +test_usetex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_usetex.py /^def test_usetex():$/;" f +test_usetex_is_copied adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_usetex_is_copied():$/;" f +test_use_cudnn_engine_interactions adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def test_use_cudnn_engine_interactions(self):$/;" m class:TestConvolution +test_use_doc_standard_backends adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_matplotlib.py /^def test_use_doc_standard_backends():$/;" f +test_use_offset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_use_offset(self, use_offset):$/;" m class:TestScalarFormatter +test_use_overline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_use_overline(self):$/;" m class:TestLogitFormatter +test_use_rpc_pickler adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_use_rpc_pickler(self):$/;" m class:RpcTest +test_use_rref_after_shutdown adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_use_rref_after_shutdown(self):$/;" m class:RpcTest +test_use_small_element adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_use_small_element():$/;" f +test_use_sticky_edges adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_use_sticky_edges():$/;" f +test_use_url adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_use_url(tmpdir):$/;" f +test_usigned_int adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_usigned_int(self):$/;" m class:TestMinScalarType +test_usigned_longlong adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_usigned_longlong(self):$/;" m class:TestMinScalarType +test_usigned_short adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_usigned_short(self):$/;" m class:TestMinScalarType +test_usigned_shortshort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_usigned_shortshort(self):$/;" m class:TestMinScalarType +test_using_all_default_major_steps adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_using_all_default_major_steps(self):$/;" m class:TestAutoMinorLocator +test_utf16m_sfnt adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def test_utf16m_sfnt():$/;" f +test_utf8_byte_encoding adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_utf8_byte_encoding(self):$/;" m class:TestFromTxt +test_utf8_file adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_utf8_file(self):$/;" m class:TestFromTxt +test_utf8_file_nodtype_unicode adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_utf8_file_nodtype_unicode(self):$/;" m class:TestFromTxt +test_utf8_userconverters_with_explicit_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_utf8_userconverters_with_explicit_dtype(self):$/;" m class:TestFromTxt +test_u_exactly_in_span_q adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^ def test_u_exactly_in_span_q(self):$/;" m class:BaseQRupdate +test_vague_return_value adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ def test_vague_return_value(self):$/;" m class:TestNdpointerCFunc +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestByteorderConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestCastingConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestClipmodeConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestOrderConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestSearchsideConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestSelectkindConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_valid(self):$/;" m class:TestSortkindConverter +test_valid adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_valid(self):$/;" m class:TestPositive +test_validate adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def test_validate(self):$/;" m class:BrewTest +test_validateaxis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sputils.py /^ def test_validateaxis(self):$/;" m class:TestSparseUtils +test_validate_fontweight adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_validate_fontweight(weight, parsed_weight):$/;" f +test_validate_nb_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_validate_nb_names(self):$/;" m class:TestNameValidator +test_validate_transcendentals adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_accuracy.py /^ def test_validate_transcendentals(self):$/;" m class:TestAccuracy +test_validate_wo_names adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_validate_wo_names(self):$/;" m class:TestNameValidator +test_validating_dataset_input_tensors_with_dtype_mismatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_validating_dataset_input_tensors_with_dtype_mismatch($/;" m class:TestDistributionStrategyErrorCases +test_validating_dataset_input_tensors_with_shape_mismatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def test_validating_dataset_input_tensors_with_shape_mismatch($/;" m class:TestDistributionStrategyErrorCases +test_validation adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_validation(self):$/;" m class:TestInterp1D +test_validator_invalid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_validator_invalid(validator, arg, exception_type):$/;" f +test_validator_valid adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_rcparams.py /^def test_validator_valid(validator, arg, target):$/;" f +test_ValidBz2File adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidBz2File(self):$/;" m class:TestDataSourceOpen +test_ValidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidFile(self):$/;" m class:TestDataSourceAbspath +test_ValidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidFile(self):$/;" m class:TestDataSourceExists +test_ValidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidFile(self):$/;" m class:TestDataSourceOpen +test_ValidFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidFile(self):$/;" m class:TestRepositoryExists +test_ValidGzipFile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidGzipFile(self):$/;" m class:TestDataSourceOpen +test_ValidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidHTTP(self):$/;" m class:TestDataSourceAbspath +test_ValidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidHTTP(self):$/;" m class:TestDataSourceExists +test_ValidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidHTTP(self):$/;" m class:TestDataSourceOpen +test_ValidHTTP adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_ValidHTTP(self):$/;" m class:TestRepositoryAbspath +test_validity adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_validity(self):$/;" m class:TestMutableMapping +test_validity adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_validity(self):$/;" m class:TestMutableMapping +test_valid_call adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_valid_call(self):$/;" m class:TestRegularGridInterpolator +test_valid_create adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_valid_create(self):$/;" m class:TestRegularGridInterpolator +test_valid_device adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def test_valid_device(self):$/;" m class:RemoteModuleTest +test_valid_drawstyles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_valid_drawstyles():$/;" f +test_valid_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_valid_indexing(self):$/;" m class:TestFloatNonIntegerArgument +test_valid_input adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_valid_input(self):$/;" m class:TestUpfirdn +test_valid_input_forms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cycles.py /^def test_valid_input_forms():$/;" f +test_valid_job adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer_test.py /^ def test_valid_job(self):$/;" m class:TestNetPrinter +test_valid_label_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_valid_label_size(self):$/;" m class:TestDendrogram +test_valid_linestyles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_lines.py /^def test_valid_linestyles():$/;" f +test_valid_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode(self):$/;" m class:_TestConvolve2d +test_valid_mode2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode2(self):$/;" m class:TestConvolve +test_valid_mode2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode2(self):$/;" m class:TestConvolve2d +test_valid_mode_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_complex(self, axes):$/;" m class:TestFFTConvolve +test_valid_mode_complex_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_complex_axes(self, axes):$/;" m class:TestFFTConvolve +test_valid_mode_complx adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_complx(self):$/;" m class:_TestConvolve2d +test_valid_mode_ignore_nonaxes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_ignore_nonaxes(self):$/;" m class:TestFFTConvolve +test_valid_mode_real adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_real(self, axes):$/;" m class:TestFFTConvolve +test_valid_mode_real_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_valid_mode_real_axes(self, axes):$/;" m class:TestFFTConvolve +test_valid_numpy_version adpepsenv/lib/python3.8/site-packages/numpy/tests/test_numpy_version.py /^def test_valid_numpy_version():$/;" f +test_valid_orientation adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ def test_valid_orientation(self):$/;" m class:TestDendrogram +test_valid_origins adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def test_valid_origins():$/;" f +test_valid_scipy_version adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/tests/test_scipy_version.py /^def test_valid_scipy_version():$/;" f +test_valid_slicing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_valid_slicing(self):$/;" m class:TestFloatNonIntegerArgument +test_value adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_value(self):$/;" m class:TestBdtrc +test_value adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_bdtr.py /^ def test_value(self):$/;" m class:TestBdtri +test_value adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_pdtr.py /^ def test_value(self):$/;" m class:TestPdtrc +test_values adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_values(self):$/;" m class:TestEmpty +test_values adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_values(self):$/;" m class:TestPy2Dict +test_values adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_values(self):$/;" m class:TestPy3Dict +test_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_values(self):$/;" m class:TestFromiter +test_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_values(self):$/;" m class:TestBitwiseUFuncs +test_values adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_values(self):$/;" m class:TestGradient +test_values adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_lambertw.py /^def test_values():$/;" f +test_values0D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_values0D(self):$/;" m class:AssignValues +test_values0D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_values0D(self):$/;" m class:ByteorderValues +test_values0D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_values0D(self):$/;" m class:CreateValues +test_valuesMD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesMD(self):$/;" m class:AssignValues +test_valuesMD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesMD(self):$/;" m class:ByteorderValues +test_valuesMD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesMD(self):$/;" m class:CreateValues +test_valuesSD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesSD(self):$/;" m class:AssignValues +test_valuesSD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesSD(self):$/;" m class:ByteorderValues +test_valuesSD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_valuesSD(self):$/;" m class:CreateValues +test_values_cast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_values_cast(self):$/;" m class:ByteorderValues +test_values_R adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_hypotests.py /^ def test_values_R(self):$/;" m class:TestCvm +test_values_updowncast adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_values_updowncast(self):$/;" m class:ByteorderValues +test_value_errors adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_input.py /^def test_value_errors():$/;" f +test_value_subsets adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_value_subsets(self):$/;" m class:TestEigh +test_var adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_var(self):$/;" m class:TestNonarrayArgs +test_var adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_defmatrix.py /^ def test_var(self):$/;" m class:TestProperties +test_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_var(self):$/;" m class:TestGenpareto +test_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_var(self, chi, expected_var, rtol):$/;" m class:TestArgus +test_var adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_var(self):$/;" m class:TestMultivariateHypergeom +test_vararg_kwarg_references_in_function_body adpepsenv/lib/python3.8/site-packages/pasta/base/scope_test.py /^ def test_vararg_kwarg_references_in_function_body(self):$/;" m class:ScopeTest +test_variablelength adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_variablelength(self, x):$/;" m class:TestLogitFormatter +test_variable_fixed_width adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^ def test_variable_fixed_width(self):$/;" m class:TestLineSplitter +test_variable_input adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^ def test_variable_input(self):$/;" m class:Jax2TfTest +test_variable_limits adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def test_variable_limits(self):$/;" m class:TestNQuad +test_variance01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance01():$/;" f +test_variance02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance02():$/;" f +test_variance03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance03():$/;" f +test_variance04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance04():$/;" f +test_variance05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance05():$/;" f +test_variance06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^def test_variance06():$/;" f +test_variance_gh_issue_2401 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_variance_gh_issue_2401(self):$/;" m class:TestNct +test_variation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_variation(self):$/;" m class:TestCompareWithStats +test_variation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_variation(self):$/;" m class:TestMoments +test_variation adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_variation(self):$/;" m class:TestMoments +test_variation_propagate_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_variation_propagate_nan(self):$/;" m class:TestMoments +test_various_alignments adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_various_alignments(self):$/;" m class:TestAlignment +test_various_drivers_generalized adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_various_drivers_generalized(self, driver, type):$/;" m class:TestEigh +test_various_drivers_standard adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_various_drivers_standard(self, driver):$/;" m class:TestEigh +test_various_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_various_labels():$/;" f +test_varmats_from_mat adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_varmats_from_mat():$/;" f +test_varmean_nomask adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_varmean_nomask(self):$/;" m class:TestMaskedArrayMathMethods +test_varstd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_varstd(self):$/;" m class:TestMaskedArrayMathMethods +test_varstd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_varstd(self):$/;" m class:TestMaskedArrayMathMethodsComplex +test_varstd adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_varstd(self):$/;" m class:TestArrayMethods +test_varstd_ddof adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_varstd_ddof(self):$/;" m class:TestMaskedArrayMathMethods +test_varstd_specialcases adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_varstd_specialcases(self):$/;" m class:TestMaskedArrayMathMethods +test_var_axis_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_var_axis_error(self):$/;" m class:TestStats +test_var_broadcasting adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_var_broadcasting(self):$/;" m class:TestMultivariateHypergeom +test_var_complex_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_var_complex_byteorder(self):$/;" m class:TestStats +test_var_complex_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_var_complex_values(self, complex_dtype, ndec):$/;" m class:TestStats +test_var_dimensions adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_var_dimensions(self):$/;" m class:TestStats +test_var_edge_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_var_edge_cases(self):$/;" m class:TestMultivariateHypergeom +test_var_sets_maskedarray_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_regression.py /^ def test_var_sets_maskedarray_scalar(self):$/;" m class:TestRegression +test_var_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_var_values(self):$/;" m class:TestStats +test_vdot_array_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vdot_array_order(self):$/;" m class:TestVdot +test_vdot_uncontiguous adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vdot_uncontiguous(self):$/;" m class:TestVdot +test_vecobject adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vecobject(self):$/;" m class:TestDot +test_vecself adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vecself(self):$/;" m class:TestInner +test_vector adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def test_vector(self):$/;" m class:TestDiag +test_vector adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_vector(self):$/;" m class:_TestNormGeneral +test_vector adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_vector(self):$/;" m class:TestFixedQuad +test_vector adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_vector(self):$/;" m class:TestBarycentric +test_vector adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_vector(self):$/;" m class:TestKrogh +test_vectorization adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_vectorization(self):$/;" m class:TestBSpline +Test_vectorization_cKDTree adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class Test_vectorization_cKDTree:$/;" c +Test_vectorization_KDTree adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class Test_vectorization_KDTree:$/;" c +test_vectorized_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query(self):$/;" m class:Test_vectorization_cKDTree +test_vectorized_query adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query(self):$/;" m class:Test_vectorization_KDTree +test_vectorized_query_all_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query_all_neighbors(self):$/;" m class:Test_vectorization_KDTree +test_vectorized_query_multiple_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query_multiple_neighbors(self):$/;" m class:Test_vectorization_cKDTree +test_vectorized_query_multiple_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query_multiple_neighbors(self):$/;" m class:Test_vectorization_KDTree +test_vectorized_query_noncontiguous_values adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_vectorized_query_noncontiguous_values(self):$/;" m class:Test_vectorization_cKDTree +test_vectorvalue_unique_enforce_feasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def test_vectorvalue_unique_enforce_feasibility(self):$/;" m class:TestStrictBounds +test_vectorvalue_vector_enforce_feasibility adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^ def test_vectorvalue_vector_enforce_feasibility(self):$/;" m class:TestStrictBounds +test_vector_as_first_and_last_argument adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_vector_as_first_and_last_argument(self):$/;" m class:TestMultiDot +test_vector_as_first_argument adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_vector_as_first_argument(self):$/;" m class:TestMultiDot +test_vector_as_last_argument adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_vector_as_last_argument(self):$/;" m class:TestMultiDot +test_vector_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cobyla.py /^def test_vector_constraints():$/;" f +test_vector_matrix_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vector_matrix_values(self):$/;" m class:MatmulCommon +test_vector_norm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ def test_vector_norm(self):$/;" m class:TestNorm +test_vector_param adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_vector_param(self):$/;" m class:ODECheckParameterUse +test_vector_return_type adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_vector_return_type(self):$/;" m class:_TestNormGeneral +test_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_vector_scalar(self):$/;" m class:TestApproxDerivativeLinearOperator +test_vector_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_vector_scalar(self):$/;" m class:TestApproxDerivativesDense +test_vector_scalar_abs_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_vector_scalar_abs_step(self):$/;" m class:TestApproxDerivativesDense +test_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_vector_vector(self):$/;" m class:TestApproxDerivativeLinearOperator +test_vector_vector adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_vector_vector(self):$/;" m class:TestApproxDerivativesDense +test_vector_vector_values adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_vector_vector_values(self):$/;" m class:MatmulCommon +test_verbose adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_verbose():$/;" f +test_verbosity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def test_verbosity(tmpdir):$/;" f +test_verify_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_verify_backend_options(self):$/;" m class:FaultyAgentDistAutogradTest +test_verify_backend_options adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_verify_backend_options(self):$/;" m class:FaultyAgentRpcTest +test_verify_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^def test_verify_cases():$/;" f +test_verify_graph_equality adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_verify_graph_equality(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_verify_graph_equality_harder adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_verify_graph_equality_harder(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_verify_graph_inequality adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_verify_graph_inequality(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_verify_graph_inequality_harder adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger_test.py /^ def test_verify_graph_inequality_harder(self, input_dim, output_dim, batch_size):$/;" m class:MemongerTest +test_verify_matching_signatures adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def test_verify_matching_signatures(self):$/;" m class:TestVerifyMatchingSignatures +test_version0_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version0_float32(self):$/;" m class:TestPickling +test_version0_int8 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version0_int8(self):$/;" m class:TestPickling +test_version0_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version0_object(self):$/;" m class:TestPickling +test_version1_float32 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version1_float32(self):$/;" m class:TestPickling +test_version1_int8 adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version1_int8(self):$/;" m class:TestPickling +test_version1_object adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_version1_object(self):$/;" m class:TestPickling +test_version_1_point_10 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__version.py /^def test_version_1_point_10():$/;" f +test_version_1_point_10 adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__pep440.py /^def test_version_1_point_10():$/;" f +test_version_2_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_version_2_0():$/;" f +test_version_2_0_memmap adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_version_2_0_memmap():$/;" f +test_version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_fcompiler_nagfor.py /^ def test_version_match(self):$/;" m class:TestNagFCompilerVersions +test_vertex_markers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vertex_markers():$/;" f +test_vertices_2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_vertices_2d(self):$/;" m class:TestConvexHull +test_vertices_regions_scaling_invariance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_vertices_regions_scaling_invariance(self):$/;" m class:TestSphericalVoronoi +test_vertices_regions_translation_invariance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_vertices_regions_translation_invariance(self):$/;" m class:TestSphericalVoronoi +test_vert_violinplot_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_baseline():$/;" f +test_vert_violinplot_custompoints_10 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_custompoints_10():$/;" f +test_vert_violinplot_custompoints_200 adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_custompoints_200():$/;" f +test_vert_violinplot_showall adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_showall():$/;" f +test_vert_violinplot_showextrema adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_showextrema():$/;" f +test_vert_violinplot_showmeans adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_showmeans():$/;" f +test_vert_violinplot_showmedians adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vert_violinplot_showmedians():$/;" f +test_verybig_decorators adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^def test_verybig_decorators(label):$/;" f +test_very_large_input_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_very_large_input_values(self):$/;" m class:TestCorrPearsonr +test_very_small_input_values adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_very_small_input_values(self):$/;" m class:TestCorrPearsonr +test_vgg11 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg11(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg11_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg11_bn(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg13 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg13(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg13_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg13_bn(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg16 adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_vgg16(self):$/;" m class:TestCaffe2End2End +test_vgg16 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg16(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg16 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_vgg16(self):$/;" m class:TensorRTOpTest +test_vgg16_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg16_bn(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg19 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg19(self):$/;" m class:Test_PT_ONNX_TRT +test_vgg19 adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def test_vgg19(self):$/;" m class:TensorRTOpTest +test_vgg19_bn adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def test_vgg19_bn(self):$/;" m class:Test_PT_ONNX_TRT +test_view adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_memmap.py /^ def test_view(self):$/;" m class:TestMemmap +test_view adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_view(self):$/;" m class:TestSizeOf +test_view adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_view(self):$/;" m class:TestZeroSizeFlexible +test_view adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view(self):$/;" m class:TestMaskedFields +test_view adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def test_view(self):$/;" m class:TestMaskedMatrix +test_view_assign adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_view_assign(self):$/;" m class:TestWritebackIfCopy +test_view_by_itself adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_view_by_itself(self):$/;" m class:TestView +test_view_discard_refcount adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_view_discard_refcount(self):$/;" m class:TestWritebackIfCopy +test_view_flexible_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_view_flexible_type(self):$/;" m class:TestView +test_view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_view_limits(self):$/;" m class:TestMultipleLocator +test_view_limits_round_numbers adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def test_view_limits_round_numbers(self):$/;" m class:TestMultipleLocator +test_view_simple_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_view_simple_dtype(self):$/;" m class:TestView +test_view_to_dtype_and_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_dtype_and_type(self):$/;" m class:TestMaskedView +test_view_to_flexible_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_flexible_dtype(self):$/;" m class:TestMaskedView +test_view_to_nothing adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_nothing(self):$/;" m class:TestMaskedView +test_view_to_simple_dtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_simple_dtype(self):$/;" m class:TestMaskedView +test_view_to_subdtype adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_subdtype(self):$/;" m class:TestMaskedView +test_view_to_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_view_to_type(self):$/;" m class:TestMaskedView +test_violation adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraints.py /^def test_violation():$/;" f +test_violinplot_bad_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_bad_positions():$/;" f +test_violinplot_bad_quantiles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_bad_quantiles():$/;" f +test_violinplot_bad_widths adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_bad_widths():$/;" f +test_violinplot_outofrange_quantiles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_outofrange_quantiles():$/;" f +test_violinplot_pandas_series adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_pandas_series(fig_test, fig_ref, pd):$/;" f +test_violinplot_single_list_quantiles adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violinplot_single_list_quantiles(fig_test, fig_ref):$/;" f +test_violin_point_mass adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_violin_point_mass():$/;" f +test_visibility adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_svg.py /^def test_visibility():$/;" f +test_visit adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_visit(self):$/;" m class:TestVisit +test_visiting_dist_high_temperature adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_visiting_dist_high_temperature(self):$/;" m class:TestDualAnnealing +test_visiting_stepping adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_visiting_stepping(self):$/;" m class:TestDualAnnealing +test_visititems adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def test_visititems(self):$/;" m class:TestVisit +test_vlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs.py /^ def test_vlen(self):$/;" m class:TestVlen +test_vlen_ascii adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_vlen_ascii(self):$/;" m class:TestStrings +test_vlen_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_vlen_bytes(self):$/;" m class:TestAutoCreate +test_vlen_bytes adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_vlen_bytes(self):$/;" m class:TestStrings +test_vlen_enum adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_vlen_enum(self):$/;" m class:TestVlen +test_vlen_string_array adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_vlen_string_array(self):$/;" m class:TestTypes +test_vlen_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_vlen_unicode(self):$/;" m class:TestAutoCreate +test_vlen_unicode adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_vlen_unicode(self):$/;" m class:TestStrings +test_vlen_utf8 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_vlen_utf8(self):$/;" m class:TestStrings +test_vlines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vlines():$/;" f +test_vlines_default adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vlines_default():$/;" f +test_vline_limit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_vline_limit():$/;" f +test_vode adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_vode(self):$/;" m class:TestComplexOde +test_vode adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_vode(self):$/;" m class:TestOde +test_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_void(self):$/;" m class:TestCreation +test_void adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalar_ctors.py /^ def test_void(self):$/;" m class:TestExtraArgs +test_void0d adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_void0d(self):$/;" m class:TestMaskedArray +test_void_align adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_void_align(self):$/;" m class:TestFlags +test_void_coercion adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_void_coercion(self):$/;" m class:TestRegression +test_void_compare_segfault adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_compare_segfault(self):$/;" m class:TestRegression +test_void_copyswap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_copyswap(self):$/;" m class:TestRegression +test_void_dtype_equality_failures adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_void_dtype_equality_failures(self):$/;" m class:TestComparisonDeprecations +test_void_getitem adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_getitem(self):$/;" m class:TestRegression +test_void_item_memview adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_item_memview(self):$/;" m class:TestRegression +test_void_pointer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_pointer(self):$/;" m class:TestFromCTypes +test_void_scalar_constructor adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_scalar_constructor(self):$/;" m class:TestRegression +test_void_scalar_empty_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def test_void_scalar_empty_tuple(self):$/;" m class:TestIndexing +test_void_scalar_recursion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_void_scalar_recursion(self):$/;" m class:TestArrayRepr +test_void_scalar_structured_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def test_void_scalar_structured_data(self):$/;" m class:TestScalarPEP3118 +test_void_scalar_with_titles adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_void_scalar_with_titles(self):$/;" m class:TestRegression +test_void_sort adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_void_sort(self):$/;" m class:TestMethods +test_void_subclass_fields adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_subclass_fields(self):$/;" m class:TestString +test_void_subclass_sized adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_subclass_sized(self):$/;" m class:TestString +test_void_subclass_unsized adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_subclass_unsized(self):$/;" m class:TestString +test_void_subtype adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_subtype(self):$/;" m class:TestFromDTypeAttribute +test_void_subtype_recursion adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def test_void_subtype_recursion(self):$/;" m class:TestFromDTypeAttribute +test_volume_area adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_volume_area(self):$/;" m class:TestConvexHull +test_volume_area adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_volume_area(self):$/;" m class:TestUtilities +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_vonmises(self):$/;" m class:TestBroadcast +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_vonmises(self):$/;" m class:TestRandomDist +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_vonmises(self):$/;" m class:TestBroadcast +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_vonmises(self):$/;" m class:TestRandomDist +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_vonmises(self):$/;" m class:TestBroadcast +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_vonmises(self):$/;" m class:TestRandomDist +test_vonmises adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_vonmises(self):$/;" m class:RNG +test_vonmises_line_support adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_vonmises_line_support():$/;" f +test_vonmises_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_vonmises_nan(self):$/;" m class:TestRandomDist +test_vonmises_nan adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_vonmises_nan(self):$/;" m class:TestRandomDist +test_vonmises_numerical adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_vonmises_numerical():$/;" f +test_vonmises_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_vonmises_pdf(x, kappa, expected_pdf):$/;" f +test_vonmises_pdf_periodic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def test_vonmises_pdf_periodic():$/;" f +test_VonMises_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def test_VonMises_range(self):$/;" m class:TestRegression +test_VonMises_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_VonMises_range(self):$/;" m class:TestRegression +test_VonMises_range adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def test_VonMises_range(self):$/;" m class:TestRegression +test_vonmises_small adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_vonmises_small(self):$/;" m class:TestRandomDist +test_vonmises_small adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_vonmises_small(self):$/;" m class:TestRandomDist +test_vonmises_small adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_vonmises_small(self):$/;" m class:TestRandomDist +test_voronoi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test__plotutils.py /^ def test_voronoi(self):$/;" m class:TestPlotting +test_voronoi_circles adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^ def test_voronoi_circles(self):$/;" m class:TestSphericalVoronoi +test_vq adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_vq(self):$/;" m class:TestVq +test_vq_1d adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_vq_1d(self):$/;" m class:TestVq +test_vq_large_features adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_vq_large_features(self):$/;" m class:TestVq +test_vq_large_nfeat adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_vq_large_nfeat(self):$/;" m class:TestVq +test_vrectangular adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_vrectangular(self):$/;" m class:TestLU +test_vrectangular_complex adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_vrectangular_complex(self):$/;" m class:TestLU +test_vstack adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^ def test_vstack(self):$/;" m class:TestConstructUtils +test_vs_alternative_implementations adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_vs_alternative_implementations(self):$/;" m class:TestPPoly +test_vs_convolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_vs_convolve(self, down, want_len):$/;" m class:TestUpfirdn +test_vs_freqs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_vs_freqs(self):$/;" m class:TestFreqs_zpk +test_vs_freqz adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_vs_freqz(self):$/;" m class:TestFreqz_zpk +test_vs_naive adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_vs_naive(self, x_dtype, h_dtype, p_max, q_max):$/;" m class:TestUpfirdn +test_vs_naive_delta adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def test_vs_naive_delta(self, x_dtype, h, up, down):$/;" m class:TestUpfirdn +test_vs_nonmasked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_vs_nonmasked(self):$/;" m class:TestNormalitytests +test_vs_nonmasked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_vs_nonmasked(self):$/;" m class:TestTtest_1samp +test_vs_nonmasked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_vs_nonmasked(self):$/;" m class:TestTtest_ind +test_vs_nonmasked adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_vs_nonmasked(self):$/;" m class:TestTtest_rel +test_vs_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_vs_series(self):$/;" m class:TestStruve +test_w0 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def test_w0(self):$/;" m class:TestCache +test_wait_all_with_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_wait_all_with_exception(self):$/;" m class:RpcTest +test_wait_all_with_partial_exception adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_wait_all_with_partial_exception(self):$/;" m class:RpcTest +test_wait_all_workers adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_wait_all_workers(self):$/;" m class:RpcTest +test_wait_all_workers_twice adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_wait_all_workers_twice(self):$/;" m class:RpcTest +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_wald(self):$/;" m class:TestBroadcast +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_wald(self):$/;" m class:TestRandomDist +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_wald(self):$/;" m class:TestBroadcast +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_wald(self):$/;" m class:TestRandomDist +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_wald(self):$/;" m class:TestBroadcast +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_wald(self):$/;" m class:TestRandomDist +test_wald adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_wald(self):$/;" m class:RNG +test_warm_starting_selective_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_warm_starting_selective_variables(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_selective_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_warm_starting_selective_variables(self):$/;" m class:BaseLinearWarmStartingTest +test_warm_starting_selective_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_warm_starting_selective_variables(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_selective_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_warm_starting_selective_variables(self):$/;" m class:BaseLinearWarmStartingTest +test_warm_starting_with_naming_change adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_warm_starting_with_naming_change(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_with_naming_change adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_warm_starting_with_naming_change(self):$/;" m class:BaseLinearWarmStartingTest +test_warm_starting_with_naming_change adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_warm_starting_with_naming_change(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_with_naming_change adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_warm_starting_with_naming_change(self):$/;" m class:BaseLinearWarmStartingTest +test_warm_starting_with_vocab_remapping adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def test_warm_starting_with_vocab_remapping(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_with_vocab_remapping_and_partitioning adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def test_warm_starting_with_vocab_remapping_and_partitioning(self):$/;" m class:BaseLinearWarmStartingTest +test_warm_starting_with_vocab_remapping_and_partitioning adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def test_warm_starting_with_vocab_remapping_and_partitioning(self):$/;" m class:BaseDNNWarmStartingTest +test_warm_starting_with_vocab_remapping_and_partitioning adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def test_warm_starting_with_vocab_remapping_and_partitioning(self):$/;" m class:BaseLinearWarmStartingTest +test_warn adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_warn(self):$/;" m class:TestWarns +test_warnings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_warnings(self):$/;" m class:TestFloatExceptions +test_warnings adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_warnings():$/;" f +test_warnings adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_warnings(self):$/;" m class:TestPeakProminences +test_warnings adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_warnings(self):$/;" m class:TestPeakWidths +test_warnings adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal_eval.py /^def test_warnings():$/;" f +test_warning_calls adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^def test_warning_calls():$/;" f +test_warning_calls_filters adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^def test_warning_calls_filters(warning_calls):$/;" f +test_warning_calls_stacklevels adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^def test_warning_calls_stacklevels(warning_calls):$/;" f +test_warnonwrite adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_warnonwrite(self):$/;" m class:TestFlags +test_warns adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_warns(self):$/;" m class:TestMvsdist +test_warns_byteorder adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def test_warns_byteorder(self):$/;" m class:TestRegression +test_warns_on_failure adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_warns_on_failure(self):$/;" m class:ODECheckParameterUse +test_warns_p0 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_warns_p0(self):$/;" m class:TestBinom +test_warns_reps adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_warns_reps(self):$/;" m class:TestMGCErrorWarnings +test_warn_args_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_warn_args_kwargs(self):$/;" m class:TestLegendFigureFunction +test_warn_args_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^ def test_warn_args_kwargs(self):$/;" m class:TestLegendFunction +test_warn_big_data_best_loc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_warn_big_data_best_loc():$/;" f +test_warn_cl_plus_tl adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^def test_warn_cl_plus_tl():$/;" f +test_warn_external adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_warn_external(recwarn):$/;" f +test_warn_external_frame_embedded_python adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^def test_warn_external_frame_embedded_python():$/;" f +test_warn_ignored_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_warn_ignored_options(self):$/;" m class:TestNewToOldSLSQP +test_warn_len_equal_call_scenarios adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def test_warn_len_equal_call_scenarios():$/;" f +test_warn_mixed_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ def test_warn_mixed_constraints(self):$/;" m class:TestNewToOldSLSQP +test_warn_noclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_warn_noclose():$/;" f +test_warn_to_fail adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_testing.py /^def test_warn_to_fail():$/;" f +test_warn_wrong_warning adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_warn_wrong_warning(self):$/;" m class:TestWarns +test_watershed_ift01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift01(self):$/;" m class:TestWatershedIft +test_watershed_ift02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift02(self):$/;" m class:TestWatershedIft +test_watershed_ift03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift03(self):$/;" m class:TestWatershedIft +test_watershed_ift04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift04(self):$/;" m class:TestWatershedIft +test_watershed_ift05 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift05(self):$/;" m class:TestWatershedIft +test_watershed_ift06 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift06(self):$/;" m class:TestWatershedIft +test_watershed_ift07 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift07(self):$/;" m class:TestWatershedIft +test_watershed_ift08 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_measurements.py /^ def test_watershed_ift08(self):$/;" m class:TestWatershedIft +test_wavfile_read adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_wavfile_read(self):$/;" m class:TestPaths +test_wavfile_write adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_wavfile_write(self):$/;" m class:TestPaths +test_weak_connections adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_weak_connections():$/;" f +test_weak_connections2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_connected_components.py /^def test_weak_connections2():$/;" f +test_webagg adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^def test_webagg():$/;" f +test_webagg_fallback adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_webagg.py /^def test_webagg_fallback(backend):$/;" f +test_wedge_movement adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_wedge_movement():$/;" f +test_wedge_range adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^def test_wedge_range():$/;" f +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_weibull(self):$/;" m class:TestBroadcast +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_weibull(self):$/;" m class:TestRandomDist +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_weibull(self):$/;" m class:TestBroadcast +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_weibull(self):$/;" m class:TestRandomDist +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_weibull(self):$/;" m class:TestBroadcast +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_weibull(self):$/;" m class:TestRandomDist +test_weibull adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_weibull(self):$/;" m class:RNG +test_weibull_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_weibull_0(self):$/;" m class:TestRandomDist +test_weibull_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_weibull_0(self):$/;" m class:TestRandomDist +test_weibull_0 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_weibull_0(self):$/;" m class:TestRandomDist +test_weight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test_weight(self):$/;" m class:TestMisc +test_weight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ def test_weight(self):$/;" m class:TestMisc +test_weight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ def test_weight(self):$/;" m class:TestMisc +test_weight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ def test_weight(self):$/;" m class:TestMisc +test_weight adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ def test_weight(self):$/;" m class:TestMisc +test_weightedtau adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_weightedtau():$/;" f +test_weightedtau_vs_quadratic adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def test_weightedtau_vs_quadratic():$/;" f +test_weighted_mean adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_weighted_mean():$/;" f +test_weighted_multi_sample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_multi_sample_test.py /^ def test_weighted_multi_sample(self, num_samples, data_len, gc, dc):$/;" m class:TestWeightedMultiSample +test_weighted_sample adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sample_test.py /^ def test_weighted_sample(self, batch, weights_len, gc, dc):$/;" m class:TestWeightedSample +test_weighted_sample_blobs_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def test_weighted_sample_blobs_queue($/;" m class:TestOperators +test_weighted_sigmoid_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def test_weighted_sigmoid_cross_entropy_with_logits(self, inputs, gc, dc):$/;" m class:TestCrossEntropyOps +test_weighted_stouffer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_weighted_stouffer(self):$/;" m class:TestCombinePvalues +test_weighted_stouffer2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_weighted_stouffer2(self):$/;" m class:TestCombinePvalues +test_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^ def test_weighted_sum(self, n, m, d, grad_on_w, gc, dc):$/;" m class:TestWeightedSumOp +test_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^ def test_weighted_sum($/;" m class:TestWeightedSumOp +test_weighted_sum_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^ def test_weighted_sum_grad($/;" m class:TestWeightedSumOp +test_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_weights(self):$/;" m class:TestAverage +test_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_weights(self):$/;" m class:TestHistogram +test_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_histograms.py /^ def test_weights(self):$/;" m class:TestHistogramdd +test_weights adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ def test_weights(self):$/;" m class:TestLSQ +test_weights_intact adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_weights_intact():$/;" f +test_weights_integer adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^def test_weights_integer():$/;" f +test_weight_decay adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test.py /^ def test_weight_decay(self):$/;" m class:TestWeightDecay +test_weight_scale adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weight_scale_test.py /^ def test_weight_scale(self, inputs, ITER, stepsize, upper_bound_iter, scale, gc, dc):$/;" m class:TestWeightScale +test_weird_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_weird_matrix(self):$/;" m class:TestSqrtM +test_weka adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def test_weka(filename):$/;" f +test_well_conditioned_problems adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^def test_well_conditioned_problems():$/;" f +test_when adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_financial.py /^ def test_when(self):$/;" m class:TestFinancial +test_where adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_where(self, N, gc, dc, engine):$/;" m class:TestWhere +test_where adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where(self):$/;" m class:TestMaskedArrayFunctions +test_where_broadcast adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_broadcast(self):$/;" m class:TestMaskedArrayFunctions +test_where_dim2 adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_logical_ops_test.py /^ def test_where_dim2(self, N, gc, dc, engine):$/;" m class:TestWhere +test_where_object adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_object(self):$/;" m class:TestMaskedArrayFunctions +test_where_param adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_where_param(self):$/;" m class:TestUfunc +test_where_param_alloc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_where_param_alloc(self):$/;" m class:TestUfunc +test_where_param_buffer_output adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_where_param_buffer_output(self):$/;" m class:TestUfunc +test_where_structured adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_structured(self):$/;" m class:TestMaskedArrayFunctions +test_where_structured_masked adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_structured_masked(self):$/;" m class:TestMaskedArrayFunctions +test_where_type adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_type(self):$/;" m class:TestMaskedArrayFunctions +test_where_with_masked_choice adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_with_masked_choice(self):$/;" m class:TestMaskedArrayFunctions +test_where_with_masked_condition adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test_where_with_masked_condition(self):$/;" m class:TestMaskedArrayFunctions +test_while adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_while(self):$/;" m class:ControlFlowOpsTest +test_while_batched_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_while_batched_cond(self):$/;" m class:ControlFlowOpsTest +test_while_custom_jvp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_while_custom_jvp(self):$/;" m class:ControlFlowOpsTest +test_while_net adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def test_while_net(self):$/;" m class:TestNetBuilder +test_while_single_carry adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/control_flow_ops_test.py /^ def test_while_single_carry(self):$/;" m class:ControlFlowOpsTest +test_whiten adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_whiten(self):$/;" m class:TestWhiten +test_whiten_not_finite adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_whiten_not_finite(self):$/;" m class:TestWhiten +test_whiten_zero_std adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test_whiten_zero_std(self):$/;" m class:TestWhiten +test_whitespace_bstring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_whitespace_bstring_array_is_falsey(self):$/;" m class:TestBytestringArrayNonzero +test_whitespace_ustring_array_is_falsey adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_whitespace_ustring_array_is_falsey(self):$/;" m class:TestUnicodeArrayNonzero +test_white_tophat01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_white_tophat01(self):$/;" m class:TestNdimageMorphology +test_white_tophat02 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_white_tophat02(self):$/;" m class:TestNdimageMorphology +test_white_tophat03 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_white_tophat03(self):$/;" m class:TestNdimageMorphology +test_white_tophat04 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_morphology.py /^ def test_white_tophat04(self):$/;" m class:TestNdimageMorphology +test_whos adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_whos():$/;" f +test_whosmat adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_paths.py /^ def test_whosmat(self):$/;" m class:TestPaths +test_who_with_0dim_array adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_regression.py /^ def test_who_with_0dim_array(self):$/;" m class:TestRegression +test_wide_element adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def test_wide_element(self):$/;" m class:TestArray2String +test_wide_matrix adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_wide_matrix(self):$/;" m class:TestFillDiagonal +test_width_condition adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_width_condition(self):$/;" m class:TestFindPeaks +test_width_ratios adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_gridspec.py /^def test_width_ratios():$/;" f +test_wikipedia_counterexample adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_wikipedia_counterexample():$/;" f +test_wilcoxon_arg_type adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_wilcoxon_arg_type(self):$/;" m class:TestWilcoxon +test_wilcoxon_bad_arg adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_wilcoxon_bad_arg(self):$/;" m class:TestWilcoxon +test_wilcoxon_result_attributes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_wilcoxon_result_attributes(self):$/;" m class:TestWilcoxon +test_wilcoxon_tie adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_wilcoxon_tie(self):$/;" m class:TestWilcoxon +test_windowfunc_basics adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^def test_windowfunc_basics():$/;" f +test_windows_os_sep adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_windows_os_sep(self):$/;" m class:TestDataSourceAbspath +test_windows_os_sep adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ def test_windows_os_sep(self):$/;" m class:TestRepositoryAbspath +test_window_correction adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_correction(self):$/;" m class:TestWelch +test_window_extent_cached_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_legend.py /^def test_window_extent_cached_renderer():$/;" f +test_window_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_external(self):$/;" m class:TestCSD +test_window_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_external(self):$/;" m class:TestPeriodogram +test_window_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_external(self):$/;" m class:TestSpectrogram +test_window_external adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_external(self):$/;" m class:TestWelch +test_window_hanning_ones adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_window_hanning_ones(self):$/;" m class:TestWindow +test_window_hanning_rand adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_window_hanning_rand(self):$/;" m class:TestWindow +test_window_long_or_nd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_long_or_nd(self):$/;" m class:TestCSD +test_window_long_or_nd adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_window_long_or_nd(self):$/;" m class:TestWelch +test_window_none_ones adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_window_none_ones(self):$/;" m class:TestWindow +test_window_none_rand adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_window_none_rand(self):$/;" m class:TestWindow +test_winograd_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/conv_op_test.py /^ def test_winograd_convolution(self, stride, pad, size,$/;" m class:ConvTest +test_winsorization adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_winsorization(self):$/;" m class:TestTrimming +test_winsorization_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_winsorization_nan(self):$/;" m class:TestTrimming +test_wireframe3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_wireframe3d():$/;" f +test_wireframe3dzerocstride adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_wireframe3dzerocstride():$/;" f +test_wireframe3dzerorstride adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_wireframe3dzerorstride():$/;" f +test_wireframe3dzerostrideraises adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_wireframe3dzerostrideraises():$/;" f +test_wishart_invwishart_2D_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def test_wishart_invwishart_2D_rvs(self):$/;" m class:TestInvwishart +test_withmissing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_withmissing(self):$/;" m class:TestFromTxt +test_withmissing_float adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_withmissing_float(self):$/;" m class:TestFromTxt +test_withnames adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ def test_withnames(self):$/;" m class:TestMRecords +TEST_WITH_ASAN adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_WITH_ASAN = os.getenv('PYTORCH_TEST_WITH_ASAN', '0') == '1'$/;" v +test_with_bound adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_with_bound(self):$/;" m class:TestAdjustSchemeToBounds +test_with_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_with_bounds(self):$/;" m class:SparseMixin +test_with_bounds_2_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_with_bounds_2_point(self):$/;" m class:TestApproxDerivativesDense +test_with_bounds_3_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_with_bounds_3_point(self):$/;" m class:TestApproxDerivativesDense +test_with_custom_vjp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def test_with_custom_vjp(self):$/;" m class:ShapePolyTest +test_with_incorrect_minlength adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_with_incorrect_minlength(self):$/;" m class:TestBincount +test_with_iterable_object adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def test_with_iterable_object(self):$/;" m class:TestApplyAlongAxis +test_with_masked_column_uniform adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_with_masked_column_uniform(self):$/;" m class:TestFromTxt +test_with_masked_column_various adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def test_with_masked_column_various(self):$/;" m class:TestFromTxt +test_with_maxima_distrib adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_with_maxima_distrib(self):$/;" m class:TestWeibull +test_with_minlength adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_with_minlength(self):$/;" m class:TestBincount +test_with_minlength_and_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_with_minlength_and_weights(self):$/;" m class:TestBincount +test_with_minlength_smaller_than_maxvalue adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_with_minlength_smaller_than_maxvalue(self):$/;" m class:TestBincount +test_with_none adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_with_none(self):$/;" m class:TestAsPairs +test_with_params adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def test_with_params():$/;" f +TEST_WITH_ROCM adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_WITH_ROCM = os.getenv('PYTORCH_TEST_WITH_ROCM', '0') == '1'$/;" v +TEST_WITH_SLOW adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_WITH_SLOW = os.getenv('PYTORCH_TEST_WITH_SLOW', '0') == '1'$/;" v +TEST_WITH_TSAN adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_WITH_TSAN = os.getenv('PYTORCH_TEST_WITH_TSAN', '0') == '1'$/;" v +TEST_WITH_UBSAN adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^TEST_WITH_UBSAN = os.getenv('PYTORCH_TEST_WITH_UBSAN', '0') == '1'$/;" v +test_wlen adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_wlen(self):$/;" m class:TestPeakProminences +test_wlen_smaller_plateau adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^ def test_wlen_smaller_plateau(self):$/;" m class:TestFindPeaks +test_wmasked_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_wmasked_arrays(self):$/;" m class:TestMergeArrays +test_wngrad_dense_base adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def test_wngrad_dense_base(self, inputs, seq_b, lr, epsilon, gc, dc):$/;" m class:TestWngrad +test_wngrad_dense_output_effective_lr adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def test_wngrad_dense_output_effective_lr(self, inputs, seq_b,$/;" m class:TestWngrad +test_wngrad_dense_output_effective_lr_and_update adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^ def test_wngrad_dense_output_effective_lr_and_update($/;" m class:TestWngrad +test_wofz adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_wofz(self):$/;" m class:TestCephes +test_wofz_nan_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_wofz_nan_inf(self):$/;" m class:TestErf +test_wolfe_terminate adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def test_wolfe_terminate(self):$/;" m class:TestLineSearch +test_workers adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def test_workers(self):$/;" m class:TestBrute +test_workers adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_workers(self):$/;" m class:TestMGCStat +test_worker_id adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_worker_id(self):$/;" m class:RpcTest +test_worker_ids_recorded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def test_worker_ids_recorded(self):$/;" m class:DistAutogradTest +test_workspacecreatenet adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def test_workspacecreatenet(self):$/;" m class:TestLazyDynDepError +test_workspace_manipulation adpepsenv/lib/python3.8/site-packages/caffe2/python/python_op_test.py /^ def test_workspace_manipulation(self, x):$/;" m class:PythonOpTest +test_work_ind adpepsenv/lib/python3.8/site-packages/scipy/odr/tests/test_odr.py /^ def test_work_ind(self):$/;" m class:TestODR +test_world adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def test_world():$/;" f +test_world_size_one adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_world_size_one(self):$/;" m class:RpcTest +test_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_wrap():$/;" f +test_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_wrap(self):$/;" m class:TestTake +test_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_wrap(self):$/;" m class:TestSpecialMethods +test_wrapper adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_wrapper(self):$/;" m class:TestBarycentric +test_wrapper adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def test_wrapper(self):$/;" m class:TestKrogh +test_wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def test_wrapper(*args, **kwargs):$/;" f function:DeviceTypeTestBase.instantiate_test.instantiate_test_helper file: +test_wrap_and_prepare_out adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_wrap_and_prepare_out(self):$/;" m class:TestSpecialMethods +test_wrap_boundary adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_wrap_boundary(self):$/;" m class:_TestConvolve2d +test_wrap_failure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_testing.py /^def test_wrap_failure():$/;" f +test_wrap_no_wrap adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_text.py /^def test_wrap_no_wrap():$/;" f +test_wrap_with_iterable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_wrap_with_iterable(self):$/;" m class:TestSpecialMethods +test_wrightomega adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_wrightomega(self):$/;" m class:TestSystematic +test_wrightomega_branch adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_wrightomega_branch():$/;" f +test_wrightomega_exp_approximation_crossover adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_exp_approximation_crossover():$/;" f +test_wrightomega_inf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_inf():$/;" f +test_wrightomega_inf_branch adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_inf_branch():$/;" f +test_wrightomega_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_nan():$/;" f +test_wrightomega_real adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_wrightomega_real(self):$/;" m class:TestSystematic +test_wrightomega_real_infinities adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_real_infinities(x, desired):$/;" f +test_wrightomega_real_nan adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_real_nan():$/;" f +test_wrightomega_real_series_crossover adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_real_series_crossover():$/;" f +test_wrightomega_real_versus_complex adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_real_versus_complex():$/;" f +test_wrightomega_region1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_wrightomega_region1():$/;" f +test_wrightomega_region2 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def test_wrightomega_region2():$/;" f +test_wrightomega_singular adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_wrightomega.py /^def test_wrightomega_singular():$/;" f +test_writable_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def test_writable_dir(path):$/;" f +test_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_write(self):$/;" m class:TestEmpty +test_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_attrs_data.py /^ def test_write(self):$/;" m class:TestWriteException +test_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write(self):$/;" m class:TestFieldNames +test_write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write(self):$/;" m class:TestSimpleSlicing +test_writeability adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_index_tricks.py /^ def test_writeability(self):$/;" m class:TestRavelUnravelIndex +test_writeable adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable(self):$/;" m class:TestFlags +test_writeable adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_writeable():$/;" f +test_writeable_any_base adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable_any_base(self):$/;" m class:TestFlags +test_writeable_from_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable_from_buffer(self):$/;" m class:TestFlags +test_writeable_from_c_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable_from_c_data(self):$/;" m class:TestFlags +test_writeable_from_readonly adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable_from_readonly(self):$/;" m class:TestFlags +test_writeable_memoryview adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^def test_writeable_memoryview():$/;" f +test_writeable_pickle adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_writeable_pickle(self):$/;" m class:TestFlags +test_writeback adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_writeback(self):$/;" m class:TestMeshgrid +test_writebacks adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^def test_writebacks():$/;" f +test_writer_properties adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_writer_properties():$/;" f +test_write_block adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_write_block(self):$/;" m class:TestUserblock +test_write_broadcast adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write_broadcast(self):$/;" m class:TestArraySlicing +test_write_direct_chunk adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5d_direct_chunk.py /^ def test_write_direct_chunk(self):$/;" m class:TestWriteDirectChunk +test_write_element adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write_element(self):$/;" m class:TestArraySlicing +test_write_empty_vlen adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def test_write_empty_vlen(self):$/;" m class:TestEmptyVlen +test_write_invalid_dtype adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_write_invalid_dtype():$/;" f +test_write_noncompound adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write_noncompound(self):$/;" m class:TestFieldNames +test_write_only adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file.py /^ def test_write_only(self):$/;" m class:TestUserblock +test_write_opposite_endian adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def test_write_opposite_endian():$/;" f +test_write_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def test_write_roundtrip(tmpdir):$/;" f +test_write_slices adpepsenv/lib/python3.8/site-packages/h5py/tests/test_slicing.py /^ def test_write_slices(self):$/;" m class:TestArraySlicing +test_write_version adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_format.py /^def test_write_version():$/;" f +test_wrong_bw_method adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^ def test_wrong_bw_method(self):$/;" m class:TestGaussianKDECustom +test_wrong_ddof adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_wrong_ddof(self):$/;" m class:TestCov +test_wrong_dimensions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def test_wrong_dimensions(self):$/;" m class:TestApproxDerivativesDense +test_wrong_exception adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def test_wrong_exception(self):$/;" m class:TestRaises +test_wrong_feasible_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def test_wrong_feasible_point(self):$/;" m class:Test_HalfspaceIntersection +test_wrong_formats adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def test_wrong_formats(self):$/;" m class:TestFortranFormatParser +test_wrong_inputs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_wrong_inputs(self):$/;" m class:TestEigh +test_wrong_jac_sparsity adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_wrong_jac_sparsity(self):$/;" m class:SparseMixin +test_wrong_ndim adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def test_wrong_ndim(self):$/;" m class:TestCloughTocher2DInterpolator +test_wrong_number adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_wrong_number(self):$/;" m class:BoundsMixin +test_wrong_parameters adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_wrong_parameters(self):$/;" m class:SparseMixin +test_wrong_restart_temp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__dual_annealing.py /^ def test_wrong_restart_temp(self):$/;" m class:TestDualAnnealing +test_wrong_shape adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_wrong_shape(self):$/;" m class:TestLombscargle +test_wrong_shapes_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_wrong_shapes_1(self):$/;" m class:TestSubclassingExplicitShapes +test_wrong_shapes_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_wrong_shapes_2(self):$/;" m class:TestSubclassingExplicitShapes +test_wrong_shape_Dfun_callable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_Dfun_callable(self):$/;" m class:TestLeastSq +test_wrong_shape_Dfun_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_Dfun_function(self):$/;" m class:TestLeastSq +test_wrong_shape_fprime_callable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_fprime_callable(self):$/;" m class:TestFSolve +test_wrong_shape_fprime_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_fprime_function(self):$/;" m class:TestFSolve +test_wrong_shape_func_callable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_func_callable(self):$/;" m class:TestFSolve +test_wrong_shape_func_callable adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_func_callable(self):$/;" m class:TestLeastSq +test_wrong_shape_func_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_func_function(self):$/;" m class:TestFSolve +test_wrong_shape_func_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def test_wrong_shape_func_function(self):$/;" m class:TestLeastSq +test_wrong_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_wrong_type(self):$/;" m class:StringConverterTestCase +test_wrong_types adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def test_wrong_types(self):$/;" m class:RpcTest +test_wrong_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def test_wrong_value(self):$/;" m class:StringConverterTestCase +test_wr_scaleoffset_fletcher32 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_filters.py /^ def test_wr_scaleoffset_fletcher32(self):$/;" m class:TestFilters +test_wr_szip_fletcher32_64bit adpepsenv/lib/python3.8/site-packages/h5py/tests/test_filters.py /^ def test_wr_szip_fletcher32_64bit(self):$/;" m class:TestFilters +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestFreqs +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestFreqs_zpk +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestFreqz +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestFreqz_zpk +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestGroupDelay +test_w_or_N_types adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_filter_design.py /^ def test_w_or_N_types(self):$/;" m class:TestSOSFreqz +test_w_shorter_flex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_w_shorter_flex(self):$/;" m class:TestMergeArrays +test_w_singlefield adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_w_singlefield(self):$/;" m class:TestMergeArrays +test_x0_complex_array adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_x0_complex_array(self):$/;" m class:BaseMixin +test_x0_complex_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_x0_complex_scalar(self):$/;" m class:BaseMixin +test_x0_is_used_by adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^def test_x0_is_used_by():$/;" f +test_x0_multidimensional adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_x0_multidimensional(self):$/;" m class:BaseMixin +test_x0_working adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_x0_working(solver):$/;" f +Test_X86_Features adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_cpu_features.py /^class Test_X86_Features(AbstractTest):$/;" c +test_Xdist_deprecated_args adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_Xdist_deprecated_args():$/;" f +test_Xdist_non_negative_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test_Xdist_non_negative_weights():$/;" f +test_xelatex adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def test_xelatex():$/;" f +test_xerbla_override adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def test_xerbla_override():$/;" f +test_xi_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_xi_1d(self):$/;" m class:TestInterpN +test_xi_1d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_ndgriddata.py /^ def test_xi_1d(self):$/;" m class:TestGriddata +test_xi_broadcast adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_xi_broadcast(self):$/;" m class:TestInterpN +test_xi_nd adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_xi_nd(self):$/;" m class:TestInterpN +test_xi_yi adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_xi_yi(self):$/;" m class:TestBPolyFromDerivatives +test_xkcd adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_xkcd():$/;" f +test_xkcd_cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_xkcd_cm():$/;" f +test_xkcd_marker adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^def test_xkcd_marker():$/;" f +test_xkcd_no_cm adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^def test_xkcd_no_cm():$/;" f +test_xla_context_preserved_gather adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def test_xla_context_preserved_gather(self):$/;" m class:SavedModelTest +test_xla_context_preserved_slice adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def test_xla_context_preserved_slice(self):$/;" m class:SavedModelTest +test_xlog1py adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_xlog1py():$/;" f +test_xlogy adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^def test_xlogy():$/;" f +test_xtestCount adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^ def test_xtestCount(self):$/;" m class:TestMa +test_xtickcolor_is_not_markercolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_xtickcolor_is_not_markercolor():$/;" f +test_xy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_xy(self):$/;" m class:TestCorrCoef +test_xy adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_xy(self):$/;" m class:TestCov +test_xyz adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^ def test_xyz(self):$/;" m class:TestVoxels +test_x_and_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_and_y_stride(self):$/;" m class:BaseAxpy +test_x_and_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_and_y_stride(self):$/;" m class:BaseCopy +test_x_and_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_and_y_stride(self):$/;" m class:BaseSwap +test_x_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_bad_size(self):$/;" m class:BaseAxpy +test_x_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_bad_size(self):$/;" m class:BaseCopy +test_x_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_bad_size(self):$/;" m class:BaseScal +test_x_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_bad_size(self):$/;" m class:BaseSwap +test_x_decreasing_unsigned adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_x_decreasing_unsigned(self, x_dtype):$/;" m class:TestGradient +test_x_equals_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_0(self):$/;" m class:TestSmirnov +test_x_equals_0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_0(self):$/;" m class:TestSmirnovi +test_x_equals_0point5 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_0point5(self):$/;" m class:TestSmirnov +test_x_equals_0point5 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_0point5(self):$/;" m class:TestSmirnovi +test_x_equals_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_1(self):$/;" m class:TestSmirnov +test_x_equals_1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def test_x_equals_1(self):$/;" m class:TestSmirnovi +test_x_inf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_x_inf(self):$/;" m class:TestGenpareto +test_x_none adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_x_none(self):$/;" m class:TestCumulative_trapezoid +test_x_scale_jac_scale adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_x_scale_jac_scale(self):$/;" m class:SparseMixin +test_x_scale_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def test_x_scale_options(self):$/;" m class:BaseMixin +test_x_signed_int_big_jump adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_x_signed_int_big_jump(self, x_dtype):$/;" m class:TestGradient +test_x_storage_overlap adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_x_storage_overlap(self):$/;" m class:TestScalarFunction +test_x_storage_overlap adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def test_x_storage_overlap(self):$/;" m class:TestVectorialFunction +test_x_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride(self):$/;" m class:BaseAxpy +test_x_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride(self):$/;" m class:BaseCopy +test_x_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride(self):$/;" m class:BaseGemv +test_x_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride(self):$/;" m class:BaseScal +test_x_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride(self):$/;" m class:BaseSwap +test_x_stride_assert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride_assert(self):$/;" m class:BaseGemv +test_x_stride_transpose adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_x_stride_transpose(self):$/;" m class:BaseGemv +test_x_user_defined adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/tests.py /^def test_x_user_defined():$/;" f +test_x_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_x_zero(self):$/;" m class:TestGammainc +test_x_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_gammainc.py /^ def test_x_zero(self):$/;" m class:TestGammaincc +test_y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y0(self):$/;" m class:TestBessel +test_y0 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y0(self):$/;" m class:TestCephes +test_y0_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y0_zeros(self):$/;" m class:TestBessel +test_y1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y1(self):$/;" m class:TestBessel +test_y1 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y1(self):$/;" m class:TestCephes +test_y1p_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y1p_zeros(self):$/;" m class:TestBessel +test_y1_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_y1_zeros(self):$/;" m class:TestBessel +test_yearlocator_pytz adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def test_yearlocator_pytz():$/;" f +test_year_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def test_year_attribute(self):$/;" m class:TestDateAttribute +test_yi_trailing_dims adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_yi_trailing_dims(self):$/;" m class:TestBPolyFromDerivatives +test_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yn(self):$/;" m class:TestBessel +test_yn adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yn(self):$/;" m class:TestCephes +test_ynp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ynp_zeros(self):$/;" m class:TestBessel +test_ynp_zeros_large_order adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_ynp_zeros_large_order(self):$/;" m class:TestBessel +test_yn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yn_zeros(self):$/;" m class:TestBessel +test_ytickcolor_is_not_markercolor adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_ytickcolor_is_not_markercolor():$/;" f +test_yv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yv(self):$/;" m class:TestBessel +test_yv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yv(self):$/;" m class:TestCephes +test_yve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yve(self):$/;" m class:TestBessel +test_yvp adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yvp(self):$/;" m class:TestBessel +test_yv_cephes_vs_amos adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yv_cephes_vs_amos(self):$/;" m class:TestBessel +test_yv_cephes_vs_amos_only_small_orders adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def test_yv_cephes_vs_amos_only_small_orders(self):$/;" m class:TestBessel +test_y_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_bad_size(self):$/;" m class:BaseAxpy +test_y_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_bad_size(self):$/;" m class:BaseCopy +test_y_bad_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_bad_size(self):$/;" m class:BaseSwap +test_y_nd_x_1d adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_y_nd_x_1d(self):$/;" m class:TestCumulative_trapezoid +test_y_nd_x_nd adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadrature.py /^ def test_y_nd_x_nd(self):$/;" m class:TestCumulative_trapezoid +test_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride(self):$/;" m class:BaseAxpy +test_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride(self):$/;" m class:BaseCopy +test_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride(self):$/;" m class:BaseGemv +test_y_stride adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride(self):$/;" m class:BaseSwap +test_y_stride_assert adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride_assert(self):$/;" m class:BaseGemv +test_y_stride_transpose adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_fblas.py /^ def test_y_stride_transpose(self):$/;" m class:BaseGemv +test_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zero(self):$/;" m class:TestBinaryRepr +test_zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def test_zero(self):$/;" m class:TestCarg +test_zero adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def test_zero(self):$/;" m class:TestDet +test_zero adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_zero(self):$/;" m class:TestInterp1D +test_zero adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^ def test_zero(self):$/;" m class:TestExpM +test_zero adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ndtr.py /^ def test_zero(self):$/;" m class:TestNdtri +test_zero adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_zero(self):$/;" m class:TestExpon +test_zerod adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def test_zerod(self):$/;" m class:TestNonZero +test_zeroresize adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zeroresize(self):$/;" m class:TestResize +test_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros(self):$/;" m class:TestCreation +test_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zeros(self):$/;" m class:TestCreationFuncs +test_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_zeros(self):$/;" m class:TestRegression +test_zeros adpepsenv/lib/python3.8/site-packages/numpy/tests/test_matlib.py /^def test_zeros():$/;" f +test_zeros adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def test_zeros(self):$/;" m class:TestBPolyFromDerivatives +test_zeros0D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_zeros0D(self):$/;" m class:CreateZeros +test_zeros0D adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_zeros0D(self):$/;" m class:CreateZeros +test_zerosized_matrix_arg adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_special_matrices.py /^ def test_zerosized_matrix_arg(self):$/;" m class:TestBlockDiag +test_zerosize_accumulate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_zerosize_accumulate(self):$/;" m class:TestRegression +test_zerosize_reduction adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def test_zerosize_reduction(self):$/;" m class:TestUfunc +test_zerosMD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_zerosMD(self):$/;" m class:CreateZeros +test_zerosMD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_zerosMD(self):$/;" m class:CreateZeros +test_zerospolesgain adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_zerospolesgain(self):$/;" m class:TestC2D +test_zerosSD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def test_zerosSD(self):$/;" m class:CreateZeros +test_zerosSD adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ def test_zerosSD(self):$/;" m class:CreateZeros +test_zeros_appended adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros_appended(self):$/;" m class:TestResize +test_zeros_big adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros_big(self):$/;" m class:TestCreation +test_zeros_like adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zeros_like(self):$/;" m class:TestLikeFuncs +test_zeros_like_like_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros_like_like_zeros(self):$/;" m class:TestCreation +test_zeros_obj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros_obj(self):$/;" m class:TestCreation +test_zeros_obj_obj adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zeros_obj_obj(self):$/;" m class:TestCreation +test_zeros_order adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_zeros_order(self):$/;" m class:TestRegression +test_zero_byte_string adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def test_zero_byte_string():$/;" f +test_zero_column_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_column_1(self):$/;" m class:LinprogCommonTests +test_zero_column_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_column_2(self):$/;" m class:LinprogCommonTests +test_zero_der_nz_dp adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^def test_zero_der_nz_dp():$/;" f +test_zero_diag_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solve_toeplitz.py /^def test_zero_diag_error():$/;" f +test_zero_diff adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def test_zero_diff(self):$/;" m class:TestWilcoxon +test_zero_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_zero_dim(self):$/;" m class:TestReShapeOps +test_zero_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_zero_dim(self):$/;" m class:TestLengthsToShapeOps +test_zero_dim adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def test_zero_dim(self):$/;" m class:TestResize +test_zero_dimension adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zero_dimension(self):$/;" m class:TestTensordot +test_zero_dimensional adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zero_dimensional(self):$/;" m class:TestTensordot +test_zero_dimensional_interpolation_point adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def test_zero_dimensional_interpolation_point(self):$/;" m class:TestInterp +test_zero_dimensional_var adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^def test_zero_dimensional_var():$/;" f +test_zero_dimension_is_not_none1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_zero_dimension_is_not_none1(self):$/;" m class:Test_abcd_normalize +test_zero_dimension_is_not_none2 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_zero_dimension_is_not_none2(self):$/;" m class:Test_abcd_normalize +test_zero_dims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_polynomial.py /^ def test_zero_dims(self):$/;" m class:TestPolynomial +test_zero_dim_and_missing_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^ def test_zero_dim_and_missing_dim(self):$/;" m class:TestReShapeOps +test_zero_dim_and_missing_dim adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^ def test_zero_dim_and_missing_dim(self):$/;" m class:TestLengthsToShapeOps +test_zero_distance adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ def test_zero_distance(self):$/;" m class:sparse_distance_matrix_consistency +test_zero_division adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def test_zero_division(self):$/;" m class:TestComplexDivision +test_zero_division adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_spectral.py /^ def test_zero_division(self):$/;" m class:TestLombscargle +test_zero_division adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zero_division(self):$/;" m class:TestTtest_1samp +test_zero_division adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zero_division(self):$/;" m class:TestTtest_ind +test_zero_division adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zero_division(self):$/;" m class:TestTtest_rel +test_zero_division_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_zero_division_complex(self):$/;" m class:TestDivision +test_zero_element_in_diagonal adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_lapack.py /^ def test_zero_element_in_diagonal(self):$/;" m class:TestTbtrs +test_zero_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_array_tools.py /^ def test_zero_ext(self):$/;" m class:TestArrayTools +test_zero_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/core_gradients_test.py /^ def test_zero_gradient(self):$/;" m class:TestGradientCalculation +test_zero_headlength adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_quiver.py /^def test_zero_headlength():$/;" f +test_zero_linewidth adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_zero_linewidth():$/;" f +test_zero_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_zero_matrix(self):$/;" m class:TestExpM +test_zero_ndarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_zero_ndarray(self):$/;" m class:TestExpM +test_zero_negative adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_zero_negative(self):$/;" m class:TestArctan2SpecialValues +test_zero_norm adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_zero_norm(self):$/;" m class:TestVectorNorms +test_zero_norms_from_quat adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation.py /^def test_zero_norms_from_quat():$/;" f +test_zero_nyquist adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def test_zero_nyquist(self):$/;" m class:TestDiff +test_zero_nzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_zero_nzero(self):$/;" m class:TestArctan2SpecialValues +test_zero_order_round_trip adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def test_zero_order_round_trip(self):$/;" m class:TestSS2TF +test_zero_padding adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_seed_sequence.py /^def test_zero_padding():$/;" f +test_zero_padding_shortcuts adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_zero_padding_shortcuts(self, mode):$/;" m class:TestConditionalShortcuts +test_zero_pad_width adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_zero_pad_width(self, pad_width, mode):$/;" m class:TestPadWidth +test_zero_period_ValueError adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_period_ValueError(self):$/;" m class:TestVectorstrength +test_zero_phase_FIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_phase_FIR(self):$/;" m class:TestDecimate +test_zero_phase_IIR adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_phase_IIR(self):$/;" m class:TestDecimate +test_zero_positive adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_zero_positive(self):$/;" m class:TestArctan2SpecialValues +test_zero_probability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_zero_probability(self):$/;" m class:TestMultinomial +test_zero_probability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_zero_probability(self):$/;" m class:TestMultinomial +test_zero_probability adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_zero_probability(self):$/;" m class:TestMultinomial +test_zero_pzero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def test_zero_pzero(self):$/;" m class:TestArctan2SpecialValues +test_zero_rank adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_rank(self):$/;" m class:TestFFTConvolve +test_zero_rank adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_rank(self):$/;" m class:TestOAConvolve +test_zero_rank adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zero_rank(self):$/;" m class:_TestConvolve +test_zero_rhs adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def test_zero_rhs(solver):$/;" f +test_zero_row_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_row_1(self):$/;" m class:LinprogCommonTests +test_zero_row_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_row_2(self):$/;" m class:LinprogCommonTests +test_zero_row_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_row_3(self):$/;" m class:LinprogCommonTests +test_zero_row_4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^ def test_zero_row_4(self):$/;" m class:LinprogCommonTests +test_zero_sign adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_trig.py /^def test_zero_sign():$/;" f +test_zero_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def test_zero_size(self):$/;" m class:TestCorrelate +test_zero_size adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_zero_size(self, endpoint):$/;" m class:TestIntegers +test_zero_size adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^ def test_zero_size(self):$/;" m class:TestLstsq +test_zero_sized_array_indexing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def test_zero_sized_array_indexing(self):$/;" m class:TestRegression +test_zero_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^ def test_zero_sparse(self):$/;" m class:TestExpM +test_zero_stat_length_invalid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_zero_stat_length_invalid(self, mode):$/;" m class:TestStatistic +test_zero_stat_length_valid adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def test_zero_stat_length_valid(self, mode):$/;" m class:TestStatistic +test_zero_step adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zero_step(self):$/;" m class:TestArange +test_zero_weight adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zero_weight(self):$/;" m class:TestCdfDistanceValidation +test_zero_weight adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zero_weight(self):$/;" m class:TestEnergyDistance +test_zero_weight adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zero_weight(self):$/;" m class:TestWassersteinDistance +test_zero_width_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test_zero_width_string(self):$/;" m class:TestStructured +test_zero_width_strings adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_records.py /^ def test_zero_width_strings(self):$/;" m class:TestFromrecords +test_zeta adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^def test_zeta():$/;" f +test_zetac adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^ def test_zetac(self):$/;" m class:TestSystematic +test_zetac adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^def test_zetac():$/;" f +test_zetac_special_cases adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_zeta.py /^def test_zetac_special_cases():$/;" f +test_zfnet adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def test_zfnet(self):$/;" m class:TestCaffe2End2End +test_zgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_zgbtrf(self):$/;" m class:TestEigBanded +test_zgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_zgbtrs(self):$/;" m class:TestEigBanded +test_zhbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_zhbevd(self):$/;" m class:TestEigBanded +test_zhbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def test_zhbevx(self):$/;" m class:TestEigBanded +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_zipf(self):$/;" m class:TestBroadcast +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def test_zipf(self):$/;" m class:TestRandomDist +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_zipf(self):$/;" m class:TestBroadcast +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def test_zipf(self):$/;" m class:TestRandomDist +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_zipf(self):$/;" m class:TestBroadcast +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def test_zipf(self):$/;" m class:TestRandomDist +test_zipf adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def test_zipf(self):$/;" m class:RNG +test_zipf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def test_zipf(self):$/;" m class:TestExpect +test_zip_descr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^ def test_zip_descr(self):$/;" m class:TestRecFunctions +test_zi_pseudobroadcast adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zi_pseudobroadcast(self):$/;" m class:_TestLinearFilter +test_zi_some_singleton_dims adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def test_zi_some_singleton_dims(self):$/;" m class:_TestLinearFilter +test_zmap adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zmap(self):$/;" m class:TestCompareWithStats +test_zmap adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zmap(self):$/;" m class:TestVariability +test_zmap adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zmap(self):$/;" m class:TestVariability +test_zmap_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zmap_axis(self):$/;" m class:TestVariability +test_zmap_ddof adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zmap_ddof(self):$/;" m class:TestVariability +test_zoh adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def test_zoh(self):$/;" m class:TestC2D +test_zoom1 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom1(self, order):$/;" m class:TestNdimageInterpolation +test_zoom2 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom2(self):$/;" m class:TestNdimageInterpolation +test_zoom3 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom3(self):$/;" m class:TestNdimageInterpolation +test_zooming_with_inverted_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axes_grid1.py /^def test_zooming_with_inverted_axes():$/;" f +test_zoom_affine01 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_affine01(self, order, dtype):$/;" m class:TestNdimageInterpolation +test_zoom_and_clip_upper_origin adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_image.py /^def test_zoom_and_clip_upper_origin():$/;" f +test_zoom_by_int_order0 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_by_int_order0(self, zoom, mode):$/;" m class:TestNdimageInterpolation +test_zoom_grid_by_int_order0 adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_grid_by_int_order0(self, shape, zoom, mode):$/;" m class:TestNdimageInterpolation +test_zoom_grid_mode_warnings adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_grid_mode_warnings(self, mode):$/;" m class:TestNdimageInterpolation +test_zoom_infinity adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_infinity(self):$/;" m class:TestNdimageInterpolation +test_zoom_inset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def test_zoom_inset():$/;" f +test_zoom_output_shape adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^def test_zoom_output_shape():$/;" f +test_zoom_output_shape_roundoff adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_output_shape_roundoff(self):$/;" m class:TestNdimageInterpolation +test_zoom_zoomfactor_one adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_interpolation.py /^ def test_zoom_zoomfactor_one(self):$/;" m class:TestNdimageInterpolation +test_zorder adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_table.py /^def test_zorder():$/;" f +test_zscore adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zscore(self):$/;" m class:TestCompareWithStats +test_zscore adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ def test_zscore(self):$/;" m class:TestVariability +test_zscore adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore(self):$/;" m class:TestVariability +test_zscore_2d_all_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_2d_all_nan(self):$/;" m class:TestVariability +test_zscore_2d_all_nan_row adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_2d_all_nan_row(self):$/;" m class:TestVariability +test_zscore_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_axis(self):$/;" m class:TestVariability +test_zscore_constant_input_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_constant_input_1d(self):$/;" m class:TestVariability +test_zscore_constant_input_2d adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_constant_input_2d(self):$/;" m class:TestVariability +test_zscore_constant_input_2d_nan_policy_omit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_constant_input_2d_nan_policy_omit(self):$/;" m class:TestVariability +test_zscore_ddof adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_ddof(self):$/;" m class:TestVariability +test_zscore_empty_input adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_empty_input(self, x):$/;" m class:TestVariability +test_zscore_nan_omit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_nan_omit(self):$/;" m class:TestVariability +test_zscore_nan_propagate adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_nan_propagate(self):$/;" m class:TestVariability +test_zscore_nan_raise adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def test_zscore_nan_raise(self):$/;" m class:TestVariability +test_zvode adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def test_zvode(self):$/;" m class:TestOde +test__aligned_zeros adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^def test__aligned_zeros():$/;" f +test__clean_inputs1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test__clean_inputs1():$/;" f +test__clean_inputs2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test__clean_inputs2():$/;" f +test__clean_inputs3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__linprog_clean_inputs.py /^def test__clean_inputs3():$/;" f +test__complex__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test__complex__(self):$/;" m class:TestMethods +test__complex__should_not_work adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test__complex__should_not_work(self):$/;" m class:TestMethods +test__copy adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def test__copy(self):$/;" m class:TestMaskedConstant +test__cseries_to_zseries adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test__cseries_to_zseries(self):$/;" m class:TestPrivate +test__currenttobest1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__currenttobest1(self):$/;" m class:TestDifferentialEvolutionSolver +test__ensure_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__ensure_constraint(self):$/;" m class:TestDifferentialEvolutionSolver +test__EventCollection__add_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__add_positions():$/;" f +test__EventCollection__append_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__append_positions():$/;" f +test__EventCollection__extend_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__extend_positions():$/;" f +test__EventCollection__get_props adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__get_props():$/;" f +test__EventCollection__set_color adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_color():$/;" f +test__EventCollection__set_linelength adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_linelength():$/;" f +test__EventCollection__set_lineoffset adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_lineoffset():$/;" f +test__EventCollection__set_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_orientation():$/;" f +test__EventCollection__set_positions adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_positions():$/;" f +test__EventCollection__set_prop adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__set_prop():$/;" f +test__EventCollection__switch_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__switch_orientation():$/;" f +test__EventCollection__switch_orientation_2x adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^def test__EventCollection__switch_orientation_2x():$/;" f +test__mem_available adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__testutils.py /^def test__mem_available():$/;" f +test__mutate1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__mutate1(self):$/;" m class:TestDifferentialEvolutionSolver +test__mutate2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__mutate2(self):$/;" m class:TestDifferentialEvolutionSolver +test__nan_mask adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^def test__nan_mask(arr, expected):$/;" f +test__parse_size adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__testutils.py /^def test__parse_size():$/;" f +test__randtobest1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__randtobest1(self):$/;" m class:TestDifferentialEvolutionSolver +test__replace_nan adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^def test__replace_nan():$/;" f +test__scale_parameters adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__scale_parameters(self):$/;" m class:TestDifferentialEvolutionSolver +test__size_to_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^ def test__size_to_string(self):$/;" m class:TestArrayMemoryError +test__strategy_resolves adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__strategy_resolves(self):$/;" m class:TestDifferentialEvolutionSolver +test__total_size adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^ def test__total_size(self):$/;" m class:TestArrayMemoryError +test__unscale_parameters adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__differential_evolution.py /^ def test__unscale_parameters(self):$/;" m class:TestDifferentialEvolutionSolver +test__validate_vector adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def test__validate_vector():$/;" f +test__vq_invalid_type adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test__vq_invalid_type(self):$/;" m class:TestVq +test__vq_sametype adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^ def test__vq_sametype(self):$/;" m class:TestVq +test__zseries_to_cseries adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ def test__zseries_to_cseries(self):$/;" m class:TestPrivate +test___array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def test___array__(self):$/;" m class:TestFlat +tetrahedral adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_groups.py /^def tetrahedral(cls):$/;" f +tex2uni adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^tex2uni = {$/;" v +texcache adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ texcache = os.path.join(mpl.get_cachedir(), 'tex.cache')$/;" v class:TexManager +texmanager adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.texmanager as texmanager$/;" I +TexManager adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^class TexManager:$/;" c +Text adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^class Text(object):$/;" c +text adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def text(self, x, y, s, fontdict=None, **kwargs):$/;" m class:Axes +text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def text(self):$/;" m class:ColorLayout +text adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.text as text$/;" I +Text adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^Text = namedtuple('Text', 'x y font glyph width')$/;" v +text adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def text(self, x, y, s, fontdict=None, **kwargs):$/;" m class:Figure +text adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def text(x, y, s, fontdict=None, **kwargs):$/;" f +Text adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^class Text(Artist):$/;" c +text adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def text(self):$/;" m class:TextBox +text adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def text(self, x, y, z, s, zdir=None, **kwargs):$/;" m class:Axes3D +text adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def text($/;" m class:ImageDraw +text adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def text(self, xy, text, font):$/;" m class:Draw +text adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def text(self):$/;" m class:PngImageFile +text adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def text(self, xy, text):$/;" m class:PSDraw +text adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def text(self, data):$/;" m class:TreeWalker +TEXT adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^TEXT = Node.TEXT_NODE$/;" v +text adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def text(self):$/;" m class:Response +text adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def text(self):$/;" m class:Response +text adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ text = """\\$/;" v +text adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^text = summary_v2.text$/;" v +text adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary_v2.py /^def text(name, data, step=None, description=None):$/;" f +text adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^text = _text_summary.op$/;" v +text adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def text(self, data):$/;" m class:TreeWalker +TEXT adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^TEXT = Node.TEXT_NODE$/;" v +text adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^def text(name, tensor, collections=None):$/;" f +text adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def text(tag, text):$/;" f +Text adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Text = typing.Text$/;" v +text2D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ text2D = Axes.text$/;" v class:Axes3D +Text3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^class Text3D(mtext.Text):$/;" c +text3D adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ text3D = text$/;" v class:Axes3D +TextAndXMLTestRunner adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^class TextAndXMLTestRunner(unittest.TextTestRunner):$/;" c +TextArea adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class TextArea(OffsetBox):$/;" c +textbbox adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def textbbox($/;" m class:ImageDraw +TextBox adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class TextBox(AxesWidget):$/;" c +TextFile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^class TextFile:$/;" c +TextFileIdTableInitializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class TextFileIdTableInitializer(TextFileInitializer):$/;" c +TextFileIndex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class TextFileIndex(object):$/;" c +TextFileInitializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class TextFileInitializer(TableInitializerBase):$/;" c +TextFileReader adpepsenv/lib/python3.8/site-packages/caffe2/python/text_file_reader.py /^class TextFileReader(Reader):$/;" c +TextFileStringTableInitializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^class TextFileStringTableInitializer(TextFileInitializer):$/;" c +TextIO adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^class TextIO(BytesIO):$/;" c +textlength adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def textlength($/;" m class:ImageDraw +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ TextLineDataset = TextLineDatasetV1$/;" v +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ TextLineDataset = TextLineDatasetV2$/;" v +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^TextLineDataset = tf_export("raw_ops.TextLineDataset")(_ops.to_raw_op(text_line_dataset))$/;" v +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.readers import TextLineDatasetV1 as TextLineDataset$/;" x +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.readers import TextLineDatasetV2 as TextLineDataset$/;" x +TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.readers import TextLineDatasetV2 as TextLineDataset$/;" x +TextLineDatasetTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^class TextLineDatasetTestBase(test_base.DatasetTestBase):$/;" c +TextLineDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class TextLineDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +TextLineDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class TextLineDatasetV2(dataset_ops.DatasetSource):$/;" c +TextLineReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^TextLineReader = tf_export("raw_ops.TextLineReader")(_ops.to_raw_op(text_line_reader))$/;" v +TextLineReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class TextLineReader(ReaderBase):$/;" c +TextLineReaderV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^TextLineReaderV2 = tf_export("raw_ops.TextLineReaderV2")(_ops.to_raw_op(text_line_reader_v2))$/;" v +TextPath adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^class TextPath(Path):$/;" c +TextPhase adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ class TextPhase(Phase):$/;" c function:getPhases file: +TextPhase adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ class TextPhase(Phase):$/;" c function:getPhases file: +TextPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^class TextPlugin(base_plugin.TBPlugin):$/;" c +TextPluginData adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^TextPluginData = _reflection.GeneratedProtocolMessageType('TextPluginData', (_message.Message,),/;" v +textsize adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def textsize($/;" m class:ImageDraw +textsize adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def textsize(self, text, font):$/;" m class:Draw +TextStringEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^class TextStringEncoder(AbstractItemEncoder):$/;" c +texts_to_matrix adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def texts_to_matrix(self, texts, mode='binary'):$/;" m class:Tokenizer +texts_to_sequences adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def texts_to_sequences(self, texts):$/;" m class:Tokenizer +texts_to_sequences_generator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def texts_to_sequences_generator(self, texts):$/;" m class:Tokenizer +TextTestResult adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^class TextTestResult(unittest3_backport.TextTestResult):$/;" c +TextTestResult adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/result.py /^class TextTestResult(unittest.TextTestResult):$/;" c +TextTestRunner adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^class TextTestRunner(unittest.TextTestRunner):$/;" c +TextToPath adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^class TextToPath:$/;" c +TextV2Plugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^class TextV2Plugin(base_plugin.TBPlugin):$/;" c +TextVectorization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^class TextVectorization(base_preprocessing_layer.CombinerPreprocessingLayer):$/;" c +TextVectorization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization_v1.py /^class TextVectorization(text_vectorization.TextVectorization,$/;" c +TextVectorizationV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.text_vectorization_v1 import TextVectorizati/;" x +TextVectorizationV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ TextVectorizationV1 = TextVectorization$/;" v +TextVectorizationV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ from tensorflow.python.keras.layers.preprocessing.text_vectorization import TextVectorization /;" x +TextVectorizationV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ TextVectorizationV2 = TextVectorization$/;" v +TextWriter adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class TextWriter(object):$/;" c +text_2d_to_3d adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def text_2d_to_3d(obj, z=0, zdir='z'):$/;" f +text_array_to_html adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^def text_array_to_html(text_arr):$/;" f +text_dataset_from_directory adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text_dataset.py /^def text_dataset_from_directory(directory,$/;" f +text_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def text_impl(self, ctx, run, tag, experiment):$/;" m class:TextPlugin +text_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def text_impl(self, ctx, run, tag, experiment):$/;" m class:TextV2Plugin +text_join adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def text_join(parts):$/;" f member:Factory.get_installation_error file: +text_line_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def text_line_dataset(filenames, compression_type, buffer_size, name=None):$/;" f +text_line_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def text_line_dataset_eager_fallback(filenames, compression_type, buffer_size, name, ctx):$/;" f +text_line_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def text_line_reader(skip_header_lines=0, container="", shared_name="", name=None):$/;" f +text_line_reader_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def text_line_reader_eager_fallback(skip_header_lines, container, shared_name, name, ctx):$/;" f +text_line_reader_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def text_line_reader_v2(skip_header_lines=0, container="", shared_name="", name=None):$/;" f +text_line_reader_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def text_line_reader_v2_eager_fallback(skip_header_lines, container, shared_name, name, ctx):$/;" f +text_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^from tensorboard.plugins.text import metadata as text_metadata$/;" x +text_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^text_pb = summary_v2.text_pb$/;" v +text_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary_v2.py /^def text_pb(tag, data, description=None):$/;" f +text_pb adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^text_pb = _text_summary.pb$/;" v +text_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def text_route(self, request):$/;" m class:TextPlugin +TEXT_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^TEXT_ROUTE = "\/text"$/;" v +text_route adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def text_route(self, request):$/;" m class:TextV2Plugin +TEXT_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^TEXT_ROUTE = "\/text"$/;" v +text_to_path adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^text_to_path = TextToPath()$/;" v +text_to_word_sequence adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^def text_to_word_sequence(text,$/;" f +text_to_word_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text.py /^def text_to_word_sequence(input_text,$/;" f +text_type adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/chardet/compat.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/compat.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/compat.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ text_type = str$/;" v +text_type adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ text_type = unicode$/;" v +text_type adpepsenv/lib/python3.8/site-packages/wheel/util.py /^ text_type = unicode # noqa: F821$/;" v +text_wrap adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def text_wrap(text, length=None, indent='', firstline_indent=None):$/;" f +text_wrap adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^text_wrap = _helpers.text_wrap$/;" v +tf adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_test.py /^ import tensorflow as tf$/;" I function:load_events file: +tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^import tensorflow as tf # type: ignore[import]$/;" I +tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_test.py /^import tensorflow as tf # type: ignore[import]$/;" I +tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^import tensorflow as tf # type: ignore[import]$/;" I +tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^import tensorflow as tf # type: ignore[import]$/;" I +tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^import tensorflow as tf # type: ignore[import]$/;" I +tf adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^ import tensorflow as tf$/;" I function:_get_tensorflow_and_device file: +tf adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard/compat/__init__.py /^def tf():$/;" f +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:_buckets file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf # noqa: F401$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:raw_data_op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:raw_data_pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:streaming_op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:_create_tensor_summary file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:op file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary.py /^ import tensorflow.compat.v1 as tf$/;" I function:pb file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/summary_v2.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/summary/_tf/summary/__init__.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ import tensorflow.compat.v1 as tf$/;" I member:_TensorFlowPngEncoder.initialize_graph file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ import tensorflow.compat.v1 as tf$/;" I member:_TensorFlowWavEncoder.initialize_graph file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^from tensorboard.compat import tf2 as tf$/;" x +tf adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ import tensorflow.compat.v1 as tf$/;" I member:PersistentOpEvaluator._lazily_initialize file: +tf adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^import tensorflow.compat.v2 as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/debug_mnist.py /^tf = tensorflow.compat.v1$/;" v +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_errors.py /^tf = tensorflow.compat.v1$/;" v +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^tf = tensorflow.compat.v1$/;" v +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_keras.py /^tf = tensorflow.compat.v1$/;" v +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^tf = tensorflow.compat.v1$/;" v +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_fibonacci_v2.py /^import tensorflow.compat.v2 as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^import tensorflow.compat.v2 as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/saved_model_benchmarks/saved_model_benchmark_util.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ import tensorflow.compat.v2 as tf$/;" I member:ndarray.__array_module__ file: +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^ import tensorflow as tf$/;" I function:get_include file: +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^ import tensorflow as tf$/;" I function:get_lib file: +tf adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/future_api.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^import tensorflow as tf$/;" I +tf adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^import tensorflow as tf$/;" I +tf2 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/__init__.py /^def tf2():$/;" f +tf2sos adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def tf2sos(b, a, pairing='nearest'):$/;" f +tf2ss adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def tf2ss(num, den):$/;" f +tf2xla adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^from tensorflow.compiler.tf2xla.python import xla as tf2xla$/;" x +tf2zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def tf2zpk(b, a):$/;" f +tf32_is_not_fp32 adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def tf32_is_not_fp32():$/;" f +tf32_notes adpepsenv/lib/python3.8/site-packages/torch/_torch_docs.py /^tf32_notes = {$/;" v +tf32_off adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def tf32_off():$/;" f +tf32_on adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def tf32_on(self, tf32_precision=1e-5):$/;" f +tf32_on_and_off adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def tf32_on_and_off(tf32_precision=1e-5):$/;" f +TFAPIChangeSpec adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^class TFAPIChangeSpec(ast_edits.NoUpdateSpec):$/;" c +TFAPIChangeSpec adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_safety.py /^class TFAPIChangeSpec(ast_edits.APIChangeSpec):$/;" c +TFAPIImportAnalysisSpec adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^class TFAPIImportAnalysisSpec(ast_edits.APIAnalysisSpec):$/;" c +TFClassMethodDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class TFClassMethodDispatcher(dispatch.OpDispatcher):$/;" c +TFConfigClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^class TFConfigClusterResolver(ClusterResolver):$/;" c +TfDataBottleneckAnalysis adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^TfDataBottleneckAnalysis = _reflection.GeneratedProtocolMessageType('TfDataBottleneckAnalysis', /;" v +TfDataStats adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^TfDataStats = _reflection.GeneratedProtocolMessageType('TfDataStats', (_message.Message,), {$/;" v +tfdbg_file_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tfdbg_file_version(self):$/;" m class:DebugEventsReader +tfdbg_run_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tfdbg_run_id(self):$/;" m class:DebugDataReader +tfdbg_run_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def tfdbg_run_id(self):$/;" m class:DebugEventsReader +tfdbg_run_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def tfdbg_run_id(self):$/;" m class:_DumpingCallback +TFDecorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^class TFDecorator(object):$/;" c +TFE_DEVICE_PLACEMENT_EXPLICIT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^TFE_DEVICE_PLACEMENT_EXPLICIT = 0$/;" v +TFE_DEVICE_PLACEMENT_SILENT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^TFE_DEVICE_PLACEMENT_SILENT = 0$/;" v +TFE_DEVICE_PLACEMENT_SILENT_FOR_INT32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^TFE_DEVICE_PLACEMENT_SILENT_FOR_INT32 = 0$/;" v +TFE_DEVICE_PLACEMENT_WARN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^TFE_DEVICE_PLACEMENT_WARN = 0$/;" v +TFIDF adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^TFIDF = "tf-idf"$/;" v +TFIDF adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^TFIDF = category_encoding.TFIDF$/;" v +TFLITE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^TFLITE = _toco_flags_pb2.TFLITE$/;" v +TFLITE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^TFLITE = 2$/;" v +TFLiteConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteConverter(TFLiteFrozenGraphConverter):$/;" c +TFLiteConverter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lite/__init__.py /^from tensorflow.lite.python.lite import TFLiteConverterV2 as TFLiteConverter$/;" x +TFLiteConverter adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lite/__init__.py /^from tensorflow.lite.python.lite import TFLiteConverterV2 as TFLiteConverter$/;" x +TFLiteConverterBase adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteConverterBase(object):$/;" c +TFLiteConverterBaseV1 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteConverterBaseV1(TFLiteConverterBase):$/;" c +TFLiteConverterBaseV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteConverterBaseV2(TFLiteConverterBase):$/;" c +TFLiteConverterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteConverterV2(TFLiteFrozenGraphConverterV2):$/;" c +TFLiteFrozenGraphConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteFrozenGraphConverter(TFLiteConverterBaseV1):$/;" c +TFLiteFrozenGraphConverterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteFrozenGraphConverterV2(TFLiteConverterBaseV2):$/;" c +TFLiteKerasModelConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteKerasModelConverter(TFLiteConverterBaseV1):$/;" c +TFLiteKerasModelConverterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteKerasModelConverterV2(TFLiteConverterBaseV2):$/;" c +TFLiteLSTMCell adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^class TFLiteLSTMCell(rnn_cell_impl.LayerRNNCell):$/;" c +TfLiteRNNCell adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^class TfLiteRNNCell(rnn_cell_impl.LayerRNNCell):$/;" c +TFLiteSavedModelConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteSavedModelConverter(TFLiteConverterBaseV1):$/;" c +TFLiteSavedModelConverterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TFLiteSavedModelConverterV2(TFLiteConverterBaseV2):$/;" c +TFLITE_BUILTINS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ TFLITE_BUILTINS = "TFLITE_BUILTINS"$/;" v class:OpsSet +TFLITE_BUILTINS_INT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ TFLITE_BUILTINS_INT8 = "TFLITE_BUILTINS_INT8"$/;" v class:OpsSet +tflite_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/signal/test_util.py /^def tflite_convert(fn, input_templates):$/;" f +TFLITE_INPUT_INDICES adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ TFLITE_INPUT_INDICES = "_tflite_input_indices"$/;" v class:OpHint +Tfm adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class Tfm:$/;" c +TfMethodTarget adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class TfMethodTarget(object):$/;" c +TFModuleWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^class TFModuleWrapper(types.ModuleType):$/;" c +tfmodule_models_with_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def tfmodule_models_with_strategies():$/;" f +tfmodule_models_with_strategy_pairs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^def tfmodule_models_with_strategy_pairs():$/;" f +TFOpLambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class TFOpLambda(Layer):$/;" c +TFOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^class TFOptimizer(Optimizer, trackable.Trackable):$/;" c +TFProfTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^TFProfTensorProto = _reflection.GeneratedProtocolMessageType('TFProfTensorProto', (_message.Mess/;" v +TFRecordCompressionType adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^class TFRecordCompressionType(object):$/;" c +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ TFRecordDataset = TFRecordDatasetV1$/;" v +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ TFRecordDataset = TFRecordDatasetV2$/;" v +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^TFRecordDataset = tf_export("raw_ops.TFRecordDataset")(_ops.to_raw_op(tf_record_dataset))$/;" v +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.readers import TFRecordDatasetV1 as TFRecordDataset$/;" x +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.readers import TFRecordDatasetV2 as TFRecordDataset$/;" x +TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.readers import TFRecordDatasetV2 as TFRecordDataset$/;" x +TFRecordDatasetTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^class TFRecordDatasetTestBase(test_base.DatasetTestBase):$/;" c +TFRecordDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class TFRecordDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +TFRecordDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class TFRecordDatasetV2(dataset_ops.DatasetV2):$/;" c +TFRecordOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^class TFRecordOptions(object):$/;" c +TFRecordReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^TFRecordReader = tf_export("raw_ops.TFRecordReader")(_ops.to_raw_op(tf_record_reader))$/;" v +TFRecordReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class TFRecordReader(ReaderBase):$/;" c +TFRecordReaderV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^TFRecordReaderV2 = tf_export("raw_ops.TFRecordReaderV2")(_ops.to_raw_op(tf_record_reader_v2))$/;" v +TFRecordWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/writers.py /^class TFRecordWriter(object):$/;" c +TFRecordWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^class TFRecordWriter(_pywrap_record_io.RecordWriter):$/;" c +TFSequence adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ from tensorflow.keras.utils import Sequence as TFSequence$/;" x member:DataFrameIterator.__new__ file: +TFSequence adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ from tensorflow.keras.utils import Sequence as TFSequence$/;" x member:DirectoryIterator.__new__ file: +TFSequence adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/numpy_array_iterator.py /^ from tensorflow.keras.utils import Sequence as TFSequence$/;" x member:NumpyArrayIterator.__new__ file: +TFSlicingOpDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^class TFSlicingOpDispatcher(dispatch.OpDispatcher):$/;" c +TfStatsDatabase adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^TfStatsDatabase = _reflection.GeneratedProtocolMessageType('TfStatsDatabase', (_message.Message,/;" v +TfStatsRecord adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^TfStatsRecord = _reflection.GeneratedProtocolMessageType('TfStatsRecord', (_message.Message,), {$/;" v +TfStatsTable adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^TfStatsTable = _reflection.GeneratedProtocolMessageType('TfStatsTable', (_message.Message,), {$/;" v +TfTrtIntegrationTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^class TfTrtIntegrationTestBase(test_util.TensorFlowTestCase):$/;" c +TfTrtIntegrationTestParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^TfTrtIntegrationTestParams = collections.namedtuple($/;" v +TfVal adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^TfVal = Any$/;" v +TFVersionCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^class TFVersionCombination(test_combinations.TestCombination):$/;" c +tfxla adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from tensorflow.compiler.tf2xla.python import xla as tfxla # type: ignore[import]$/;" x +TF_bfloat16_type adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def TF_bfloat16_type():$/;" f +tf_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def tf_broadcast(*args):$/;" f +tf_buffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^def tf_buffer(data=None):$/;" f +tf_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def tf_cluster(self):$/;" m class:Cluster +tf_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^from tensorflow.python import _pywrap_tf_cluster as tf_cluster$/;" x +tf_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^from tensorflow.python.compat import compat as tf_compat$/;" x +tf_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^from tensorflow.python.compat import compat as tf_compat$/;" x +tf_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^from tensorflow.python.framework import config as tf_config$/;" x +tf_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.framework import config as tf_config$/;" x +tf_const adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def tf_const(shape):$/;" f member:ShapePolyTest.test_arg_avals file: +tf_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def tf_convert(f, ctx, convert_by_default=True, user_requested=False):$/;" f +tf_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_errors.py /^from tensorflow.python import debug as tf_debug$/;" x +tf_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^from tensorflow.python import debug as tf_debug$/;" x +tf_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_keras.py /^from tensorflow.python import debug as tf_debug$/;" x +tf_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^from tensorflow.python import debug as tf_debug$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^from tensorflow.python.framework import device as tf_device$/;" x +tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^tf_export = functools.partial(api_export, api_name=TENSORFLOW_API_NAME)$/;" v +tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^from tensorflow.python.eager import function as tf_function$/;" x +tf_function_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def tf_function_restore():$/;" f member:MultiDeviceSaver.restore file: +tf_function_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def tf_function_save():$/;" f member:MultiDeviceSaver.save file: +tf_graph_util adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.python.framework import graph_util as tf_graph_util$/;" x +tf_identity adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^from tensorflow.python.ops.array_ops import identity as tf_identity$/;" x +tf_if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def tf_if_stmt(cond, body, orelse, get_state, set_state, basic_symbol_names,$/;" f +tf_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^tf_impl: Dict[core.Primitive,$/;" v +tf_impl_with_avals adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^tf_impl_with_avals: Dict[core.Primitive,$/;" v +tf_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def tf_item(self):$/;" m class:Item +tf_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^from tensorflow.python import _pywrap_tf_item as tf_item$/;" x +tf_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^from tensorflow.python.saved_model import load as tf_load$/;" x +tf_nest adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.util import nest as tf_nest$/;" x +TF_NewSessionOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^def TF_NewSessionOptions(target=None, config=None):$/;" f +tf_not_yet_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^tf_not_yet_impl = [$/;" v +tf_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^def tf_operations(graph):$/;" f +tf_opt adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/tf_optimizer.py /^from tensorflow.python import _pywrap_tf_optimizer as tf_opt$/;" x +tf_optimizer_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizers.py /^from tensorflow.python.training import optimizer as tf_optimizer_module$/;" x +tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^def tf_output(c_op, index):$/;" f +tf_random_seed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def tf_random_seed(self):$/;" m class:RunConfig +tf_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def tf_rank(t):$/;" f +tf_record_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tf_record_dataset(filenames, compression_type, buffer_size, name=None):$/;" f +tf_record_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def tf_record_dataset_eager_fallback(filenames, compression_type, buffer_size, name, ctx):$/;" f +tf_record_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^def tf_record_iterator(path, options=None):$/;" f +tf_record_random_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^def tf_record_random_reader(path):$/;" f +tf_record_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def tf_record_reader(container="", shared_name="", compression_type="", name=None):$/;" f +tf_record_reader_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def tf_record_reader_eager_fallback(container, shared_name, compression_type, name, ctx):$/;" f +tf_record_reader_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def tf_record_reader_v2(container="", shared_name="", compression_type="", name=None):$/;" f +tf_record_reader_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def tf_record_reader_v2_eager_fallback(container, shared_name, compression_type, name, ctx):$/;" f +TF_Reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^def TF_Reset(target, containers=None, config=None):$/;" f +tf_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^from tensorflow.python.training import saver as tf_saver$/;" x +tf_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^from tensorflow.python.training import saver as tf_saver$/;" x +tf_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^from tensorflow.python.training import saver as tf_saver$/;" x +tf_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^from tensorflow.python.client import pywrap_tf_session as tf_session$/;" x +tf_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.python.client import session as tf_session$/;" x +tf_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^from tensorflow.python.summary import summary as tf_summary$/;" x +tf_tensor_array_new adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def tf_tensor_array_new(elements, element_dtype=None, element_shape=None):$/;" f +tf_tensor_list_new adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def tf_tensor_list_new(elements, element_dtype=None, element_shape=None):$/;" f +tf_value_and_grad adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def tf_value_and_grad(xv):$/;" f member:ShapePolyTest.test_gradients_pytree file: +tf_value_and_grad adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def tf_value_and_grad(xv):$/;" f member:ShapePolyTest.test_with_custom_vjp file: +TF_VALUE_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^TF_VALUE_DTYPES = set(_NP_TO_TF.values())$/;" v +tf_var adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/shape_poly_test.py /^ def tf_var(init_shape, shape):$/;" f member:ShapePolyTest.test_arg_avals file: +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +tf_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^from tensorflow.python.ops import variables as tf_variables$/;" x +TF_WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/xception.py /^TF_WEIGHTS_PATH = ($/;" v +TF_WEIGHTS_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/xception.py /^TF_WEIGHTS_PATH_NO_TOP = ($/;" v +TgaImageFile adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^class TgaImageFile(ImageFile.ImageFile):$/;" c +TGEXEC adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TGEXEC = 0o010 # execute\/search by group$/;" v +TGREAD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TGREAD = 0o040 # read by group$/;" v +tgt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^ tgt = np.array([ldbl]*5)$/;" v class:TestFileBased +tgtshape adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ tgtshape = {(0, 0): (1, 2, 3, 4), (0, 1): (1, 2, 3, 4),$/;" v class:TestRollaxis +TGWRITE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TGWRITE = 0o020 # write by group$/;" v +TH adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +TH adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +Thai adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class Thai(unicode_set):$/;" c class:pyparsing_unicode +Thai adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class Thai(unicode_set):$/;" c class:pyparsing_unicode +ThaiLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langthaimodel.py /^ThaiLangModel = ($/;" v +THAI_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langthaimodel.py /^THAI_LANG_MODEL = {$/;" v +theano_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/theano.py /^ def theano_contract(*arrays):$/;" f function:build_expression file: +thefunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def thefunc(x,*myargs):$/;" f function:_quad_weight file: +thefunc adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^ def thefunc(x):$/;" f function:_bspline_piecefunctions.piecefuncgen file: +theilslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def theilslopes(y, x=None, alpha=0.95):$/;" f +theilslopes adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^def theilslopes(y, x=None, alpha=0.95):$/;" f +TheModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ class TheModule(torch.jit.ScriptModule):$/;" c function:create_script_module.script_module file: +then adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^ def then(self, on_success=None, on_failure=None):$/;" m class:ThenableFuture +then adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^def then(future, on_success=None, on_failure=None):$/;" f +then adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ def then(self, callback): # type: (Callable[[Future[T]], S]) -> Future[S]$/;" m class:Future +ThenableFuture adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^class ThenableFuture(Future):$/;" c +ThenSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ThenSubgraphIndex(self):$/;" m class:IfOptions +then_expression_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def then_expression_fn():$/;" f function:switch file: +thermal_chooser adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^def thermal_chooser(queue, remaining, nbranch=8, temperature=1, rel_temperature=True):$/;" f +THESE_TAKE_WAY_TOO_LONG adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^THESE_TAKE_WAY_TOO_LONG = {$/;" v +theta adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^theta = np.pi\/4*np.arange(9,dtype=float).reshape(1,9)$/;" v +ThetaAxis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class ThetaAxis(maxis.XAxis):$/;" c +ThetaFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ class ThetaFormatter(Formatter):$/;" c class:GeoAxes +ThetaFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class ThetaFormatter(mticker.Formatter):$/;" c +thetagrids adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def thetagrids(angles=None, labels=None, fmt=None, **kwargs):$/;" f +ThetaLocator adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class ThetaLocator(mticker.Locator):$/;" c +ThetaTick adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class ThetaTick(maxis.XTick):$/;" c +theta_stretch adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def theta_stretch(theta, scale):$/;" f member:Arc.draw file: +third__party_dot_tensorflow_dot_core_dot_profiler_dot_profiler__analysis__pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^from tensorflow.core.profiler import profiler_analysis_pb2 as third__party_dot_tensorflow_dot_co/;" x +ThreadedStream adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^class ThreadedStream(object):$/;" c +ThreadedWSGIServer adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^class ThreadedWSGIServer(ThreadingMixIn, BaseWSGIServer):$/;" c +threading adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ import dummy_threading as threading # type: ignore$/;" I +threading adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ import dummy_threading as threading$/;" I +threading adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ import dummy_threading as threading$/;" I +ThreadingMixIn adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ThreadingMixIn = socketserver.ThreadingMixIn$/;" v +ThreadingOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threading_options.py /^class ThreadingOptions(options.OptionsBase):$/;" c +ThreadLocalState adpepsenv/lib/python3.8/site-packages/jax/core.py /^class ThreadLocalState(threading.local):$/;" c +ThreadPool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def ThreadPool(executor):$/;" f +ThreadPool adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^from multiprocessing.dummy import Pool as ThreadPool$/;" x +ThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ThreadPoolDataset = tf_export("raw_ops.ThreadPoolDataset")(_ops.to_raw_op(thread_pool_dataset))$/;" v +ThreadPoolExecutor adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^class ThreadPoolExecutor(MPIPoolExecutor): # noqa: D204$/;" c +ThreadPoolHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^ThreadPoolHandle = tf_export("raw_ops.ThreadPoolHandle")(_ops.to_raw_op(thread_pool_handle))$/;" v +ThreadPoolOptionProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^ThreadPoolOptionProto = _reflection.GeneratedProtocolMessageType('ThreadPoolOptionProto', (_mess/;" v +ThreadPoolOptionProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^ThreadPoolOptionProto = _reflection.GeneratedProtocolMessageType('ThreadPoolOptionProto', (_mess/;" v +threads adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ threads = 16$/;" v class:TestFFTThreadSafe +threads adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ threads = 16$/;" v class:TestFFTThreadSafe +ThreadsafeIter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^class ThreadsafeIter(object):$/;" c +threadsafety adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_quadpack.py /^ def threadsafety(y):$/;" f member:TestMultivariateCtypesQuad.test_threadsafety file: +threadsafe_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def threadsafe_generator(f):$/;" f +ThreadSwitchingConsumer adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^class ThreadSwitchingConsumer(stream.Consumer):$/;" c +THREADS_QUEUES adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^THREADS_QUEUES = weakref.WeakKeyDictionary()$/;" v +ThreadUnsafeUnigramCandidateSampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^ThreadUnsafeUnigramCandidateSampler = tf_export("raw_ops.ThreadUnsafeUnigramCandidateSampler")(_/;" v +thread_local_state adpepsenv/lib/python3.8/site-packages/jax/core.py /^thread_local_state = ThreadLocalState()$/;" v +thread_pool_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def thread_pool_dataset(input_dataset, thread_pool, output_types, output_shapes, name=None):$/;" f +thread_pool_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def thread_pool_dataset_eager_fallback(input_dataset, thread_pool, output_types, output_shapes, /;" f +thread_pool_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def thread_pool_handle(num_threads, display_name, max_intra_op_parallelism=1, container="", shar/;" f +thread_pool_handle_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def thread_pool_handle_eager_fallback(num_threads, display_name, max_intra_op_parallelism, conta/;" f +thread_resources adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^thread_resources = threading.local()$/;" v +thread_runner adpepsenv/lib/python3.8/site-packages/caffe2/python/scope_test.py /^def thread_runner(idx, testobj):$/;" f +thread_unsafe_unigram_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def thread_unsafe_unigram_candidate_sampler(true_classes, num_true, num_sampled, unique, range_m/;" f +thread_unsafe_unigram_candidate_sampler_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def thread_unsafe_unigram_candidate_sampler_eager_fallback(true_classes, num_true, num_sampled, /;" f +THREEFRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ THREEFRY = 2$/;" v class:Algorithm +threefry2x32_p adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^threefry2x32_p = core.Primitive("threefry2x32")$/;" v +threefry_2x32 adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def threefry_2x32(keypair, count):$/;" f +THREEFRY_STATE_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^THREEFRY_STATE_SIZE = 2$/;" v +threshold adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^threshold = _threshold$/;" v +Threshold adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^class Threshold(Module):$/;" c +threshold adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def threshold(input: Tensor, threshold: float, value: float) -> Tensor:$/;" f +threshold adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def threshold(g, self, threshold, value):$/;" f +threshold adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ threshold = 1000$/;" v class:__PrinterOptions +ThresholdedReLU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^class ThresholdedReLU(Layer):$/;" c +thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def thresholds(self):$/;" m class:AUC +threshold_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^threshold_ = _add_docstr(_VF.threshold_, r"""$/;" v +thresh_min adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^thresh_min = 0.75 # minimum difference estimate - true to fail test$/;" v +thresh_percent adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^thresh_percent = 0.25 # percent of true parameters for fail cut-off$/;" v +ThroughputBenchmark adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^class ThroughputBenchmark(object):$/;" c +ThrowingFloat adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ class ThrowingFloat(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowingFloat adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ class ThrowingFloat(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowingFloat adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ class ThrowingFloat(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowingInteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ class ThrowingInteger(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowingInteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ class ThrowingInteger(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowingInteger adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ class ThrowingInteger(np.ndarray):$/;" c member:TestRandomDist.test_scalar_exception_propagation file: +ThrowsAfter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class ThrowsAfter:$/;" c member:TestNonzero.test_nonzero_exception_safe file: +throw_error adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^class throw_error:$/;" c +throw_if_file_access_not_allowed adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/platform_utils.py /^def throw_if_file_access_not_allowed(file_path, logdir, allowed_dir=None):$/;" f +thumbnail adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def thumbnail(infile, thumbfile, scale=0.1, interpolation='bilinear',$/;" f +thumbnail adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def thumbnail(self, size, resample=BICUBIC, reducing_gap=2.0):$/;" m class:Image +thunk adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ thunk = lambda m: self._channel.stream_stream(m, request_serializer,$/;" f member:_Channel.stream_stream file: +thunk adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ thunk = lambda m: self._channel.stream_unary(m, request_serializer,$/;" f member:_Channel.stream_unary file: +thunk adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ thunk = lambda m: self._channel.unary_stream(m, request_serializer,$/;" f member:_Channel.unary_stream file: +thunk adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ thunk = lambda m: self._channel.unary_unary(m, request_serializer,$/;" f member:_Channel.unary_unary file: +THUNK_TEMPLATE adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^THUNK_TEMPLATE = """$/;" v +THURSDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +th_dll_path adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ th_dll_path = os.path.join(th_root, 'lib')$/;" v +th_dll_path adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ th_dll_path = os.path.join(os.path.dirname(__file__), 'lib')$/;" v +th_root adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ th_root = os.path.join(os.path.dirname(os.path.dirname(os.path.dirname(__file__))), 'torch')$/;" v +TI adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^TI = np.array([$/;" v +tic adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ tic = time()$/;" v +Tick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class Tick(martist.Artist):$/;" c +tick adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^ def tick(self):$/;" m class:RateLimiter +Ticker adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class Ticker:$/;" c +ticker adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^import matplotlib.ticker as ticker$/;" I +ticker adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^import matplotlib.ticker as ticker$/;" I +ticker adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^import matplotlib.ticker as ticker$/;" I +ticker adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^import matplotlib.ticker as ticker$/;" I +ticker adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^import matplotlib.ticker as ticker$/;" I +ticker adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^import matplotlib.ticker as ticker$/;" I +TickHelper adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class TickHelper:$/;" c +TickLabels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class TickLabels(AxisLabel): # mtext.Text$/;" c +ticklabel_format adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def ticklabel_format(self, *, axis='both', style='', scilimits=None,$/;" m class:_AxesBase +ticklabel_format adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ticklabel_format($/;" f +Ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^class Ticks(AttributeCopier, Line2D):$/;" c +tick_bottom adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def tick_bottom(self):$/;" m class:XAxis +tick_left adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def tick_left(self):$/;" m class:YAxis +tick_params adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def tick_params(self, axis='both', **kwargs):$/;" m class:_AxesBase +tick_params adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def tick_params(axis='both', **kwargs):$/;" f +tick_params adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def tick_params(self, axis='both', **kwargs):$/;" m class:Axes3D +tick_right adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def tick_right(self):$/;" m class:YAxis +tick_top adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def tick_top(self):$/;" m class:XAxis +tick_update_position adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^def tick_update_position(tick, tickxs, tickys, labelpos):$/;" f +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def tick_values(self, vmin, vmax):$/;" m class:StrCategoryLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def tick_values(self, vmin, vmax):$/;" m class:_ColorbarAutoLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def tick_values(self, vmin, vmax):$/;" m class:_ColorbarLogLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def tick_values(self, vmin, vmax):$/;" m class:AutoDateLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def tick_values(self, vmin, vmax):$/;" m class:MicrosecondLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def tick_values(self, vmin, vmax):$/;" m class:RRuleLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def tick_values(self, vmin, vmax):$/;" m class:YearLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:AutoMinorLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:FixedLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:IndexLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:LinearLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:Locator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:LogitLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:LogLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:MaxNLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:MultipleLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:NullLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:OldAutoLocator +tick_values adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def tick_values(self, vmin, vmax):$/;" m class:SymmetricalLogLocator +tidylib adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ tidylib = None$/;" v +tiecorrect adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tiecorrect(rankvals):$/;" f +tie_in adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def tie_in(x: Array, y: Array) -> Array:$/;" f function:omnistaging_disabler file: +tie_in adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def tie_in(x: Array, y: Array) -> Array:$/;" f +tie_in_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^tie_in_p = Primitive('tie_in')$/;" v +TiffImageFile adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^class TiffImageFile(ImageFile.ImageFile):$/;" c +tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def tight_layout(self, renderer=None, pad=1.08, h_pad=None, w_pad=None,$/;" m class:Figure +tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def tight_layout(self, figure, renderer=None,$/;" m class:GridSpec +tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def tight_layout(pad=1.08, h_pad=None, w_pad=None, rect=None):$/;" f +tilbert adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^def tilbert(x, h, period=None, _cache=_cache):$/;" f +tile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def tile(A, reps):$/;" f +tile adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def tile(A, reps):$/;" f +tile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def tile(cls, tile_assignment):$/;" m class:Sharding +tile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^def tile(tensor,$/;" f +TILE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TILE = 69$/;" v class:BuiltinOperator +tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def tile(x, n):$/;" f +tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tile(input, multiples, name=None):$/;" f +Tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Tile = tf_export("raw_ops.Tile")(_ops.to_raw_op(tile))$/;" v +tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def tile(a, reps): # pylint: disable=missing-function-docstring$/;" f +tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def tile(input, multiples, name=None): # pylint: disable=redefined-builtin$/;" f +tiled_loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def tiled_loop_body(j):$/;" f function:_pfor_impl file: +tiled_loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def tiled_loop_fn(i, pfor_config=None):$/;" f function:_pfor_impl.tiled_loop_body file: +TileGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^TileGrad = tf_export("raw_ops.TileGrad")(_ops.to_raw_op(tile_grad))$/;" v +TILEOFFSETS adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^TILEOFFSETS = 324$/;" v +TileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TileOptions = 51$/;" v class:BuiltinOptions +TileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TileOptions(object):$/;" c +TileOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TileOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:TileOptions +TileOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TileOptionsEnd(builder): return builder.EndObject()$/;" f +TileOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TileOptionsStart(builder): builder.StartObject(0)$/;" f +TileOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TileOptionsT(object):$/;" c +TileProto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^TileProto = _reflection.GeneratedProtocolMessageType('TileProto', (_message.Message,), {$/;" v +tile_aval_nd adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def tile_aval_nd(axis_sizes, in_axes: ArrayMapping, aval):$/;" f +tile_axis adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def tile_axis(arg, axis: Optional[int], tile_size):$/;" f function:vtile file: +tile_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tile_eager_fallback(input, multiples, name, ctx):$/;" f +tile_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tile_grad(input, multiples, name=None):$/;" f +tile_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def tile_grad_eager_fallback(input, multiples, name, ctx):$/;" f +tile_one_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def tile_one_dimension(data, axis, multiple):$/;" f +tile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^ def tile_ref(input, repeats):$/;" f member:TestNumpyTile.test_numpy_tile file: +tile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/numpy_tile_op_test.py /^ def tile_ref(input, repeats):$/;" f member:TestNumpyTile.test_numpy_tile_zero_dim file: +tile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def tile_ref(X, tiles, axis):$/;" f member:TestTile.test_tile file: +tile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def tile_ref(X, tiles, axis):$/;" f member:TestTile.test_tilewinput file: +tile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/tile_op_test.py /^ def tile_ref(X, tiles, axis):$/;" f member:TestTile.test_tile_grad file: +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Time(univ.Choice):$/;" c +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^Time = rfc5280.Time$/;" v +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Time(univ.Choice):$/;" c +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class Time(univ.Choice):$/;" c +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Time(univ.Choice):$/;" c +Time adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class Time(univ.Choice):$/;" c +TimedAnimation adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^class TimedAnimation(Animation):$/;" c +TimedeltaFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class TimedeltaFormat(_TimelikeFormat):$/;" c +TimeDistributed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^class TimeDistributed(Wrapper):$/;" c +TimeEncoderMixIn adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class TimeEncoderMixIn(object):$/;" c +timeit adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def timeit(self, number=1000000):$/;" m class:Timer +Timeline adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^class Timeline(object):$/;" c +TimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TimeMajor(self):$/;" m class:BidirectionalSequenceLSTMOptions +TimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TimeMajor(self):$/;" m class:BidirectionalSequenceRNNOptions +TimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TimeMajor(self):$/;" m class:SequenceRNNOptions +TimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TimeMajor(self):$/;" m class:UnidirectionalSequenceLSTMOptions +TimeMixIn adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^class TimeMixIn(object):$/;" c +timeout adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^timeout = partial($/;" v +timeout adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ timeout = None # type: Optional[int]$/;" v class:PipSession +TIMEOUT adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^TIMEOUT = 2000000$/;" v +Timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class Timeout(RequestException):$/;" c +Timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^class Timeout(object):$/;" c +Timeout adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class Timeout(RequestException):$/;" c +Timeout adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^class Timeout(object):$/;" c +TimeoutError adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^class TimeoutError(Exception):$/;" c +TimeoutError adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class TimeoutError(Error):$/;" c +TimeoutError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class TimeoutError(HTTPError):$/;" c +TimeoutError adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^class TimeoutError(Exception):$/;" c +TimeoutError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class TimeoutError(HTTPError):$/;" c +TimeoutGuard adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^class TimeoutGuard(object):$/;" c +TimeoutSauce adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^from pip._vendor.urllib3.util import Timeout as TimeoutSauce$/;" x +TimeoutSauce adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^from urllib3.util import Timeout as TimeoutSauce$/;" x +TimeoutStateError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class TimeoutStateError(HTTPError):$/;" c +TimeoutStateError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class TimeoutStateError(HTTPError):$/;" c +TIMEOUT_DEFAULT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^TIMEOUT_DEFAULT = 100$/;" v +TIMEOUT_OVERRIDE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^TIMEOUT_OVERRIDE = {"test_ddp_uneven_inputs": 400}$/;" v +timer adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def timer(self):$/;" m class:TTLCache +timer adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^def timer(s, v='', nloop=500, nrep=3):$/;" f +timer adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def timer():$/;" f +Timer adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^class Timer(object):$/;" c +TimerBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class TimerBase:$/;" c +TimerCallBack adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^class TimerCallBack(tf.keras.callbacks.Callback):$/;" c +TimerGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class TimerGTK3(TimerBase):$/;" c +TimerMac adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^class TimerMac(_macosx.Timer, TimerBase):$/;" c +TimerQT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class TimerQT(TimerBase):$/;" c +TimerTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class TimerTk(TimerBase):$/;" c +TimerTornado adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^class TimerTornado(backend_bases.TimerBase):$/;" c +TimerWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class TimerWx(TimerBase):$/;" c +times adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^times = combinations_lib.times$/;" v +times adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/combinations.py /^times = test_combinations.times$/;" v +times adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^def times(*combined):$/;" f +times adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^times = test_combinations.times$/;" v +TIMES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ TIMES = "times"$/;" v class:Times +Times adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class Times(object):$/;" c +times adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def times(self) -> List[float]:$/;" m class:Measurement +TimeseriesGenerator adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^class TimeseriesGenerator(object):$/;" c +TimeseriesGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/sequence.py /^class TimeseriesGenerator(sequence.TimeseriesGenerator, data_utils.Sequence):$/;" c +TimeSeriesModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^class TimeSeriesModel(object):$/;" c +TimeSeriesRegressionHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^class TimeSeriesRegressionHead(head_lib._Head): # pylint:disable=protected-access$/;" c +TimeSeriesRegressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^class TimeSeriesRegressor(estimator_lib.Estimator):$/;" c +timeseries_dataset_from_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/timeseries.py /^def timeseries_dataset_from_array($/;" f +timeseries_generator_from_json adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^def timeseries_generator_from_json(json_string):$/;" f +Timestamp adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class Timestamp(object):$/;" c +Timestamp adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^Timestamp = _reflection.GeneratedProtocolMessageType('Timestamp', (_message.Message,), {$/;" v +Timestamp adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^class Timestamp(object):$/;" c +timestamp adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def timestamp(self):$/;" m class:DebugTensorDatum +timestamp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def timestamp(name=None):$/;" f +Timestamp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^Timestamp = tf_export("raw_ops.Timestamp")(_ops.to_raw_op(timestamp))$/;" v +TimestampedEventFileLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^class TimestampedEventFileLoader(EventFileLoader):$/;" c +TimeStampReq adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class TimeStampReq(univ.Sequence):$/;" c +TimeStampResp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class TimeStampResp(univ.Sequence):$/;" c +TimeStampToken adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class TimeStampToken(ContentInfo):$/;" c +timestamp_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def timestamp_eager_fallback(name, ctx):$/;" f +timestamp_lifetime adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def timestamp_lifetime(self):$/;" m class:RequestValidator +timestamp_regexp adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ timestamp_regexp = re.compile($/;" v class:SafeConstructor +TimeTicks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1155.py /^class TimeTicks(univ.Integer):$/;" c +TimeTicks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class TimeTicks(univ.Integer):$/;" c +time_and_memory adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def time_and_memory(min_micros=1, min_bytes=1, min_accelerator_micros=0,$/;" m class:ProfileOptionBuilder +TIME_FMT adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^TIME_FMT = "%a, %d %b %Y %H:%M:%S GMT"$/;" v +time_fn adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/fuzzer.py /^ def time_fn(m):$/;" f function:main file: +time_hook adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def time_hook() -> float:$/;" f member:Timer.adaptive_autorange file: +time_hook adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def time_hook() -> float:$/;" f member:Timer.blocked_autorange file: +time_limited adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def time_limited(timeout=0.5, return_val=np.nan, use_sigalrm=True):$/;" f +time_point adpepsenv/lib/python3.8/site-packages/torch/jit/_logging.py /^time_point = torch.ops.prim.TimePoint$/;" v +TIME_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^TIME_RE = re.compile(r"([0-9]{2}):([0-9]{2}):([0-9]{2})(\\.([0-9]{3,6}))?")$/;" v +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:RpcContext +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:Call +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:InterceptedCall +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:InterceptedStreamStreamCall +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:InterceptedStreamUnaryCall +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:InterceptedUnaryStreamCall +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:InterceptedUnaryUnaryCall +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:UnaryUnaryCallResponse +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def time_remaining(self) -> Optional[float]:$/;" m class:_StreamCallResponseIterator +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def time_remaining(self):$/;" m class:_Rendezvous +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def time_remaining(self):$/;" m class:_FaceServicerContext +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def time_remaining(self):$/;" m class:OperationContext +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def time_remaining(self):$/;" m class:RpcContext +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def time_remaining(self):$/;" m class:_Rendezvous +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def time_remaining(self):$/;" m class:_FailureOutcome +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def time_remaining(self):$/;" m class:_UnaryOutcome +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def time_remaining(self):$/;" m class:_Context +time_remaining adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def time_remaining(self):$/;" m class:RpcContext +time_to_readable_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def time_to_readable_str(value_us, force_time_unit=None):$/;" f +TIME_UNITS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^TIME_UNITS = [TIME_UNIT_US, TIME_UNIT_MS, TIME_UNIT_S]$/;" v +TIME_UNIT_MS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^TIME_UNIT_MS = "ms"$/;" v +TIME_UNIT_S adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^TIME_UNIT_S = "s"$/;" v +TIME_UNIT_US adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^TIME_UNIT_US = "us"$/;" v +TINY adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^TINY = array([1e-12,2e-12,3e-12,4e-12,5e-12,6e-12,7e-12,8e-12,9e-12], float)$/;" v +TIS620CharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langthaimodel.py /^TIS620CharToOrderMap = ($/;" v +TIS620ThaiModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langthaimodel.py /^TIS620ThaiModel = {$/;" v +TIS_620_THAI_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langthaimodel.py /^TIS_620_THAI_CHAR_TO_ORDER = {$/;" v +TIS_620_THAI_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langthaimodel.py /^TIS_620_THAI_MODEL = SingleByteCharSetModel(charset_name='TIS-620',$/;" v +title adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def title(label, fontdict=None, loc=None, pad=None, *, y=None, **kwargs):$/;" f +title adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def title(self):$/;" m class:chararray +title adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def title(a):$/;" f +title adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def title(self):$/;" m class:HBFile +title adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def title(self, title):$/;" m class:PyDialog +title adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def title(self) -> str:$/;" m class:Measurement +TI_COMPLEX adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^TI_COMPLEX = TI[1] + 1j * TI[2]$/;" v +TI_REAL adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^TI_REAL = TI[0]$/;" v +tk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^import tkinter as tk$/;" I +tk adpepsenv/lib/python3.8/site-packages/PIL/_tkinter_finder.py /^from tkinter import _tkinter as tk$/;" x +TKINTER_LIB adpepsenv/lib/python3.8/site-packages/PIL/_tkinter_finder.py /^ TKINTER_LIB = tk.tklib_cffi.__file__$/;" v +tklmbda adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double tklmbda(double x0, double x1) nogil$/;" f +tkPhotoImage adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def tkPhotoImage(self):$/;" m class:SpiderImageFile +TLDS adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^TLDS = """ac ad ae aero af ag ai al am an ao aq ar arpa as asia at au aw ax az$/;" v +TList adpeps/utils/tlist.py /^class TList:$/;" c +Tlist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^Tlist = [T0, T1, T2, T3, T4, T5, T6, T7, T8, T9]$/;" v +Tlist adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^Tlist = [T0, T1, T2, T3, T4, T5, T6, T7, T8, T9]$/;" v +tlocal adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ from dummy_threading import local as tlocal$/;" x +tlocal adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ from threading import local as tlocal$/;" x +TLS_AES_128_CCM_8_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_CCM_8_SHA256 = 0x1305$/;" v class:SecurityConst +TLS_AES_128_CCM_8_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_CCM_8_SHA256 = 0x1305$/;" v class:SecurityConst +TLS_AES_128_CCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_CCM_SHA256 = 0x1304$/;" v class:SecurityConst +TLS_AES_128_CCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_CCM_SHA256 = 0x1304$/;" v class:SecurityConst +TLS_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_GCM_SHA256 = 0x1301$/;" v class:SecurityConst +TLS_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_128_GCM_SHA256 = 0x1301$/;" v class:SecurityConst +TLS_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_256_GCM_SHA384 = 0x1302$/;" v class:SecurityConst +TLS_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_AES_256_GCM_SHA384 = 0x1302$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_CBC_SHA = 0x0033$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_CBC_SHA = 0x0033$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_CBC_SHA256 = 0x0067$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_CBC_SHA256 = 0x0067$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_GCM_SHA256 = 0x009E$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_128_GCM_SHA256 = 0x009E$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_CBC_SHA = 0x0039$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_CBC_SHA = 0x0039$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_CBC_SHA256 = 0x006B$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_CBC_SHA256 = 0x006B$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_GCM_SHA384 = 0x009F$/;" v class:SecurityConst +TLS_DHE_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_DHE_RSA_WITH_AES_256_GCM_SHA384 = 0x009F$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA = 0xC009$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA = 0xC009$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA256 = 0xC023$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_CBC_SHA256 = 0xC023$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_GCM_SHA256 = 0xC02B$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_128_GCM_SHA256 = 0xC02B$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA = 0xC00A$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA = 0xC00A$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA384 = 0xC024$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_CBC_SHA384 = 0xC024$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_GCM_SHA384 = 0xC02C$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_AES_256_GCM_SHA384 = 0xC02C$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_CHACHA20_POLY1305_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_CHACHA20_POLY1305_SHA256 = 0xCCA9$/;" v class:SecurityConst +TLS_ECDHE_ECDSA_WITH_CHACHA20_POLY1305_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_ECDSA_WITH_CHACHA20_POLY1305_SHA256 = 0xCCA9$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA = 0xC013$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA = 0xC013$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA256 = 0xC027$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_CBC_SHA256 = 0xC027$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_GCM_SHA256 = 0xC02F$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_128_GCM_SHA256 = 0xC02F$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA = 0xC014$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA = 0xC014$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA384 = 0xC028$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_CBC_SHA384 = 0xC028$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384 = 0xC030$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_AES_256_GCM_SHA384 = 0xC030$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_CHACHA20_POLY1305_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_CHACHA20_POLY1305_SHA256 = 0xCCA8$/;" v class:SecurityConst +TLS_ECDHE_RSA_WITH_CHACHA20_POLY1305_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_ECDHE_RSA_WITH_CHACHA20_POLY1305_SHA256 = 0xCCA8$/;" v class:SecurityConst +tls_in_tls_required adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ tls_in_tls_required = False$/;" v class:HTTPSConnection +TLS_PROTOCOL_VERSIONS adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^TLS_PROTOCOL_VERSIONS = {$/;" v +TLS_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_CBC_SHA = 0x002F$/;" v class:SecurityConst +TLS_RSA_WITH_AES_128_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_CBC_SHA = 0x002F$/;" v class:SecurityConst +TLS_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_CBC_SHA256 = 0x003C$/;" v class:SecurityConst +TLS_RSA_WITH_AES_128_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_CBC_SHA256 = 0x003C$/;" v class:SecurityConst +TLS_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_GCM_SHA256 = 0x009C$/;" v class:SecurityConst +TLS_RSA_WITH_AES_128_GCM_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_128_GCM_SHA256 = 0x009C$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_CBC_SHA = 0x0035$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_CBC_SHA adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_CBC_SHA = 0x0035$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_CBC_SHA256 = 0x003D$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_CBC_SHA256 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_CBC_SHA256 = 0x003D$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_GCM_SHA384 = 0x009D$/;" v class:SecurityConst +TLS_RSA_WITH_AES_256_GCM_SHA384 adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^ TLS_RSA_WITH_AES_256_GCM_SHA384 = 0x009D$/;" v class:SecurityConst +tmax adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def tmax(a, upperlimit=None, axis=0, inclusive=True):$/;" f +tmax adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tmax(a, upperlimit=None, axis=0, inclusive=True, nan_policy='propagate'):$/;" f +tmean adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def tmean(a, limits=None, inclusive=(True, True), axis=None):$/;" f +tmean adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tmean(a, limits=None, inclusive=(True, True), axis=None):$/;" f +tmin adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def tmin(a, lowerlimit=None, axis=0, inclusive=True):$/;" f +tmin adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tmin(a, lowerlimit=None, axis=0, inclusive=True, nan_policy='propagate'):$/;" f +TmpDirCleaner adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class TmpDirCleaner:$/;" c +tmpl adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ tmpl = textwrap.dedent("""$/;" v class:SandboxViolation +tmpnam adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def tmpnam(self):$/;" m class:DirectorySandbox +tmp_dir adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^tmp_dir = None$/;" v +tmp_path adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ tmp_path = lambda x: os.path.abspath(self.ds.abspath(x))$/;" f member:TestDataSourceAbspath.test_sandboxing file: +tmp_path adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^ tmp_path = lambda x: os.path.abspath(self.repos.abspath(x))$/;" f member:TestRepositoryAbspath.test_sandboxing file: +TMP_WORK_DIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^TMP_WORK_DIR = "tmp_work_dir_placeholder"$/;" v +TNAuthorizationList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class TNAuthorizationList(univ.SequenceOf):$/;" c +TNEntry adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^class TNEntry(univ.Choice):$/;" c +to adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def to(self, new_units):$/;" m class:Quantity +to adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def to(self, *args, **kwargs):$/;" m class:_RemoteModule +to adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def to(self, *args, **kwargs):$/;" m class:Module +to adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def to(self: T, device: Optional[Union[int, device]] = ..., dtype: Optional[Union[dtype, str/;" m class:Module +to adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def to(self: T, dtype: Union[dtype, str], non_blocking: bool = ...) -> T:$/;" m class:Module +to adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def to(self: T, tensor: Tensor, non_blocking: bool = ...) -> T:$/;" m class:Module +to adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def to(self, *args, **kwargs):$/;" m class:PackedSequence +to adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def to(g, self, *args):$/;" f +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def toarray(self, order=None, out=None):$/;" m class:spmatrix +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def toarray(self, order=None, out=None):$/;" m class:bsr_matrix +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def toarray(self, order=None, out=None):$/;" m class:_cs_matrix +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def toarray(self, order=None, out=None):$/;" m class:coo_matrix +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def toarray(self, order=None, out=None):$/;" m class:lil_matrix +toarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^def toarray(a):$/;" f +ToASCII adpepsenv/lib/python3.8/site-packages/idna/compat.py /^def ToASCII(label):$/;" f +ToASCII adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/compat.py /^def ToASCII(label):$/;" f +tobitmap adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def tobitmap(self, name="image"):$/;" m class:Image +ToBool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^ToBool = tf_export("raw_ops.ToBool")(_ops.to_raw_op(to_bool))$/;" v +tobsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tobsr(self, blocksize=None, copy=False):$/;" m class:spmatrix +tobsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def tobsr(self, blocksize=None, copy=False):$/;" m class:bsr_matrix +tobsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def tobsr(self, blocksize=None, copy=True):$/;" m class:csr_matrix +tobuf adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def tobuf(self, format=DEFAULT_FORMAT, encoding=ENCODING, errors="surrogateescape"):$/;" m class:TarInfo +tobytes adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def tobytes(self):$/;" m class:container +tobytes adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def tobytes(self, fill_value=None, order='C'):$/;" m class:MaskedArray +tobytes adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def tobytes(self, encoder_name="raw", *args):$/;" m class:Image +tobytes adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def tobytes(self, offset=8):$/;" m class:Exif +tobytes adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def tobytes(self):$/;" m class:ImageCmsProfile +tobytes adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def tobytes(self):$/;" m class:ImagePalette +tobytes adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def tobytes(self):$/;" m class:Dib +tobytes adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def tobytes(self, offset=0):$/;" m class:ImageFileDirectory_v2 +tobytes_first adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def tobytes_first(x, conv):$/;" f function:genfromtxt file: +tobytes_first adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def tobytes_first(x, conv):$/;" f function:loadtxt file: +TocExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^class TocExtension(Extension):$/;" c +TocoConversionLog adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^TocoConversionLog = _reflection.GeneratedProtocolMessageType('TocoConversionLog', (_message.Mess/;" v +TocoConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^class TocoConverter(object):$/;" c +TocoFlags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^TocoFlags = _reflection.GeneratedProtocolMessageType('TocoFlags', (_message.Message,), {$/;" v +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tocoo(self, copy=False):$/;" m class:spmatrix +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def tocoo(self, copy=True):$/;" m class:bsr_matrix +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def tocoo(self, copy=True):$/;" m class:_cs_matrix +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def tocoo(self, copy=False):$/;" m class:coo_matrix +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def tocoo(self, copy=False):$/;" m class:dia_matrix +tocoo adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def tocoo(self, copy=False):$/;" m class:dok_matrix +toco_convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def toco_convert(input_data, input_tensors, output_tensors, *args, **kwargs):$/;" f +toco_convert_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def toco_convert_graph_def(input_data, input_arrays_with_shape, output_arrays,$/;" f +toco_convert_impl adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def toco_convert_impl(input_data, input_tensors, output_tensors,$/;" f +toco_convert_protos adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def toco_convert_protos(model_flags_str,$/;" f +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tocsc(self, copy=False):$/;" m class:spmatrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def tocsc(self, copy=False):$/;" m class:bsr_matrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def tocsc(self, copy=False):$/;" m class:coo_matrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def tocsc(self, copy=False):$/;" m class:csc_matrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def tocsc(self, copy=False):$/;" m class:csr_matrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def tocsc(self, copy=False):$/;" m class:dia_matrix +tocsc adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def tocsc(self, copy=False):$/;" m class:dok_matrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tocsr(self, copy=False):$/;" m class:spmatrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def tocsr(self, copy=False):$/;" m class:bsr_matrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def tocsr(self, copy=False):$/;" m class:coo_matrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def tocsr(self, copy=False):$/;" m class:csc_matrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def tocsr(self, copy=False):$/;" m class:csr_matrix +tocsr adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def tocsr(self, copy=False):$/;" m class:lil_matrix +ToCsv adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToCsv(self, columns_order=None, order_by=(), separator=","):$/;" m class:DataTable +TocTreeprocessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^class TocTreeprocessor(Treeprocessor):$/;" c +ToDatetime adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToDatetime(self):$/;" m class:Timestamp +today adpepsenv/lib/python3.8/site-packages/dateutil/utils.py /^def today(tzinfo=None):$/;" f +today_is_later_than adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/datetime.py /^def today_is_later_than(year, month, day):$/;" f +todense adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^ def todense(self):$/;" m class:LbfgsInvHessProduct +todense adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def todense(self):$/;" m class:BroydenFirst +todense adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def todense(self):$/;" m class:DiagBroyden +todense adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def todense(self):$/;" m class:ExcitingMixing +todense adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def todense(self):$/;" m class:LinearMixing +todense adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def todense(self, order=None, out=None):$/;" m class:spmatrix +todense adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def todense(a):$/;" f +todia adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def todia(self, copy=False):$/;" m class:spmatrix +todia adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def todia(self, copy=False):$/;" m class:coo_matrix +todia adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def todia(self, copy=False):$/;" m class:dia_matrix +todict adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def todict(self):$/;" m class:Configuration +todict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def todict(self):$/;" m class:Metadata +todict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def todict(self, skip_missing=False):$/;" m class:LegacyMetadata +todo adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def todo(vals: Sequence[TfVal]):$/;" f member:TensorFlowTrace.post_process_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def todo(x):$/;" f member:JetTrace.post_process_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def todo(x):$/;" f member:JVPTrace.post_process_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def todo(vals):$/;" f member:BatchTrace.post_process_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def todo(vals):$/;" f member:BatchTrace.post_process_custom_jvp_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def todo(vals):$/;" f member:BatchTrace.post_process_map file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def todo(vals):$/;" f member:MaskTrace.post_process_call file: +todo adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def todo(x):$/;" f member:JaxprTrace.post_process_call file: +todok adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def todok(self, copy=False):$/;" m class:spmatrix +todok adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def todok(self, copy=False):$/;" m class:coo_matrix +todok adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def todok(self, copy=False):$/;" m class:dok_matrix +toeplitz adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def toeplitz(c, r=None):$/;" f +TOEXEC adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TOEXEC = 0o001 # execute\/search by other$/;" v +ToFieldMask adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToFieldMask(self, field_mask):$/;" m class:_FieldMaskTree +tofile adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def tofile(self, fid, sep="", format="%s"):$/;" m class:MaskedArray +toflex adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def toflex(self):$/;" m class:MaskedArray +tofloat adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def tofloat(x):$/;" f function:trace_args file: +toggle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def toggle(self, all=None, ticks=None, ticklabels=None, label=None):$/;" m class:SimpleAxisArtist +toggle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def toggle(self, all=None, ticks=None, ticklabels=None, label=None):$/;" m class:AxisArtist +toggled adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def toggled(self):$/;" m class:ToolToggleBase +toggle_axisline adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def toggle_axisline(self, b=None):$/;" m class:Axes +toggle_fake_quant adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def toggle_fake_quant(self, enabled=True):$/;" m class:_LearnableFakeQuantize +toggle_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def toggle_label(self, b):$/;" m class:CbarAxesBase +toggle_observer_update adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def toggle_observer_update(self, enabled=True):$/;" m class:_LearnableFakeQuantize +toggle_qparam_learning adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def toggle_qparam_learning(self, enabled=True):$/;" m class:_LearnableFakeQuantize +toggle_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def toggle_toolitem(self, name, toggled):$/;" m class:ToolbarGTK3 +toggle_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def toggle_toolitem(self, name, toggled):$/;" m class:ToolbarQt +toggle_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def toggle_toolitem(self, name, toggled):$/;" m class:ToolbarWx +toggle_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def toggle_toolitem(self, name, toggled):$/;" m class:ToolbarTk +toggle_toolitem adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def toggle_toolitem(self, name, toggled):$/;" m class:ToolContainerBase +toggle_watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def toggle_watch(self):$/;" m class:EventListenerTestServicer +ToHtml adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToHtml(self, columns_order=None, order_by=()):$/;" m class:DataTable +toItem adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def toItem(obj):$/;" f member:ParseResults.asDict file: +toItem adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def toItem(obj):$/;" f member:ParseResults.asDict file: +toItem adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def toItem(obj):$/;" f member:ParseResults.asDict file: +toItem adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def toItem(obj):$/;" f member:ParseResults.asDict file: +ToJSCode adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToJSCode(self, name, columns_order=None, order_by=()):$/;" m class:DataTable +ToJSon adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToJSon(self, columns_order=None, order_by=()):$/;" m class:DataTable +ToJSonResponse adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToJSonResponse(self, columns_order=None, order_by=(), req_id=0,$/;" m class:DataTable +ToJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToJsonString(self):$/;" m class:Duration +ToJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToJsonString(self):$/;" m class:FieldMask +ToJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToJsonString(self):$/;" m class:Timestamp +ToJsonString adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def ToJsonString(self, message, indent, sort_keys):$/;" m class:_Printer +Token adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^class Token(object): pass$/;" c +token adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^token = Token()$/;" v +token adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def token(self, token_val):$/;" m class:AstAnnotator +token adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def token(self, token_val):$/;" m class:BaseVisitor +token adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def token(self, value):$/;" m class:Printer +Token adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^Token = collections.namedtuple('Token', ('type', 'src', 'start', 'end', 'line'))$/;" v +Token adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Token(ParserElement):$/;" c +Token adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Token(ParserElement):$/;" c +Token adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Token(ParserElement):$/;" c +token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def token(self):$/;" m class:OAuth1Session +token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def token(self, value):$/;" m class:OAuth1Session +token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def token(self):$/;" m class:OAuth2Session +token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def token(self, value):$/;" m class:OAuth2Session +Token adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^class Token(object):$/;" c +Token adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Token(ParserElement):$/;" c +TOKEN adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^TOKEN = 17$/;" v +Token adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class Token(object):$/;" c +TokenBase adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^class TokenBase(object):$/;" c +TokenConverter adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class TokenConverter(ParseElementEnhance):$/;" c +TokenConverter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class TokenConverter(ParseElementEnhance):$/;" c +TokenConverter adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class TokenConverter(ParseElementEnhance):$/;" c +TokenConverter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class TokenConverter(ParseElementEnhance):$/;" c +TokenEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^class TokenEndpoint(BaseEndpoint):$/;" c +TokenExpiredError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class TokenExpiredError(OAuth2Error):$/;" c +TokenGenerator adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^class TokenGenerator(object):$/;" c +Tokenizer adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class Tokenizer(object):$/;" c +Tokenizer adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^class Tokenizer(object):$/;" c +Tokenizer adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^class Tokenizer(object):$/;" c +Tokenizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/text.py /^Tokenizer = text.Tokenizer$/;" v +tokenizer_from_json adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^def tokenizer_from_json(json_string):$/;" f +tokenize_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def tokenize_attribute(iterable, attribute):$/;" f +tokenize_single_comma adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def tokenize_single_comma(val):$/;" f +tokenize_single_wcomma adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def tokenize_single_wcomma(val):$/;" f +tokenMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def tokenMap(func, *args):$/;" f +tokenMap adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def tokenMap(func, *args):$/;" f +tokenMap adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def tokenMap(func, *args):$/;" f +tokenMap adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def tokenMap(func, *args):$/;" f +TokenMissing adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^class TokenMissing(ValueError):$/;" c +TokenRequestDenied adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^class TokenRequestDenied(ValueError):$/;" c +tokens adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def tokens(self):$/;" m class:ResourceEndpoint +TOKENS adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^TOKENS = tokenize$/;" v +tokens adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/genshi.py /^ def tokens(self, event, next):$/;" m class:TreeWalker +TOKENS adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^TOKENS = {$/;" v +tokens adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/genshi.py /^ def tokens(self, event, next):$/;" m class:TreeWalker +tokenTypes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^tokenTypes = {$/;" v +tokenTypes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^tokenTypes = {$/;" v +TokenUpdated adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^class TokenUpdated(Warning):$/;" c +token_from_fragment adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def token_from_fragment(self, authorization_response):$/;" m class:OAuth2Session +token_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def token_types(self):$/;" m class:Client +token_uri adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def token_uri(self):$/;" m class:Credentials +TOL adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^TOL = 4*np.finfo(float).eps # tolerance$/;" v +tol adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^tol = 1e-10$/;" v +tol adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ tol = 0.0$/;" v class:ball_consistency +tol adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^ tol = 1e-13$/;" v class:_Test_random_ball_largep_issue9890 +TOL adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^TOL = 1E-10$/;" v +TOL adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^TOL = 1E-12$/;" v +TOL adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^ TOL = 1e-9$/;" v class:RotationSpline +TOLERANCE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^TOLERANCE = 1e-3$/;" v +tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def tolerance(dtype, tol=None):$/;" f +tolerances adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ tolerances = {'rtol': 1e-9, 'atol': 1e-11}$/;" v class:TestC2dInvariants +tolil adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tolil(self, copy=False):$/;" m class:spmatrix +tolil adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def tolil(self, copy=False):$/;" m class:csr_matrix +tolil adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def tolil(self, copy=False):$/;" m class:lil_matrix +tolist adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def tolist(self):$/;" m class:mvoid +tolist adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def tolist(self, fill_value=None):$/;" m class:MaskedArray +tolist adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def tolist(self, fill_value=None):$/;" m class:MaskedRecords +tolist adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def tolist(self):$/;" m class:matrix +tolist adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def tolist(self):$/;" m class:ndarray +tolist adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def tolist(self):$/;" m class:_StorageBase +ToMicroseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToMicroseconds(self):$/;" m class:Duration +ToMicroseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToMicroseconds(self):$/;" m class:Timestamp +ToMilliseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToMilliseconds(self):$/;" m class:Duration +ToMilliseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToMilliseconds(self):$/;" m class:Timestamp +TomlArraySeparatorEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlArraySeparatorEncoder(TomlEncoder):$/;" c +TomlArraySeparatorEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlArraySeparatorEncoder = encoder.TomlArraySeparatorEncoder$/;" v +TomlDecodeError adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^class TomlDecodeError(ValueError):$/;" c +TomlDecodeError adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlDecodeError = decoder.TomlDecodeError$/;" v +TomlDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^class TomlDecoder(object):$/;" c +TomlDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlDecoder = decoder.TomlDecoder$/;" v +TomlEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlEncoder(object):$/;" c +TomlEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlEncoder = encoder.TomlEncoder$/;" v +TomlNumpyEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlNumpyEncoder(TomlEncoder):$/;" c +TomlNumpyEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlNumpyEncoder = encoder.TomlNumpyEncoder$/;" v +TomlOrderedDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/ordered.py /^class TomlOrderedDecoder(TomlDecoder):$/;" c +TomlOrderedEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/ordered.py /^class TomlOrderedEncoder(TomlEncoder):$/;" c +TomlPathlibEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlPathlibEncoder(TomlEncoder):$/;" c +TomlPathlibEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlPathlibEncoder = encoder.TomlPathlibEncoder$/;" v +TomlPreserveCommentDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^class TomlPreserveCommentDecoder(TomlDecoder):$/;" c +TomlPreserveCommentDecoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlPreserveCommentDecoder = decoder.TomlPreserveCommentDecoder$/;" v +TomlPreserveCommentEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlPreserveCommentEncoder(TomlEncoder):$/;" c +TomlPreserveCommentEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlPreserveCommentEncoder = encoder.TomlPreserveCommentEncoder$/;" v +TomlPreserveInlineDictEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^class TomlPreserveInlineDictEncoder(TomlEncoder):$/;" c +TomlPreserveInlineDictEncoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^TomlPreserveInlineDictEncoder = encoder.TomlPreserveInlineDictEncoder$/;" v +TomlTz adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/tz.py /^class TomlTz(tzinfo):$/;" c +toml_load adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/check.py /^from pip._vendor.toml import TomlDecodeError, load as toml_load$/;" x +toms748 adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def toms748(f, a, b, args=(), k=1,$/;" f +TOMS748Solver adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^class TOMS748Solver(object):$/;" c +ToNanoseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToNanoseconds(self):$/;" m class:Duration +ToNanoseconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToNanoseconds(self):$/;" m class:Timestamp +ton_TNT adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^ton_TNT = 1e9 * calorie_th$/;" v +TooHardError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class TooHardError(RuntimeError):$/;" c +ToolBack adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolBack(ViewsPositionsBase):$/;" c +Toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^Toolbar = ToolbarGTK3$/;" v +toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def toolbar(self):$/;" m class:FigureManagerWx +toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def toolbar(self, value):$/;" m class:FigureManagerWx +Toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^Toolbar = ToolbarTk$/;" v +Toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^ class Toolbar(NavigationToolbar2Tk):$/;" c function:test_missing_back_button file: +ToolbarCls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ ToolbarCls = NavigationIPy$/;" v class:FigureManagerNbAgg +ToolbarCls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ ToolbarCls = NavigationToolbar2WebAgg$/;" v class:FigureManagerWebAgg +ToolbarGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class ToolbarGTK3(ToolContainerBase, Gtk.Box):$/;" c +ToolbarQt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class ToolbarQt(ToolContainerBase, QtWidgets.QToolBar):$/;" c +ToolbarTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class ToolbarTk(ToolContainerBase, tk.Frame):$/;" c +ToolbarWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class ToolbarWx(ToolContainerBase, wx.ToolBar):$/;" c +ToolBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolBase:$/;" c +ToolContainerBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class ToolContainerBase:$/;" c +ToolCopyToClipboardBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolCopyToClipboardBase(ToolBase):$/;" c +ToolCopyToClipboardGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class ToolCopyToClipboardGTK3(backend_tools.ToolCopyToClipboardBase):$/;" c +ToolCopyToClipboardQT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class ToolCopyToClipboardQT(backend_tools.ToolCopyToClipboardBase):$/;" c +ToolCopyToClipboardWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class ToolCopyToClipboardWx(backend_tools.ToolCopyToClipboardBase):$/;" c +ToolCursorPosition adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolCursorPosition(ToolBase):$/;" c +ToolEnableAllNavigation adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolEnableAllNavigation(_ToolEnableAllNavigation):$/;" c +ToolEnableNavigation adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolEnableNavigation(_ToolEnableNavigation):$/;" c +ToolEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^class ToolEvent:$/;" c +ToolForward adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolForward(ViewsPositionsBase):$/;" c +ToolFullScreen adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolFullScreen(ToolToggleBase):$/;" c +ToolGrid adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolGrid(ToolBase):$/;" c +ToolHandles adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class ToolHandles:$/;" c +ToolHelpBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolHelpBase(ToolBase):$/;" c +ToolHome adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolHome(ViewsPositionsBase):$/;" c +toolitems adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ toolitems = [(text, tooltip_text,$/;" v class:NavigationIPy +toolitems adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ toolitems = [*NavigationToolbar2.toolitems]$/;" v class:NavigationToolbar2QT +toolitems adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ toolitems = [$/;" v class:NavigationToolbar2WebAgg +toolitems adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ toolitems = ($/;" v class:NavigationToolbar2 +toolitems adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_tk.py /^ toolitems = [t for t in NavigationToolbar2Tk.toolitems if$/;" v class:test_missing_back_button.Toolbar +toolmanager adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def toolmanager(self):$/;" m class:FigureFrameWx +ToolManager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^class ToolManager:$/;" c +toolmanager adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def toolmanager(self):$/;" m class:ToolBase +ToolManagerMessageEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^class ToolManagerMessageEvent:$/;" c +toolmanager_connect adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def toolmanager_connect(self, s, func):$/;" m class:ToolManager +toolmanager_disconnect adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def toolmanager_disconnect(self, cid):$/;" m class:ToolManager +ToolMinorGrid adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolMinorGrid(ToolBase):$/;" c +ToolPan adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolPan(ZoomPanBase):$/;" c +ToolQuit adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolQuit(ToolBase):$/;" c +ToolQuitAll adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolQuitAll(ToolBase):$/;" c +ToolRequestOptions adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^ToolRequestOptions = _reflection.GeneratedProtocolMessageType('ToolRequestOptions', (_message.Me/;" v +tools adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ backend_tools as tools, cbook, colors, textpath, tight_bbox,$/;" x +tools adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def tools(self):$/;" m class:ToolManager +tools adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^import matplotlib.backend_tools as tools$/;" I +TOOLS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^TOOLS = {$/;" v +tools_route adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def tools_route(self, request):$/;" m class:ProfilePlugin +TOOLS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^TOOLS_ROUTE = '\/tools'$/;" v +ToolTip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class ToolTip:$/;" c +ToolToggleBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolToggleBase(ToolBase):$/;" c +ToolTriggerEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^class ToolTriggerEvent(ToolEvent):$/;" c +ToolViewsPositions adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolViewsPositions(ToolBase):$/;" c +ToolXScale adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolXScale(AxisScaleBase):$/;" c +ToolYScale adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolYScale(AxisScaleBase):$/;" c +ToolZoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ToolZoom(ZoomPanBase):$/;" c +tool_proto_to_tool_data adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/raw_to_tool_data.py /^def tool_proto_to_tool_data(tool_proto, tool, tqx):$/;" f +TooManyRedirects adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class TooManyRedirects(RequestException):$/;" c +TooManyRedirects adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class TooManyRedirects(RequestException):$/;" c +TooManyRequests adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class TooManyRequests(_RetryAfter):$/;" c +too_close adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def too_close(self, x, y, lw):$/;" m class:ContourLabeler +too_short adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def too_short(x):$/;" f member:TestLinsolve.test_gssv_badinput file: +TOO_SMALL_STEP adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ TOO_SMALL_STEP = "Required step size is less than spacing between numbers."$/;" v class:OdeSolver +top adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def top(self):$/;" m class:LocalStack +TopK adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^TopK = tf_export("raw_ops.TopK")(_ops.to_raw_op(top_k))$/;" v +topk adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def topk(g, self, k, dim, largest, sorted, out=None):$/;" f +topk adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def topk(g, self, k, dim, largest, sorted, out=None):$/;" f +topk adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def topk(g, self, k, dim, largest, sorted, out=None):$/;" f +TopKCategoricalAccuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class TopKCategoricalAccuracy(MeanMetricWrapper):$/;" c +TopKV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^TopKV2 = tf_export("raw_ops.TopKV2")(_ops.to_raw_op(top_kv2))$/;" v +TopKV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TopKV2Options = 34$/;" v class:BuiltinOptions +TopKV2Options adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TopKV2Options(object):$/;" c +TopKV2OptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TopKV2OptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:TopKV2Options +TopKV2OptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TopKV2OptionsEnd(builder): return builder.EndObject()$/;" f +TopKV2OptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TopKV2OptionsStart(builder): builder.StartObject(0)$/;" f +TopKV2OptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TopKV2OptionsT(object):$/;" c +TOPK_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TOPK_V2 = 48$/;" v class:BuiltinOperator +TopLevelTracedModule adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^class TopLevelTracedModule(TracedModule):$/;" c +Topocomm adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Topocomm(Intracomm) [$/;" c +topological_sort adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def topological_sort(self):$/;" m class:DependencyGraph +topological_sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^def topological_sort(g):$/;" f +topological_sort_traversal adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def topological_sort_traversal(g):$/;" f +topological_sort_traversal_longest_path adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def topological_sort_traversal_longest_path(g):$/;" f +topology adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def topology(self):$/;" m class:DeviceAssignment +Topology adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^class Topology(object):$/;" c +TopologyProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^TopologyProto = _reflection.GeneratedProtocolMessageType('TopologyProto', (_message.Message,), {$/;" v +toposort adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def toposort(end_nodes):$/;" f +TOP_DIR adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^TOP_DIR = os.path.dirname(os.path.realpath(__file__))$/;" v +top_env adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ top_env = {$/;" v +top_k adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def top_k(cls, harness):$/;" m class:Jax2TfLimitation +top_k adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def top_k(operand: Array, k: int) -> Tuple[Array, Array]:$/;" f +top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def top_k(input, k, sorted=True, name=None):$/;" f +top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def top_k(input, k=1, sorted=True, name=None): # pylint: disable=redefined-builtin$/;" f +TOP_K adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/prediction_keys.py /^ TOP_K = 'top_k'$/;" v class:PredictionKeys +top_kv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def top_kv2(input, k, sorted=True, name=None):$/;" f +top_kv2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def top_kv2_eager_fallback(input, k, sorted, name, ctx):$/;" f +top_k_categorical_accuracy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^def top_k_categorical_accuracy(y_true, y_pred, k=5):$/;" f +top_k_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def top_k_eager_fallback(input, k, sorted, name, ctx):$/;" f +top_k_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^top_k_p = Primitive('top_k')$/;" v +top_k_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/top_k_test.py /^ def top_k_ref(self, X, k, flatten_indices, axis=-1):$/;" m class:TestTopK +toqimage adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def toqimage(self):$/;" m class:Image +toqimage adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def toqimage(im):$/;" f +toqpixmap adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def toqpixmap(self):$/;" m class:Image +toqpixmap adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def toqpixmap(im):$/;" f +ToRagged adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class ToRagged(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_ragged_tensor_outputs file: +TorchIntegration adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^class TorchIntegration(hu.HypothesisTestCase):$/;" c +TORCH_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ TORCH_AVAILABLE = False$/;" v +TORCH_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/utils/collect_env.py /^ TORCH_AVAILABLE = True$/;" v +torch_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^ def torch_contract(*arrays):$/;" f function:build_expression file: +torch_if_found adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ torch_if_found = 'torch'$/;" v +torch_if_found adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^ torch_if_found = pytest.param('torch', marks=[pytest.mark.skip(reason="PyTorch not installed/;" v +torch_parent adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^ torch_parent = os.path.dirname(os.path.dirname(os.path.dirname(__file__)))$/;" v +torch_to_numpy_dtype_dict adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ torch_to_numpy_dtype_dict = {value : key for (key, value) in numpy_to_torch_dtype_dict.items/;" v +torch_version adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ torch_version = importlib.util.module_from_spec(spec)$/;" v +TOREAD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TOREAD = 0o004 # read by other$/;" v +torecords adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ torecords = toflex$/;" v class:MaskedArray +ToResponse adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToResponse(self, columns_order=None, order_by=(), tqx=""):$/;" m class:DataTable +torr adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^torr = mmHg = atm \/ 760$/;" v +ToSeconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToSeconds(self):$/;" m class:Duration +ToSeconds adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToSeconds(self):$/;" m class:Timestamp +ToShortestFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^def ToShortestFloat(original):$/;" f +ToSparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class ToSparse(keras.layers.Layer):$/;" c member:TestDistributionStrategyWithKerasModels.test_sparse_tensor_outputs file: +tostr adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def tostr(row, col, data):$/;" f member:spmatrix.__str__ file: +ToString adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToString(value):$/;" m class:DataTable +tostring adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def tostring(self):$/;" m class:container +tostring adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def tostring(self, fill_value=None, order='C'):$/;" m class:MaskedArray +tostring adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ tostring = tobytes$/;" v class:ImagePalette +tostring adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def tostring(element): # pylint:disable=unused-variable$/;" f function:getETreeBuilder file: +tostring adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^def tostring(element):$/;" f +tostring adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def tostring(element): # pylint:disable=unused-variable$/;" f function:getETreeBuilder file: +tostring adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^def tostring(element):$/;" f +tostring_argb adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def tostring_argb(self):$/;" m class:FigureCanvasAgg +tostring_argb adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def tostring_argb(self):$/;" m class:RendererAgg +tostring_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def tostring_rgb(self):$/;" m class:FigureCanvasAgg +tostring_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def tostring_rgb(self):$/;" m class:RendererAgg +tostring_rgba_minimized adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def tostring_rgba_minimized(self):$/;" m class:RendererAgg +total adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ total = lambda x: _reduce_sum(x, list(range(t.ndim)))$/;" f function:_pad_transpose file: +total adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def total(self):$/;" m class:MeanTensor +total_average adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def total_average(self):$/;" m class:EventList +total_average adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def total_average(self):$/;" m class:profile +total_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def total_concentration(self):$/;" m class:Beta +total_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def total_concentration(self):$/;" m class:Dirichlet +total_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def total_concentration(self):$/;" m class:DirichletMultinomial +total_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def total_count(self):$/;" m class:DirichletMultinomial +total_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def total_count(self):$/;" m class:Multinomial +total_loss_scalar adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def total_loss_scalar(self):$/;" m class:Seq2SeqModelCaffe2 +total_time_seconds adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def total_time_seconds(self):$/;" m class:ExecutionStats +total_variation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def total_variation(images, name=None):$/;" f +ToTimedelta adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def ToTimedelta(self):$/;" m class:Duration +ToTsvExcel adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def ToTsvExcel(self, columns_order=None, order_by=()):$/;" m class:DataTable +tot_numel adpeps/utils/tlist.py /^ def tot_numel(self):$/;" m class:TList +ToUnicode adpepsenv/lib/python3.8/site-packages/idna/compat.py /^def ToUnicode(label):$/;" f +ToUnicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/compat.py /^def ToUnicode(label):$/;" f +TOWRITE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TOWRITE = 0o002 # write by other$/;" v +toXmlName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def toXmlName(self, name):$/;" m class:InfosetFilter +toXmlName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def toXmlName(self, name):$/;" m class:InfosetFilter +ToyModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class ToyModel(nn.Module):$/;" c member:DistributedTest._DistTestBase.test_ddp_unused_params_rebuild_buckets_exception file: +to_args adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def to_args(self):$/;" m class:RevOptions +to_array adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def to_array(self, dtype=None):$/;" m class:_DoubleDouble +to_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def to_ast(self):$/;" m class:_ArgTemplateBuilder +to_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def to_ast(self):$/;" m class:ConversionOptions +to_attribute adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def to_attribute(name, attr_string):$/;" f +to_backend adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^to_backend = {$/;" v +to_backend_cache_wrap adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def to_backend_cache_wrap(to_backend=None, constants=False):$/;" f +to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def to_batched_tensor_list(element_spec, element):$/;" f +to_bfloat16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_bfloat16(x, name="ToBFloat16"):$/;" f +to_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def to_bool(input, name=None):$/;" f +to_bool_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def to_bool_eager_fallback(input, name, ctx):$/;" f +to_bytes adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def to_bytes(value, encoding="utf-8"):$/;" f +to_bytes adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ to_bytes = lambda self, order="C": self._value.tobytes(order)$/;" f +to_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def to_bytes(self):$/;" m class:Timestamp +to_bytes adpepsenv/lib/python3.8/site-packages/pyasn1/compat/integer.py /^ def to_bytes(value, signed=False, length=0):$/;" f +to_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def to_bytes(x, charset=sys.getdefaultencoding(), errors="strict"):$/;" f +to_categorical adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/np_utils.py /^def to_categorical(y, num_classes=None, dtype='float32'):$/;" f +to_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def to_code(entity, recursive=True, experimental_optional_features=None):$/;" f +to_code adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/__init__.py /^from tensorflow.python.autograph.impl.api import to_code_v1 as to_code$/;" x +to_code_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def to_code_v1(entity,$/;" f +to_complex adpeps/utils/empty_tensor.py /^ def to_complex(self):$/;" m class:EmptyT +to_complex128 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_complex128(x, name="ToComplex128"):$/;" f +to_complex64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_complex64(x, name="ToComplex64"):$/;" f +to_content_range_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_content_range_header(self, length):$/;" m class:Range +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:CondContext +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:ControlFlowContext +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:WhileContext +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:XLAControlFlowContext +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:OutsideCompilationV2Context +to_control_flow_context_def adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def to_control_flow_context_def(self, context_def, export_scope=None):$/;" m class:_CapturingContext +to_csv adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/kernel_stats_proto_to_gviz.py /^def to_csv(raw_data):$/;" f +to_csv adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_stats_proto_to_gviz.py /^def to_csv(raw_data):$/;" f +to_cupy adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/cupy.py /^def to_cupy(array): # pragma: no cover$/;" f +to_datetime adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def to_datetime(self):$/;" m class:Timestamp +to_dense adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def to_dense(self):$/;" m class:_Sparse_Matrix_coo +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def to_dense(tensor):$/;" f +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def to_dense(self, name="to_dense"):$/;" m class:LinearOperator +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def to_dense(self):$/;" m class:CSRSparseMatrix +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def to_dense(self):$/;" m class:SparseMatrix +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_to_dense as to_dense$/;" x +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_to_dense as to_dense$/;" x +to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_tensor_to_dense as to_dense$/;" x +to_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def to_dict(self):$/;" m class:DirectUrl +to_dict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_dict(self, flat=True):$/;" m class:CombinedMultiDict +to_dict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_dict(self, flat=True):$/;" m class:MultiDict +to_discrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_discrete(self, dt, method='zoh', alpha=None):$/;" m class:lti +to_discrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_discrete(self, dt, method='zoh', alpha=None):$/;" m class:StateSpaceContinuous +to_discrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_discrete(self, dt, method='zoh', alpha=None):$/;" m class:TransferFunctionContinuous +to_discrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_discrete(self, dt, method='zoh', alpha=None):$/;" m class:ZerosPolesGainContinuous +to_display adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def to_display(self):$/;" m class:RevOptions +to_dlpack adpepsenv/lib/python3.8/site-packages/jax/_src/dlpack.py /^def to_dlpack(x: xla.DeviceArrayProtocol, take_ownership: bool = False):$/;" f +to_dlpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/dlpack/dlpack.py /^def to_dlpack(tf_tensor):$/;" f +to_dlpack adpepsenv/lib/python3.8/site-packages/torch/utils/dlpack.py /^from torch._C import _to_dlpack as to_dlpack$/;" x +to_dot adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def to_dot(self, f, skip_disconnected=True):$/;" m class:DependencyGraph +to_double adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_double(x, name="ToDouble"):$/;" f +to_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def to_exception(self, source_error):$/;" m class:ErrorMetadataBase +to_execution_step adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def to_execution_step(step_or_nets, default_name=None):$/;" f +to_filehandle adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def to_filehandle(fname, flag='r', return_opened=False, encoding=None):$/;" f +to_filename adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def to_filename(name):$/;" f +to_filename adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def to_filename(name):$/;" f +to_filename adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^def to_filename(name):$/;" f +to_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_float(x, name="ToFloat"):$/;" f +to_float32 adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^def to_float32(x):$/;" f +to_float_or_none adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def to_float_or_none(x):$/;" f member:VizParams.__init__ file: +to_genshi adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treeadapters/genshi.py /^def to_genshi(walker):$/;" f +to_genshi adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treeadapters/genshi.py /^def to_genshi(walker):$/;" f +to_gpu adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def to_gpu(obj, type_map=None):$/;" f +to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def to_graph(entity, recursive=True, experimental_optional_features=None):$/;" f +to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/__init__.py /^from tensorflow.python.autograph.impl.api import to_graph_v1 as to_graph$/;" x +to_graph_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def to_graph_v1(entity,$/;" f +to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket_v1 as to_hash_bucket$/;" x +to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket as to_hash_bucket$/;" x +to_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_hash_bucket as to_hash_bucket$/;" x +to_hash_bucket_fast adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_fast as to_hash_bucket_fa/;" x +to_hash_bucket_fast adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_fast as to_hash_bucket_fa/;" x +to_hash_bucket_fast adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_fast as to_hash_bucket_fa/;" x +to_hash_bucket_strong adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_strong as to_hash_bucket_/;" x +to_hash_bucket_strong adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_strong as to_hash_bucket_/;" x +to_hash_bucket_strong adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_to_hash_bucket_strong as to_hash_bucket_/;" x +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:Accept +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:ContentRange +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:ContentSecurityPolicy +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:ETags +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:HeaderSet +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:IfRange +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:Range +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:WWWAuthenticate +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_header(self):$/;" m class:_CacheControl +to_header adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def to_header(self):$/;" m class:UserAgent +to_hex adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def to_hex(c, keep_alpha=False):$/;" f +to_html5_video adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def to_html5_video(self, embed_limit=None):$/;" m class:Animation +to_html_string adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def to_html_string(element):$/;" f +to_indicator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_to_indicator as to_indicator$/;" x +to_indicator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_to_indicator as to_indicator$/;" x +to_indicator adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_to_indicator as to_indicator$/;" x +to_int adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def to_int(x):$/;" f member:VizParams.__init__ file: +to_int32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_int32(x, name="ToInt32"):$/;" f +to_int64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def to_int64(x, name="ToInt64"):$/;" f +to_iri_tuple adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def to_iri_tuple(self):$/;" m class:BaseURL +to_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^ def to_jax(x):$/;" f function:_get_jax_and_to_jax file: +to_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def to_jaxpr(self, in_tracers, out_tracers):$/;" m class:JaxprStackFrame +to_jax_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def to_jax_dtype(tf_dtype):$/;" f +to_jshtml adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def to_jshtml(self, fps=None, embed_frames=True, default_mode=None):$/;" m class:Animation +to_json adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def to_json(self, strip=None):$/;" m class:Credentials +to_json adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^ def to_json(self, **kwargs):$/;" m class:TimeseriesGenerator +to_json adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def to_json(self, **kwargs):$/;" m class:Tokenizer +to_json adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def to_json(self):$/;" m class:DirectUrl +to_json adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/input_pipeline_proto_to_gviz.py /^def to_json(raw_data):$/;" f +to_json adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/kernel_stats_proto_to_gviz.py /^def to_json(raw_data):$/;" f +to_json adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/overview_page_proto_to_gviz.py /^def to_json(raw_data):$/;" f +to_json adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_data_stats_proto_to_gviz.py /^def to_json(raw_data):$/;" f +to_json adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/tf_stats_proto_to_gviz.py /^def to_json(raw_data):$/;" f +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:BaseDigest +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:DebuggedDevice +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:DebuggedGraph +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:Execution +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:ExecutionDigest +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:GraphExecutionTrace +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:GraphExecutionTraceDigest +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def to_json(self):$/;" m class:GraphOpCreationDigest +to_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def to_json(self, **kwargs):$/;" m class:Model +to_key_val_list adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def to_key_val_list(value):$/;" f +to_key_val_list adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def to_key_val_list(value):$/;" f +to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def to_list(x):$/;" f +to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def to_list(self):$/;" m class:RaggedTensor +to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def to_list(self):$/;" m class:RaggedTensorValue +to_list_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def to_list_or_none(x):$/;" f function:_standardize_args file: +to_mask adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def to_mask(self, texstr, dpi=120, fontsize=14):$/;" m class:MathTextParser +to_mesh adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def to_mesh(axes):$/;" f member:EvaluationPlan.to_mesh_axes file: +to_mesh_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def to_mesh_axes(self, in_axes, out_axes):$/;" m class:EvaluationPlan +to_mkldnn adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^def to_mkldnn(module):$/;" f +to_mlab_linkage adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def to_mlab_linkage(Z):$/;" f +to_native adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def to_native(A):$/;" f +to_native adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def to_native(x, charset=sys.getdefaultencoding(), errors="strict"):$/;" f +to_native_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/_internal_utils.py /^def to_native_string(string, encoding='ascii'):$/;" f +to_native_string adpepsenv/lib/python3.8/site-packages/requests/_internal_utils.py /^def to_native_string(string, encoding='ascii'):$/;" f +TO_NEAREST_EVEN adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ TO_NEAREST_EVEN = 1$/;" v class:RoundingMethod +to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number_v1 as to_number$/;" x +to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number as to_number$/;" x +to_number adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.string_ops import string_to_number as to_number$/;" x +to_numpy_array adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def to_numpy_array(self):$/;" m class:TensorHandle +to_numpy_code adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/byteordercodes.py /^def to_numpy_code(code):$/;" f +to_numpy_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def to_numpy_dtype(dt):$/;" f member:JaxToTfTestCase.assertDtypesMatch file: +to_numpy_or_python_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def to_numpy_or_python_type(tensors):$/;" f +to_numpy_type adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^def to_numpy_type(number_type):$/;" f +to_png adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def to_png(self, filename, texstr, color='black', dpi=120, fontsize=14):$/;" m class:MathTextParser +to_polygons adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def to_polygons(self, transform=None, width=0, height=0, closed_only=True):$/;" m class:Path +to_posix adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ to_posix = lambda o: o$/;" f +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def to_proto(self, export_scope=None):$/;" m class:DistributedVariable +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def to_proto(self, export_scope=None):$/;" m class:AutoCastVariable +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_proto(self, export_scope=None):$/;" m class:CondContext +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def to_proto(self, export_scope=None):$/;" m class:WhileContext +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def to_proto(self, export_scope=None):$/;" m class:BaseResourceVariable +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def to_proto(self, export_scope=None):$/;" m class:Variable.SaveSliceInfo +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def to_proto(self, export_scope=None):$/;" m class:RefVariable +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def to_proto(self, export_scope=None):$/;" m class:Variable +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^ def to_proto(self):$/;" m class:VersionedTypeRegistration +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def to_proto(self, export_scope=None):$/;" m class:QueueRunner +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def to_proto(self, export_scope=None):$/;" m class:Saver +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def to_proto(self):$/;" m class:MultiDeviceSaver +to_proto adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^ def to_proto(self):$/;" m class:_FeedingQueueRunner +to_proto adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def to_proto(self):$/;" m class:GraphPy +to_py adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def to_py(self): return None$/;" m class:DeviceConstant +to_python adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_python(self, value):$/;" m class:BaseConverter +to_python adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_python(self, value):$/;" m class:NumberConverter +to_python adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_python(self, value):$/;" m class:UUIDConverter +to_pyval adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def to_pyval(self):$/;" m class:StructuredTensor +to_qcolor adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def to_qcolor(color):$/;" f +to_ragged_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def to_ragged_spec(spec):$/;" f member:_DenseToRaggedDataset.__init__ file: +to_ragged_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def to_ragged_variant(value):$/;" f member:_DenseToRaggedDataset.__init__ file: +to_raw_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def to_raw_op(f):$/;" f +to_real adpeps/utils/empty_tensor.py /^ def to_real(self):$/;" m class:EmptyT +to_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ to_rgb = staticmethod(to_rgb)$/;" v class:ColorConverter +to_rgb adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def to_rgb(c):$/;" f +to_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def to_rgba(self, x, alpha=None, bytes=False, norm=True):$/;" m class:ScalarMappable +to_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ to_rgba = staticmethod(to_rgba)$/;" v class:ColorConverter +to_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def to_rgba(c, alpha=None):$/;" f +to_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def to_rgba(self, texstr, color='black', dpi=120, fontsize=14):$/;" m class:MathTextParser +to_rgba_array adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ to_rgba_array = staticmethod(to_rgba_array)$/;" v class:ColorConverter +to_rgba_array adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def to_rgba_array(c, alpha=None):$/;" f +to_safe_name adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def to_safe_name(cls, s):$/;" m class:parameterized +to_sax adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treeadapters/sax.py /^def to_sax(walker, handler):$/;" f +to_sax adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treeadapters/sax.py /^def to_sax(walker, handler):$/;" f +to_snake_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def to_snake_case(name):$/;" f +to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def to_sparse(rt_input, name=None):$/;" f +to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def to_sparse(self, name=None):$/;" m class:RaggedTensor +to_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def to_sparse_tensor(self):$/;" m class:CSRSparseMatrix +to_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def to_sparse_tensor(self):$/;" m class:SparseMatrix +to_ss adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_ss(self):$/;" m class:StateSpace +to_ss adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_ss(self):$/;" m class:TransferFunction +to_ss adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_ss(self):$/;" m class:ZerosPolesGain +to_str adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ to_str = lambda aval: getattr(aval, 'str_short', partial(str, aval))()$/;" f function:_avals_short file: +to_str adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^def to_str(tree):$/;" f +to_string adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def to_string(obj, **kwargs):$/;" f +to_string adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def to_string(self):$/;" m class:TestArrayRepr.test_0d_object_subclass.DuckCounter +to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def to_string(self):$/;" m class:DeviceSpecV1 +to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def to_string(self):$/;" m class:DeviceSpecV2 +to_str_list adpepsenv/lib/python3.8/site-packages/caffe2/python/brew_test.py /^ def to_str_list(x):$/;" f member:BrewTest.test_get_params file: +to_task adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def to_task(self, node=None):$/;" m class:TaskGroup +to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def to_tensor(rt_input, default_value=None, name=None):$/;" f +to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def to_tensor(self, default_value=None, name=None, shape=None):$/;" m class:RaggedTensor +to_tensorflow adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def to_tensorflow(array, constant=False):$/;" f +to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def to_tensor_list(element_spec, element):$/;" f +to_tensor_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^def to_tensor_shape(spec):$/;" f +to_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^def to_tensor_spec(input_spec, default_dtype=None):$/;" f +to_tensor_spec_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def to_tensor_spec_or_none(x):$/;" f member:LayerCallCollection._generate_input_signature file: +to_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_tf(self):$/;" m class:TransferFunction +to_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_tf(self):$/;" m class:ZerosPolesGain +to_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_tf(self, **kwargs):$/;" m class:StateSpace +to_tf_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def to_tf_dtype(jax_dtype):$/;" f +to_theano adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/theano.py /^def to_theano(array, constant=False):$/;" f +to_torch adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def to_torch(array):$/;" f +to_tree adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def to_tree(Z, rd=False):$/;" f +to_unicode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def to_unicode(data, encoding='UTF-8'):$/;" f +to_unicode adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^def to_unicode($/;" f +to_unix adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def to_unix(self):$/;" m class:Timestamp +to_unix_nano adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def to_unix_nano(self):$/;" m class:Timestamp +to_untyped adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def to_untyped(grad_wrapper):$/;" f member:GradientRegistry._GetGradientForOpCC file: +to_uri_tuple adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def to_uri_tuple(self):$/;" m class:BaseURL +to_url adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_url(self, value):$/;" m class:BaseConverter +to_url adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_url(self, value):$/;" m class:NumberConverter +to_url adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def to_url(self, value):$/;" m class:UUIDConverter +to_url adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def to_url(self):$/;" m class:BaseURL +to_v2 adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def to_v2(self):$/;" m class:ImageFileDirectory_v1 +to_values adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def to_values(self):$/;" m class:Affine2DBase +to_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def to_variant(dataset):$/;" f +to_writeable adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def to_writeable(source):$/;" f +to_wsgi_list adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def to_wsgi_list(self):$/;" m class:Headers +to_xhtml_string adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def to_xhtml_string(element):$/;" f +to_yaml adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def to_yaml(self, **kwargs):$/;" m class:Model +to_yaml adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ def to_yaml(cls, dumper, data):$/;" m class:YAMLObject +to_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_zpk(self):$/;" m class:TransferFunction +to_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_zpk(self):$/;" m class:ZerosPolesGain +to_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def to_zpk(self, **kwargs):$/;" m class:StateSpace +tp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^import types as tp$/;" I +tpBasis adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^tpBasis = _OID(id_characteristic_two_basis, 2)$/;" v +TPC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ TPC = 0x0681$/;" v class:WAVE_FORMAT +tplquad adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def tplquad(func, a, b, gfun, hfun, qfun, rfun, args=(), epsabs=1.49e-8,$/;" f +tprod adpeps/ipeps/models/heisenberg.py /^def tprod(a,b):$/;" f +TPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/tag_constants.py /^TPU = "tpu"$/;" v +TPUAutoPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUAutoPolicy(values.AutoPolicy):$/;" c +TpuBackend adpepsenv/lib/python3.8/site-packages/jaxlib/tpu_client.py /^class TpuBackend(object):$/;" c +TPUClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^class TPUClusterResolver(cluster_resolver.ClusterResolver):$/;" c +TPUCombination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^class TPUCombination(combinations_lib.TestCombination):$/;" c +TPUCompilationResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUCompilationResult = tf_export("raw_ops.TPUCompilationResult")(_ops.to_raw_op(tpu_compilation_/;" v +TPUConfig adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^class TPUConfig($/;" c +TpuContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^class TpuContext(threading.local):$/;" c +TPUContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^class TPUContext(object):$/;" c +TPUDistributedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUDistributedVariable(TPUVariableMixin, values.DistributedVariable):$/;" c +TPUEmbedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class TPUEmbedding(object):$/;" c +TPUEmbedding adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^class TPUEmbedding(tracking.AutoTrackable):$/;" c +TPUEmbeddingActivations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUEmbeddingActivations = tf_export("raw_ops.TPUEmbeddingActivations")(_ops.to_raw_op(tpu_embedd/;" v +TPUEmbeddingConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^TPUEmbeddingConfiguration = _reflection.GeneratedProtocolMessageType('TPUEmbeddingConfiguration'/;" v +TPUEmbeddingOutputLayout adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^TPUEmbeddingOutputLayout = _reflection.GeneratedProtocolMessageType('TPUEmbeddingOutputLayout', /;" v +TPUEmbeddingSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^class TPUEmbeddingSaveable(saveable_hook.SaveableHook):$/;" c +TPUEstimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class TPUEstimator(estimator_lib.Estimator):$/;" c +TPUEstimatorSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class TPUEstimatorSpec(model_fn_lib._TPUEstimatorSpec): # pylint: disable=protected-access$/;" c +TPUExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^class TPUExtended(distribute_lib.StrategyExtendedV1):$/;" c +TPUInfeedOutfeedSessionHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class TPUInfeedOutfeedSessionHook(tf.compat.v1.train.SessionRunHook):$/;" c +TPUInfeedOutfeedSessionHookForPrediction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class TPUInfeedOutfeedSessionHookForPrediction(TPUInfeedOutfeedSessionHook):$/;" c +TPUMirroredVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUMirroredVariable(TPUVariableMixin, values.MirroredVariable):$/;" c +TPUOnReadPolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUOnReadPolicy(values.OnReadPolicy):$/;" c +TPUOnWritePolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUOnWritePolicy(values.OnWritePolicy):$/;" c +TPUOrdinalSelector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUOrdinalSelector = tf_export("raw_ops.TPUOrdinalSelector")(_ops.to_raw_op(tpu_ordinal_selector/;" v +TPUPartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUPartitionedCall = tf_export("raw_ops.TPUPartitionedCall")(_ops.to_raw_op(tpu_partitioned_call/;" v +TPUPartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/functional.py /^TPUPartitionedCall = tpu_ops.tpu_partitioned_call # pylint: disable=invalid-name$/;" v +TPUReplicateContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^class TPUReplicateContext(control_flow_ops.XLAControlFlowContext):$/;" c +TPUReplicatedInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUReplicatedInput = tf_export("raw_ops.TPUReplicatedInput")(_ops.to_raw_op(tpu_replicated_input/;" v +TPUReplicatedOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUReplicatedOutput = tf_export("raw_ops.TPUReplicatedOutput")(_ops.to_raw_op(tpu_replicated_out/;" v +TPUReplicateMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^TPUReplicateMetadata = tf_export("raw_ops.TPUReplicateMetadata")(_ops.to_raw_op(tpu_replicate_me/;" v +TPUShardedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^class TPUShardedVariable(sharded_variable.ShardedVariableMixin):$/;" c +TPUStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^class TPUStrategy(distribute_lib.Strategy):$/;" c +TPUStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from tensorflow.python.distribute.tpu_strategy import TPUStrategyV1 as TPUStrategy$/;" x +TPUStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from tensorflow.python.distribute.tpu_strategy import TPUStrategyV2 as TPUStrategy$/;" x +TPUStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from tensorflow.python.distribute.tpu_strategy import TPUStrategyV2 as TPUStrategy$/;" x +TPUStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^class TPUStrategyV1(distribute_lib.StrategyV1):$/;" c +TPUStrategyV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^class TPUStrategyV2(distribute_lib.Strategy):$/;" c +TPUSyncOnReadVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUSyncOnReadVariable(TPUVariableMixin, values.SyncOnReadVariable):$/;" c +TPUSystemMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^class TPUSystemMetadata($/;" c +TPUVariableMixin adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^class TPUVariableMixin(object):$/;" c +tpu_client adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^ tpu_client = None$/;" v +tpu_compilation_result adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_compilation_result(name=None):$/;" f +tpu_compilation_result adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.core.protobuf.tpu import compilation_result_pb2 as tpu_compilation_result$/;" x +tpu_compilation_result_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_compilation_result_eager_fallback(name, ctx):$/;" f +tpu_computation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def tpu_computation():$/;" f function:inference_on_tpu._tpu_call file: +tpu_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def tpu_config(self):$/;" m class:RunConfig +tpu_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def tpu_device(self, replica=0, logical_core=0, job=None):$/;" m class:DeviceAssignment +tpu_device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^from tensorflow.python.tpu import device_assignment as tpu_device_assignment$/;" x +tpu_device_name_at_coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def tpu_device_name_at_coordinates(self, device_coordinates, job=None):$/;" m class:Topology +tpu_device_ordinal_at_coordinates adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def tpu_device_ordinal_at_coordinates(self, device_coordinates):$/;" m class:Topology +tpu_device_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def tpu_device_placement_function(self):$/;" m class:_InternalTPUContext +TPU_DRIVER_MODE adpepsenv/lib/python3.8/site-packages/jax/tools/colab_tpu.py /^TPU_DRIVER_MODE = 0$/;" v +tpu_embedding_activations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_embedding_activations(embedding_variable, sliced_activations, table_id, lookup_id, name=/;" f +tpu_embedding_activations_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_embedding_activations_eager_fallback(embedding_variable, sliced_activations, table_id, l/;" f +TPU_EMBEDDING_CORE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ TPU_EMBEDDING_CORE = 3$/;" v class:EmbeddingDevice +tpu_fc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow.python.tpu import feature_column as tpu_fc$/;" x +tpu_fc_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^from tensorflow.python.tpu import feature_column_v2 as tpu_fc_v2$/;" x +tpu_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def tpu_function(args, kwargs):$/;" f member:TPUExtended._tpu_function_creator file: +tpu_functional adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow.python.tpu import functional as tpu_functional$/;" x +tpu_host_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def tpu_host_placement_function(self):$/;" m class:TPUContext +tpu_host_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def tpu_host_placement_function(self):$/;" m class:_InternalTPUContext +tpu_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^from tensorflow.python.distribute import tpu_strategy as tpu_lib$/;" x +tpu_ordinal adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def tpu_ordinal(self, replica=0, logical_core=0):$/;" m class:DeviceAssignment +tpu_ordinal_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ tpu_ordinal_function = lambda index: -1$/;" f member:InfeedQueue.generate_enqueue_ops file: +tpu_ordinal_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def tpu_ordinal_function(self, host_id):$/;" m class:_InternalTPUContext +tpu_ordinal_function_impl adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def tpu_ordinal_function_impl(shard_id):$/;" f function:generate_broadcast_enqueue_ops_fn file: +tpu_ordinal_selector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_ordinal_selector(name=None):$/;" f +tpu_ordinal_selector_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_ordinal_selector_eager_fallback(name, ctx):$/;" f +tpu_partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_partitioned_call(args, device_ordinal, Tout, f, autotuner_thresh=0, name=None):$/;" f +tpu_partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def tpu_partitioned_call(partition_inputs):$/;" f function:call_computation file: +tpu_partitioned_call_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_partitioned_call_eager_fallback(args, device_ordinal, Tout, f, autotuner_thresh, name, c/;" f +tpu_replicated_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicated_input(inputs, is_mirrored_variable=False, index=-1, is_packed=False, name=Non/;" f +tpu_replicated_input_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicated_input_eager_fallback(inputs, is_mirrored_variable, index, is_packed, name, ct/;" f +tpu_replicated_input_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def tpu_replicated_input_resolver(op, resource_reads, resource_writes):$/;" f +tpu_replicated_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicated_output(input, num_replicas, name=None):$/;" f +tpu_replicated_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicated_output_eager_fallback(input, num_replicas, name, ctx):$/;" f +tpu_replicate_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicate_metadata(num_replicas, num_cores_per_replica=1, topology="", use_tpu=True, dev/;" f +tpu_replicate_metadata_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def tpu_replicate_metadata_eager_fallback(num_replicas, num_cores_per_replica, topology, use_tpu/;" f +tpu_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def tpu_run(self, fn, args, kwargs, options=None):$/;" m class:TPUExtended +tpu_shard_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^def tpu_shard_context(number_of_shards):$/;" f +tpu_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategies = [$/;" v +tpu_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^tpu_strategies = [$/;" v +tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategy = combinations.NamedDistribution($/;" v +tpu_strategy_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def tpu_strategy_combinations():$/;" f +tpu_strategy_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def tpu_strategy_combinations():$/;" f +tpu_strategy_combinations_graph_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def tpu_strategy_combinations_graph_only():$/;" f +tpu_strategy_one_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategy_one_core = combinations.NamedDistribution($/;" v +tpu_strategy_one_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategy_one_step = combinations.NamedDistribution($/;" v +tpu_strategy_one_step_one_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategy_one_step_one_core = combinations.NamedDistribution($/;" v +tpu_strategy_packed_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^tpu_strategy_packed_var = combinations.NamedDistribution($/;" v +tpu_subgraph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def tpu_subgraph():$/;" f function:call_computation.tpu_partitioned_call file: +tpu_system_metadata_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^from tensorflow.python.tpu import tpu_system_metadata as tpu_system_metadata_lib$/;" x +tpu_system_metadata_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^from tensorflow.python.tpu import tpu_system_metadata as tpu_system_metadata_lib$/;" x +tpu_system_metadata_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^from tensorflow.python.tpu import tpu_system_metadata as tpu_system_metadata_lib$/;" x +TPU_TENSOR_CORE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ TPU_TENSOR_CORE = 2$/;" v class:EmbeddingDevice +TPU_TEST adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ TPU_TEST = "test_tpu" in sys.argv[0]$/;" v class:TPUCombination +tpu_values_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^from tensorflow.python.distribute import tpu_values as tpu_values_lib$/;" x +TPU_VARIABLE_CLASS_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^TPU_VARIABLE_CLASS_MAPPING = {$/;" v +TPU_VARIABLE_POLICY_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^TPU_VARIABLE_POLICY_MAPPING = {$/;" v +tpu_wrap_trace_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def tpu_wrap_trace_fn(tensor, out_tensor_name):$/;" f member:TensorTracer._trace_execution file: +tqdm adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ class tqdm(object): # type: ignore$/;" c +trace adpeps/tensor/ncon.py /^def trace(A, axis1=0, axis2=1):$/;" f +Trace adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Trace:$/;" c +trace adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def trace(a, offset=0, axis1: int = 0, axis2: int = 1, dtype=None, out=None):$/;" f +trace adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def trace(a, offset=0, axis1=0, axis2=1, dtype=None, out=None):$/;" f +trace adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def trace(self, offset=0, axis1=0, axis2=1, dtype=None, out=None):$/;" m class:MaskedArray +trace adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^trace = _frommethod('trace')$/;" v +trace adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def trace(frame, event, arg):$/;" f function:time_limited.deco.wrap file: +Trace adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^Trace = _reflection.GeneratedProtocolMessageType('Trace', (_message.Message,), {$/;" v +Trace adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^Trace = _reflection.GeneratedProtocolMessageType('Trace', (_message.Message,), {$/;" v +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def trace(*args):$/;" f +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def trace(self, graph_element_name):$/;" m class:DFSGraphTracer +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^trace = math_ops.trace$/;" v +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def trace(self, name="trace"):$/;" m class:LinearOperator +TRACE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ TRACE = "trace"$/;" v class:CheckTapeSafeSkipOptions +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def trace(x, name=None):$/;" f +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def trace(a, offset=0, axis1=0, axis2=1, dtype=None): # pylint: disable=missing-docstring$/;" f +trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_client.py /^def trace(service_addr,$/;" f +Trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^class Trace(object):$/;" c +trace adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def trace($/;" f +trace adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def trace(self, root: torch.nn.Module) -> GraphModule:$/;" m class:Tracer +trace adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def trace(self, *args, **kw):$/;" m class:Client +traceable adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def traceable(in_tree_def, *primals_and_series):$/;" f +traceable adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def traceable(num_primals, in_tree_def, *primals_and_tangents):$/;" f +traceable adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def traceable(fn_cls):$/;" f +TraceableObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^class TraceableObject(object):$/;" c +TraceableStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^class TraceableStack(object):$/;" c +traceback adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def traceback(self, timeout=None):$/;" m class:_ChannelReadyFuture +traceback adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def traceback(self, timeout=None):$/;" m class:_Rendezvous +traceback adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/future.py /^ def traceback(self, timeout=None):$/;" m class:Future +traceback adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def traceback(self, timeout=None): # pylint: disable=unused-argument$/;" m class:_InactiveRpcError +traceback adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def traceback(self, timeout=None):$/;" m class:_MultiThreadedRendezvous +traceback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def traceback(self, ignored_timeout=None):$/;" m class:_FailureOutcome +traceback adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def traceback(self, ignored_timeout=None):$/;" m class:_UnaryOutcome +traceback adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def traceback(self, timeout=None):$/;" m class:_ChannelReadyFuture +traceback adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def traceback(self, timeout=None):$/;" m class:Future +Traceback adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^Traceback = Any # xla_client.Traceback$/;" v +Traceback adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^Traceback = _xla.Traceback$/;" v +traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def traceback(self):$/;" m class:Operation +Traceback adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^class Traceback(object):$/;" c +tracebacks adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def tracebacks(enabled=True):$/;" f +traceback_files_common_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def traceback_files_common_prefix(all_ops):$/;" f +TraceContext adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^class TraceContext(xla_client.profiler.TraceMe):$/;" c +TracedModule adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^class TracedModule(ScriptModule):$/;" c +traced_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def traced_fn(*inputs, **kwargs):$/;" f function:create_traced_fn file: +TraceError adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^class TraceError(ValueError):$/;" c +TraceEvent adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^TraceEvent = _reflection.GeneratedProtocolMessageType('TraceEvent', (_message.Message,), {$/;" v +TraceEvent adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^TraceEvent = _reflection.GeneratedProtocolMessageType('TraceEvent', (_message.Message,), {$/;" v +TraceEventsJsonStream adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^class TraceEventsJsonStream(object):$/;" c +TraceMe adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/traceme.py /^from tensorflow.python.profiler.trace import Trace as TraceMe$/;" x +traceme_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/traceme.py /^def traceme_wrapper(func):$/;" f +traceParseAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def traceParseAction(f):$/;" f +traceParseAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def traceParseAction(f):$/;" f +traceParseAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def traceParseAction(f):$/;" f +traceParseAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def traceParseAction(f):$/;" f +Tracer adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Tracer:$/;" c +Tracer adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^class Tracer(TracerBase):$/;" c +TracerBase adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^class TracerBase:$/;" c +tracers_to_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def tracers_to_jaxpr($/;" f +TracerWarning adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^class TracerWarning(Warning):$/;" c +TraceStack adpepsenv/lib/python3.8/site-packages/jax/core.py /^ class TraceStack:$/;" c function:omnistaging_disabler file: +TraceStack adpepsenv/lib/python3.8/site-packages/jax/core.py /^class TraceStack:$/;" c +TraceState adpepsenv/lib/python3.8/site-packages/jax/core.py /^ class TraceState:$/;" c function:omnistaging_disabler file: +TraceState adpepsenv/lib/python3.8/site-packages/jax/core.py /^class TraceState:$/;" c +trace_args adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^def trace_args(func):$/;" f +trace_basic_info adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def trace_basic_info(finder):$/;" m class:RequirementCommand +trace_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def trace_cpu(self, graph, tensor_fetches, op_fetches=None):$/;" m class:TensorTracer +trace_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def trace_export(name, step=None, profiler_outdir=None):$/;" f +trace_function adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^def trace_function(func: Callable, name: str = None, **kwargs):$/;" f +trace_iterates adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_minres.py /^ def trace_iterates(xk):$/;" f function:test_x0_is_used_by file: +trace_model_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def trace_model_call(model, input_signature=None):$/;" f +TRACE_MODE_FULL_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_FULL_TENSOR = 'full-tensor'$/;" v +TRACE_MODE_FULL_TENSOR_SUMMARY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_FULL_TENSOR_SUMMARY = 'full_tensor_summary'$/;" v +TRACE_MODE_MAX_ABS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_MAX_ABS = 'max-abs'$/;" v +TRACE_MODE_NAN_INF adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_NAN_INF = 'nan-inf'$/;" v +TRACE_MODE_NORM adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_NORM = 'norm'$/;" v +TRACE_MODE_PART_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_PART_TENSOR = 'part-tensor'$/;" v +TRACE_MODE_SUMMARY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TRACE_MODE_SUMMARY = 'summary'$/;" v +trace_module adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def trace_module($/;" f +trace_off adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def trace_off():$/;" f +trace_on adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def trace_on(graph=True, profiler=False): # pylint: disable=redefined-outer-name$/;" f +trace_save_restore_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def trace_save_restore_functions(object_to_save):$/;" f +trace_state_clean adpepsenv/lib/python3.8/site-packages/jax/core.py /^def trace_state_clean() -> bool:$/;" f +trace_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^def trace_tensor(tensor, tracepoint_name=None):$/;" f +trace_to_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def trace_to_jaxpr(fun: lu.WrappedFun, pvals: Sequence[PartialVal],$/;" f function:omnistaging_disabler file: +trace_to_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def trace_to_jaxpr(fun: lu.WrappedFun, pvals: Sequence[PartialVal],$/;" f +trace_to_jaxpr_dynamic adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def trace_to_jaxpr_dynamic(fun: lu.WrappedFun, in_avals: Sequence[AbstractValue]):$/;" f +trace_to_jaxpr_final adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def trace_to_jaxpr_final(fun: lu.WrappedFun, in_avals: Sequence[AbstractValue]):$/;" f +trace_to_jaxpr_finalize adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def trace_to_jaxpr_finalize(in_tracers, out_tracers, trace, instantiate=True):$/;" m class:_BodyTracer +trace_to_subjaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def trace_to_subjaxpr(main: core.MainTrace, instantiate: Union[bool, Sequence[bool]],$/;" f +trace_to_subjaxpr_dynamic adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def trace_to_subjaxpr_dynamic(fun: lu.WrappedFun, main: core.MainTrace,$/;" f +trace_tpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def trace_tpu(self, graph,$/;" m class:TensorTracer +TRACE_VIEWER_INDEX_HTML_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^TRACE_VIEWER_INDEX_HTML_ROUTE = '\/trace_viewer_index.html'$/;" v +TRACE_VIEWER_INDEX_JS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^TRACE_VIEWER_INDEX_JS_ROUTE = '\/trace_viewer_index.js'$/;" v +trace_with_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def trace_with_training(value, fn=fn):$/;" f member:LayerCallCollection.add_trace file: +trace_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^def trace_wrapper(trace_name, **trace_kwargs):$/;" f +TracingCheckError adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^class TracingCheckError(Exception):$/;" c +track adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def track(self, font, s):$/;" m class:CharacterTracker +track adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def track(self, req):$/;" m class:RequirementTracker +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^from tensorflow.python.training.tracking import tracking as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^from tensorflow.python.training.tracking import base as trackable$/;" x +trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def trackable(self):$/;" m class:CheckpointPosition +Trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^class Trackable(object):$/;" c +TrackableDataStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class TrackableDataStructure(base.Trackable):$/;" c +TrackableObjectGraph adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^TrackableObjectGraph = _reflection.GeneratedProtocolMessageType('TrackableObjectGraph', (_messag/;" v +TrackableObjectGraph adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^TrackableObjectGraph = _reflection.GeneratedProtocolMessageType('TrackableObjectGraph', (_messag/;" v +TrackableReference adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^TrackableReference = collections.namedtuple($/;" v +TrackableResource adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^class TrackableResource(CapturableResource):$/;" c +TrackableSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class TrackableSaver(object):$/;" c +TrackableWeightHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^class TrackableWeightHandler(object):$/;" c +trackable_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^from tensorflow.python.training.tracking import base as trackable_base$/;" x +trackable_layer_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.training.tracking import layer_utils as trackable_layer_utils$/;" x +trackable_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^from tensorflow.python.training.tracking import util as trackable_util$/;" x +trackable_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^from tensorflow.python.training.tracking import util as trackable_util$/;" x +trackable_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^from tensorflow.python.training.tracking import util as trackable_util$/;" x +trackable_util adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^from tensorflow.python.training.tracking import util as trackable_util$/;" x +trackable_util adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^from tensorflow.python.training.tracking import util as trackable_util$/;" x +trackable_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^from tensorflow.python.training.tracking import util as trackable_utils$/;" x +TRACKED_FIELDS adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_inspector.py /^TRACKED_FIELDS = SHORT_FIELDS + LONG_FIELDS$/;" v +tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^from tensorflow.python.training.tracking import base as tracking$/;" x +tracking_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^from tensorflow.python.training.tracking import base as tracking_base$/;" x +tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def tracking_metadata(self):$/;" m class:SavedModelSaver +tracking_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.training.tracking import util as tracking_util$/;" x +track_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def track_characters(self, *args, **kwargs):$/;" m class:RendererPdf +track_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def track_characters(self, *args, **kwargs):$/;" m class:RendererPS +track_nodes_created_by_last_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^def track_nodes_created_by_last_call(layer, created_nodes):$/;" f +track_numerical_issues adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/analytics.py /^def track_numerical_issues(exc_info):$/;" f +track_tf_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def track_tf_optimizer(tf_optimizer):$/;" f +track_usage adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/analytics.py /^def track_usage(tool_id, tags):$/;" f +track_usage adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/analytics.py /^def track_usage(tool_id, tags):$/;" f +track_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def track_variable(v):$/;" f +TrailerField adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class TrailerField(univ.Integer):$/;" c +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def trailing_metadata(self) -> Metadata:$/;" m class:Call +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def trailing_metadata(self) -> Metadata:$/;" m class:Call +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def trailing_metadata(self) -> Metadata:$/;" m class:AioRpcError +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def trailing_metadata(self) -> Optional[Metadata]:$/;" m class:InterceptedCall +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def trailing_metadata(self) -> Optional[Metadata]:$/;" m class:UnaryUnaryCallResponse +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def trailing_metadata(self) -> Optional[Metadata]:$/;" m class:_StreamCallResponseIterator +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def trailing_metadata(self):$/;" m class:_InactiveRpcError +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def trailing_metadata(self):$/;" m class:_MultiThreadedRendezvous +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def trailing_metadata(self):$/;" m class:_SingleThreadedRendezvous +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def trailing_metadata(self):$/;" m class:_FailureOutcome +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def trailing_metadata(self):$/;" m class:_UnaryOutcome +trailing_metadata adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def trailing_metadata(self):$/;" m class:Call +train adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def train(self, session):$/;" m class:JobRunner +Train adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/imagenet_trainer.py /^def Train(args):$/;" f +Train adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/resnet50_trainer.py /^def Train(args):$/;" f +TRAIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ TRAIN = 'train'$/;" v class:EstimatorModeKeys +TRAIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ TRAIN = 'train'$/;" v class:KerasModeKeys +train adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.training import training as train$/;" x +train adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def train(self,$/;" m class:Estimator +train adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def train(self, features, labels):$/;" m class:ModelFunction +train adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def train(self,$/;" m class:TPUEstimator +train adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def train(self: T, mode: bool = True) -> T:$/;" m class:_RemoteModule +train adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def train(self, mode=True):$/;" m class:_ConvBnNd +train adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def train(self: T, mode: bool = True) -> T:$/;" m class:Module +train adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def train(self, mode=True):$/;" m class:DistributedDataParallel +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def trainable(self):$/;" m class:AggregatingVariable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def trainable(self):$/;" m class:DistributedVariable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def trainable(self):$/;" m class:Layer +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def trainable(self, value):$/;" m class:Layer +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def trainable(self):$/;" m class:Layer +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def trainable(self, value):$/;" m class:Layer +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def trainable(self):$/;" m class:BatchNormalizationBase +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def trainable(self, value):$/;" m class:BatchNormalizationBase +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def trainable(self):$/;" m class:AutoCastVariable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def trainable(self):$/;" m class:BaseResourceVariable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def trainable(self):$/;" m class:RefVariable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def trainable(self):$/;" m class:Variable +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def trainable(self):$/;" m class:TrackableDataStructure +trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def trainable(self, value):$/;" m class:TrackableDataStructure +TRAINABLE_RESOURCE_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ TRAINABLE_RESOURCE_VARIABLES = "trainable_resource_variables"$/;" v class:GraphKeys +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def trainable_variables(self):$/;" m class:ConcreteFunction +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def trainable_variables(self):$/;" m class:InputLayer +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def trainable_variables(self):$/;" m class:FuncGraph +TRAINABLE_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ TRAINABLE_VARIABLES = "trainable_variables"$/;" v class:GraphKeys +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def trainable_variables(self):$/;" m class:Layer +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def trainable_variables(self):$/;" m class:Layer +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def trainable_variables(self):$/;" m class:Module +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def trainable_variables(self):$/;" m class:EagerTemplate +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def trainable_variables(self):$/;" m class:Template +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def trainable_variables(self):$/;" m class:_EagerTemplateVariableStore +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def trainable_variables(scope=None):$/;" f +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def trainable_variables(self):$/;" m class:EagerVariableStore +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def trainable_variables(self):$/;" m class:VariableScope +TRAINABLE_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^TRAINABLE_VARIABLES = '_trainable_variables'$/;" v +trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def trainable_variables(self):$/;" m class:TrackableDataStructure +trainable_variables_parameter adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def trainable_variables_parameter():$/;" m class:ProfileOptionBuilder +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def trainable_weights(self):$/;" m class:InputLayer +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def trainable_weights(self):$/;" m class:Layer +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def trainable_weights(self):$/;" m class:Layer +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def trainable_weights(self):$/;" m class:Model +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def trainable_weights(self):$/;" m class:_CuDNNRNN +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def trainable_weights(self):$/;" m class:MultiRNNCell +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def trainable_weights(self):$/;" m class:Template +trainable_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def trainable_weights(self):$/;" m class:TrackableDataStructure +Trainer adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^class Trainer:$/;" c +trainer_extra_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def trainer_extra_schema(self):$/;" m class:LayerModelHelper +trainer_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def trainer_name(self, rank):$/;" m class:DdpComparisonTest +trainer_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def trainer_name(self, rank):$/;" m class:DdpUnderDistAutogradTest +TRAINER_RANKS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^TRAINER_RANKS = list(range(NUM_TRAINERS))$/;" v +TrainEvalFeatures adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class TrainEvalFeatures(Times, Values):$/;" c +trainFun adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExpOnTerm.py /^def trainFun():$/;" f +TRAINING adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ TRAINING = "training"$/;" v class:InstantiationContext +TRAINING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ TRAINING = api_pb2.DATASET_TRAINING$/;" v class:Metric +training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def training(self):$/;" m class:CallContext +training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^training = LazyLoader($/;" v +TRAINING adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/tag_constants.py /^TRAINING = "train"$/;" v +TRAINING adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^TRAINING = elc.TPUEmbeddingConfiguration.TRAINING$/;" v +training adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def training(self):$/;" m class:ModuleWrapper +training adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def training(self, mode):$/;" m class:ModuleWrapper +TrainingLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^class TrainingLoop(object):$/;" c +TrainingMaskingModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class TrainingMaskingModel(keras.Model):$/;" c +TrainingMode adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^TrainingMode = _C._onnx.TrainingMode$/;" v +TrainingNoDefaultModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^class TrainingNoDefaultModel(keras.Model):$/;" c +training_arg_passed_to_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def training_arg_passed_to_call(argspec, args, kwargs):$/;" f +training_arg_was_passed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def training_arg_was_passed(self, args, kwargs):$/;" m class:LayerCallCollection +training_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def training_graph(self):$/;" m class:KMeans +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^from tensorflow.python.keras.engine import training as training_lib$/;" x +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^from tensorflow.python.keras.engine import training as training_lib$/;" x +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^training_lib = LazyLoader($/;" v +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save.py /^training_lib = LazyLoader($/;" v +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^training_lib = LazyLoader($/;" v +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^training_lib = LazyLoader($/;" v +training_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^training_lib = LazyLoader($/;" v +training_lib_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^training_lib_v1 = LazyLoader($/;" v +training_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_training_predict as traini/;" x +training_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^from tensorflow.python.training import saver as training_saver$/;" x +training_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def training_target(self):$/;" m class:_TrainingEndpoint +training_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def training_target(self, value):$/;" m class:_TrainingEndpoint +training_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^ from tensorflow.python.keras.engine import training_utils_v1 as training_utils # pylint:disab/;" x +training_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^training_v1 = LazyLoader($/;" v +TrainModel adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^ def TrainModel(self):$/;" m class:CharRNN +TrainOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class TrainOutput(_SupervisedOutput):$/;" c +TrainSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class TrainSpec($/;" c +train_and_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def train_and_evaluate(estimator, train_spec, eval_spec, executor_cls):$/;" f +train_and_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def train_and_evaluate(estimator, train_spec, eval_spec):$/;" f +train_batch adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def train_batch($/;" m class:Trainer +train_distribute adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def train_distribute(self):$/;" m class:RunConfig +train_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def train_function(iterator):$/;" f member:Model.make_train_function file: +train_init_plan_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def train_init_plan_name(self):$/;" m class:PredictorExportMeta +train_one_epoch adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def train_one_epoch(model, criterion, optimizer, data_loader, device, ntrain_batches):$/;" f +train_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def train_on_batch(self,$/;" m class:Model +train_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def train_on_batch(model,$/;" f +train_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def train_on_batch(self,$/;" m class:Model +TRAIN_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ TRAIN_OP = "train_op"$/;" v class:GraphKeys +train_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ train_op = lambda: control_flow_util.smart_cond($/;" f member:BatchNormalizationBase._fused_batch_norm file: +train_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def train_op_fn(loss):$/;" f function:_baseline_model_fn file: +train_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def train_op_fn(loss):$/;" f function:_baseline_model_fn_v2 file: +TRAIN_OP_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^TRAIN_OP_KEY = "saved_model_train_op"$/;" v +TRAIN_OP_SIGNATURE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^TRAIN_OP_SIGNATURE_KEY = "__saved_model_train_op"$/;" v +train_param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def train_param_blobs(self):$/;" m class:SamplingTrainableMixin +train_param_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def train_param_blobs(self, blobs):$/;" m class:SamplingTrainableMixin +train_plan_name adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def train_plan_name(self):$/;" m class:PredictorExportMeta +train_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def train_step(dist_inputs):$/;" f member:TestDistributionStrategyWithKerasModels.test_correctness_of_add_loss_with_merge_call file: +train_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def train_step(self, data):$/;" m class:Model +train_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def train_step(self, data):$/;" m class:WideDeepModel +train_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def train_step(step):$/;" f member:_ModelFnWrapper.convert_to_single_tpu_train_step file: +train_validation_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def train_validation_split(arrays, validation_split):$/;" f +transFCRelu adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^def transFCRelu(cur, id2node, name2id, ops, model):$/;" f +TRANSFERFUNCTION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^TRANSFERFUNCTION = 301$/;" v +TransferFunction adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class TransferFunction(LinearTimeInvariant):$/;" c +TransferFunctionContinuous adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class TransferFunctionContinuous(TransferFunction, lti):$/;" c +TransferFunctionDiscrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class TransferFunctionDiscrete(TransferFunction, dlti):$/;" c +transfer_learning_blob_name_mappings adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def transfer_learning_blob_name_mappings(self):$/;" m class:LayerModelHelper +transfer_learning_blob_name_mappings adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def transfer_learning_blob_name_mappings(self, blob_name_mappings):$/;" m class:LayerModelHelper +transform adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/piecewise_linear_transform_test.py /^ def transform(self, x, bounds, slopes, intercepts):$/;" m class:TestPiecewiseLinearTransform +transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def transform(stats):$/;" f function:test_bxp_no_flier_stats file: +transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def transform(stats):$/;" f function:test_bxp_with_xlabels file: +transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def transform(stats):$/;" f function:test_bxp_with_ylabels file: +transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ transform = transform_non_affine$/;" v class:test_non_affine_caching.AssertingNonAffineTransform +transform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform(self, points):$/;" m class:IdentityTransform +transform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform(self, values):$/;" m class:AffineBase +transform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform(self, values):$/;" m class:Transform +Transform adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class Transform(TransformNode):$/;" c +transform adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def transform(self, effects):$/;" m class:Type1Font +transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^transform = proj_transform$/;" v +transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def transform(self, ll):$/;" m class:test_custom_transform.MyTransform +transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def transform(self, ll):$/;" m class:test_custom_transform.MyTransformInv +transform adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def transform(x, y, matrix):$/;" f member:Image.rotate file: +transform adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def transform($/;" m class:Image +transform adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def transform(self, callback, with_normals=False, channels=None, target_mode=None):$/;" m class:Color3DLUT +transform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ def transform(self, size, image, **options):$/;" m class:Transform +Transform adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^class Transform(Image.ImageTransformHandler):$/;" c +transform adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^ def transform(output_coordinates, shift):$/;" f function:test_geometric_transform file: +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/asserts.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/conditional_expressions.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/list_comprehensions.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^def transform(node, ctx, default_to_null_return=True):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/slices.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/variables.py /^def transform(node, ctx):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def transform($/;" m class:TestCase +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^def transform(node, ctx, config=None):$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def transform(self, obj, user_context):$/;" m class:GenericTranspiler +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^def transform(images,$/;" f +transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def transform(kernel):$/;" f function:_convert_rnn_weights.transpose_input file: +transform adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def transform(self, input_fn):$/;" m class:KMeansClustering +Transform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class Transform(object):$/;" c +transformation adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def transformation(gen, fun: WrappedFun, *gen_static_args) -> WrappedFun:$/;" f +transformation_with_aux adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def transformation_with_aux(gen, fun: WrappedFun, *gen_static_args) -> Tuple[WrappedFun, Any]:$/;" f +TransformBlob adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^class TransformBlob:$/;" c +TransformConvertAndCompare adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def TransformConvertAndCompare(self, func: Callable, arg,$/;" m class:JaxToTfTestCase +transformed adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def transformed(*args, **kwargs):$/;" f function:_def_passthrough file: +transformed adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def transformed(x, y):$/;" f function:_def_inequality file: +transformed adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def transformed(self, transform):$/;" m class:Path +transformed adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transformed(self, transform):$/;" m class:BboxBase +TransformedBbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class TransformedBbox(BboxBase):$/;" c +TransformedDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^class TransformedDistribution(distribution_lib.Distribution):$/;" c +TransformedDistribution adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^class TransformedDistribution(Distribution):$/;" c +TransformedHit adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ TransformedHit = TypedDict($/;" v +TransformedPatchPath adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class TransformedPatchPath(TransformedPath):$/;" c +TransformedPath adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class TransformedPath(TransformNode):$/;" c +Transformer adpepsenv/lib/python3.8/site-packages/caffe2/python/test/fakefp16_transform_test.py /^class Transformer(unittest.TestCase):$/;" c +Transformer adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^class Transformer(object):$/;" c +transformer adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^transformer = Transformer()$/;" v +transformer adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def transformer(excp):$/;" f function:raising_cb_reg file: +Transformer adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^class Transformer(Module):$/;" c +TransformerDecoder adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^class TransformerDecoder(Module):$/;" c +TransformerDecoderLayer adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^class TransformerDecoderLayer(Module):$/;" c +TransformerEncoder adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^class TransformerEncoder(Module):$/;" c +TransformerEncoderLayer adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^class TransformerEncoderLayer(Module):$/;" c +TransformingConsumer adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^class TransformingConsumer(stream.Consumer):$/;" c +TransformNode adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class TransformNode:$/;" c +transforms adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^import matplotlib.transforms as transforms$/;" I +transforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_bases.py /^import matplotlib.transforms as transforms$/;" I +transforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^import matplotlib.transforms as transforms$/;" I +transformString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def transformString(self, instring):$/;" m class:ParserElement +transformString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def transformString( self, instring ):$/;" m class:ParserElement +transformString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def transformString(self, instring):$/;" m class:ParserElement +transformString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def transformString( self, instring ):$/;" m class:ParserElement +TransformWrapper adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class TransformWrapper(Transform):$/;" c +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, points):$/;" m class:Affine2DBase +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, points):$/;" m class:Affine2DBase +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, points):$/;" m class:CompositeGenericTransform +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, points):$/;" m class:IdentityTransform +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, values):$/;" m class:AffineBase +transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_affine(self, values):$/;" m class:Transform +transform_angles adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_angles(self, angles, pts, radians=False, pushoff=1e-5):$/;" m class:Transform +transform_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def transform_ast(self, node, ctx):$/;" m class:TestingTranspiler +transform_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def transform_ast(self, node, ctx):$/;" m class:PyToTF +transform_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def transform_ast(self, node, ctx):$/;" m class:GenericTranspiler +transform_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_bbox(self, bbox):$/;" m class:Transform +transform_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def transform_caffe2_net($/;" f +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:BucketizedColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:CrossedColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:EmbeddingColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:FeatureColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:HashedCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:IdentityCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:IndicatorColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:NumericColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:SequenceCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:SharedEmbeddingColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:VocabularyFileCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:VocabularyListCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:WeightedCategoricalColumn +transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def transform_feature(self, transformation_cache, state_manager):$/;" m class:SequenceNumericColumn +transform_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def transform_function(self, fn, user_context):$/;" m class:GenericTranspiler +transform_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def transform_function(self, fn, user_context):$/;" m class:PyToPy +TRANSFORM_FUNCTIONS adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_c_api.py /^TRANSFORM_FUNCTIONS = [$/;" v +transform_hits adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^def transform_hits(hits):$/;" f +transform_kernels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def transform_kernels(kernels, func, n_gates):$/;" f function:_convert_rnn_weights file: +transform_matrix_offset_center adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/affine_transformations.py /^def transform_matrix_offset_center(matrix, x, y):$/;" f +transform_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def transform_module(self, mod, user_context):$/;" m class:GenericTranspiler +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, ll):$/;" m class:AitoffAxes.AitoffTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, ll):$/;" m class:HammerAxes.HammerTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, ll):$/;" m class:LambertAxes.LambertTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, ll):$/;" m class:MollweideAxes.MollweideTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, xy):$/;" m class:AitoffAxes.InvertedAitoffTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, xy):$/;" m class:HammerAxes.InvertedHammerTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, xy):$/;" m class:LambertAxes.InvertedLambertTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_non_affine(self, xy):$/;" m class:MollweideAxes.InvertedMollweideTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def transform_non_affine(self, tr):$/;" m class:PolarTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def transform_non_affine(self, xy):$/;" m class:InvertedPolarTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:InvertedLogTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:InvertedSymmetricalLogTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:LogisticTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:LogitTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:LogTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, a):$/;" m class:SymmetricalLogTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def transform_non_affine(self, values):$/;" m class:FuncTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def transform_non_affine(self, path):$/;" m class:test_non_affine_caching.AssertingNonAffineTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def transform_non_affine(self, values):$/;" m class:NonAffineForTest +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_non_affine(self, points):$/;" m class:AffineBase +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_non_affine(self, points):$/;" m class:BlendedGenericTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_non_affine(self, points):$/;" m class:CompositeGenericTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_non_affine(self, points):$/;" m class:IdentityTransform +transform_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_non_affine(self, values):$/;" m class:Transform +transform_non_affine adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ transform_non_affine = transform$/;" v class:test_custom_transform.MyTransform +transform_path adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ transform_path = transform_path_non_affine$/;" v class:test_non_affine_caching.AssertingNonAffineTransform +transform_path adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path(self, path):$/;" m class:AffineBase +transform_path adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path(self, path):$/;" m class:IdentityTransform +transform_path adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path(self, path):$/;" m class:Transform +transform_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def transform_path(self, path):$/;" m class:test_custom_transform.MyTransform +transform_path_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_affine(self, path):$/;" m class:AffineBase +transform_path_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_affine(self, path):$/;" m class:IdentityTransform +transform_path_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_affine(self, path):$/;" m class:Transform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def transform_path_non_affine(self, path):$/;" m class:_GeoTransform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def transform_path_non_affine(self, path):$/;" m class:PolarTransform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:test_non_affine_caching.AssertingNonAffineTransform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:NonAffineForTest +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:AffineBase +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:CompositeGenericTransform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:IdentityTransform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_path_non_affine(self, path):$/;" m class:Transform +transform_path_non_affine adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ transform_path_non_affine = transform_path$/;" v class:test_custom_transform.MyTransform +transform_point adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def transform_point(self, point):$/;" m class:Transform +transform_to adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^transform_to = ConstraintRegistry()$/;" v +transform_to_str adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def transform_to_str(x):$/;" f member:WrappedFun.__repr__ file: +transform_weights adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def transform_weights(layer_index):$/;" f function:_generic_rnn file: +transform_weights_no_bias adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def transform_weights_no_bias(layer_index):$/;" f function:_generic_rnn file: +transform_xy adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def transform_xy(x, y):$/;" f member:FixedAxisArtistHelper.get_tick_iterators file: +transform_xy adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def transform_xy(x, y):$/;" f member:GridFinder.update_transform file: +transform_xy adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def transform_xy(x, y):$/;" f member:FloatingAxisArtistHelper.get_tick_iterators file: +TRANSIENT_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ TRANSIENT_FAILURE = (_cygrpc.ConnectivityState.transient_failure,$/;" v class:ChannelConnectivity +transient_function_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def transient_function_wrapper(module, name):$/;" f +transition adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def transition(curr_poly_val, x, poly_coeff):$/;" f function:_matrix_polynomial_value file: +transition adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def transition(curr_poly_val, x, poly_coeff):$/;" f function:_vector_polynomial_value file: +transitions adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def transitions(self, year):$/;" m class:tzrange +transitions adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def transitions(self, year):$/;" m class:tzwinbase +transition_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/densenet.py /^def transition_block(x, reduction, name):$/;" f +transitive_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def transitive_inputs(self,$/;" m class:DebugDumpDir +transjugate adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def transjugate(A):$/;" f +translate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def translate(self, tx, ty):$/;" m class:Affine2D +translate adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def translate(self, table, deletechars=None):$/;" m class:chararray +translate adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def translate(a, table, deletechars=None):$/;" f +TranslateAccuracy adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateAccuracy(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateBatchNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateBatchNorm(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateConcat adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateConcat(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateConv adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateConv(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateConvNd adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateConvNd(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateCrop adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateCrop(layer, pretrained_blobs, is_test, **kwargs):$/;" f +translated adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def translated(self, tx, ty):$/;" m class:BboxBase +TranslateData adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateData(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateDeconv adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateDeconv(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateDropout adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateDropout(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateElementWise adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateElementWise(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateFlatten adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateFlatten(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateInnerProduct adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateInnerProduct(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateInput adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateInput(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateInstanceNorm adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateInstanceNorm(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateLayer adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ def TranslateLayer(cls, layer, pretrained_blobs, is_test, **kwargs):$/;" m class:TranslatorRegistry +TranslateLRN adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateLRN(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateModel adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ def TranslateModel($/;" m class:TranslatorRegistry +TranslateModel adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateModel(*args, **kwargs):$/;" f +TranslatePool adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslatePool(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslatePool3D adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslatePool3D(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslatePRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslatePRelu(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateReduction adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateReduction(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateRelu adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateRelu(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateReshape adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateReshape(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateROIPooling adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateROIPooling(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateScale adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateScale(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateSigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateSigmoid(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateSoftmax adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateSoftmax(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateSoftmaxWithLoss adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateSoftmaxWithLoss(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateTanH adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateTanH(layer, pretrained_blobs, is_test, **kwargs):$/;" f +TranslateVideoData adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def TranslateVideoData(layer, pretrained_blobs, is_test, **kwargs):$/;" f +translate_longopt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^def translate_longopt(opt):$/;" f +translate_pattern adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def translate_pattern(glob):$/;" f +translate_pattern adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^def translate_pattern(pattern, anchor=1, prefix=None, is_regex=0):$/;" f +translations adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^translations: Dict[core.Primitive, Callable] = {}$/;" v +translations_with_avals adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^translations_with_avals: Dict[core.Primitive, Callable] = {}$/;" v +Translator adpepsenv/lib/python3.8/site-packages/gast/astn.py /^ class Translator(ast.NodeTransformer):$/;" c function:_generate_translators file: +TranslatorRegistry adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^class TranslatorRegistry(object):$/;" c +TRANSMISSION_FAILURE adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ TRANSMISSION_FAILURE = 'transmission failure'$/;" v class:Outcome.Kind +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle.Fancy +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle.Simple +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle.Wedge +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle._Base +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle._Bracket +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, path, mutation_size, linewidth):$/;" m class:ArrowStyle._Curve +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Circle +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.DArrow +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.LArrow +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.RArrow +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Round +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Round4 +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Roundtooth +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Sawtooth +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Square +transmute adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def transmute(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle._Base +TransOp adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class TransOp(univ.Enumerated):$/;" c +Transport adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^class Transport(xmlrpclib.Transport):$/;" c +TransportError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class TransportError(GoogleAuthError):$/;" c +transpose adpeps/utils/empty_tensor.py /^ def transpose(self, *args):$/;" m class:EmptyT +transpose adpeps/utils/nested.py /^ def transpose(self, *args) -> 'Nested':$/;" m class:Nested +Transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def Transpose(self, *args, **kwargs):$/;" m class:CNNModelHelper +transpose adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/algebra.py /^def transpose(model, blob_in, blob_out, use_cudnn=False, **kwargs):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/jax/core.py /^ transpose: ClassVar[Optional[aval_method]] = None$/;" v class:ShapedArray +transpose adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^transpose = np.transpose$/;" v +transpose adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def transpose(lexpr: LazyExpr, perm: Sequence[int]):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def transpose(self):$/;" m class:_LinearSolveTuple +transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def transpose(operand: Array, permutation: Sequence[int]) -> Array:$/;" f +transpose adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def transpose(a, axes=None):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def transpose(a, axes=None):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def transpose(a):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ transpose = _arraymethod('transpose')$/;" v class:MaskedArray +transpose adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def transpose(a, axes=None):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def transpose(a, axes):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def transpose(self, method):$/;" m class:Image +TRANSPOSE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^TRANSPOSE = 5$/;" v +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def transpose(self, axes=None, copy=False):$/;" m class:spmatrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def transpose(self, axes=None, copy=False):$/;" m class:bsr_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def transpose(self, axes=None, copy=False):$/;" m class:coo_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def transpose(self, axes=None, copy=False):$/;" m class:csc_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def transpose(self, axes=None, copy=False):$/;" m class:csr_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def transpose(self, axes=None, copy=False):$/;" m class:dia_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def transpose(self, axes=None, copy=False):$/;" m class:dok_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def transpose(self, axes=None, copy=False):$/;" m class:lil_matrix +transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def transpose(self):$/;" m class:LinearOperator +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^transpose = _binary_op(array_ops.transpose)$/;" v +TRANSPOSE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TRANSPOSE = 39$/;" v class:BuiltinOperator +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def transpose(x):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def transpose(a, perm=None, name="transpose", conjugate=False):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def transpose(*t_args, **t_kwargs):$/;" f function:recompute_grad.inner.grad_wrapper.inner_recompute_grad file: +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def transpose(x, perm, name=None):$/;" f +Transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Transpose = tf_export("raw_ops.Transpose")(_ops.to_raw_op(transpose))$/;" v +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def transpose(image, name=None):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^transpose = array_ops.matrix_transpose$/;" v +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def transpose(self):$/;" m class:SparseMatrix +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def transpose(a, axes=None):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import transpose_v2 as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.array_ops import matrix_transpose as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_transpose as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import transpose_v2 as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_transpose as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import transpose_v2 as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from tensorflow.python.ops.sparse_ops import sparse_transpose as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import transpose_v2 as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import transpose_v2 as transpose$/;" x +transpose adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def transpose(g, self, dim0, dim1):$/;" f +transpose adpepsenv/lib/python3.8/site-packages/torch/_linalg_utils.py /^def transpose(A):$/;" f +TransposeConvOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TransposeConvOptions = 49$/;" v class:BuiltinOptions +TransposeConvOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TransposeConvOptions(object):$/;" c +TransposeConvOptionsAddPadding adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeConvOptionsAddPadding(builder, padding): builder.PrependInt8Slot(0, padding, 0)$/;" f +TransposeConvOptionsAddStrideH adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeConvOptionsAddStrideH(builder, strideH): builder.PrependInt32Slot(2, strideH, 0)$/;" f +TransposeConvOptionsAddStrideW adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeConvOptionsAddStrideW(builder, strideW): builder.PrependInt32Slot(1, strideW, 0)$/;" f +TransposeConvOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TransposeConvOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:TransposeConvOptions +TransposeConvOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeConvOptionsEnd(builder): return builder.EndObject()$/;" f +TransposeConvOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeConvOptionsStart(builder): builder.StartObject(3)$/;" f +TransposeConvOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TransposeConvOptionsT(object):$/;" c +transposed adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def transposed(*args):$/;" f function:_transpose_cond_jaxpr file: +transposed adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def transposed(*res1_cbar_bbar_res2):$/;" f function:_transpose_scan_jaxpr file: +TransposedFont adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^class TransposedFont:$/;" c +transposed_fun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def transposed_fun(out_cotangent):$/;" f function:linear_transpose file: +transposed_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def transposed_fun(x):$/;" f function:_transpose_one_output file: +TransposeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TransposeOptions = 26$/;" v class:BuiltinOptions +TransposeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TransposeOptions(object):$/;" c +TransposeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TransposeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:TransposeOptions +TransposeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeOptionsEnd(builder): return builder.EndObject()$/;" f +TransposeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def TransposeOptionsStart(builder): builder.StartObject(0)$/;" f +TransposeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class TransposeOptionsT(object):$/;" c +TransposeTest adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^class TransposeTest(hu.HypothesisTestCase):$/;" c +transpose_cache_wrap adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def transpose_cache_wrap(transpose):$/;" f +TRANSPOSE_CONV adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ TRANSPOSE_CONV = 67$/;" v class:BuiltinOperator +transpose_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def transpose_eager_fallback(x, perm, name, ctx):$/;" f +transpose_image adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from tensorflow.python.ops.image_ops_impl import transpose as transpose_image$/;" x +transpose_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^ def transpose_input(from_cudnn):$/;" f function:_convert_rnn_weights file: +transpose_network adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations.py /^def transpose_network(nn):$/;" f +transpose_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^transpose_p = standard_primitive(_transpose_shape_rule, _input_dtype,$/;" v +transpose_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/transpose_op_test.py /^ def transpose_ref(X):$/;" f member:TransposeTest.test_transpose file: +transpose_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def transpose_ref(X):$/;" f member:TestTransposeOp.test_transpose file: +transpose_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def transpose_ref(X):$/;" f member:TestTransposeOp.test_transpose_cudnn file: +transpose_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/transpose_op_test.py /^ def transpose_ref(X):$/;" f member:TestTransposeOp.test_transpose_large_matrix file: +transpose_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/utility_ops_test.py /^ def transpose_ref(x, axes):$/;" f member:TestUtilityOps.test_transpose file: +transpose_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def transpose_v2(a, perm=None, conjugate=False, name="transpose"):$/;" f +TRANSVERSE adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^TRANSVERSE = 6$/;" v +trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^from numpy import trapz as trapezoid$/;" x +trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^trapezoid = _copy_func(trapezoid)$/;" v +trapezoid adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^trapezoid = trapezoid_gen(a=0.0, b=1.0, name="trapezoid")$/;" v +TrapezoidMapTriFinder adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^class TrapezoidMapTriFinder(TriFinder):$/;" c +trapezoid_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class trapezoid_gen(rv_continuous):$/;" c +TrapPDU adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class TrapPDU(univ.Sequence):$/;" c +trapz adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def trapz(y, x=None, dx=1.0, axis: int = -1):$/;" f +trapz adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def trapz(y, x=None, dx=1.0, axis=-1):$/;" f +trapz adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def trapz(y, x=None, dx=1.0, axis=-1):$/;" f +trapz adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^trapz = trapezoid_gen(a=0.0, b=1.0, name="trapz")$/;" v +TraversalOrder adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TraversalOrder(self, j):$/;" m class:SparsityParameters +TraversalOrderAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TraversalOrderAsNumpy(self):$/;" m class:SparsityParameters +TraversalOrderIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TraversalOrderIsNone(self):$/;" m class:SparsityParameters +TraversalOrderLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def TraversalOrderLength(self):$/;" m class:SparsityParameters +traverse adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/traverse.py /^def traverse(root, visit):$/;" f +traverse_jaxpr_params adpepsenv/lib/python3.8/site-packages/jax/core.py /^def traverse_jaxpr_params(f, params):$/;" f +tree adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^tree = hsm_pb2.TreeProto()$/;" v +tree adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def tree(self):$/;" m class:KDTree +Tree adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^Tree = _reflection.GeneratedProtocolMessageType('Tree', (_message.Message,), {$/;" v +TreeAnnotator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^class TreeAnnotator(transformer.Base):$/;" c +TreeAnnotator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^class TreeAnnotator(transformer.Base):$/;" c +TreeAnnotator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^class TreeAnnotator(transformer.Base):$/;" c +TreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^class TreeBuilder(object):$/;" c +TreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ class TreeBuilder(base.TreeBuilder): # pylint:disable=unused-variable$/;" c function:getDomBuilder file: +TreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ class TreeBuilder(base.TreeBuilder): # pylint:disable=unused-variable$/;" c function:getETreeBuilder file: +TreeBuilder adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^class TreeBuilder(base.TreeBuilder):$/;" c +TreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^class TreeBuilder(object):$/;" c +TreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ class TreeBuilder(base.TreeBuilder): # pylint:disable=unused-variable$/;" c function:getDomBuilder file: +TreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ class TreeBuilder(base.TreeBuilder): # pylint:disable=unused-variable$/;" c function:getETreeBuilder file: +TreeBuilder adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^class TreeBuilder(base.TreeBuilder):$/;" c +treeBuilderCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/__init__.py /^treeBuilderCache = {}$/;" v +treeBuilderCache adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/__init__.py /^treeBuilderCache = {}$/;" v +treedef_children adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def treedef_children(treedef):$/;" f +treedef_is_leaf adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def treedef_is_leaf(treedef):$/;" f +treedef_tuple adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def treedef_tuple(treedefs):$/;" f +TreeEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^TreeEnsemble = _reflection.GeneratedProtocolMessageType('TreeEnsemble', (_message.Message,), {$/;" v +TreeEnsemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^class TreeEnsemble(tracking.TrackableResource):$/;" c +TreeMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^TreeMetadata = _reflection.GeneratedProtocolMessageType('TreeMetadata', (_message.Message,), {$/;" v +Treeprocessor adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^class Treeprocessor(util.Processor):$/;" c +TreeProcessorClass adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ TreeProcessorClass = TocTreeprocessor$/;" v class:TocExtension +TreeProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^TreeProto = _reflection.GeneratedProtocolMessageType('TreeProto', (_message.Message,), {$/;" v +TreeVariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^class TreeVariableSaveable(saver.BaseSaverBuilder.SaveableObject):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^class TreeWalker(object):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/dom.py /^class TreeWalker(base.NonRecursiveTreeWalker):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree.py /^ class TreeWalker(base.NonRecursiveTreeWalker): # pylint:disable=unused-variable$/;" c function:getETreeBuilder file: +TreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^class TreeWalker(base.NonRecursiveTreeWalker):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/genshi.py /^class TreeWalker(base.TreeWalker):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^class TreeWalker(object):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/dom.py /^class TreeWalker(base.NonRecursiveTreeWalker):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree.py /^ class TreeWalker(base.NonRecursiveTreeWalker): # pylint:disable=unused-variable$/;" c function:getETreeBuilder file: +TreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^class TreeWalker(base.NonRecursiveTreeWalker):$/;" c +TreeWalker adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/genshi.py /^class TreeWalker(base.TreeWalker):$/;" c +treeWalkerCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/__init__.py /^treeWalkerCache = {}$/;" v +treeWalkerCache adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/__init__.py /^treeWalkerCache = {}$/;" v +tree_all adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_all(tree):$/;" f +tree_flatten adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def tree_flatten(self):$/;" m class:Residuals +tree_flatten adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_flatten(tree, is_leaf: Optional[Callable[[Any], bool]] = None):$/;" f +tree_get_params adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def tree_get_params(opt_state):$/;" f function:optimizer.tree_opt_maker file: +tree_init adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def tree_init(x0_tree):$/;" f function:optimizer.tree_opt_maker file: +tree_leaves adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_leaves(tree):$/;" f +tree_map adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_map(f: Callable[[Any], Any], tree: Any) -> Any:$/;" f +tree_multimap adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_multimap(f: Callable[..., Any], tree: Any, *rest: Any) -> Any:$/;" f +tree_opt_maker adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def tree_opt_maker(*args, **kwargs):$/;" f function:optimizer file: +tree_reduce adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_reduce(function: Callable[[T, Any], T],$/;" f +tree_structure adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_structure(tree):$/;" f +tree_transpose adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_transpose(outer_treedef, inner_treedef, pytree_to_transpose):$/;" f +tree_unflatten adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def tree_unflatten(cls, aux, consts):$/;" m class:Residuals +tree_unflatten adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def tree_unflatten(treedef, leaves):$/;" f +tree_update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def tree_update(i, grad_tree, opt_state):$/;" f function:optimizer.tree_opt_maker file: +tree_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^def tree_variable(tree_config, name, container=None):$/;" f +tres_mul adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def tres_mul(a, b, c):$/;" f member:TestSpecialMethods.test_ufunc_override_mro file: +trf adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf.py /^def trf(fun, jac, x0, f0, J0, lb, ub, ftol, xtol, gtol, max_nfev, x_scale,$/;" f +trf_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf.py /^def trf_bounds(fun, jac, x0, f0, J0, lb, ub, ftol, xtol, gtol, max_nfev,$/;" f +trf_linear adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf_linear.py /^def trf_linear(A, b, x_lsq, lb, ub, tol, lsq_solver, lsmr_tol, max_iter,$/;" f +trf_no_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/trf.py /^def trf_no_bounds(fun, jac, x0, f0, J0, ftol, xtol, gtol, max_nfev,$/;" f +tri adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^def tri(dtype, shape, offset):$/;" f +Tri adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^Tri = taggedtuple('Tri', ['dtype', 'shape', 'offset']) # like np.tri$/;" v +tri adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def tri(N, M=None, k=0, dtype=None):$/;" f +tri adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def tri(N, M=None, k=0, dtype=float):$/;" f +tri adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def tri(N, M=None, k=0, dtype=None):$/;" f +tri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def tri(N, M=None, k=0, dtype=None): # pylint: disable=invalid-name,missing-docstring$/;" f +TriAnalyzer adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^class TriAnalyzer:$/;" c +triang adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def triang(M, sym=True):$/;" f +triang adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^triang = triang_gen(a=0.0, b=1.0, name="triang")$/;" v +TriangularSolveOptions adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^TriangularSolveOptions = _reflection.GeneratedProtocolMessageType('TriangularSolveOptions', (_me/;" v +triangular_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def triangular_solve(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +triangular_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def triangular_solve(a, b, left_side: bool = False, lower: bool = False,$/;" f +triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^triangular_solve = linalg_ops.matrix_triangular_solve$/;" v +triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_triangular_solve as triangular_solve$/;" x +triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_triangular_solve as triangular_solve$/;" x +triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from tensorflow.python.ops.linalg_ops import matrix_triangular_solve as triangular_solve$/;" x +triangular_solve_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def triangular_solve_batching_rule(batched_args, batch_dims, left_side,$/;" f +triangular_solve_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^triangular_solve_dtype_rule = partial($/;" v +triangular_solve_jvp_rule_a adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def triangular_solve_jvp_rule_a($/;" f +triangular_solve_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^triangular_solve_p = standard_primitive($/;" v +triangular_solve_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def triangular_solve_shape_rule(a, b, left_side=False, **unused_kwargs):$/;" f +triangular_solve_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def triangular_solve_transpose_rule($/;" f +Triangulation adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^class Triangulation:$/;" c +triang_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class triang_gen(rv_continuous):$/;" c +tricontour adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ tricontour = mtri.tricontour$/;" v class:Axes +tricontour adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def tricontour(*args, **kwargs):$/;" f +tricontour adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^def tricontour(ax, *args, **kwargs):$/;" f +tricontour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def tricontour(self, *args,$/;" m class:Axes3D +tricontourf adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ tricontourf = mtri.tricontourf$/;" v class:Axes +tricontourf adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def tricontourf(*args, **kwargs):$/;" f +tricontourf adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^def tricontourf(ax, *args, **kwargs):$/;" f +tricontourf adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def tricontourf(self, *args, zdir='z', offset=None, **kwargs):$/;" m class:Axes3D +TriContourSet adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^class TriContourSet(ContourSet):$/;" c +tridiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def tridiag(below=None, diag=None, above=None, name=None):$/;" f +TridiagonalMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^TridiagonalMatMul = tf_export("raw_ops.TridiagonalMatMul")(_ops.to_raw_op(tridiagonal_mat_mul))$/;" v +TridiagonalSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^TridiagonalSolve = tf_export("raw_ops.TridiagonalSolve")(_ops.to_raw_op(tridiagonal_solve))$/;" v +tridiagonal_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def tridiagonal_matmul(diagonals, rhs, diagonals_format='compact', name=None):$/;" f +tridiagonal_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def tridiagonal_mat_mul(superdiag, maindiag, subdiag, rhs, name=None):$/;" f +tridiagonal_mat_mul_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def tridiagonal_mat_mul_eager_fallback(superdiag, maindiag, subdiag, rhs, name, ctx):$/;" f +tridiagonal_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def tridiagonal_solve(diagonals, rhs, partial_pivoting=True, name=None):$/;" f +tridiagonal_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def tridiagonal_solve(diagonals,$/;" f +tridiagonal_solve_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^def tridiagonal_solve_eager_fallback(diagonals, rhs, partial_pivoting, name, ctx):$/;" f +Trie adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^class Trie(object):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^class Trie(ABCTrie):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/_base.py /^class Trie(Mapping):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^class Trie(ABCTrie):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^class Trie(ABCTrie):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/_base.py /^class Trie(Mapping):$/;" c +Trie adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/__init__.py /^Trie = PyTrie$/;" v +Trie adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^class Trie():$/;" c +TriFinder adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^class TriFinder:$/;" c +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def trigger(self, *args):$/;" m class:ConfigureSubplotsGTK3 +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def trigger(self, *args):$/;" m class:HelpGTK3 +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def trigger(self, *args, **kwargs):$/;" m class:SaveFigureGTK3 +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def trigger(self, *args, **kwargs):$/;" m class:ToolCopyToClipboardGTK3 +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def trigger(self, *args):$/;" m class:ConfigureSubplotsQt +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def trigger(self, *args):$/;" m class:HelpQt +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def trigger(self, *args):$/;" m class:SaveFigureQt +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def trigger(self, *args, **kwargs):$/;" m class:ToolCopyToClipboardQT +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def trigger(self, *args):$/;" m class:ConfigureSubplotsWx +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def trigger(self, *args):$/;" m class:HelpWx +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def trigger(self, *args):$/;" m class:SaveFigureWx +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def trigger(self, *args, **kwargs):$/;" m class:ToolCopyToClipboardWx +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def trigger(self, *args):$/;" m class:ConfigureSubplotsTk +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def trigger(self, *args):$/;" m class:HelpTk +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def trigger(self, *args):$/;" m class:SaveFigureTk +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, *args, **kwargs):$/;" m class:ToolCopyToClipboardBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data):$/;" m class:RubberbandBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:AxisScaleBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolGrid +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolMinorGrid +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolQuit +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolQuitAll +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ToolToggleBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ViewsPositionsBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:ZoomPanBase +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:_ToolEnableAllNavigation +trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def trigger(self, sender, event, data=None):$/;" m class:_ToolEnableNavigation +TriggerLazyImport adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy.py /^def TriggerLazyImport():$/;" f +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendGTK3 +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendMac +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendNbAgg +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendQT5 +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendWebAgg +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendWx +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def trigger_manager_draw(manager):$/;" m class:_BackendTk +trigger_manager_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ trigger_manager_draw = None$/;" v class:_Backend +trigger_reload adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def trigger_reload(self, filename):$/;" m class:ReloaderLoop +trigger_reload adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def trigger_reload(self, filename):$/;" m class:WatchdogReloaderLoop +trigger_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def trigger_tool(self, name):$/;" m class:ToolContainerBase +trigger_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def trigger_tool(self, name, sender=None, canvasevent=None, data=None):$/;" m class:ToolManager +TriInterpolator adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class TriInterpolator:$/;" c +tril adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def tril(m, k=0):$/;" f +tril adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def tril(m, k=0):$/;" f +tril adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def tril(m, k=0):$/;" f +tril adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def tril(m, k=0):$/;" f +tril adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^def tril(A, k=0, format=None):$/;" f +tril adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def tril(m, k=0): # pylint: disable=missing-docstring$/;" f +tril_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^tril_indices = _wrap_indices_function(np.tril_indices)$/;" v +tril_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def tril_indices(n, k=0, m=None):$/;" f +tril_indices_from adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def tril_indices_from(arr, k=0):$/;" f +tril_indices_from adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def tril_indices_from(arr, k=0):$/;" f +trim adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def trim(self, net, multiple_of):$/;" m class:Dataset +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^def trim(x):$/;" f +trim adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def trim(self, tol=0):$/;" m class:ABCPolyBase +trim adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trim(a, limits=None, inclusive=(True,True), relative=False, axis=None):$/;" f +trim adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def trim(str):$/;" f member:Tensor.reinforce file: +trim1 adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^trim1 = trimtail$/;" v +trim1 adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def trim1(a, proportiontocut, tail='right', axis=0):$/;" f +trima adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trima(a, limits=None, inclusive=(True,True)):$/;" f +trimboth adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimboth(data, proportiontocut=0.2, inclusive=(True,True), axis=None):$/;" f +trimboth adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def trimboth(a, proportiontocut, axis=0):$/;" f +trimcoef adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def trimcoef(c, tol=0):$/;" f +trimdoc adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^trimdoc = """$/;" v +TriMesh adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class TriMesh(Collection):$/;" c +trimmed_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimmed_mean(a, limits=(0.1,0.1), inclusive=(1,1), relative=True,$/;" f +trimmed_mean_ci adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^def trimmed_mean_ci(data, limits=(0.2,0.2), inclusive=(True,True),$/;" f +trimmed_std adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimmed_std(a, limits=(0.1,0.1), inclusive=(1,1), relative=True,$/;" f +trimmed_stde adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimmed_stde(a, limits=(0.1,0.1), inclusive=(1,1), axis=None):$/;" f +trimmed_var adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimmed_var(a, limits=(0.1,0.1), inclusive=(1,1), relative=True,$/;" f +trimr adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimr(a, limits=None, inclusive=(True, True), axis=None):$/;" f +trimseq adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def trimseq(seq):$/;" f +trimtail adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def trimtail(data, proportiontocut=0.2, tail='left', inclusive=(True,True),$/;" f +trim_docstring adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def trim_docstring(docstring):$/;" f +trim_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def trim_mean(a, proportiontocut, axis=0):$/;" f +trim_min_pool adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def trim_min_pool(self, trim_ind):$/;" m class:SHGO +trim_sigfig adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^def trim_sigfig(x: float, n: int) -> float:$/;" f +trim_significant_figures adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def trim_significant_figures(self):$/;" m class:Compare +trim_zeros adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def trim_zeros(filt, trim='fb'):$/;" f +trim_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def trim_zeros(filt, trim='fb'):$/;" f +Trinomial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class Trinomial(univ.Integer):$/;" c +tripcolor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ tripcolor = mtri.tripcolor$/;" v class:Axes +tripcolor adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def tripcolor($/;" f +tripcolor adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tripcolor.py /^def tripcolor(ax, *args, alpha=1.0, norm=None, cmap=None, vmin=None,$/;" f +TripletMarginLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class TripletMarginLoss(_Loss):$/;" c +tripletmarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def tripletmarginloss_reference(anchor, positive, negative, margin=1.0, p=2, eps=1e-6, swap=Fals/;" f +TripletMarginWithDistanceLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class TripletMarginWithDistanceLoss(_Loss):$/;" c +triplet_margin_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def triplet_margin_loss(anchor, positive, negative, margin=1.0, p=2, eps=1e-6, swap=False, size_/;" f +triplet_margin_with_distance_loss adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def triplet_margin_with_distance_loss(anchor, positive, negative, *, distance_function=None,$/;" f +triplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ triplot = mtri.triplot$/;" v class:Axes +triplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def triplot(*args, **kwargs):$/;" f +triplot adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triplot.py /^def triplot(ax, *args, **kwargs):$/;" f +TriRefiner adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^class TriRefiner:$/;" c +tris_contain_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def tris_contain_point(triang, xy):$/;" f function:test_delaunay_robust file: +triu adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def triu(m, k=0):$/;" f +triu adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def triu(m, k=0):$/;" f +triu adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def triu(m, k=0):$/;" f +triu adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^def triu(m, k=0):$/;" f +triu adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^def triu(A, k=0, format=None):$/;" f +triu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def triu(m, k=0): # pylint: disable=missing-docstring$/;" f +triu_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^triu_indices = _wrap_indices_function(np.triu_indices)$/;" v +triu_indices adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def triu_indices(n, k=0, m=None):$/;" f +triu_indices_from adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def triu_indices_from(arr, k=0):$/;" f +triu_indices_from adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def triu_indices_from(arr, k=0):$/;" f +tri_contains_point adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def tri_contains_point(xtri, ytri, xy):$/;" f function:test_delaunay_robust file: +tri_large_tests_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^tri_large_tests_args: List[Tuple[int, ...]] = [$/;" v +tri_tests_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^tri_tests_args = [$/;" v +troy_ounce adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^troy_ounce = 480 * grain # only for metals \/ gems$/;" v +troy_pound adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^troy_pound = 12 * troy_ounce$/;" v +trt adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^import tensorrt as trt$/;" I +trt adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/__init__.py /^from tensorflow.python.compiler.tensorrt import trt_convert as trt$/;" x +trt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^ from tensorflow.python.compiler.tensorrt import trt_convert as trt$/;" x +trt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^ from tensorflow.python.compiler.tensorrt import trt_convert_windows as trt$/;" x +trt adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^ from tensorflow.python.compiler.tensorrt import trt_convert as trt # pylint: disable=g-import/;" x function:convert_with_tensorrt file: +TrtConversionParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class TrtConversionParams($/;" c +TRTEngineInstance adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^TRTEngineInstance = _reflection.GeneratedProtocolMessageType('TRTEngineInstance', (_message.Mess/;" v +TrtGraphConverter adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class TrtGraphConverter(object):$/;" c +TrtGraphConverterV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class TrtGraphConverterV2(object):$/;" c +TrtPrecisionMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class TrtPrecisionMode(object):$/;" c +trt_incompatible_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def trt_incompatible_op(self):$/;" m class:TfTrtIntegrationTestBase +TRT_LOGGER adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^TRT_LOGGER = trt.Logger(trt.Logger.WARNING)$/;" v +truediv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def truediv(x, y, name=None):$/;" f +TrueNegatives adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class TrueNegatives(_ConfusionMatrixConditionCount):$/;" c +TruePositives adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class TruePositives(_ConfusionMatrixConditionCount):$/;" c +TrueThenFalse adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ class TrueThenFalse:$/;" c member:TestNonzero.test_nonzero_sideeffect_safety file: +truetype adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^def truetype(font=None, size=10, index=0, encoding="", layout_engine=None):$/;" f +TruetypeFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class TruetypeFonts(Fonts):$/;" c +True_ adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^True_ = bool_(True)$/;" v +true_assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def true_assert():$/;" f function:Assert file: +true_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ true_branch = lambda: _do_update(self.moving_mean, new_mean)$/;" f function:BatchNormalizationBase.call.mean_update file: +true_branch_renorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def true_branch_renorm():$/;" f function:BatchNormalizationBase.call.variance_update file: +true_divide adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def true_divide(x1, x2):$/;" f +true_divide adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^true_divide = _DomainedBinaryOperation(umath.true_divide,$/;" v +true_divide adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def true_divide(x1, x2): # pylint: disable=missing-function-docstring$/;" f +true_divide adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def true_divide(g, self, other):$/;" f +true_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def true_dtype(self):$/;" m class:AutoCastVariable +true_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/conditional_expressions.py /^ def true_fn():$/;" f function:_tf_if_exp file: +true_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def true_fn():$/;" f function:_dataset_for_stmt_with_extra_test.scan_body file: +true_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def true_fn():$/;" f function:_array_internal file: +true_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def true_fn():$/;" f member:WhileV2._process_body file: +true_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def true_fn(control_inputs, body_pfor, body_output, stacked):$/;" f member:WhileOp._process_body file: +true_intent_list adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def true_intent_list(var):$/;" f +TRUE_NEGATIVES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ TRUE_NEGATIVES = 'tn'$/;" v class:ConfusionMatrix +true_negatives adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def true_negatives(labels,$/;" f +true_negatives_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def true_negatives_at_thresholds(labels,$/;" f +TRUE_NEGATIVES_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^TRUE_NEGATIVES_INDEX = 2$/;" v +TRUE_POSITIVES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ TRUE_POSITIVES = 'tp'$/;" v class:ConfusionMatrix +true_positives adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def true_positives(labels,$/;" f +true_positives_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def true_positives_at_thresholds(labels,$/;" f +TRUE_POSITIVES_INDEX adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/metadata.py /^TRUE_POSITIVES_INDEX = 0$/;" v +trunc adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def trunc(x):$/;" f +truncate adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def truncate(self, size):$/;" m class:ABCPolyBase +truncate adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def truncate(self, size=None):$/;" m class:LazyZipOverHTTP +truncate adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def truncate(value, length):$/;" f function:_pack_sequence_as file: +TruncatedHeaderError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class TruncatedHeaderError(HeaderError):$/;" c +TruncateDiv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^TruncateDiv = tf_export("raw_ops.TruncateDiv")(_ops.to_raw_op(truncate_div))$/;" v +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^truncatediv = gen_math_ops.truncate_div$/;" v +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +truncatediv adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_div as truncatediv$/;" x +TruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^class TruncatedNormal(init_ops.TruncatedNormal):$/;" c +TruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class TruncatedNormal(init_ops_v2.TruncatedNormal, Initializer):$/;" c +TruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^TruncatedNormal = tf_export("raw_ops.TruncatedNormal")(_ops.to_raw_op(truncated_normal))$/;" v +TruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class TruncatedNormal(Initializer):$/;" c +TruncatedNormal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class TruncatedNormal(Initializer):$/;" c +truncated_normal adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def truncated_normal(key: jnp.ndarray,$/;" f +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import TruncatedNormal as truncated_no/;" x +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import TruncatedNormal as truncated_no/;" x +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import TruncatedNormal as truncated_no/;" x +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import TruncatedNormal as truncated_no/;" x +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def truncated_normal(shape, mean=0.0, stddev=1.0, dtype=None, seed=None):$/;" f +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def truncated_normal(shape, dtype, seed=0, seed2=0, name=None):$/;" f +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^truncated_normal = init_ops.truncated_normal_initializer$/;" v +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def truncated_normal(self, shape, mean, stddev, dtype):$/;" m class:_RandomGenerator +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^truncated_normal = TruncatedNormal$/;" v +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def truncated_normal(shape,$/;" f +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def truncated_normal(self, shape,$/;" m class:Generator +truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import TruncatedNormal as truncated_normal$/;" x +truncated_normal_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^def truncated_normal_eager_fallback(shape, dtype, seed, seed2, name, ctx):$/;" f +truncated_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^truncated_normal_initializer = TruncatedNormal$/;" v +truncated_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^truncated_normal_initializer = TruncatedNormal$/;" v +truncated_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import TruncatedNormal as truncated_normal_initializer$/;" x +truncated_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import TruncatedNormal as truncated_normal_initializer$/;" x +truncated_normal_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import TruncatedNormal as truncated_normal_initializer$/;" x +TruncateMod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^TruncateMod = tf_export("raw_ops.TruncateMod")(_ops.to_raw_op(truncate_mod))$/;" v +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^truncatemod = gen_math_ops.truncate_mod$/;" v +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +truncatemod adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.gen_math_ops import truncate_mod as truncatemod$/;" x +TruncateToFourByteFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^def TruncateToFourByteFloat(original):$/;" f +truncate_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def truncate_div(x, y, name=None):$/;" f +truncate_div_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def truncate_div_eager_fallback(x, y, name, ctx):$/;" f +truncate_mod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def truncate_mod(x, y, name=None):$/;" f +truncate_mod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def truncate_mod_eager_fallback(x, y, name, ctx):$/;" f +truncexpon adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^truncexpon = truncexpon_gen(a=0.0, name='truncexpon')$/;" v +truncexpon_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class truncexpon_gen(rv_continuous):$/;" c +truncnorm adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^truncnorm = truncnorm_gen(name='truncnorm', momtype=1)$/;" v +truncnorm_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class truncnorm_gen(rv_continuous):$/;" c +TRUNCNORM_MAX_BRENT_ITERS adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^TRUNCNORM_MAX_BRENT_ITERS = 40$/;" v +TRUNCNORM_TAIL_X adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^TRUNCNORM_TAIL_X = 30$/;" v +trunc_normal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def trunc_normal_(tensor, mean=0., std=1., a=-2., b=2.):$/;" f +TrustAnchorChangeInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TrustAnchorChangeInfo(univ.Sequence):$/;" c +TrustAnchorChangeInfoChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TrustAnchorChangeInfoChoice(univ.Choice):$/;" c +TrustAnchorChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class TrustAnchorChoice(univ.Choice):$/;" c +TrustAnchorChoice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^TrustAnchorChoice = rfc5914.TrustAnchorChoice$/;" v +TrustAnchorChoiceList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TrustAnchorChoiceList(univ.SequenceOf):$/;" c +TrustAnchorInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class TrustAnchorInfo(univ.Sequence):$/;" c +TrustAnchorInfoVersion adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class TrustAnchorInfoVersion(univ.Integer):$/;" c +TrustAnchorList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class TrustAnchorList(univ.SequenceOf):$/;" c +TrustAnchorTitle adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5914.py /^class TrustAnchorTitle(char.UTF8String):$/;" c +TrustAnchorTitle adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^TrustAnchorTitle = rfc5914.TrustAnchorTitle$/;" v +TrustAnchorUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class TrustAnchorUpdate(univ.Choice):$/;" c +trusted_host adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def trusted_host():$/;" f +trusted_hosts adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def trusted_hosts(self):$/;" m class:PackageFinder +trusted_hosts adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ trusted_hosts = None$/;" v class:BaseRequest +TryConsume adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsume(self, token):$/;" m class:Tokenizer +TryConsumeByteString adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsumeByteString(self):$/;" m class:Tokenizer +TryConsumeFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsumeFloat(self):$/;" m class:Tokenizer +TryConsumeIdentifier adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsumeIdentifier(self):$/;" m class:Tokenizer +TryConsumeIdentifierOrNumber adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsumeIdentifierOrNumber(self):$/;" m class:Tokenizer +TryConsumeInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def TryConsumeInteger(self):$/;" m class:Tokenizer +tryParse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def tryParse(self, instring, loc):$/;" m class:ParserElement +tryParse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def tryParse( self, instring, loc ):$/;" m class:ParserElement +tryParse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def tryParse(self, instring, loc):$/;" m class:ParserElement +tryParse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def tryParse( self, instring, loc ):$/;" m class:ParserElement +TryReadProtoWithClass adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^def TryReadProtoWithClass(cls, s):$/;" f +try_acquire adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^ def try_acquire(self):$/;" m class:FileBaton +try_add_flag adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def try_add_flag(args, compiler, flag, ext=None):$/;" f +try_ann_to_type adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def try_ann_to_type(ann, loc):$/;" f +try_build_compiled_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def try_build_compiled_arguments(model):$/;" f +try_coerce_native adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def try_coerce_native(s):$/;" f +try_coerce_native adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ try_coerce_native = _identity$/;" v +try_compile adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/compiler_helper.py /^def try_compile(compiler, code=None, flags=[], ext=None):$/;" f +try_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def try_compile(self, body, headers=None, include_dirs=None, lang="c"):$/;" m class:config +try_compile_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def try_compile_fn(fn, loc):$/;" f +try_cpp adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def try_cpp(self, body=None, headers=None, include_dirs=None, lang="c"):$/;" m class:config +try_encode adpepsenv/lib/python3.8/site-packages/setuptools/unicode_utils.py /^def try_encode(string, enc):$/;" f +try_get_nn_module_compiled_mod_and_inputs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^def try_get_nn_module_compiled_mod_and_inputs(*args, **kwargs):$/;" f +try_get_trigger_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def try_get_trigger_value(self):$/;" m class:ExecutionCounter +try_link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def try_link(self, body, headers=None, include_dirs=None, libraries=None,$/;" m class:config +try_mask_to_index adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def try_mask_to_index(index):$/;" f function:index file: +try_real_annotations adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^def try_real_annotations(fn, loc):$/;" f +try_run adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def try_run(self, body, headers=None, include_dirs=None, libraries=None,$/;" m class:config +try_to_replace adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def try_to_replace(self, provider, other, problems):$/;" m class:DependencyFinder +tr_interior_point adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^def tr_interior_point(fun, grad, lagr_hess, n_vars, n_ineq, n_eq,$/;" f +Ts adpeps/utils/ctmtensors.py /^ Ts: List[TList] = field(default_factory=list)$/;" v class:CTMTensors +TSAPolicyId adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class TSAPolicyId(univ.ObjectIdentifier):$/;" c +TSECNomenclature adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^class TSECNomenclature(univ.Sequence):$/;" c +tsem adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def tsem(a, limits=None, inclusive=(True, True), axis=0, ddof=1):$/;" f +tsem adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tsem(a, limits=None, inclusive=(True, True), axis=0, ddof=1):$/;" f +TSGID adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TSGID = 0o2000 # set GID on execution$/;" v +tstd adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tstd(a, limits=None, inclusive=(True, True), axis=0, ddof=1):$/;" f +TSTInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3161.py /^class TSTInfo(univ.Sequence):$/;" c +tstutils_fstrings adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^from scipy.optimize._tstutils import get_tests, functions as tstutils_functions, fstrings as tst/;" x +tstutils_functions adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^from scipy.optimize._tstutils import get_tests, functions as tstutils_functions, fstrings as tst/;" x +tst_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def tst_allclose(self, x, y):$/;" m class:TestAllclose +tst_all_isclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def tst_all_isclose(self, x, y):$/;" m class:TestIsclose +tst_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def tst_basic(self, x):$/;" m class:TestTake +tst_basic adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def tst_basic(self, x, T, mask, val):$/;" m class:TestPutmask +tst_isclose_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def tst_isclose_allclose(self, x, y):$/;" m class:TestIsclose +tst_none_isclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def tst_none_isclose(self, x, y):$/;" m class:TestIsclose +tst_not_allclose adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def tst_not_allclose(self, x, y):$/;" m class:TestAllclose +TSUID adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TSUID = 0o4000 # set UID on execution$/;" v +TSVTX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TSVTX = 0o1000 # reserved$/;" v +ts_head_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^from tensorflow_estimator.python.estimator.canned.timeseries import head as ts_head_lib$/;" x +ttest_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def ttest_1samp(a, popmean, axis=0):$/;" f +ttest_1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ttest_1samp(a, popmean, axis=0, nan_policy='propagate',$/;" f +Ttest_1sampResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^Ttest_1sampResult = namedtuple('Ttest_1sampResult', ('statistic', 'pvalue'))$/;" v +Ttest_1sampResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Ttest_1sampResult = namedtuple('Ttest_1sampResult', ('statistic', 'pvalue'))$/;" v +ttest_ind adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def ttest_ind(a, b, axis=0, equal_var=True):$/;" f +ttest_ind adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ttest_ind(a, b, axis=0, equal_var=True, nan_policy='propagate',$/;" f +Ttest_indResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^Ttest_indResult = namedtuple('Ttest_indResult', ('statistic', 'pvalue'))$/;" v +Ttest_indResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Ttest_indResult = namedtuple('Ttest_indResult', ('statistic', 'pvalue'))$/;" v +ttest_ind_from_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ttest_ind_from_stats(mean1, std1, nobs1, mean2, std2, nobs2,$/;" f +ttest_onesamp adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ttest_onesamp = ttest_1samp$/;" v +ttest_rel adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def ttest_rel(a, b, axis=0):$/;" f +ttest_rel adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def ttest_rel(a, b, axis=0, nan_policy='propagate', alternative="two-sided"):$/;" f +Ttest_relResult adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^Ttest_relResult = namedtuple('Ttest_relResult', ('statistic', 'pvalue'))$/;" v +Ttest_relResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^Ttest_relResult = namedtuple('Ttest_relResult', ('statistic', 'pvalue'))$/;" v +ttfFontProperty adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def ttfFontProperty(font):$/;" f +ttl adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def ttl(self):$/;" m class:TTLCache +TTLCache adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^class TTLCache(Cache):$/;" c +ttl_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def ttl_cache(maxsize=128, ttl=600, timer=time.monotonic, typed=False):$/;" f +TTParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^class TTParameters(object):$/;" c +TTReportHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^class TTReportHandle(object):$/;" c +tt_contraction_ref adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_contraction_op_test.py /^ def tt_contraction_ref(A_, B_):$/;" f member:TestTTContraction.test_tt_contraction file: +tt_pad_ref adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/tt_pad_op_test.py /^ def tt_pad_ref(A_):$/;" f member:TestTTPad.test_tt_pad file: +TT_SUMMARY_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_MAX = '%s_%s' % (_TT_PREFIX, _TT_MAX)$/;" v +TT_SUMMARY_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_MEAN = '%s_%s' % (_TT_PREFIX, _TT_MEAN)$/;" v +TT_SUMMARY_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_MIN = '%s_%s' % (_TT_PREFIX, _TT_MIN)$/;" v +TT_SUMMARY_NORM adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_NORM = '%s_%s' % (_TT_PREFIX, _TT_NORM)$/;" v +TT_SUMMARY_SIGNATURES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_SIGNATURES = (TT_SUMMARY_NORM, TT_SUMMARY_MAX, TT_SUMMARY_MIN,$/;" v +TT_SUMMARY_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_SIZE = '%s_%s' % (_TT_PREFIX, _TT_SIZE)$/;" v +TT_SUMMARY_VAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^TT_SUMMARY_VAR = '%s_%s' % (_TT_PREFIX, _TT_VAR)$/;" v +tt_svd adpepsenv/lib/python3.8/site-packages/caffe2/python/tt_core.py /^def tt_svd(W, sizes, tt_ranks):$/;" f +tu adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph_transformations_test.py /^from caffe2.python import test_util as tu$/;" x +tu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^from caffe2.python import test_util as tu$/;" x +TU adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +TU adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +TUBGSM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ TUBGSM = 0x0155$/;" v class:WAVE_FORMAT +TUESDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +TUEXEC adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TUEXEC = 0o100 # execute\/search by owner$/;" v +tukey adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def tukey(M, alpha=0.5, sym=True):$/;" f +tukeylambda adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^tukeylambda = tukeylambda_gen(name='tukeylambda')$/;" v +tukeylambda_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class tukeylambda_gen(rv_continuous):$/;" c +tukeylambda_kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^def tukeylambda_kurtosis(lam):$/;" f +tukeylambda_variance adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^def tukeylambda_variance(lam):$/;" f +tukey_data adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^tukey_data = {$/;" v +tunit_cube adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def tunit_cube(self, vals=None, M=None):$/;" m class:Axes3D +tunit_edges adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def tunit_edges(self, vals=None, M=None):$/;" m class:Axes3D +Tuple adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def Tuple(*fields):$/;" f +Tuple adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^Tuple = _reflection.GeneratedProtocolMessageType('Tuple', (_message.Message,), {$/;" v +TUPLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^TUPLE = 13$/;" v +Tuple adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^Tuple = _reflection.GeneratedProtocolMessageType('Tuple', (_message.Message,), {$/;" v +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def tuple(tensors, name=None, control_inputs=None): # pylint: disable=redefined-builtin$/;" f +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import tuple_v2 as tuple$/;" x +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import tuple_v2 as tuple$/;" x +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import tuple_v2 as tuple$/;" x +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.control_flow_ops import tuple_v2 as tuple$/;" x +tuple adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.control_flow_ops import tuple_v2 as tuple$/;" x +tupleset adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def tupleset(t, i, value):$/;" f +TupleSubclass adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class TupleSubclass(tuple):$/;" c member:TestIndexing.test_tuple_subclass file: +TupleValue adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^TupleValue = _reflection.GeneratedProtocolMessageType('TupleValue', (_message.Message,), {$/;" v +TupleValue adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^TupleValue = _reflection.GeneratedProtocolMessageType('TupleValue', (_message.Message,), {$/;" v +tuple_delete adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def tuple_delete(t, idx):$/;" f +tuple_insert adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def tuple_insert(t, idx, val):$/;" f +tuple_repr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ tuple_repr = _sequence_repr_maker("(", ")", tuple)$/;" v class:DebugReprGenerator +tuple_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def tuple_shapes(self):$/;" m class:Shape +tuple_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def tuple_shapes(self):$/;" m class:InfeedQueue +tuple_sharding_proto adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def tuple_sharding_proto(elems):$/;" f +tuple_to_qfont adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^def tuple_to_qfont(tup):$/;" f +tuple_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def tuple_types(self):$/;" m class:InfeedQueue +tuple_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def tuple_v2(tensors, control_inputs=None, name=None):$/;" f +TUREAD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TUREAD = 0o400 # read by owner$/;" v +TurkishLangModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langturkishmodel.py /^TurkishLangModel = ($/;" v +TURKISH_LANG_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langturkishmodel.py /^TURKISH_LANG_MODEL = {$/;" v +turn_off_internet adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^def turn_off_internet(verbose=False):$/;" f +turn_on_internet adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^def turn_on_internet(verbose=False):$/;" f +TUWRITE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^TUWRITE = 0o200 # write by owner$/;" v +tvar adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def tvar(a, limits=None, inclusive=(True, True), axis=0, ddof=1):$/;" f +tvar adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def tvar(a, limits=None, inclusive=(True, True), axis=0, ddof=1):$/;" f +twin adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def twin(self, aux_trans=None, axes_class=None):$/;" m class:HostAxesBase +twinx adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def twinx(self):$/;" m class:_AxesBase +twinx adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def twinx(ax=None):$/;" f +twinx adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def twinx(self, axes_class=None):$/;" m class:HostAxesBase +twiny adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def twiny(self):$/;" m class:_AxesBase +twiny adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def twiny(ax=None):$/;" f +twiny adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def twiny(self, axes_class=None):$/;" m class:HostAxesBase +TwoDeviceDistributionTestBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^class TwoDeviceDistributionTestBase(test.TestCase):$/;" c +TwoFloatInputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoFloatInputs = tf_export("raw_ops.TwoFloatInputs")(_ops.to_raw_op(two_float_inputs))$/;" v +TwoFloatInputsFloatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoFloatInputsFloatOutput = tf_export("raw_ops.TwoFloatInputsFloatOutput")(_ops.to_raw_op(two_fl/;" v +TwoFloatInputsIntOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoFloatInputsIntOutput = tf_export("raw_ops.TwoFloatInputsIntOutput")(_ops.to_raw_op(two_float_/;" v +TwoFloatOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoFloatOutputs = tf_export("raw_ops.TwoFloatOutputs")(_ops.to_raw_op(two_float_outputs))$/;" v +TwoIntInputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoIntInputs = tf_export("raw_ops.TwoIntInputs")(_ops.to_raw_op(two_int_inputs))$/;" v +TwoIntOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoIntOutputs = tf_export("raw_ops.TwoIntOutputs")(_ops.to_raw_op(two_int_outputs))$/;" v +TwoLayerLinearModel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^class TwoLayerLinearModel(torch.nn.Module):$/;" c +TwoNumberStatsProto adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^TwoNumberStatsProto = _reflection.GeneratedProtocolMessageType('TwoNumberStatsProto', (_message./;" v +TwoRefsIn adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TwoRefsIn = tf_export("raw_ops.TwoRefsIn")(_ops.to_raw_op(two_refs_in))$/;" v +TwoSlopeNorm adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class TwoSlopeNorm(Normalize):$/;" c +twotuples adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ def twotuples(self):$/;" m class:OAuth1Error +twotuples adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def twotuples(self):$/;" m class:OAuth2Error +two_args_two_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^def two_args_two_kwargs($/;" f +two_args_two_kwargs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test_faulty.py /^def two_args_two_kwargs($/;" f +two_float_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs(a, b, name=None):$/;" f +two_float_inputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs_eager_fallback(a, b, name, ctx):$/;" f +two_float_inputs_float_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs_float_output(a, b, name=None):$/;" f +two_float_inputs_float_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs_float_output_eager_fallback(a, b, name, ctx):$/;" f +two_float_inputs_int_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs_int_output(a, b, name=None):$/;" f +two_float_inputs_int_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_inputs_int_output_eager_fallback(a, b, name, ctx):$/;" f +two_float_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_outputs(name=None):$/;" f +two_float_outputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_float_outputs_eager_fallback(name, ctx):$/;" f +two_int_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_int_inputs(a, b, name=None):$/;" f +two_int_inputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_int_inputs_eager_fallback(a, b, name, ctx):$/;" f +two_int_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_int_outputs(name=None):$/;" f +two_int_outputs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_int_outputs_eager_fallback(name, ctx):$/;" f +two_refs_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_refs_in(a, b, name=None):$/;" f +two_refs_in_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def two_refs_in_eager_fallback(a, b, name, ctx):$/;" f +two_replica_strategies adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^two_replica_strategies = [$/;" v +two_trees_consistency adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class two_trees_consistency:$/;" c +txt2002 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^txt2002 = """\\$/;" v +txt2006 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^txt2006 = """\\$/;" v +txt2010 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^txt2010 = """\\$/;" v +txt2014 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^txt2014 = """\\$/;" v +txt2018 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^txt2018 = """\\$/;" v +Type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^def Type():$/;" f +Type adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^Type = _reflection.GeneratedProtocolMessageType('Type', (_message.Message,), {$/;" v +Type adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ Type = _xla.OpSharding_Type$/;" v class:OpSharding +type adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def type(self):$/;" m class:Pattern +type adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def type(self):$/;" m class:UnitDbl +Type adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^class Type:$/;" c +type adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_type_check.py /^ type = PdComplex$/;" v class:TestIscomplexobj.test_pandas_duck.PdDtype +type adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def type(self):$/;" m class:HBFile +type adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def type(self, x):$/;" m class:TestLinearFilterDecimal +type adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ type = float$/;" v class:TestLinearFilterObject +Type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Type(self):$/;" m class:LSHProjectionOptions +Type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Type(self):$/;" m class:Tensor +type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def type(self):$/;" m class:MenuItem +type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def type(self):$/;" m class:Operation +type adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def type(self):$/;" m class:TPUReplicateContext._EnterOutsideCompilationScope.FakeOp +Type adpepsenv/lib/python3.8/site-packages/torch/autograd/_functions/tensor.py /^class Type(Function):$/;" c +type adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def type(self, *args, **kwargs):$/;" m class:_CudaBase +type adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def type(self: T, dst_type: Union[dtype, str]) -> T:$/;" m class:_RemoteModule +type adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def type(self: T, dst_type: Union[dtype, str]) -> T:$/;" m class:Module +Type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class Type(typing.Generic[CT_co], extra=type):$/;" c +Type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ Type = typing.Type$/;" v +type adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ type = auth_property($/;" v class:WWWAuthenticate +type12uni adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^type12uni = {$/;" v +type1font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^import matplotlib.type1font as type1font$/;" I +Type1Font adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^class Type1Font:$/;" c +type2def adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^def type2def(symbol):$/;" f +TypeAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class TypeAlias(metaclass=_TypeAliasMeta, _root=True):$/;" c +TypeAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def TypeAlias(self, parameters):$/;" f +TypeAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TypeAlias = typing.TypeAlias$/;" v +TypeAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TypeAlias = _TypeAliasBase(_root=True)$/;" v +TypeAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TypeAlias = _TypeAliasForm('TypeAlias',$/;" v +typecheck adpepsenv/lib/python3.8/site-packages/jax/core.py /^def typecheck(aval: AbstractValue, x) -> bool:$/;" f +TypeChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class TypeChecker(object):$/;" c +TypeCheckerWithDefault adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class TypeCheckerWithDefault(TypeChecker):$/;" c +typecheck_assert adpepsenv/lib/python3.8/site-packages/jax/core.py /^def typecheck_assert(pred, msg):$/;" f +typecode adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def typecode(self):$/;" m class:netcdf_variable +typecodes adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^typecodes = {'Character':'c',$/;" v +typecompat adpepsenv/lib/python3.8/site-packages/jax/core.py /^def typecompat(aval_ref: AbstractValue, aval: AbstractValue) -> bool:$/;" f +TypeConversionDict adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class TypeConversionDict(dict):$/;" c +TypeConversionRecord adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/types.py /^TypeConversionRecord = collections.namedtuple('TypeConversionRecord', [$/;" v +TypedDict adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TypedDict = typing.TypedDict$/;" v +TypedDict adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TypedDict = _TypedDictMeta('TypedDict', (dict,), {})$/;" v +typedefs adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^typedefs = {'typedefs': '\/*need_typedefs*\/'}$/;" v +typedefs_generated adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^typedefs_generated = {'typedefs_generated': '\/*need_typedefs_generated*\/'}$/;" v +typedef_need_dict adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^typedef_need_dict = {islong_long: 'long_long',$/;" v +typeDict adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^typeDict = sctypeDict$/;" v +typedkey adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^def typedkey(*args, **kwargs):$/;" f +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ typeId = typeDecoder.protoComponent.__class__.typeId$/;" v +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^ typeId = typeDecoder.protoComponent.__class__.typeId$/;" v +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^ typeId = typeDecoder.protoComponent.__class__.typeId$/;" v +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ typeId = None$/;" v class:Asn1Type +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:BMPString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:GeneralString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:GraphicString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:IA5String +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:ISO646String +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:NumericString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:PrintableString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:T61String +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:TeletexString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:UniversalString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:UTF8String +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:VideotexString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ typeId = AbstractCharacterString.getTypeId()$/;" v class:VisibleString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = base.SimpleAsn1Type.getTypeId()$/;" v class:BitString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = base.SimpleAsn1Type.getTypeId()$/;" v class:Integer +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = base.SimpleAsn1Type.getTypeId()$/;" v class:ObjectIdentifier +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = base.SimpleAsn1Type.getTypeId()$/;" v class:OctetString +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = base.SimpleAsn1Type.getTypeId()$/;" v class:Real +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = Integer.getTypeId()$/;" v class:Boolean +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = Integer.getTypeId()$/;" v class:Enumerated +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = OctetString.getTypeId()$/;" v class:Any +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = OctetString.getTypeId()$/;" v class:Null +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = SequenceAndSetBase.getTypeId()$/;" v class:Sequence +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = SequenceAndSetBase.getTypeId()$/;" v class:Set +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = SequenceOfAndSetOfBase.getTypeId()$/;" v class:SequenceOf +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = SequenceOfAndSetOfBase.getTypeId()$/;" v class:SetOf +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ typeId = Set.getTypeId()$/;" v class:Choice +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ typeId = char.GraphicString.getTypeId()$/;" v class:ObjectDescriptor +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ typeId = char.VideotexString.getTypeId()$/;" v class:GeneralizedTime +typeId adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ typeId = char.VideotexString.getTypeId()$/;" v class:UTCTime +TypeIgnore adpepsenv/lib/python3.8/site-packages/gast/gast.py /^ class TypeIgnore(AST):$/;" c +TypeList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TypeList = tf_export("raw_ops.TypeList")(_ops.to_raw_op(type_list))$/;" v +TypeListRestrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TypeListRestrict = tf_export("raw_ops.TypeListRestrict")(_ops.to_raw_op(type_list_restrict))$/;" v +TypeListTwice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^TypeListTwice = tf_export("raw_ops.TypeListTwice")(_ops.to_raw_op(type_list_twice))$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^typeMap = {$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^typeMap = {$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^typeMap = decoder.typeMap.copy()$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^typeMap = encoder.typeMap.copy()$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^typeMap = decoder.typeMap.copy()$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^typeMap = encoder.typeMap.copy()$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^typeMap = {$/;" v +typeMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^typeMap = {$/;" v +TYPEMAP adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^TYPEMAP = {NC_BYTE: ('b', 1),$/;" v +typematch adpepsenv/lib/python3.8/site-packages/jax/core.py /^def typematch(aval1: UnshapedArray, aval2: UnshapedArray) -> bool:$/;" f +typeNA adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^typeNA = sctypeNA$/;" v +TypeNADict adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^class TypeNADict(dict):$/;" c +TypeName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def TypeName(self):$/;" m class:Any +typename adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def typename(char):$/;" f +typename adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def typename(o):$/;" f +types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ types = "?bhilqBHILQefdgFDGO"$/;" v class:MatmulCommon +types adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^types = [np.bool_, np.byte, np.ubyte, np.short, np.ushort, np.intc, np.uintc,$/;" v +TYPES adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^TYPES = {}$/;" v +TYPES adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^ TYPES = Option.TYPES + ("path",)$/;" v class:PipOption +types adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def types(self):$/;" m class:MetaData +types adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/__init__.py /^types = integer_types + float_types$/;" v +TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ TYPES = 'Static type information. See type_inference.py.'$/;" v class:Static +TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ TYPES = [np.float32, np.float64]$/;" v class:ResizeNearestNeighborOpTestBase +TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ TYPES = [np.float32, np.float64]$/;" v class:RGBToHSVOpTestBase +typespattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^typespattern = re.compile($/;" v +typespattern4implicit adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^typespattern4implicit = re.compile(beforethisafter % ($/;" v +TypeSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^class TypeSpec(object):$/;" c +TypeSpecProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^TypeSpecProto = _reflection.GeneratedProtocolMessageType('TypeSpecProto', (_message.Message,), {$/;" v +TypeSpecProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^TypeSpecProto = _reflection.GeneratedProtocolMessageType('TypeSpecProto', (_message.Message,), {$/;" v +types_compatible adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def types_compatible(var1, var2):$/;" f +types_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^import types as types_lib$/;" I +TypeTransformationError adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class TypeTransformationError(Error):$/;" c +type_aliases_gen adpepsenv/lib/python3.8/site-packages/numpy/core/_add_newdocs.py /^ def type_aliases_gen():$/;" f function:numeric_type_aliases file: +type_and_const_convert_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def type_and_const_convert_jaxpr(jaxpr, out_pvals):$/;" f function:omnistaging_disabler._initial_style_jaxprs_with_common_consts file: +TYPE_ARRAY adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_ARRAY = 1$/;" v +type_as adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def type_as(g, self, other):$/;" f +TYPE_BIN adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_BIN = 4$/;" v +TYPE_BOOL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_BOOL = 8$/;" v class:FieldDescriptor +TYPE_BYTES adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_BYTES = 12$/;" v class:FieldDescriptor +TYPE_CHECKER adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^ TYPE_CHECKER = Option.TYPE_CHECKER.copy()$/;" v class:PipOption +TYPE_CHECKING adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ TYPE_CHECKING = typing.TYPE_CHECKING$/;" v +TYPE_DOUBLE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_DOUBLE = 1$/;" v class:FieldDescriptor +TYPE_ENUM adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_ENUM = 14$/;" v class:FieldDescriptor +TYPE_EXT adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_EXT = 5$/;" v +TYPE_FIXED32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_FIXED32 = 7$/;" v class:FieldDescriptor +TYPE_FIXED64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_FIXED64 = 6$/;" v class:FieldDescriptor +TYPE_FLOAT adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_FLOAT = 2$/;" v class:FieldDescriptor +TYPE_GROUP adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_GROUP = 10$/;" v class:FieldDescriptor +TYPE_IMMEDIATE adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_IMMEDIATE = 0$/;" v +TYPE_INT32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_INT32 = 5$/;" v class:FieldDescriptor +TYPE_INT64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_INT64 = 3$/;" v class:FieldDescriptor +type_is_device_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def type_is_device_array(x):$/;" f +type_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list(a, name=None):$/;" f +type_list_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list_eager_fallback(a, name, ctx):$/;" f +type_list_restrict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list_restrict(a, name=None):$/;" f +type_list_restrict_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list_restrict_eager_fallback(a, name, ctx):$/;" f +type_list_twice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list_twice(a, b, name=None):$/;" f +type_list_twice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def type_list_twice_eager_fallback(a, b, name, ctx):$/;" f +TYPE_MAP adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_MAP = 2$/;" v +TYPE_MESSAGE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_MESSAGE = 11$/;" v class:FieldDescriptor +type_name adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def type_name(tp):$/;" f function:_check_isinstance file: +type_name adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ type_name = 'nominal'$/;" v class:NominalAttribute +type_name adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ type_name = None$/;" v class:Attribute +type_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def type_name(x):$/;" f function:_structure_summary file: +TYPE_NAMES adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^TYPE_NAMES = {$/;" v +TYPE_RAW adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^TYPE_RAW = 3$/;" v +TYPE_SFIXED32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_SFIXED32 = 15$/;" v class:FieldDescriptor +TYPE_SFIXED64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_SFIXED64 = 16$/;" v class:FieldDescriptor +TYPE_SINT32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_SINT32 = 17$/;" v class:FieldDescriptor +TYPE_SINT64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_SINT64 = 18$/;" v class:FieldDescriptor +type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def type_spec(self):$/;" m class:KerasTensor +TYPE_SPEC_CLASS_FROM_PROTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ TYPE_SPEC_CLASS_FROM_PROTO = {$/;" v class:_TypeSpecCodec +TYPE_SPEC_CLASS_TO_PROTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ TYPE_SPEC_CLASS_TO_PROTO = dict($/;" v class:_TypeSpecCodec +type_spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def type_spec_from_value(element, use_fallback=True):$/;" f +type_spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^def type_spec_from_value(value):$/;" f +type_spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def type_spec_from_value(value):$/;" f +type_spec_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^from tensorflow.python.framework import type_spec as type_spec_module$/;" x +TYPE_STRING adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_STRING = 9$/;" v class:FieldDescriptor +TYPE_TO_BYTE_SIZE_FN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^TYPE_TO_BYTE_SIZE_FN = {$/;" v +TYPE_TO_DECODER adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^TYPE_TO_DECODER = {$/;" v +TYPE_TO_ENCODER adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^TYPE_TO_ENCODER = {$/;" v +TYPE_TO_SIZER adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^TYPE_TO_SIZER = {$/;" v +TYPE_UINT32 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_UINT32 = 13$/;" v class:FieldDescriptor +TYPE_UINT64 adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ TYPE_UINT64 = 4$/;" v class:FieldDescriptor +typing adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ typing = None$/;" v +tz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ from pytz import timezone as tz$/;" x +tz adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def tz(M):$/;" f member:TestMatrixPower.test_power_is_zero file: +tz adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def tz(mat):$/;" f member:TestMatrixPower.test_power_is_minus_one file: +tz adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def tz(mat):$/;" f member:TestMatrixPower.test_power_is_one file: +tz adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def tz(mat):$/;" f member:TestMatrixPower.test_power_is_two file: +tzfile adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzfile(_tzinfo):$/;" c +tzfile adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^class tzfile(_tzfile):$/;" c +TZFILES adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ TZFILES = ["\/etc\/localtime", "localtime"]$/;" v +tzical adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzical(object):$/;" c +TZKEYNAME adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^TZKEYNAME = _settzkeyname()$/;" v +TZKEYNAME9X adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^TZKEYNAME9X = r"SOFTWARE\\Microsoft\\Windows\\CurrentVersion\\Time Zones"$/;" v +TZKEYNAMENT adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^TZKEYNAMENT = r"SOFTWARE\\Microsoft\\Windows NT\\CurrentVersion\\Time Zones"$/;" v +tzlocal adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzlocal(_tzinfo):$/;" c +TZLOCALKEYNAME adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^TZLOCALKEYNAME = r"SYSTEM\\CurrentControlSet\\Control\\TimeZoneInformation"$/;" v +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def tzname(self, dt):$/;" m class:tzfile +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def tzname(self, dt):$/;" m class:tzlocal +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def tzname(self, dt):$/;" m class:tzoffset +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def tzname(self, dt):$/;" m class:tzutc +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def tzname(self, dt):$/;" m class:_tzicalvtz +tzname adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def tzname(self, dt):$/;" m class:tzrangebase +tzname adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/tz.py /^ def tzname(self, dt):$/;" m class:TomlTz +tzname adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def tzname(self, dt):$/;" m class:TimeMixIn.FixedOffset +tzname_in_python2 adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^def tzname_in_python2(namefunc):$/;" f +tzoffset adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def tzoffset(self, name):$/;" m class:parserinfo +TZOFFSET adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ TZOFFSET = {}$/;" v class:parserinfo +tzoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzoffset(datetime.tzinfo):$/;" c +TZPATHS adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ TZPATHS = ["\/usr\/share\/zoneinfo",$/;" v +TZPATHS adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ TZPATHS = []$/;" v +tzrange adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzrange(tzrangebase):$/;" c +tzrangebase adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^class tzrangebase(_tzinfo):$/;" c +tzres adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^class tzres(object):$/;" c +tzstr adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzstr(tzrange):$/;" c +tzutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class tzutc(datetime.tzinfo):$/;" c +tzwin adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ tzwin = tzwinlocal = None$/;" v +tzwin adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^class tzwin(tzwinbase):$/;" c +tzwinbase adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^class tzwinbase(tzrangebase):$/;" c +tzwinlocal adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^class tzwinlocal(tzwinbase):$/;" c +tz_convert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def tz_convert(*args):$/;" f function:test_date2num_dst_pandas file: +tz_convert adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def tz_convert(dt_list, tzinfo):$/;" f function:test_date2num_dst file: +T_co adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^T_co = TypeVar('T_co', covariant=True)$/;" v +T_co adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^T_co = TypeVar('T_co', covariant=True)$/;" v +T_co adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^T_co = TypeVar('T_co', covariant=True)$/;" v +T_co adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^T_co = TypeVar('T_co', covariant=True)$/;" v +T_co adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^T_co = typing.TypeVar('T_co', covariant=True) # Any type covariant containers.$/;" v +T_contra adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^T_contra = typing.TypeVar('T_contra', contravariant=True) # Ditto contravariant.$/;" v +T_destination adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ T_destination = TypeVar('T_destination', bound=Mapping[str, Tensor])$/;" v class:Module +t_func adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ t_func = lambda x, xt: jax.jvp(func, (x,), (xt,))$/;" f member:JaxToTfTestCase.TransformConvertAndCompare file: +t_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class t_gen(rv_continuous):$/;" c +T_module adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^T_module = TypeVar('T_module', bound=Module)$/;" v +T_module adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^T_module = TypeVar('T_module', bound=Module)$/;" v +t_op adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def t_op():$/;" f function:_pad_transpose file: +T_TYPES adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^T_TYPES = [$/;" v +U adpepsenv/lib/python3.8/site-packages/jax/api.py /^U = TypeVar("U")$/;" v +U adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^U = TypeVar('U')$/;" v +U adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^U = TypeVar("U")$/;" v +U adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ import matplotlib.testing.jpl_units as U$/;" I member:Duration.__add__ file: +U adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ import matplotlib.testing.jpl_units as U$/;" I member:Epoch.__sub__ file: +U adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ import matplotlib.testing.jpl_units as U$/;" I member:EpochConverter.convert file: +U adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^ import matplotlib.testing.jpl_units as U$/;" I member:EpochConverter.float2epoch file: +U adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^ import matplotlib.testing.jpl_units as U$/;" I member:UnitDblConverter.axisinfo file: +U adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_patches.py /^ import matplotlib.testing.jpl_units as U$/;" I function:test_units_rectangle file: +u adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def u(s):$/;" f +u adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def u(s):$/;" f +u adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def u(s):$/;" f +u adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_cont2discrete.py /^ def u(t):$/;" f member:TestC2D.test_discrete_approx file: +u adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def u(s):$/;" f +u adpepsenv/lib/python3.8/site-packages/six.py /^ def u(s):$/;" f +u adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def u(self):$/;" m class:LinearOperatorLowRankUpdate +u adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def u(s):$/;" f +U16 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^U16 = 7$/;" v +U2Request adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ from urllib.request import Request as U2Request$/;" x +U2Request adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ from urllib2 import Request as U2Request$/;" x +u32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def u32(x):$/;" f +U32 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^U32 = 8$/;" v +U64 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^U64 = 9$/;" v +U8 adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^U8 = 6$/;" v +ua adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ ua = container(temp)$/;" v +ua adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^import scipy._lib.uarray as ua$/;" I +ua_small adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ ua_small = ua[:3, :5]$/;" v +uband adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ uband = 0$/;" v class:CoupledDecay +uband adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ uband = None$/;" v class:ODE +ub_aa_est_identity_linking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^ub_aa_est_identity_linking = univ.Integer(255)$/;" v +ub_aa_est_identity_linking adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^ub_aa_est_identity_linking = univ.Integer(255)$/;" v +ub_aa_otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^ub_aa_otpChallenge = univ.Integer(255)$/;" v +ub_aa_otpChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^ub_aa_otpChallenge = univ.Integer(255)$/;" v +ub_aa_revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^ub_aa_revocationChallenge = univ.Integer(255)$/;" v +ub_aa_revocationChallenge adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^ub_aa_revocationChallenge = univ.Integer(255)$/;" v +ub_clearance_sponsor adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^ub_clearance_sponsor = univ.Integer(64)$/;" v +ub_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_common_name = univ.Integer(64)$/;" v +ub_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_common_name = univ.Integer(64)$/;" v +ub_common_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_common_name = univ.Integer(64)$/;" v +ub_common_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_common_name_length = univ.Integer(64)$/;" v +ub_common_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_common_name_length = univ.Integer(64)$/;" v +ub_common_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_common_name_length = univ.Integer(64)$/;" v +ub_country_name_alpha_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_country_name_alpha_length = univ.Integer(2)$/;" v +ub_country_name_alpha_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_country_name_alpha_length = univ.Integer(2)$/;" v +ub_country_name_alpha_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_country_name_alpha_length = univ.Integer(2)$/;" v +ub_country_name_numeric_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_country_name_numeric_length = univ.Integer(3)$/;" v +ub_country_name_numeric_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_country_name_numeric_length = univ.Integer(3)$/;" v +ub_country_name_numeric_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_country_name_numeric_length = univ.Integer(3)$/;" v +ub_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_domain_defined_attributes = univ.Integer(4)$/;" v +ub_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_domain_defined_attributes = univ.Integer(4)$/;" v +ub_domain_defined_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_domain_defined_attributes = univ.Integer(4)$/;" v +ub_domain_defined_attribute_type_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_domain_defined_attribute_type_length = univ.Integer(8)$/;" v +ub_domain_defined_attribute_type_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_domain_defined_attribute_type_length = univ.Integer(8)$/;" v +ub_domain_defined_attribute_type_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_domain_defined_attribute_type_length = univ.Integer(8)$/;" v +ub_domain_defined_attribute_value_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_domain_defined_attribute_value_length = univ.Integer(128)$/;" v +ub_domain_defined_attribute_value_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_domain_defined_attribute_value_length = univ.Integer(128)$/;" v +ub_domain_defined_attribute_value_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_domain_defined_attribute_value_length = univ.Integer(128)$/;" v +ub_domain_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_domain_name_length = univ.Integer(16)$/;" v +ub_domain_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_domain_name_length = univ.Integer(16)$/;" v +ub_domain_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_domain_name_length = univ.Integer(16)$/;" v +ub_e163_4_number_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_e163_4_number_length = univ.Integer(15)$/;" v +ub_e163_4_number_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_e163_4_number_length = univ.Integer(15)$/;" v +ub_e163_4_number_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_e163_4_number_length = univ.Integer(15)$/;" v +ub_e163_4_sub_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_e163_4_sub_address_length = univ.Integer(40)$/;" v +ub_e163_4_sub_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_e163_4_sub_address_length = univ.Integer(40)$/;" v +ub_e163_4_sub_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_e163_4_sub_address_length = univ.Integer(40)$/;" v +ub_emailaddress_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_emailaddress_length = univ.Integer(128)$/;" v +ub_emailaddress_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_emailaddress_length = univ.Integer(128)$/;" v +ub_emailaddress_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_emailaddress_length = univ.Integer(255)$/;" v +ub_extension_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_extension_attributes = univ.Integer(256)$/;" v +ub_extension_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_extension_attributes = univ.Integer(256)$/;" v +ub_extension_attributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_extension_attributes = univ.Integer(256)$/;" v +ub_generation_qualifier_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_generation_qualifier_length = univ.Integer(3)$/;" v +ub_generation_qualifier_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_generation_qualifier_length = univ.Integer(3)$/;" v +ub_generation_qualifier_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_generation_qualifier_length = univ.Integer(3)$/;" v +ub_given_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_given_name_length = univ.Integer(16)$/;" v +ub_given_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_given_name_length = univ.Integer(16)$/;" v +ub_given_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_given_name_length = univ.Integer(16)$/;" v +ub_initials_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_initials_length = univ.Integer(5)$/;" v +ub_initials_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_initials_length = univ.Integer(5)$/;" v +ub_initials_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_initials_length = univ.Integer(5)$/;" v +ub_integer_options adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_integer_options = univ.Integer(256)$/;" v +ub_integer_options adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ub_integer_options = univ.Integer(256)$/;" v +ub_integer_options adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_integer_options = univ.Integer(256)$/;" v +ub_integer_options adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ub_integer_options = rfc2634.ub_integer_options$/;" v +ub_integer_options adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_integer_options = univ.Integer(256)$/;" v +ub_KeyDuration_days adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ub_KeyDuration_days = univ.Integer(732)$/;" v +ub_KeyDuration_hours adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ub_KeyDuration_hours = univ.Integer(96)$/;" v +ub_KeyDuration_months adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ub_KeyDuration_months = univ.Integer(72)$/;" v +ub_KeyDuration_weeks adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ub_KeyDuration_weeks = univ.Integer(104)$/;" v +ub_KeyDuration_years adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^ub_KeyDuration_years = univ.Integer(100)$/;" v +ub_locality_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_locality_name = univ.Integer(128)$/;" v +ub_locality_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_locality_name = univ.Integer(128)$/;" v +ub_locality_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_locality_name = univ.Integer(128)$/;" v +ub_match adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_match = univ.Integer(128)$/;" v +ub_match adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_match = univ.Integer(128)$/;" v +ub_match adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_match = univ.Integer(128)$/;" v +ub_ml_expansion_history adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ub_ml_expansion_history = univ.Integer(64)$/;" v +ub_ml_expansion_history adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ub_ml_expansion_history = rfc2634.ub_ml_expansion_history$/;" v +ub_naiRealm_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^ub_naiRealm_length = univ.Integer(255)$/;" v +ub_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_name = univ.Integer(32768)$/;" v +ub_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^ub_name = univ.Integer(32768)$/;" v +ub_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_name = univ.Integer(32768)$/;" v +ub_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_name = univ.Integer(32768)$/;" v +ub_numeric_user_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_numeric_user_id_length = univ.Integer(32)$/;" v +ub_numeric_user_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_numeric_user_id_length = univ.Integer(32)$/;" v +ub_numeric_user_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_numeric_user_id_length = univ.Integer(32)$/;" v +ub_organizational_units adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_organizational_units = univ.Integer(4)$/;" v +ub_organizational_units adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_organizational_units = univ.Integer(4)$/;" v +ub_organizational_units adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_organizational_units = univ.Integer(4)$/;" v +ub_organizational_unit_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_organizational_unit_name = univ.Integer(64)$/;" v +ub_organizational_unit_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_organizational_unit_name = univ.Integer(64)$/;" v +ub_organizational_unit_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_organizational_unit_name = univ.Integer(64)$/;" v +ub_organizational_unit_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_organizational_unit_name_length = univ.Integer(32)$/;" v +ub_organizational_unit_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_organizational_unit_name_length = univ.Integer(32)$/;" v +ub_organizational_unit_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_organizational_unit_name_length = univ.Integer(32)$/;" v +ub_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_organization_name = univ.Integer(64)$/;" v +ub_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_organization_name = univ.Integer(64)$/;" v +ub_organization_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_organization_name = univ.Integer(64)$/;" v +ub_organization_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_organization_name_length = univ.Integer(64)$/;" v +ub_organization_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_organization_name_length = univ.Integer(64)$/;" v +ub_organization_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_organization_name_length = univ.Integer(64)$/;" v +ub_pds_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_pds_name_length = univ.Integer(16)$/;" v +ub_pds_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_pds_name_length = univ.Integer(16)$/;" v +ub_pds_name_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_pds_name_length = univ.Integer(16)$/;" v +ub_pds_parameter_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_pds_parameter_length = univ.Integer(30)$/;" v +ub_pds_parameter_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_pds_parameter_length = univ.Integer(30)$/;" v +ub_pds_parameter_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_pds_parameter_length = univ.Integer(30)$/;" v +ub_pds_physical_address_lines adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_pds_physical_address_lines = univ.Integer(6)$/;" v +ub_pds_physical_address_lines adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_pds_physical_address_lines = univ.Integer(6)$/;" v +ub_pds_physical_address_lines adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_pds_physical_address_lines = univ.Integer(6)$/;" v +ub_postal_code_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_postal_code_length = univ.Integer(16)$/;" v +ub_postal_code_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_postal_code_length = univ.Integer(16)$/;" v +ub_postal_code_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_postal_code_length = univ.Integer(16)$/;" v +ub_privacy_mark_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ub_privacy_mark_length = univ.Integer(128)$/;" v +ub_privacy_mark_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ub_privacy_mark_length = rfc2634.ub_privacy_mark_length$/;" v +ub_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_pseudonym = univ.Integer(128)$/;" v +ub_pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_pseudonym = univ.Integer(128)$/;" v +ub_receiptsTo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ub_receiptsTo = univ.Integer(16)$/;" v +ub_receiptsTo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ub_receiptsTo = rfc2634.ub_receiptsTo$/;" v +ub_security_categories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^ub_security_categories = univ.Integer(64)$/;" v +ub_security_categories adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^ub_security_categories = rfc2634.ub_security_categories$/;" v +ub_serial_number adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_serial_number = univ.Integer(64)$/;" v +ub_serial_number adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_serial_number = univ.Integer(64)$/;" v +ub_state_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_state_name = univ.Integer(128)$/;" v +ub_state_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_state_name = univ.Integer(128)$/;" v +ub_state_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_state_name = univ.Integer(128)$/;" v +ub_surname_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_surname_length = univ.Integer(40)$/;" v +ub_surname_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_surname_length = univ.Integer(40)$/;" v +ub_surname_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_surname_length = univ.Integer(40)$/;" v +ub_terminal_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_terminal_id_length = univ.Integer(24)$/;" v +ub_terminal_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_terminal_id_length = univ.Integer(24)$/;" v +ub_terminal_id_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_terminal_id_length = univ.Integer(24)$/;" v +ub_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_title = univ.Integer(64)$/;" v +ub_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_title = univ.Integer(64)$/;" v +ub_title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_title = univ.Integer(64)$/;" v +ub_unformatted_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_unformatted_address_length = univ.Integer(180)$/;" v +ub_unformatted_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_unformatted_address_length = univ.Integer(180)$/;" v +ub_unformatted_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_unformatted_address_length = univ.Integer(180)$/;" v +ub_x121_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^ub_x121_address_length = univ.Integer(16)$/;" v +ub_x121_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^ub_x121_address_length = univ.Integer(16)$/;" v +ub_x121_address_length adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^ub_x121_address_length = univ.Integer(16)$/;" v +UCRTIncludes adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def UCRTIncludes(self):$/;" m class:EnvironmentInfo +UCRTLibraries adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def UCRTLibraries(self):$/;" m class:EnvironmentInfo +UCS2BE_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2BE_CHAR_LEN_TABLE = (2, 2, 2, 0, 2, 2)$/;" v +UCS2BE_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2BE_CHAR_LEN_TABLE = (2, 2, 2, 0, 2, 2)$/;" v +UCS2BE_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2BE_CLS = ($/;" v +UCS2BE_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2BE_CLS = ($/;" v +UCS2BE_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2BE_SM_MODEL = {'class_table': UCS2BE_CLS,$/;" v +UCS2BE_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2BE_SM_MODEL = {'class_table': UCS2BE_CLS,$/;" v +UCS2BE_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2BE_ST = ($/;" v +UCS2BE_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2BE_ST = ($/;" v +UCS2LE_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2LE_CHAR_LEN_TABLE = (2, 2, 2, 2, 2, 2)$/;" v +UCS2LE_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2LE_CHAR_LEN_TABLE = (2, 2, 2, 2, 2, 2)$/;" v +UCS2LE_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2LE_CLS = ($/;" v +UCS2LE_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2LE_CLS = ($/;" v +UCS2LE_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2LE_SM_MODEL = {'class_table': UCS2LE_CLS,$/;" v +UCS2LE_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2LE_SM_MODEL = {'class_table': UCS2LE_CLS,$/;" v +UCS2LE_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UCS2LE_ST = ($/;" v +UCS2LE_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UCS2LE_ST = ($/;" v +ucs2_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ucs2_value = u'\\u0900'$/;" v +ucs4_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ucs4_value = u'\\U00100900'$/;" v +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestAssignValues_1009_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestAssignValues_1_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestAssignValues_2_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestByteorder_1009_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestByteorder_1_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestByteorder_2_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestCreateValues_1009_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestCreateValues_1_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs2_value$/;" v class:TestCreateValues_2_UCS2 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestAssignValues_1009_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestAssignValues_1_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestAssignValues_2_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestByteorder_1009_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestByteorder_1_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestByteorder_2_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestCreateValues_1009_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestCreateValues_1_UCS4 +ucs_value adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ucs_value = ucs4_value$/;" v class:TestCreateValues_2_UCS4 +UDF adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^UDF = 0 # undefined$/;" v +UDF adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^UDF = 0 # undefined$/;" v +udf_with_torch_ops adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def udf_with_torch_ops(device=-1, use_record_function=False):$/;" f +UDS adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ UDS = _cygrpc.LocalConnectionType.uds$/;" v class:LocalConnectionType +ufl adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^import numpy.lib.ufunclike as ufl$/;" I +ufunc adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^ufunc = type(sin)$/;" v +Ufunc adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^class Ufunc(Func):$/;" c +UFUNCS adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^UFUNCS, UFUNC_NAMES = _get_ufuncs()$/;" v +ufuncs adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^from . import _ufuncs as ufuncs$/;" x +UFUNCS_EXTRA_CODE adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^UFUNCS_EXTRA_CODE = """\\$/;" v +UFUNCS_EXTRA_CODE_BOTTOM adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^UFUNCS_EXTRA_CODE_BOTTOM = """\\$/;" v +UFUNCS_EXTRA_CODE_COMMON adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^UFUNCS_EXTRA_CODE_COMMON = """\\$/;" v +UFuncTypeError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class UFuncTypeError(TypeError):$/;" c +ufunc_domain adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ufunc_domain = {}$/;" v +ufunc_fills adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ufunc_fills = {}$/;" v +UFUNC_NAMES adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^UFUNCS, UFUNC_NAMES = _get_ufuncs()$/;" v +UHER_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UHER_ADPCM = 0x0210$/;" v class:WAVE_FORMAT +UI adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ class UI(tkinter.Label):$/;" c function:_show file: +uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def uid():$/;" f +uint10_uniforms adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending_distributions.pyx /^def uint10_uniforms(Py_ssize_t n):$/;" f +uint16 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^uint16 = struct.Struct("<H")$/;" v +uint16 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^uint16 = _make_scalar_type(np.uint16)$/;" v +uint16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint16 = DType(types_pb2.DT_UINT16)$/;" v +uint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint16 = DType(types_pb2.DT_UINT16)$/;" v +uint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^uint16 = np_export.np_export_constant(__name__, 'uint16', np.uint16)$/;" v +Uint16Flags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class Uint16Flags(object):$/;" c +Uint16Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ Uint16Vector = 2$/;" v class:SparseIndexVector +Uint16Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Uint16Vector(object):$/;" c +Uint16VectorAddValues adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint16VectorAddValues(builder, values): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.n/;" f +Uint16VectorBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Uint16VectorBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Uint16Vector +Uint16VectorEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint16VectorEnd(builder): return builder.EndObject()$/;" f +Uint16VectorStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint16VectorStart(builder): builder.StartObject(1)$/;" f +Uint16VectorStartValuesVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint16VectorStartValuesVector(builder, numElems): return builder.StartVector(2, numElems, 2)$/;" f +Uint16VectorT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Uint16VectorT(object):$/;" c +uint16_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint16_ref = DType(types_pb2.DT_UINT16_REF)$/;" v +uint16_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint16_ref = DType(types_pb2.DT_UINT16_REF)$/;" v +uint32 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^uint32 = struct.Struct("<I")$/;" v +uint32 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^uint32 = _make_scalar_type(np.uint32)$/;" v +uint32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint32 = DType(types_pb2.DT_UINT32)$/;" v +uint32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint32 = DType(types_pb2.DT_UINT32)$/;" v +uint32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^uint32 = np_export.np_export_constant(__name__, 'uint32', np.uint32)$/;" v +UInt32ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def UInt32ByteSize(field_number, uint32):$/;" f +UInt32Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^UInt32Decoder = _SimpleDecoder(wire_format.WIRETYPE_VARINT, _DecodeVarint32)$/;" v +UInt32Encoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^UInt32Encoder = UInt64Encoder = _SimpleEncoder($/;" v +Uint32Flags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class Uint32Flags(object):$/;" c +UInt32Sizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^UInt32Sizer = UInt64Sizer = _SimpleSizer(_VarintSize)$/;" v +UInt32Value adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^UInt32Value = _reflection.GeneratedProtocolMessageType('UInt32Value', (_message.Message,), {$/;" v +Uint32ValueChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class Uint32ValueChecker(IntValueChecker):$/;" c +UINT32_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^UINT32_MAX = (1 << 32) - 1$/;" v +uint32_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint32_ref = DType(types_pb2.DT_UINT32_REF)$/;" v +uint32_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint32_ref = DType(types_pb2.DT_UINT32_REF)$/;" v +uint32_to_float32 adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^def uint32_to_float32(n):$/;" f +uint64 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^uint64 = struct.Struct("<Q")$/;" v +uint64 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^uint64 = _make_scalar_type(np.uint64)$/;" v +uint64 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint64 = DType(types_pb2.DT_UINT64)$/;" v +uint64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint64 = DType(types_pb2.DT_UINT64)$/;" v +uint64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^uint64 = np_export.np_export_constant(__name__, 'uint64', np.uint64)$/;" v +UInt64ByteSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def UInt64ByteSize(field_number, uint64):$/;" f +UInt64Decoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^UInt64Decoder = _SimpleDecoder(wire_format.WIRETYPE_VARINT, _DecodeVarint)$/;" v +Uint64Flags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class Uint64Flags(object):$/;" c +UInt64Value adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^UInt64Value = _reflection.GeneratedProtocolMessageType('UInt64Value', (_message.Message,), {$/;" v +Uint64ValueChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class Uint64ValueChecker(IntValueChecker):$/;" c +UINT64_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^UINT64_MAX = (1 << 64) - 1$/;" v +uint64_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint64_ref = DType(types_pb2.DT_UINT64_REF)$/;" v +uint64_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint64_ref = DType(types_pb2.DT_UINT64_REF)$/;" v +UINT64_SPAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^UINT64_SPAN = 2**64$/;" v +uint64_to_double adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef inline double uint64_to_double(uint64_t rnd) nogil:$/;" f +uint64_to_float64 adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^def uint64_to_float64(n):$/;" f +uint8 adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^uint8 = struct.Struct("<B")$/;" v +uint8 adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^uint8 = _make_scalar_type(np.uint8)$/;" v +uint8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint8 = DType(types_pb2.DT_UINT8)$/;" v +UINT8 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UINT8 = 3$/;" v class:TensorType +uint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint8 = DType(types_pb2.DT_UINT8)$/;" v +uint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^uint8 = np_export.np_export_constant(__name__, 'uint8', np.uint8)$/;" v +Uint8Flags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class Uint8Flags(object):$/;" c +Uint8Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ Uint8Vector = 3$/;" v class:SparseIndexVector +Uint8Vector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Uint8Vector(object):$/;" c +Uint8VectorAddValues adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint8VectorAddValues(builder, values): builder.PrependUOffsetTRelativeSlot(0, flatbuffers.nu/;" f +Uint8VectorBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Uint8VectorBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:Uint8Vector +Uint8VectorEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint8VectorEnd(builder): return builder.EndObject()$/;" f +Uint8VectorStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint8VectorStart(builder): builder.StartObject(1)$/;" f +Uint8VectorStartValuesVector adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def Uint8VectorStartValuesVector(builder, numElems): return builder.StartVector(1, numElems, 1)$/;" f +Uint8VectorT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class Uint8VectorT(object):$/;" c +uint8_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^uint8_ref = DType(types_pb2.DT_UINT8_REF)$/;" v +uint8_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^uint8_ref = DType(types_pb2.DT_UINT8_REF)$/;" v +UiSubplotTool adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formsubplottool.py /^class UiSubplotTool(QtWidgets.QDialog):$/;" c +ui_handle_clear adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_clear(self, dc, x0, y0, x1, y1):$/;" m class:Window +ui_handle_damage adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_damage(self, x0, y0, x1, y1):$/;" m class:Window +ui_handle_destroy adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_destroy(self):$/;" m class:Window +ui_handle_repair adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_repair(self, dc, x0, y0, x1, y1):$/;" m class:ImageWindow +ui_handle_repair adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_repair(self, dc, x0, y0, x1, y1):$/;" m class:Window +ui_handle_resize adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def ui_handle_resize(self, width, height):$/;" m class:Window +ulabel adpepsenv/lib/python3.8/site-packages/idna/core.py /^def ulabel(label):$/;" f +ulabel adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def ulabel(label):$/;" f +ULEAD_DV_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ULEAD_DV_AUDIO = 0x0215$/;" v class:WAVE_FORMAT +ULEAD_DV_AUDIO_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ULEAD_DV_AUDIO_1 = 0x0216$/;" v class:WAVE_FORMAT +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestAssignValues_1_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestAssignValues_1_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestByteorder_1_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestByteorder_1_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestCreateValues_1_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestCreateValues_1_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1$/;" v class:TestCreateZeros_1 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestAssignValues_1009_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestAssignValues_1009_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestByteorder_1009_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestByteorder_1009_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestCreateValues_1009_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestCreateValues_1009_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 1009$/;" v class:TestCreateZeros_1009 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestAssignValues_2_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestAssignValues_2_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestByteorder_2_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestByteorder_2_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestCreateValues_2_UCS2 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestCreateValues_2_UCS4 +ulen adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_unicode.py /^ ulen = 2$/;" v class:TestCreateZeros_2 +UListProcessor adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^class UListProcessor(OListProcessor):$/;" c +um adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^from . import umath as um$/;" x +um adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^from numpy.core import umath as um$/;" x +um adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^from numpy.core import umath as um$/;" x +umath adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^import numpy.core.umath as umath$/;" I +umath adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^import numpy.core.umath as umath$/;" I +umath adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^import numpy.core.umath as umath$/;" I +umath adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_old_ma.py /^import numpy.core.umath as umath$/;" I +umath adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^import numpy.core.umath as umath$/;" I +umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^ import scikits.umfpack as umfpack$/;" I +umfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ import scikits.umfpack as umfpack$/;" I +UmfpackNotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class UmfpackNotFoundError(NotFoundError):$/;" c +umfpack_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class umfpack_info(system_info):$/;" c +uml adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^import numpy.linalg._umath_linalg as uml$/;" I +umr_all adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_all = um.logical_and.reduce$/;" v +umr_any adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_any = um.logical_or.reduce$/;" v +umr_maximum adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_maximum = um.maximum.reduce$/;" v +umr_minimum adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_minimum = um.minimum.reduce$/;" v +umr_prod adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_prod = um.multiply.reduce$/;" v +umr_sum adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^umr_sum = um.add.reduce$/;" v +umt adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^import numpy.core._umath_tests as umt$/;" I +unadjustForeignAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^unadjustForeignAttributes = {(ns, local): qname for qname, (prefix, local, ns) in$/;" v +unadjustForeignAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^unadjustForeignAttributes = dict([((ns, local), qname) for qname, (prefix, local, ns) in$/;" v +UnaliasedTFImport adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^class UnaliasedTFImport(ast_edits.AnalysisResult):$/;" c +uname_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def uname_attr(self, attribute):$/;" m class:LinuxDistribution +uname_attr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def uname_attr(attribute):$/;" f +uname_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def uname_info(self):$/;" m class:LinuxDistribution +uname_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def uname_info():$/;" f +unarchive adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def unarchive(archive_filename, dest_dir, format=None, check=True):$/;" f +unary adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def unary(a, name=None):$/;" f +Unary adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^Unary = tf_export("raw_ops.Unary")(_ops.to_raw_op(unary))$/;" v +UnaryDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class UnaryDataset(DatasetV2):$/;" c +UnaryOpFuzzer adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/unary.py /^class UnaryOpFuzzer(Fuzzer):$/;" c +UnaryRaggedElementwiseDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^class UnaryRaggedElementwiseDispatcher(dispatch.OpDispatcher):$/;" c +UnaryStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class UnaryStreamCall(Generic[RequestType, ResponseType],$/;" c +UnaryStreamCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class UnaryStreamCall(_StreamResponseMixin, Call, _base_call.UnaryStreamCall):$/;" c +UnaryStreamCallResponseIterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class UnaryStreamCallResponseIterator(_StreamCallResponseIterator,$/;" c +UnaryStreamClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class UnaryStreamClientInterceptor(ClientInterceptor, metaclass=ABCMeta):$/;" c +UnaryStreamClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class UnaryStreamClientInterceptor(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^class UnaryStreamMultiCallable(abc.ABC):$/;" c +UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class UnaryStreamMultiCallable(_BaseMultiCallable,$/;" c +UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class UnaryStreamMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class UnaryStreamMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryUfuncInfo adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^class UnaryUfuncInfo(OpInfo):$/;" c +UnaryUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^class UnaryUnaryCall(Generic[RequestType, ResponseType],$/;" c +UnaryUnaryCall adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class UnaryUnaryCall(_UnaryResponseMixin, Call, _base_call.UnaryUnaryCall):$/;" c +UnaryUnaryCallResponse adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class UnaryUnaryCallResponse(_base_call.UnaryUnaryCall):$/;" c +UnaryUnaryClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class UnaryUnaryClientInterceptor(ClientInterceptor, metaclass=ABCMeta):$/;" c +UnaryUnaryClientInterceptor adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class UnaryUnaryClientInterceptor(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^class UnaryUnaryMultiCallable(abc.ABC):$/;" c +UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class UnaryUnaryMultiCallable(_BaseMultiCallable,$/;" c +UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^class UnaryUnaryMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^class UnaryUnaryMultiCallable(six.with_metaclass(abc.ABCMeta)):$/;" c +UnaryUnchangedStructureDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class UnaryUnchangedStructureDataset(UnaryDataset):$/;" c +UNARY_BOOL_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^UNARY_BOOL_OPS = [$/;" v +unary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^def unary_eager_fallback(a, name, ctx):$/;" f +UNARY_FLOAT_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^UNARY_FLOAT_OPS = [$/;" v +UNARY_INT_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^UNARY_INT_OPS = [$/;" v +UNARY_OBJECT_UFUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^UNARY_OBJECT_UFUNCS = [uf for uf in UNARY_UFUNCS if "O->O" in uf.types]$/;" v +unary_op_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^ def unary_op_wrapper(x, name=None):$/;" f function:_unary_op file: +unary_request adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def unary_request():$/;" f function:_unary_request file: +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def unary_stream($/;" m class:Channel +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def unary_stream($/;" m class:Channel +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def unary_stream(self, group, method):$/;" m class:_GenericStub +UNARY_STREAM adpepsenv/lib/python3.8/site-packages/grpc/framework/common/cardinality.py /^ UNARY_STREAM = 'request-unary\/response-streaming'$/;" v class:Cardinality +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def unary_stream(self, group, method):$/;" m class:GenericStub +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def unary_stream(self,$/;" m class:Channel +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def unary_stream(self,$/;" m class:_Channel +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^def unary_stream($/;" f +unary_stream adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def unary_stream(self,$/;" m class:Channel +unary_stream_event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def unary_stream_event(behavior):$/;" f +unary_stream_inline adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def unary_stream_inline(behavior):$/;" f +unary_stream_rpc_method_handler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def unary_stream_rpc_method_handler(behavior,$/;" f +UNARY_STRING_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_test_ops.py /^UNARY_STRING_OPS = [$/;" v +UNARY_UFUNCS adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^UNARY_UFUNCS = [obj for obj in np.core.umath.__dict__.values()$/;" v +unary_ufuncs adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^unary_ufuncs = [op for op in op_db if isinstance(op, UnaryUfuncInfo)]$/;" v +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def unary_unary($/;" m class:Channel +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def unary_unary($/;" m class:Channel +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def unary_unary(self, group, method):$/;" m class:_GenericStub +UNARY_UNARY adpepsenv/lib/python3.8/site-packages/grpc/framework/common/cardinality.py /^ UNARY_UNARY = 'request-unary\/response-unary'$/;" v class:Cardinality +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def unary_unary(self, group, method):$/;" m class:GenericStub +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def unary_unary(self,$/;" m class:Channel +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def unary_unary(self,$/;" m class:_Channel +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^def unary_unary($/;" f +unary_unary adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def unary_unary(self,$/;" m class:Channel +unary_unary_event adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def unary_unary_event(behavior):$/;" f +unary_unary_inline adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^def unary_unary_inline(behavior):$/;" f +unary_unary_rpc_method_handler adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def unary_unary_rpc_method_handler(behavior,$/;" f +UnauthAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class UnauthAttributes(univ.SetOf):$/;" c +UnauthAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class UnauthAttributes(univ.SetOf):$/;" c +UNAUTHENTICATED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ UNAUTHENTICATED = (_cygrpc.StatusCode.unauthenticated, 'unauthenticated')$/;" v class:StatusCode +UNAUTHENTICATED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^UNAUTHENTICATED = error_codes.UNAUTHENTICATED$/;" v +UNAUTHENTICATED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^UNAUTHENTICATED = 16$/;" v +UNAUTHENTICATED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^UNAUTHENTICATED = 16$/;" v +UNAUTHENTICATED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^UNAUTHENTICATED = error_codes_pb2.UNAUTHENTICATED$/;" v +UnauthenticatedError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class UnauthenticatedError(OpError):$/;" c +UnauthenticatedError adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^class UnauthenticatedError(PublicError):$/;" c +UnauthenticatedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class UnauthenticatedError(OpError):$/;" c +Unauthorized adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class Unauthorized(HTTPException):$/;" c +UnauthorizedClientError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class UnauthorizedClientError(OAuth2Error):$/;" c +UNAVAILABLE adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ UNAVAILABLE = (_cygrpc.StatusCode.unavailable, 'unavailable')$/;" v class:StatusCode +UNAVAILABLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^UNAVAILABLE = error_codes.UNAVAILABLE$/;" v +UNAVAILABLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^UNAVAILABLE = 14$/;" v +UNAVAILABLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^UNAVAILABLE = 14$/;" v +UNAVAILABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^UNAVAILABLE = error_codes_pb2.UNAVAILABLE$/;" v +UnavailableError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class UnavailableError(OpError):$/;" c +UnavailableError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class UnavailableError(OpError):$/;" c +UnavailableForLegalReasons adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class UnavailableForLegalReasons(HTTPException):$/;" c +unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^def unbatch():$/;" f +unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def unbatch(self):$/;" m class:DatasetV1 +unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def unbatch(self):$/;" m class:DatasetV2 +unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def unbatch(batched_tensor, batch_index, id, timeout_micros, container="", shared_name="", name=/;" f +Unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^Unbatch = tf_export("raw_ops.Unbatch")(_ops.to_raw_op(unbatch))$/;" v +UnbatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^UnbatchDataset = tf_export("raw_ops.UnbatchDataset")(_ops.to_raw_op(unbatch_dataset))$/;" v +UnbatchGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^UnbatchGrad = tf_export("raw_ops.UnbatchGrad")(_ops.to_raw_op(unbatch_grad))$/;" v +unbatch_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def unbatch_dataset(input_dataset, output_types, output_shapes, name=None):$/;" f +unbatch_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def unbatch_dataset_eager_fallback(input_dataset, output_types, output_shapes, name, ctx):$/;" f +unbatch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def unbatch_eager_fallback(batched_tensor, batch_index, id, timeout_micros, container, shared_na/;" f +unbatch_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def unbatch_grad(original_input, batch_index, grad, id, container="", shared_name="", name=None)/;" f +unbatch_grad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^def unbatch_grad_eager_fallback(original_input, batch_index, grad, id, container, shared_name, n/;" f +unbeta adpepsenv/lib/python3.8/site-packages/grpc/beta/_metadata.py /^def unbeta(beta_metadata):$/;" f +unbind adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def unbind(g, self, dim=0, _outputs=None):$/;" f +unbind adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unbind(g, self, dim=0, _outputs=None):$/;" f +UnbindRequest adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2251.py /^class UnbindRequest(univ.Null):$/;" c +unblind adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def unblind(self, blinded: int) -> int:$/;" m class:AbstractKey +unbound adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def unbound(*args):$/;" m class:TestLeaks.A +UnboundInstanceCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^class UnboundInstanceCache(_TransformedFnCache):$/;" c +unbound_vjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def unbound_vjp(pvals, jaxpr, consts, *cts):$/;" f function:vjp file: +UNCOMPILED_SOURCE_SUFFIXES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^UNCOMPILED_SOURCE_SUFFIXES = (".py")$/;" v +uncompress adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/compression_ops.py /^def uncompress(element, output_spec):$/;" f +UncompressElement adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^UncompressElement = tf_export("raw_ops.UncompressElement")(_ops.to_raw_op(uncompress_element))$/;" v +uncompress_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def uncompress_element(compressed, output_types, output_shapes, name=None):$/;" f +uncompress_element_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def uncompress_element_eager_fallback(compressed, output_types, output_shapes, name, ctx):$/;" f +UnconnectedGradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/unconnected_gradients.py /^class UnconnectedGradients(enum.Enum):$/;" c +UNDEFINED adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ UNDEFINED = 255$/;" v class:CharacterCategory +UNDEFINED adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^UNDEFINED = 7$/;" v +UNDEFINED adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ UNDEFINED = 255$/;" v class:CharacterCategory +Undefined adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Undefined(object):$/;" c +Undefined adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^class Undefined(object):$/;" c +UNDEFINED adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ UNDEFINED = "undefined"$/;" v class:Backend +UndefinedComparison adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class UndefinedComparison(ValueError):$/;" c +UndefinedComparison adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class UndefinedComparison(ValueError):$/;" c +UndefinedComparison adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class UndefinedComparison(ValueError):$/;" c +UndefinedEnvironmentName adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class UndefinedEnvironmentName(ValueError):$/;" c +UndefinedEnvironmentName adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class UndefinedEnvironmentName(ValueError):$/;" c +UndefinedEnvironmentName adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class UndefinedEnvironmentName(ValueError):$/;" c +UndefinedPoly adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class UndefinedPoly(Exception):$/;" c +UndefinedPrimal adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^class UndefinedPrimal:$/;" c +UndefinedReturnValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^class UndefinedReturnValue(object):$/;" c +UNDEFINED_ALIAS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^UNDEFINED_ALIAS = 0$/;" v +undefined_bc adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def undefined_bc(ya, yb):$/;" f +undefined_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^def undefined_fun(x, y):$/;" f +undefine_macro adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def undefine_macro(self, name):$/;" m class:CCompiler +UNDERLINE adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ UNDERLINE = '\\033[4m'$/;" v class:bcolors +underscore adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def underscore(arg):$/;" f +UnderscoreProcessor adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^class UnderscoreProcessor(AsteriskProcessor):$/;" c +under_tpu_inference_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def under_tpu_inference_context():$/;" f +undirected_G adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_G = np.array([[0, 3, 3, 1, 2],$/;" v +undirected_pred adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_pred = np.array([[-9999, 0, 0, 0, 0],$/;" v +undirected_SP adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_SP = np.array([[0, 3, 3, 1, 2],$/;" v +undirected_sparse_zero_G adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_sparse_zero_G = scipy.sparse.csr_matrix(([0, 0, 1, 1, 2, 2, 1, 1], $/;" v +undirected_sparse_zero_SP adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_sparse_zero_SP = [[0, 0, 1, np.inf, np.inf],$/;" v +undirected_SP_limit_0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_SP_limit_0 = np.ones((5, 5), dtype=float) - np.eye(5)$/;" v +undirected_SP_limit_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^undirected_SP_limit_2 = np.array([[0, np.inf, np.inf, 1, 2],$/;" v +undo adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def undo():$/;" f function:set_host_platform_device_count file: +undo_rmbadname adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def undo_rmbadname(names):$/;" f +undo_rmbadname1 adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def undo_rmbadname1(name):$/;" f +undo_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def undo_trajectory(self):$/;" m class:DomainMap +undo_virtual_batching adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def undo_virtual_batching(outputs):$/;" f member:BatchNormalizationBase.call file: +unescape adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def unescape(text):$/;" f +unescape adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def unescape(self, text):$/;" m class:HtmlInlineProcessor +unescape adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def unescape(self, text):$/;" m class:Pattern +unescape adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^ def unescape(self, m):$/;" m class:UnescapePostprocessor +unescape adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^def unescape(u):$/;" f +unescape adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ unescape = HTMLParser().unescape$/;" v +unescape adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^ unescape = html_parser.HTMLParser().unescape$/;" v +unescape adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^unescape = getattr(html, 'unescape', None)$/;" v +unescape adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def unescape(s):$/;" f +unescapeChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def unescapeChar(self, charcode):$/;" m class:InfosetFilter +unescapeChar adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def unescapeChar(self, charcode):$/;" m class:InfosetFilter +UnescapePostprocessor adpepsenv/lib/python3.8/site-packages/markdown/postprocessors.py /^class UnescapePostprocessor(Postprocessor):$/;" c +unescape_doctest adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def unescape_doctest(text):$/;" f +UnexpectedSubprocessExitError adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class UnexpectedSubprocessExitError(RuntimeError):$/;" c +UnexpectedTracerError adpepsenv/lib/python3.8/site-packages/jax/core.py /^class UnexpectedTracerError(Exception): pass$/;" c +Unflatten adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^class Unflatten(Module):$/;" c +unflatten adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def unflatten(self, dim, sizes):$/;" m class:Tensor +unflatten_features_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def unflatten_features_and_labels(self, flattened_inputs):$/;" m class:_InputPipeline.InputsStructureRecorder +unflatten_helper adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def unflatten_helper(input, proto):$/;" f function:_unflatten file: +unfold adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def unfold(input, kernel_size, dilation=1, padding=0, stride=1):$/;" f +Unfold adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^class Unfold(Module):$/;" c +unfold adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unfold(g, input, dimension, size, step):$/;" f +UnformattedPostalAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UnformattedPostalAddress(univ.Set):$/;" c +UnformattedPostalAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class UnformattedPostalAddress(univ.Set):$/;" c +UnformattedPostalAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class UnformattedPostalAddress(univ.Set):$/;" c +unformatted_postal_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^unformatted_postal_address = univ.Integer(16)$/;" v +unformatted_postal_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^unformatted_postal_address = univ.Integer(16)$/;" v +unformatted_postal_address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^unformatted_postal_address = univ.Integer(16)$/;" v +unget adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def unget(self, char):$/;" m class:HTMLUnicodeInputStream +unget adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def unget(self, char):$/;" m class:HTMLUnicodeInputStream +ungroup adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def ungroup(expr):$/;" f +ungroup adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def ungroup(expr): $/;" f +ungroup adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def ungroup(expr):$/;" f +ungroup adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def ungroup(expr): $/;" f +uni2type1 adpepsenv/lib/python3.8/site-packages/matplotlib/_mathtext_data.py /^uni2type1 = {v: k for k, v in type12uni.items()}$/;" v +unichr adpepsenv/lib/python3.8/site-packages/idna/core.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ unichr = chr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ unichr = unichr$/;" v +unichr adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ unichr = unichr$/;" v +unicode adpepsenv/lib/python3.8/site-packages/idna/core.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^ unicode = str$/;" v +unicode adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^unicode = str$/;" v +UnicodeConverter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class UnicodeConverter(BaseConverter):$/;" c +UnicodeDecode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnicodeDecode = tf_export("raw_ops.UnicodeDecode")(_ops.to_raw_op(unicode_decode))$/;" v +UnicodeDecodeWithOffsets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnicodeDecodeWithOffsets = tf_export("raw_ops.UnicodeDecodeWithOffsets")(_ops.to_raw_op(unicode_/;" v +UnicodeEncode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnicodeEncode = tf_export("raw_ops.UnicodeEncode")(_ops.to_raw_op(unicode_encode))$/;" v +UnicodeFonts adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class UnicodeFonts(TruetypeFonts):$/;" c +UnicodeScript adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnicodeScript = tf_export("raw_ops.UnicodeScript")(_ops.to_raw_op(unicode_script))$/;" v +unicodeString adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^unicodeString = Combine(_L('u') + quotedString.copy()).setName("unicode string literal")$/;" v +unicodeString adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^unicodeString = Combine(_L('u') + quotedString.copy()).setName("unicode string literal")$/;" v +unicodeString adpepsenv/lib/python3.8/site-packages/pyparsing.py /^unicodeString = Combine(_L('u') + quotedString.copy()).setName("unicode string literal")$/;" v +unicodeString adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^unicodeString = Combine(_L('u') + quotedString.copy()).setName("unicode string literal")$/;" v +UnicodeTranscode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnicodeTranscode = tf_export("raw_ops.UnicodeTranscode")(_ops.to_raw_op(unicode_transcode))$/;" v +UnicodeValueChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^class UnicodeValueChecker(object):$/;" c +unicode_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^unicode_ = np_export.np_export_constant(__name__, 'unicode_', np.unicode_)$/;" v +UNICODE_ASCII_CHARACTER_SET adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^UNICODE_ASCII_CHARACTER_SET = ('abcdefghijklmnopqrstuvwxyz'$/;" v +UNICODE_ASCII_CHARACTER_SET adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^UNICODE_ASCII_CHARACTER_SET = ('abcdefghijklmnopqrstuvwxyz'$/;" v +unicode_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_decode(input, input_encoding, errors="replace", replacement_char=65533, replace_cont/;" f +unicode_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def unicode_decode(input,$/;" f +unicode_decode_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_decode_eager_fallback(input, input_encoding, errors, replacement_char, replace_contr/;" f +unicode_decode_with_offsets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_decode_with_offsets(input, input_encoding, errors="replace", replacement_char=65533,/;" f +unicode_decode_with_offsets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def unicode_decode_with_offsets(input,$/;" f +unicode_decode_with_offsets_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_decode_with_offsets_eager_fallback(input, input_encoding, errors, replacement_char, /;" f +unicode_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_encode(input_values, input_splits, output_encoding, errors="replace", replacement_ch/;" f +unicode_encode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def unicode_encode(input,$/;" f +unicode_encode_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_encode_eager_fallback(input_values, input_splits, output_encoding, errors, replaceme/;" f +UNICODE_FILENAMES adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ UNICODE_FILENAMES = False$/;" v +unicode_is_ascii adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/_internal_utils.py /^def unicode_is_ascii(u_string):$/;" f +unicode_is_ascii adpepsenv/lib/python3.8/site-packages/requests/_internal_utils.py /^def unicode_is_ascii(u_string):$/;" f +unicode_script adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_script(input, name=None):$/;" f +unicode_script_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_script_eager_fallback(input, name, ctx):$/;" f +unicode_set adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class unicode_set(object):$/;" c +unicode_set adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class unicode_set(object):$/;" c +unicode_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def unicode_split(input,$/;" f +unicode_split_with_offsets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def unicode_split_with_offsets(input,$/;" f +unicode_transcode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_transcode(input, input_encoding, output_encoding, errors="replace", replacement_char/;" f +unicode_transcode_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unicode_transcode_eager_fallback(input, input_encoding, output_encoding, errors, replacement/;" f +unicode_type adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ unicode_type = str$/;" v +unicode_utils adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^import setuptools.unicode_utils as unicode_utils$/;" I +UnidentifiedImageError adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^class UnidentifiedImageError(OSError):$/;" c +UnidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UnidirectionalSequenceLSTMOptions = 71$/;" v class:BuiltinOptions +UnidirectionalSequenceLSTMOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UnidirectionalSequenceLSTMOptions(object):$/;" c +UnidirectionalSequenceLSTMOptionsAddAsymmetricQuantizeInputs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsAddAsymmetricQuantizeInputs(builder, asymmetricQuantizeInpu/;" f +UnidirectionalSequenceLSTMOptionsAddCellClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsAddCellClip(builder, cellClip): builder.PrependFloat32Slot(/;" f +UnidirectionalSequenceLSTMOptionsAddFusedActivationFunction adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsAddFusedActivationFunction(builder, fusedActivationFunction/;" f +UnidirectionalSequenceLSTMOptionsAddProjClip adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsAddProjClip(builder, projClip): builder.PrependFloat32Slot(/;" f +UnidirectionalSequenceLSTMOptionsAddTimeMajor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsAddTimeMajor(builder, timeMajor): builder.PrependBoolSlot(3/;" f +UnidirectionalSequenceLSTMOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def UnidirectionalSequenceLSTMOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=Fal/;" m class:UnidirectionalSequenceLSTMOptions +UnidirectionalSequenceLSTMOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsEnd(builder): return builder.EndObject()$/;" f +UnidirectionalSequenceLSTMOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnidirectionalSequenceLSTMOptionsStart(builder): builder.StartObject(5)$/;" f +UnidirectionalSequenceLSTMOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UnidirectionalSequenceLSTMOptionsT(object):$/;" c +UNIDIRECTIONAL_SEQUENCE_LSTM adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UNIDIRECTIONAL_SEQUENCE_LSTM = 44$/;" v class:BuiltinOperator +UNIDIRECTIONAL_SEQUENCE_RNN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UNIDIRECTIONAL_SEQUENCE_RNN = 35$/;" v class:BuiltinOperator +uniform adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def uniform(scale=1e-2, dtype=jnp.float32):$/;" f +uniform adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def uniform(key: jnp.ndarray,$/;" f +uniform adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^uniform = uniform_gen(a=0.0, b=1.0, name='uniform')$/;" v +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomUniform as uniform$/;" x +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v1 import RandomUniform as uniform$/;" x +Uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^class Uniform(distribution.Distribution):$/;" c +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^uniform = random_uniform = RandomUniform$/;" v +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_random.py /^def uniform(low=0.0, high=1.0, size=None):$/;" f +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def uniform(self, shape, minval=0, maxval=None,$/;" m class:Generator +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from tensorflow.python.ops.random_ops import random_uniform as uniform$/;" x +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_uniform as uniform$/;" x +uniform adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from tensorflow.python.ops.random_ops import random_uniform as uniform$/;" x +Uniform adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^class Uniform(Distribution):$/;" c +uniform adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^uniform = _make_deprecate(uniform_)$/;" v +uniform32_from_uint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform32_from_uint(x, bits):$/;" f +uniform32_from_uint32 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform32_from_uint32(x):$/;" f +uniform32_from_uint53 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform32_from_uint53(x):$/;" f +uniform32_from_uint64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform32_from_uint64(x):$/;" f +UniformCandidateSampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^UniformCandidateSampler = tf_export("raw_ops.UniformCandidateSampler")(_ops.to_raw_op(uniform_ca/;" v +UniformRowLength adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ UniformRowLength = collections.namedtuple("UniformRowLength", ["length"])$/;" v class:RaggedFeature +uniforms adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending_distributions.pyx /^def uniforms(Py_ssize_t n):$/;" f +UniformSampling adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/uniform_sampling.py /^class UniformSampling(ModelLayer):$/;" c +uniforms_ex adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending_distributions.pyx /^def uniforms_ex(bit_generator, Py_ssize_t n, dtype=np.float64):$/;" f +UniformTriRefiner adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^class UniformTriRefiner(TriRefiner):$/;" c +UniformUnitScaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class UniformUnitScaling(Initializer):$/;" c +uniform_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def uniform_(tensor, a=0., b=1.):$/;" f +uniform_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/candidate_sampling_ops.py /^def uniform_candidate_sampler(true_classes, num_true, num_sampled, unique,$/;" f +uniform_candidate_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def uniform_candidate_sampler(true_classes, num_true, num_sampled, unique, range_max, seed=0, se/;" f +uniform_candidate_sampler_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^def uniform_candidate_sampler_eager_fallback(true_classes, num_true, num_sampled, unique, range_/;" f +uniform_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def uniform_filter(input, size=3, output=None, mode="reflect",$/;" f +uniform_filter1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def uniform_filter1d(input, size, axis=-1, output=None,$/;" f +uniform_from_dsfmt adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform_from_dsfmt(x):$/;" f +uniform_from_uint adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform_from_uint(x, bits):$/;" f +uniform_from_uint32 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform_from_uint32(x):$/;" f +uniform_from_uint64 adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^def uniform_from_uint64(x):$/;" f +uniform_full_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def uniform_full_int(self, shape, dtype=dtypes.uint64, name=None):$/;" m class:Generator +uniform_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class uniform_gen(rv_continuous):$/;" c +uniform_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_test_util.py /^from tensorflow.python.ops.distributions import uniform as uniform_lib$/;" x +uniform_mean adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cython/extending.pyx /^def uniform_mean(Py_ssize_t n):$/;" f +uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def uniform_row_length(self):$/;" m class:RaggedTensor +uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def uniform_row_length(self):$/;" m class:RowPartition +uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def uniform_row_length(self):$/;" m class:RowPartitionSpec +uniform_scalar adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def uniform_scalar(offset=0, requires_grad=False):$/;" f +uniform_unit_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^uniform_unit_scaling = init_ops.uniform_unit_scaling_initializer$/;" v +uniform_unit_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import UniformUnitScaling as uniform_unit_scaling$/;" x +uniform_unit_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^uniform_unit_scaling_initializer = UniformUnitScaling$/;" v +uniform_unit_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import UniformUnitScaling as uniform_unit_scaling_initialize/;" x +uniform_unit_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import UniformUnitScaling as uniform_unit_scaling_initialize/;" x +uniform_unit_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import UniformUnitScaling as uniform_unit_scaling_initialize/;" x +unilinear adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^unilinear = _UnilinearModel()$/;" v +UNIMPLEMENTED adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ UNIMPLEMENTED = (_cygrpc.StatusCode.unimplemented, 'unimplemented')$/;" v class:StatusCode +UNIMPLEMENTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^UNIMPLEMENTED = error_codes.UNIMPLEMENTED$/;" v +UNIMPLEMENTED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^UNIMPLEMENTED = 12$/;" v +UNIMPLEMENTED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^UNIMPLEMENTED = 12$/;" v +UNIMPLEMENTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^UNIMPLEMENTED = error_codes_pb2.UNIMPLEMENTED$/;" v +UnimplementedError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class UnimplementedError(OpError):$/;" c +UnimplementedError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class UnimplementedError(OpError):$/;" c +unindent_dict adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def unindent_dict(docdict):$/;" f +unindent_dict adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def unindent_dict(docdict):$/;" f +unindent_string adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^def unindent_string(docstring):$/;" f +unindent_string adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^def unindent_string(docstring):$/;" f +UninitializedVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class UninitializedVariable(BaseResourceVariable):$/;" c +uninitialized_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def uninitialized_variable_creator(next_creator, **kwargs):$/;" f member:Loader._recreate_variable file: +uninstall adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def uninstall(self, auto_confirm=False, verbose=False):$/;" m class:InstallRequirement +uninstall adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def uninstall(cls):$/;" m class:FakeSummaryWriter +UninstallationError adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class UninstallationError(PipError):$/;" c +uninstallation_paths adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def uninstallation_paths(dist):$/;" f +UninstallCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/uninstall.py /^class UninstallCommand(Command, SessionCommandMixin):$/;" c +UninstallPathSet adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^class UninstallPathSet(object):$/;" c +UninstallPthEntries adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^class UninstallPthEntries(object):$/;" c +uninstall_link adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def uninstall_link(self):$/;" m class:develop +uninstall_namespaces adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def uninstall_namespaces(self):$/;" m class:Installer +uninstall_repl_displayhook adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def uninstall_repl_displayhook():$/;" f +UninterpretedOption adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^UninterpretedOption = _reflection.GeneratedProtocolMessageType('UninterpretedOption', (_message./;" v +Union adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def Union(self, t2, off):$/;" m class:Table +Union adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def Union(self, mask1, mask2):$/;" m class:FieldMask +union adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def union(bboxes):$/;" m class:BboxBase +Union adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class Union(ctypes.Union):$/;" c member:TestFromCTypes.test_union file: +Union adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class Union(ctypes.Union):$/;" c member:TestFromCTypes.test_union_packed file: +Union adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class Union(ctypes.Union):$/;" c member:TestFromCTypes.test_union_with_struct_packed file: +union adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def union(self, *sets):$/;" m class:OrderedSet +union adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_union as union$/;" x +union adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_union as union$/;" x +union adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^from tensorflow.python.ops.sets_impl import set_union as union$/;" x +union1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def union1d(ar1, ar2):$/;" f +union1d adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def union1d(ar1, ar2):$/;" f +UnionClusterResolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^class UnionClusterResolver(ClusterResolver):$/;" c +UnionResolver adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/cluster_resolver/__init__.py /^from tensorflow.python.distribute.cluster_resolver.cluster_resolver import UnionClusterResolver /;" x +UnionResolver adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/cluster_resolver/__init__.py /^from tensorflow.python.distribute.cluster_resolver.cluster_resolver import UnionClusterResolver /;" x +UnionResolver adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/cluster_resolver/__init__.py /^from tensorflow.python.distribute.cluster_resolver.cluster_resolver import UnionClusterResolver /;" x +unique adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def unique(ar, return_index=False, return_inverse=False,$/;" f +unique adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^def unique(id, ids):$/;" f +unique adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def unique(ar, return_index=False, return_inverse=False,$/;" f +unique adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def unique(ar1, return_index=False, return_inverse=False):$/;" f +unique adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def unique(*args, **kw):$/;" f function:_unique file: +unique adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^def unique(lst):$/;" f +UNIQUE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UNIQUE = 103$/;" v class:BuiltinOperator +unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/unique.py /^def unique():$/;" f +unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def unique(x, out_idx=dtypes.int32, name=None):$/;" f +unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique(x, out_idx=_dtypes.int32, name=None):$/;" f +Unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Unique = tf_export("raw_ops.Unique")(_ops.to_raw_op(unique))$/;" v +unique adpepsenv/lib/python3.8/site-packages/torch/functional.py /^unique = boolean_dispatch($/;" v +unique adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def unique(self, sorted=True, return_inverse=False, return_counts=False, dim=None):$/;" m class:Tensor +UniqueDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^UniqueDataset = tf_export("raw_ops.UniqueDataset")(_ops.to_raw_op(unique_dataset))$/;" v +UniqueId adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class UniqueId:$/;" c +UniqueIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UniqueIdentifier(univ.BitString):$/;" c +UniqueIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class UniqueIdentifier(univ.BitString):$/;" c +UniqueIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class UniqueIdentifier(univ.BitString):$/;" c +UniqueIdentifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^UniqueIdentifier = rfc5280.UniqueIdentifier$/;" v +UniqueIds adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^class UniqueIds(dict):$/;" c +UniqueOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UniqueOptions = 80$/;" v class:BuiltinOptions +UniqueOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UniqueOptions(object):$/;" c +UniqueOptionsAddIdxOutType adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UniqueOptionsAddIdxOutType(builder, idxOutType): builder.PrependInt8Slot(0, idxOutType, 2)$/;" f +UniqueOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def UniqueOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:UniqueOptions +UniqueOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UniqueOptionsEnd(builder): return builder.EndObject()$/;" f +UniqueOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UniqueOptionsStart(builder): builder.StartObject(1)$/;" f +UniqueOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UniqueOptionsT(object):$/;" c +UniquePostalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UniquePostalName(PDSParameter):$/;" c +UniquePostalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class UniquePostalName(PDSParameter):$/;" c +UniquePostalName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class UniquePostalName(PDSParameter):$/;" c +UniqueV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^UniqueV2 = tf_export("raw_ops.UniqueV2")(_ops.to_raw_op(unique_v2))$/;" v +UniqueWithCounts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^UniqueWithCounts = tf_export("raw_ops.UniqueWithCounts")(_ops.to_raw_op(unique_with_counts))$/;" v +UniqueWithCountsV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^UniqueWithCountsV2 = tf_export("raw_ops.UniqueWithCountsV2")(_ops.to_raw_op(unique_with_counts_v/;" v +unique_consecutive adpepsenv/lib/python3.8/site-packages/torch/functional.py /^unique_consecutive = boolean_dispatch($/;" v +unique_consecutive adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def unique_consecutive(self, return_inverse=False, return_counts=False, dim=None):$/;" m class:Tensor +unique_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def unique_dataset(input_dataset, output_types, output_shapes, name=None):$/;" f +unique_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^def unique_dataset_eager_fallback(input_dataset, output_types, output_shapes, name, ctx):$/;" f +unique_dim adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def unique_dim(g, self, dim, sorted, return_inverse, return_counts):$/;" f +unique_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_eager_fallback(x, out_idx, name, ctx):$/;" f +unique_everseen adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def unique_everseen(iterable, key=None):$/;" f +unique_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def unique_fetches(self):$/;" m class:_AttrsFetchMapper +unique_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def unique_fetches(self):$/;" m class:_DictFetchMapper +unique_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def unique_fetches(self):$/;" m class:_ElementFetchMapper +unique_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def unique_fetches(self):$/;" m class:_FetchMapper +unique_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def unique_fetches(self):$/;" m class:_ListFetchMapper +unique_fn_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def unique_fn_name(scope, name):$/;" f +unique_grad_fn_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def unique_grad_fn_name(forward_name):$/;" f +unique_hash adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax_primitives_coverage_test.py /^ def unique_hash(h: primitive_harness.Harness, l: primitive_harness.Limitation):$/;" f member:JaxPrimitiveTest.test_generate_primitives_coverage_doc file: +unique_hash adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitives_test.py /^ def unique_hash(h: primitive_harness.Harness, l: Jax2TfLimitation):$/;" f member:JaxPrimitiveTest.test_generate_limitations_doc file: +unique_id adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def unique_id():$/;" f +unique_key adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^def unique_key(adict):$/;" f +unique_key adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^def unique_key(adict):$/;" f +unique_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def unique_name(self, name, mark_as_used=True):$/;" m class:Graph +unique_object_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def unique_object_name(name,$/;" f +unique_postal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^unique_postal_name = univ.Integer(20)$/;" v +unique_postal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^unique_postal_name = univ.Integer(20)$/;" v +unique_postal_name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^unique_postal_name = univ.Integer(20)$/;" v +unique_ref adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def unique_ref(self, reference, found=False):$/;" m class:FootnoteExtension +unique_roots adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def unique_roots(p, tol=1e-3, rtype='min'):$/;" f +unique_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_v2(x, axis, out_idx=_dtypes.int32, name=None):$/;" f +unique_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_v2_eager_fallback(x, axis, out_idx, name, ctx):$/;" f +unique_valid adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def unique_valid(input, unique, remapping=None):$/;" f member:TestOperators.test_unique file: +unique_values adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def unique_values(func):$/;" f +unique_with_counts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def unique_with_counts(x, out_idx=dtypes.int32, name=None):$/;" f +unique_with_counts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_with_counts(x, out_idx=_dtypes.int32, name=None):$/;" f +unique_with_counts_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_with_counts_eager_fallback(x, out_idx, name, ctx):$/;" f +unique_with_counts_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_with_counts_v2(x, axis, out_idx=_dtypes.int32, name=None):$/;" f +unique_with_counts_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unique_with_counts_v2_eager_fallback(x, axis, out_idx, name, ctx):$/;" f +UNISYS_NAP_16K adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UNISYS_NAP_16K = 0x0173$/;" v class:WAVE_FORMAT +UNISYS_NAP_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UNISYS_NAP_ADPCM = 0x0170$/;" v class:WAVE_FORMAT +UNISYS_NAP_ALAW adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UNISYS_NAP_ALAW = 0x0172$/;" v class:WAVE_FORMAT +UNISYS_NAP_ULAW adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UNISYS_NAP_ULAW = 0x0171$/;" v class:WAVE_FORMAT +Unit adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Unit:$/;" c +unit adpepsenv/lib/python3.8/site-packages/jax/core.py /^unit = Unit()$/;" v +unit adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def unit():$/;" m class:Bbox +unit adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def unit(key):$/;" f +unitary_group adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^unitary_group = unitary_group_gen()$/;" v +unitary_group_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class unitary_group_gen(multi_rv_generic):$/;" c +UnitData adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^class UnitData:$/;" c +UnitDbl adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^class UnitDbl:$/;" c +UnitDblConverter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^class UnitDblConverter(units.ConversionInterface):$/;" c +UnitDblFormatter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^class UnitDblFormatter(ticker.ScalarFormatter):$/;" c +UnitNorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^class UnitNorm(Constraint):$/;" c +unitnorm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^unitnorm = unit_norm$/;" v +units adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^import matplotlib.units as units$/;" I +units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^import matplotlib.units as units$/;" I +units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^import matplotlib.units as units$/;" I +units adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^import matplotlib.units as units$/;" I +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_axhspan_epoch file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_axvspan_epoch file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_fill_units file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_formatter_ticker file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_DateFormatter file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_RRuleLocator file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_polar_units_1 file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_polar.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_polar_units_2 file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_jpl_barh_units file: +units adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ import matplotlib.testing.jpl_units as units$/;" I function:test_jpl_bar_units file: +UNITS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^UNITS = ('', 'K', 'M', 'G','T','P')$/;" v +units adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def units(self):$/;" m class:GRU +units adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def units(self):$/;" m class:LSTM +units adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def units(self):$/;" m class:SimpleRNN +units adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ units = _callback_property("_units")$/;" v class:ContentRange +UNITTEST_ARGS adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^UNITTEST_ARGS = [sys.argv[0]] + remaining$/;" v +UnitVar adpepsenv/lib/python3.8/site-packages/jax/core.py /^class UnitVar(Var):$/;" c +unitvar adpepsenv/lib/python3.8/site-packages/jax/core.py /^unitvar = UnitVar()$/;" v +unit_bbox adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^def unit_bbox():$/;" f +unit_circle adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_circle(cls):$/;" m class:Path +unit_circle_righthalf adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_circle_righthalf(cls):$/;" m class:Path +unit_cube adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def unit_cube(self, vals=None):$/;" m class:Axes3D +unit_forget_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def unit_forget_bias(self):$/;" m class:ConvLSTM2D +unit_forget_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def unit_forget_bias(self):$/;" m class:LSTM +unit_frequencies adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ unit_frequencies = np.ones(3, dtype=np.int_)$/;" v class:TestCov +unit_impulse adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def unit_impulse(shape, idx=None, dtype=float):$/;" f +unit_interval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^unit_interval = _Interval(0., 1.)$/;" v +unit_norm adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import UnitNorm as unit_norm$/;" x +unit_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import UnitNorm as unit_norm$/;" x +unit_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import UnitNorm as unit_norm$/;" x +unit_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^from tensorflow.python.keras.constraints import UnitNorm as unit_norm$/;" x +unit_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^unit_norm = UnitNorm$/;" v +unit_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_rectangle(cls):$/;" m class:Path +unit_regular_asterisk adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_regular_asterisk(cls, numVertices):$/;" m class:Path +unit_regular_polygon adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_regular_polygon(cls, numVertices):$/;" m class:Path +unit_regular_star adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def unit_regular_star(cls, numVertices, innerCircle=0.5):$/;" m class:Path +unit_to_english adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^def unit_to_english(u: str) -> str:$/;" f +unit_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ unit_weights = np.ones(3)$/;" v class:TestCov +UnivariateSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class UnivariateSpline(object):$/;" c +UniversalConstructedTypeDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class UniversalConstructedTypeDecoder(AbstractConstructedDecoder):$/;" c +UniversalCRTSdkDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def UniversalCRTSdkDir(self):$/;" m class:SystemInfo +UniversalCRTSdkLastVersion adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def UniversalCRTSdkLastVersion(self):$/;" m class:SystemInfo +UniversalDetector adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^class UniversalDetector(object):$/;" c +UniversalDetector adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^class UniversalDetector(object):$/;" c +UniversalString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class UniversalString(AbstractCharacterString):$/;" c +UniversalString adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UniversalString(char.UniversalString):$/;" c +UniversalStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class UniversalStringDecoder(OctetStringDecoder):$/;" c +unix2dos adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def unix2dos(file):$/;" f +unix2dos_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def unix2dos_dir(dir_name):$/;" f +unix2dos_one_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/line_endings.py /^def unix2dos_one_dir(modified_files, dir_name, file_names):$/;" f +UnixCCompiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^class UnixCCompiler(CCompiler):$/;" c +UnixCCompiler_create_static_lib adpepsenv/lib/python3.8/site-packages/numpy/distutils/unixccompiler.py /^def UnixCCompiler_create_static_lib(self, objects, output_libname,$/;" f +UnixCCompiler__compile adpepsenv/lib/python3.8/site-packages/numpy/distutils/unixccompiler.py /^def UnixCCompiler__compile(self, obj, src, ext, cc_args, extra_postargs, pp_opts):$/;" f +UnixViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class UnixViewer(Viewer):$/;" c +unix_cuda_flags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def unix_cuda_flags(cflags):$/;" f member:BuildExtension.build_extensions file: +unix_wrap_ninja_compile adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def unix_wrap_ninja_compile(sources,$/;" f member:BuildExtension.build_extensions file: +unix_wrap_single_compile adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def unix_wrap_single_compile(obj, src, ext, cc_args, extra_postargs, pp_opts) -> None:$/;" f member:BuildExtension.build_extensions file: +unjoined_sigmoid_cross_entropy adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def unjoined_sigmoid_cross_entropy(x, z):$/;" f +unjoined_sigmoid_cross_entropy_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^def unjoined_sigmoid_cross_entropy_grad(x, z):$/;" f +UNK adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^UNK = '<UNK>'$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ UNKNOWN = 0$/;" v class:_APIStyle +UNKNOWN adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ UNKNOWN = (_cygrpc.StatusCode.unknown, 'unknown')$/;" v class:StatusCode +unknown adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def unknown(cls, aval: AbstractValue) -> 'PartialVal':$/;" m class:PartialVal +unknown adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ unknown = 'UNKNOWN'$/;" v class:Progress +unknown adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def unknown(self, nodeType):$/;" m class:TreeWalker +UNKNOWN adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^UNKNOWN = "<#UNKNOWN#>"$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ UNKNOWN = 0x0000$/;" v class:WAVE_FORMAT +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^UNKNOWN = error_codes.UNKNOWN$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/error_codes.py /^UNKNOWN = 2$/;" v +unknown adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def unknown(self, nodeType):$/;" m class:TreeWalker +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^UNKNOWN = "<#UNKNOWN#>"$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^UNKNOWN = 2$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UNKNOWN = 0$/;" v class:LSHProjectionType +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^UNKNOWN = -2$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^UNKNOWN = -2$/;" v +UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^UNKNOWN = error_codes_pb2.UNKNOWN$/;" v +UnknownArgument adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^class UnknownArgument(object):$/;" c +UnknownError adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^class UnknownError(OpError):$/;" c +UnknownError adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^class UnknownError(OpError):$/;" c +UnknownExtra adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class UnknownExtra(ResolutionError):$/;" c +UnknownExtra adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class UnknownExtra(ResolutionError):$/;" c +UnknownFieldRef adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class UnknownFieldRef(object):$/;" c +UnknownFields adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def UnknownFields(self):$/;" m class:Message +UnknownFieldSet adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class UnknownFieldSet(object):$/;" c +UnknownFileError adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/errors.py /^class UnknownFileError (CCompilerError):$/;" c +UnknownInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class UnknownInfo(univ.Null):$/;" c +UnknownInfo adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^UnknownInfo = rfc2560.UnknownInfo$/;" v +UnknownTimezoneWarning adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class UnknownTimezoneWarning(RuntimeWarning):$/;" c +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from tensorflow.python.data.experimental.ops.cardinality import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +UNKNOWN_CARDINALITY adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from tensorflow.python.data.ops.dataset_ops import UNKNOWN as UNKNOWN_CARDINALITY$/;" x +unknown_decl adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def unknown_decl(self, data):$/;" m class:HTMLExtractor +UNKNOWN_ERROR adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/status_codes.py /^UNKNOWN_ERROR = 2$/;" v +unknown_shape adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def unknown_shape(ndims=None):$/;" f +unknown_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^def unknown_shape(rank=None, **kwargs):$/;" f +unknown_symbol adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def unknown_symbol(self, s, loc, toks):$/;" m class:Parser +UNK_ID adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^UNK_ID = 3$/;" v +UnliftableError adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^UnliftableError = op_selector.UnliftableError$/;" v +UnliftableError adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^class UnliftableError(Exception):$/;" c +UnliftedInitializerVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^class UnliftedInitializerVariable(resource_variable_ops.UninitializedVariable):$/;" c +UNLIKELY adpepsenv/lib/python3.8/site-packages/chardet/enums.py /^ UNLIKELY = 1$/;" v class:SequenceLikelihood +UNLIKELY adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/enums.py /^ UNLIKELY = 1$/;" v class:SequenceLikelihood +unlink adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def unlink(self):$/;" m class:_Link +unlink adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def unlink(self, omd):$/;" m class:_omd_bucket +unmapped_aval adpepsenv/lib/python3.8/site-packages/jax/core.py /^def unmapped_aval(size: int, axis: int, aval: AbstractValue) -> AbstractValue:$/;" f +unmapped_device_count adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def unmapped_device_count(backend=None):$/;" f function:omnistaging_disabler file: +unmap_zero adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def unmap_zero(zero, in_axis):$/;" f function:map_transpose file: +unmarkouterparen adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def unmarkouterparen(line):$/;" f +unmount adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def unmount(self):$/;" m class:Wheel +unnest_if_single_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def unnest_if_single_tensor(input_tensors):$/;" f +unop adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ unop = {"Invert":"~", "Not": "not", "UAdd":"+", "USub":"-"}$/;" v class:Unparser +unop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def unop(result_dtype, accepted_dtypes, name, translation_rule=None):$/;" f +unop_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def unop_dtype_rule(result_dtype, accepted_dtypes, name, aval, **kwargs):$/;" f +unop_map adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ unop_map = {$/;" v class:ExprBuilder +Unpack adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def Unpack(self, msg):$/;" m class:Any +unpack adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def unpack(self, location, url):$/;" m class:VersionControl +unpack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def unpack(self):$/;" m class:Unpacker +unpack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/__init__.py /^def unpack(stream, **kwargs):$/;" f +unpack adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def unpack(fmt):$/;" f member:_ELFFileHeader.__init__ file: +unpack adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^def unpack(src_dir, dst_dir):$/;" f +UNPACK adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UNPACK = 88$/;" v class:BuiltinOperator +unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def unpack(self, summed_device_grad_packs):$/;" m class:_ConcatAndSplitPacker +unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def unpack(self, parallel_tensor):$/;" m class:ParallelDevice +unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^def unpack(tensor):$/;" f +unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unpack(value, num, axis=0, name=None):$/;" f +Unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Unpack = tf_export("raw_ops.Unpack")(_ops.to_raw_op(unpack))$/;" v +unpack adpepsenv/lib/python3.8/site-packages/wheel/cli/unpack.py /^def unpack(path, dest='.'):$/;" f +unpack adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def unpack(fmt):$/;" f member:_ELFFileHeader.__init__ file: +unpackb adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^def unpackb(packed, **kwargs):$/;" f +unpackbits adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def unpackbits(a, axis: Optional[int] = None, count=None, bitorder='big'):$/;" f +unpackbits adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def unpackbits(a, axis=None, count=None, bitorder='big'):$/;" f +unpacked_source_directory adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def unpacked_source_directory(self):$/;" m class:InstallRequirement +Unpacker adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^class Unpacker(object):$/;" c +UnpackException adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^class UnpackException(Exception):$/;" c +UnpackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ UnpackOptions = 64$/;" v class:BuiltinOptions +UnpackOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UnpackOptions(object):$/;" c +UnpackOptionsAddAxis adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnpackOptionsAddAxis(builder, axis): builder.PrependInt32Slot(1, axis, 0)$/;" f +UnpackOptionsAddNum adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnpackOptionsAddNum(builder, num): builder.PrependInt32Slot(0, num, 0)$/;" f +UnpackOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def UnpackOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:UnpackOptions +UnpackOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnpackOptionsEnd(builder): return builder.EndObject()$/;" f +UnpackOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def UnpackOptionsStart(builder): builder.StartObject(2)$/;" f +UnpackOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class UnpackOptionsT(object):$/;" c +UnpackTag adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def UnpackTag(tag):$/;" f +UnpackValueError adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^UnpackValueError = ValueError$/;" v +unpack_565 adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^def unpack_565(i):$/;" f +unpack_and_compile adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def unpack_and_compile(self, egg_path, destination):$/;" m class:easy_install +unpack_archive adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def unpack_archive(filename, extract_dir=None, format=None):$/;" f +unpack_archive adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^def unpack_archive($/;" f +unpack_directory adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^def unpack_directory(filename, extract_dir, progress_filter=default_filter):$/;" f +unpack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unpack_eager_fallback(value, num, axis, name, ctx):$/;" f +unpack_f adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def unpack_f(args):$/;" f +unpack_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def unpack_file($/;" f +unpack_iterator_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def unpack_iterator_input(iterator):$/;" f +unpack_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_rnn_sequence_op_test.py /^ def unpack_op(values, lengths):$/;" f member:TestPackRNNSequenceOperator.test_unpack_rnn_seqence file: +unpack_optimizer_state adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^def unpack_optimizer_state(opt_state):$/;" f +unpack_progress adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def unpack_progress(self, src, dst):$/;" m class:easy_install +unpack_tarfile adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^def unpack_tarfile(filename, extract_dir, progress_filter=default_filter):$/;" f +unpack_triple adpepsenv/lib/python3.8/site-packages/caffe2/python/recurrent.py /^ def unpack_triple(x):$/;" f function:recurrent_net file: +unpack_url adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def unpack_url($/;" f +unpack_validation_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def unpack_validation_data(validation_data, raise_if_ambiguous=True):$/;" f +unpack_variables adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def unpack_variables(args):$/;" f +unpack_vcs_link adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def unpack_vcs_link(link, location):$/;" f +unpack_x_y_sample_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def unpack_x_y_sample_weight(data):$/;" f +unpack_zipfile adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^def unpack_zipfile(filename, extract_dir, progress_filter=default_filter):$/;" f +unpadded_urlsafe_b64encode adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def unpadded_urlsafe_b64encode(value):$/;" f +unpair_pval adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def unpair_pval(pval):$/;" f +unparse adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def unparse(self):$/;" m class:Flag +unparse adpepsenv/lib/python3.8/site-packages/astunparse/__init__.py /^def unparse(tree):$/;" f +unparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def unparse(node, indentation=None, include_encoding_marker=True):$/;" f +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/offline_analyzer.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_errors.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_fibonacci.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_keras.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^ FLAGS, unparsed = parse_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_fibonacci_v2.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v2/debug_mnist_v2.py /^ FLAGS, unparsed = parse_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_tensorflow_server.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/import_pb_to_tensorboard.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference.py /^ FLAGS, unparsed = parse_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/print_selective_registration_header.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/strip_unused.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +unparsed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^ FLAGS, unparsed = parser.parse_known_args()$/;" v +UnparsedFlagAccessError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class UnparsedFlagAccessError(Error):$/;" c +UnparsedFlagAccessError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^UnparsedFlagAccessError = _exceptions.UnparsedFlagAccessError$/;" v +UnparsedVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ UnparsedVersion = Union[Version, LegacyVersion, str]$/;" v +Unparser adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^class Unparser:$/;" c +unparse_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def unparse_flags(self):$/;" m class:FlagValues +UnpickleableException adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^class UnpickleableException(Exception):$/;" c +unpickle_function adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def unpickle_function(mod_name, qname):$/;" f +Unplugger adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^class Unplugger:$/;" c +unprefixed_mod adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/packages.py /^ unprefixed_mod = mod[len("pip._vendor."):]$/;" v +UnprocessableEntity adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class UnprocessableEntity(HTTPException):$/;" c +UnprotectedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class UnprotectedAttributes(univ.SetOf):$/;" c +UnprotectedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class UnprotectedAttributes(univ.SetOf):$/;" c +unquote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def unquote(s):$/;" f +unquote_etag adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def unquote_etag(etag):$/;" f +unquote_header_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def unquote_header_value(value, is_filename=False):$/;" f +unquote_header_value adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def unquote_header_value(value, is_filename=False):$/;" f +unquote_header_value adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def unquote_header_value(value, is_filename=False):$/;" f +unquote_unreserved adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def unquote_unreserved(uri):$/;" f +unquote_unreserved adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def unquote_unreserved(uri):$/;" f +UnravelIndex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^UnravelIndex = tf_export("raw_ops.UnravelIndex")(_ops.to_raw_op(unravel_index))$/;" v +unravel_index adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def unravel_index(indices, shape):$/;" f +unravel_index adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def unravel_index(indices, shape=None, order=None, dims=None):$/;" f +unravel_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unravel_index(indices, dims, name=None):$/;" f +unravel_index_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def unravel_index_eager_fallback(indices, dims, name, ctx):$/;" f +unravel_pytree adpepsenv/lib/python3.8/site-packages/jax/flatten_util.py /^ unravel_pytree = lambda flat: tree_unflatten(treedef, unravel_list(flat))$/;" f function:ravel_pytree file: +unreachable_thunk adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def unreachable_thunk():$/;" f function:_rewrite_eqn file: +unreadline adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def unreadline(self, line):$/;" m class:TextFile +UnrecognizedFlagError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class UnrecognizedFlagError(Error):$/;" c +UnrecognizedFlagError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^UnrecognizedFlagError = _exceptions.UnrecognizedFlagError$/;" v +UnrecognizedFormat adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^class UnrecognizedFormat(DistutilsError):$/;" c +unregister adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def unregister(self, name):$/;" m class:VcsSupport +unregister_archive_format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def unregister_archive_format(name):$/;" f +unregister_driver adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def unregister_driver(name):$/;" f +unregister_unpack_format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def unregister_unpack_format(name):$/;" f +unregularized_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def unregularized_loss(self, examples):$/;" m class:_SDCAModel +unreserved adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^unreserved = r"(?: %(ALPHA)s | %(DIGIT)s | \\- | \\. | _ | ~ )" % locals()$/;" v +UNRESERVED_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^UNRESERVED_CHARS = set($/;" v +UNRESERVED_CHARS adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^UNRESERVED_CHARS = set($/;" v +UNRESERVED_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^UNRESERVED_PAT = r"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789._!\\-~"$/;" v +UNRESERVED_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^UNRESERVED_PAT = r"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789._!\\-~"$/;" v +UNRESERVED_SET adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^UNRESERVED_SET = frozenset($/;" v +UNRESERVED_SET adpepsenv/lib/python3.8/site-packages/requests/utils.py /^UNRESERVED_SET = frozenset($/;" v +UnrewindableBodyError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class UnrewindableBodyError(RequestException):$/;" c +UnrewindableBodyError adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^class UnrewindableBodyError(HTTPError):$/;" c +UnrewindableBodyError adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class UnrewindableBodyError(RequestException):$/;" c +UnrewindableBodyError adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class UnrewindableBodyError(HTTPError):$/;" c +unroll adpepsenv/lib/python3.8/site-packages/caffe2/perfkernels/hp_emblookup_codegen.py /^def unroll(uf, IndexType, InType, OutType, use_weights, isa, fused, use_offsets):$/;" f +UnrolledCell adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^class UnrolledCell(RNNCell):$/;" c +UnsafeConstructor adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^class UnsafeConstructor(FullConstructor):$/;" c +UnsafeLoader adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^class UnsafeLoader(Reader, Scanner, Parser, Composer, Constructor, Resolver):$/;" c +unsafe_chunk adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unsafe_chunk(g, self, chunks, dim, _outputs=None):$/;" f +unsafe_load adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def unsafe_load(stream):$/;" f +unsafe_load_all adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def unsafe_load_all(stream):$/;" f +unsafe_map adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^map, unsafe_map = safe_map, map$/;" v +unsafe_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^unsafe_map, map = map, safe_map # type: ignore$/;" v +unsafe_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^map, unsafe_map = safe_map, map$/;" v +unsafe_map adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^map, unsafe_map = util.safe_map, map$/;" v +unsafe_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def unsafe_op(op):$/;" m class:TensorTracer +unsafe_scalar_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def unsafe_scalar_trace(op):$/;" m class:TensorTracer +unsafe_split adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unsafe_split(g, self, split_size_or_sizes, dim, _outputs=None):$/;" f +unsafe_split_with_sizes adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unsafe_split_with_sizes(g, self, split_sizes, dim, _outputs=None):$/;" f +unsafe_zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^zip, unsafe_zip = safe_zip, zip$/;" v +unsafe_zip adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^zip, unsafe_zip = util.safe_zip, zip$/;" v +UNSCALED adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ UNSCALED = 1$/;" v class:OptState +unscale_ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def unscale_(self, optimizer):$/;" m class:GradScaler +unscope_name adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/checkpoint.py /^def unscope_name(blob_name):$/;" f +unselect adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def unselect(self):$/;" m class:GraphicsContextWx +Unserializable adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^class Unserializable(object):$/;" c +unserializable_hook adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^def unserializable_hook(f):$/;" f +unset adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def unset(self):$/;" m class:ContentRange +UnsetAll adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def UnsetAll(self):$/;" m class:StubOutForTesting +unset_environ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ unset_environ = ('GIT_DIR', 'GIT_WORK_TREE')$/;" v class:Git +unset_environ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ unset_environ = () # type: Tuple[str, ...]$/;" v class:VersionControl +unset_name adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def unset_name(self, options, args):$/;" m class:ConfigurationCommand +UNSET_RPC_TIMEOUT adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/constants.py /^UNSET_RPC_TIMEOUT = _UNSET_RPC_TIMEOUT$/;" v +unset_value adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def unset_value(self, key):$/;" m class:Configuration +UnshapedArray adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^UnshapedArray = core.UnshapedArray$/;" v +UnshapedArray adpepsenv/lib/python3.8/site-packages/jax/core.py /^class UnshapedArray(AbstractValue):$/;" c +unshare_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def unshare_mask(self):$/;" m class:MaskedArray +UnsharpMask adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^class UnsharpMask(MultibandFilter):$/;" c +unsigned adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def unsigned(self):$/;" m class:_LazyDtypes +Unsigned32 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1902.py /^class Unsigned32(univ.Integer):$/;" c +UnsignedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class UnsignedAttributes(univ.SetOf):$/;" c +UnsignedAttributes adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class UnsignedAttributes(univ.SetOf):$/;" c +unsignedinteger adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^unsignedinteger = np.unsignedinteger$/;" v +UnsnappedMarkerStyle adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^class UnsnappedMarkerStyle(markers.MarkerStyle):$/;" c +UnsortedSegmentJoin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^UnsortedSegmentJoin = tf_export("raw_ops.UnsortedSegmentJoin")(_ops.to_raw_op(unsorted_segment_j/;" v +UnsortedSegmentMax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^UnsortedSegmentMax = tf_export("raw_ops.UnsortedSegmentMax")(_ops.to_raw_op(unsorted_segment_max/;" v +UnsortedSegmentMin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^UnsortedSegmentMin = tf_export("raw_ops.UnsortedSegmentMin")(_ops.to_raw_op(unsorted_segment_min/;" v +UnsortedSegmentProd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^UnsortedSegmentProd = tf_export("raw_ops.UnsortedSegmentProd")(_ops.to_raw_op(unsorted_segment_p/;" v +UnsortedSegmentSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^UnsortedSegmentSum = tf_export("raw_ops.UnsortedSegmentSum")(_ops.to_raw_op(unsorted_segment_sum/;" v +unsorted_segment_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unsorted_segment_join(inputs, segment_ids, num_segments, separator="", name=None):$/;" f +unsorted_segment_join_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^def unsorted_segment_join_eager_fallback(inputs, segment_ids, num_segments, separator, name, ctx/;" f +unsorted_segment_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_max(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_max_eager_fallback(data, segment_ids, num_segments, name, ctx):$/;" f +unsorted_segment_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def unsorted_segment_mean(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_min(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_min_eager_fallback(data, segment_ids, num_segments, name, ctx):$/;" f +unsorted_segment_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_prod(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_prod_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_prod_eager_fallback(data, segment_ids, num_segments, name, ctx):$/;" f +unsorted_segment_sqrt_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def unsorted_segment_sqrt_n(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_sum(data, segment_ids, num_segments, name=None):$/;" f +unsorted_segment_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def unsorted_segment_sum_eager_fallback(data, segment_ids, num_segments, name, ctx):$/;" f +UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^UNSPECIFIED = 0$/;" v +UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ UNSPECIFIED = 0$/;" v class:Status +UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/directives.py /^UNSPECIFIED = object()$/;" v +UNSPECIFIED adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^UNSPECIFIED = object()$/;" v +unsplit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def unsplit(self, extra_shape, inputs, lengths):$/;" m class:LengthsTester +unsplit adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def unsplit(self, extra_shape, inputs, segment_ids):$/;" m class:SegmentsTester +unsqueeze adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def unsqueeze(g, self, dim):$/;" f +unsqueeze adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unsqueeze(g, self, dim):$/;" f +unstable_feature adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^unstable_feature = partial($/;" v +unstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def unstack(value, num=None, axis=0, name="unstack"):$/;" f +unstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def unstack(self, value, name=None):$/;" m class:TensorArray +unstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def unstack(self, value, name=None):$/;" m class:_EagerTensorArray +unstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def unstack(self, value, name=None):$/;" m class:_GraphTensorArray +unstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def unstack(self, value, name=None):$/;" m class:_GraphTensorArrayV2 +Unstacked adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ class Unstacked(NamedTuple):$/;" c +unstacked_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def unstacked_input(self, index):$/;" m class:_PforInput +unstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def unstage(dtypes, capacity=0, memory_limit=0, container="", shared_name="", name=None):$/;" f +Unstage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^Unstage = tf_export("raw_ops.Unstage")(_ops.to_raw_op(unstage))$/;" v +unstage_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def unstage_eager_fallback(dtypes, capacity, memory_limit, container, shared_name, name, ctx):$/;" f +unstructuredAddress adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^unstructuredAddress = Attribute()$/;" v +unstructuredName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^unstructuredName = Attribute()$/;" v +unstructured_to_structured adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def unstructured_to_structured(arr, dtype=None, names=None, align=False,$/;" f +unsubscribe adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def unsubscribe(self, callback):$/;" m class:Channel +unsubscribe adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def unsubscribe(self, callback):$/;" m class:Channel +unsubscribe adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def unsubscribe(self, callback):$/;" m class:_Channel +unsubscribe adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def unsubscribe(self, callback):$/;" m class:Channel +UnsupervisedInputReceiver adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^class UnsupervisedInputReceiver(ServingInputReceiver):$/;" c +Unsupported adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/error.py /^class Unsupported(BaseException): pass$/;" c +UnsupportedCodeChallengeMethodError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class UnsupportedCodeChallengeMethodError(InvalidRequestError):$/;" c +UnsupportedExtension adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ class UnsupportedExtension(Exception):$/;" c +UnsupportedExtension adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ class UnsupportedExtension(Exception):$/;" c +UnsupportedFeaturesChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^class UnsupportedFeaturesChecker(gast.NodeVisitor):$/;" c +UnsupportedGrantTypeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class UnsupportedGrantTypeError(OAuth2Error):$/;" c +UnsupportedLanguageElementError adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/errors.py /^class UnsupportedLanguageElementError(PyCTError, NotImplementedError):$/;" c +UnsupportedMediaType adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class UnsupportedMediaType(HTTPException):$/;" c +UnsupportedNodeError adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class UnsupportedNodeError(NotSupportedError):$/;" c +UnsupportedOperation adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^class UnsupportedOperation(Exception):$/;" c +UnsupportedPythonVersion adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class UnsupportedPythonVersion(InstallationError):$/;" c +UnsupportedResponseTypeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class UnsupportedResponseTypeError(OAuth2Error):$/;" c +UnsupportedTokenTypeError adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^class UnsupportedTokenTypeError(OAuth2Error):$/;" c +UnsupportedVersionError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class UnsupportedVersionError(ValueError):$/;" c +UnsupportedWheel adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class UnsupportedWheel(InstallationError):$/;" c +UNSUPPORTED_LONG_DOUBLE adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^UNSUPPORTED_LONG_DOUBLE = ('i386', 'i486', 'i586', 'i686', 'ppc64le')$/;" v +untar_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def untar_file(filename, location):$/;" f +Until adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def Until(name, condition_blob_or_net, nets_or_steps):$/;" f +untile_aval_nd adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def untile_aval_nd(axis_sizes, out_axes: ArrayMapping, aval):$/;" f +untile_axis adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def untile_axis(out, axis: Optional[int]):$/;" f function:vtile file: +UntilLoopTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def UntilLoopTest(self, nets_or_steps):$/;" m class:TestControl +unused adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def unused(g):$/;" f +UNUSED adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ UNUSED = "unused (ignored and replaced with raising of an exception)"$/;" v class:FunctionModifiers +unused adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def unused(fn):$/;" f +UnusedParamModule adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class UnusedParamModule(nn.Module):$/;" c member:DistributedTest._DistTestBase.test_ddp_uneven_inputs file: +unused_exits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def unused_exits(self):$/;" m class:_GradLoopState +unverifiable adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def unverifiable(self):$/;" m class:MockRequest +unverifiable adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def unverifiable(self):$/;" m class:MockRequest +unweighted_G adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_shortest_path.py /^unweighted_G = (directed_G > 0).astype(float)$/;" v +unwind_indent adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def unwind_indent(self, column):$/;" m class:Scanner +unwrap adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def unwrap(p, discont=pi, axis: int = -1):$/;" f +unwrap adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def unwrap(p, discont=pi, axis=-1):$/;" f +unwrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def unwrap(self, value):$/;" m class:StrategyBase +unwrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^def unwrap(maybe_tf_decorator):$/;" f +unwrap adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def unwrap(self):$/;" m class:SSLTransport +UnwrapDatasetVariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^UnwrapDatasetVariant = tf_export("raw_ops.UnwrapDatasetVariant")(_ops.to_raw_op(unwrap_dataset_v/;" v +unwrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def unwrapped(self):$/;" m class:_ObjectIdentityWrapper +unwrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def unwrapped(self):$/;" m class:_WeakObjectIdentityWrapper +unwrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ unwrapped = property()$/;" v class:Reference +unwrap_dataset_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def unwrap_dataset_variant(input_handle, name=None):$/;" f +unwrap_dataset_variant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def unwrap_dataset_variant_eager_fallback(input_handle, name, ctx):$/;" f +unwrap_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def unwrap_outputs(distribution_strategy, grouped_outputs,$/;" f +unwrap_output_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def unwrap_output_dict(strategy, grouped_outputs, mode):$/;" f +unwrap_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def unwrap_values(distribution_strategy, grouped_inputs, grouped_outputs,$/;" f +unzip2 adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def unzip2(xys):$/;" f +unzip3 adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def unzip3(xyzs):$/;" f +unzip4 adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def unzip4(wxyzs):$/;" f +unzip_file adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def unzip_file(filename, location, flatten=True):$/;" f +unzip_namedshape adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def unzip_namedshape(namedshape):$/;" f +uoffset adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^uoffset = uint32$/;" v +UOffsetTFlags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class UOffsetTFlags(Uint32Flags):$/;" c +UP adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^UP = 1$/;" v +UP adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ def UP(self, n=1):$/;" m class:AnsiCursor +upcaseTokens adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ upcaseTokens = staticmethod(tokenMap(lambda t: _ustr(t).upper()))$/;" v class:pyparsing_common +upcaseTokens adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^upcaseTokens = tokenMap(lambda t: _ustr(t).upper())$/;" v +upcaseTokens adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ upcaseTokens = staticmethod(tokenMap(lambda t: _ustr(t).upper()))$/;" v class:pyparsing_common +upcaseTokens adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^upcaseTokens = tokenMap(lambda t: _ustr(t).upper())$/;" v +upcaseTokens adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ upcaseTokens = staticmethod(tokenMap(lambda t: _ustr(t).upper()))$/;" v class:pyparsing_common +upcaseTokens adpepsenv/lib/python3.8/site-packages/pyparsing.py /^upcaseTokens = tokenMap(lambda t: _ustr(t).upper())$/;" v +upcaseTokens adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ upcaseTokens = staticmethod(tokenMap(lambda t: _ustr(t).upper()))$/;" v class:pyparsing_common +upcaseTokens adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^upcaseTokens = tokenMap(lambda t: _ustr(t).upper())$/;" v +upcast adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def upcast(*args):$/;" f +upcast_char adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def upcast_char(*args):$/;" f +upcast_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def upcast_scalar(dtype, scalar):$/;" f +update adpeps/ipeps/ctm.py /^ def update(self):$/;" m class:CTM +update adpeps/utils/ctmtensors.py /^ def update(self, fieldnames, ixs, values):$/;" m class:CTMTensors +update adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def update(self):$/;" m class:DocGenerator +update adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def update(self, operator_name, kwargs):$/;" m class:Initializer +update adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def update(self, operator_name, kwargs):$/;" m class:PseudoFP16Initializer +update adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def update(self, operator_name, kwargs):$/;" m class:ReversePseudoFP16Initializer +update adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def update(*args, **kwds):$/;" m class:MutableMapping +update adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def update(self, dictionary): # pylint: disable=invalid-name$/;" m class:Struct +update adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def update(self, name, val):$/;" m class:Config +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:adagrad file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:adam file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:adamax file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:momentum file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:nesterov file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:rmsprop file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:rmsprop_momentum file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, state):$/;" f function:sm3 file: +update adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def update(i, g, x):$/;" f function:sgd file: +update adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def update(self, props):$/;" m class:Artist +update adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def update(self):$/;" m class:NavigationToolbar2 +update adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def update(self, data):$/;" m class:UnitData +update adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^ def update(self, *args, **kwargs):$/;" m class:Substitution +update adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def update(self, left=None, bottom=None, right=None, top=None,$/;" m class:SubplotParams +update adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def update(self, **kwargs):$/;" m class:GridSpec +update adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def update(self, kwargs):$/;" m class:Text +update adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def update(self):$/;" m class:_SelectorWidget +update adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def update(self, **kw):$/;" m class:GridFinder +update adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def update(self, func, default=None, testing_value=None,$/;" m class:StringConverter +update adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def update(self, *args, **kwargs):$/;" m class:CaseInsensitiveDict +update adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def update(self):$/;" m class:DownloadProgressSpinner +update adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def update(self):$/;" m class:SilentBar +update adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def update(self, dest, url, rev_options):$/;" m class:Bazaar +update adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def update(self, dest, url, rev_options):$/;" m class:Git +update adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/mercurial.py /^ def update(self, dest, url, rev_options):$/;" m class:Mercurial +update adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def update(self, dest, url, rev_options):$/;" m class:Subversion +update adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def update(self, dest, url, rev_options):$/;" m class:VersionControl +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def update(*args, **kwds):$/;" m class:OrderedDict +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def update(self, other=None, **kwargs):$/;" m class:LegacyMetadata +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def update(self, curval):$/;" m class:Progress +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def update(self, modifier, dest_dir=None, **kwargs):$/;" m class:Wheel +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ def update(self):$/;" m class:Bar +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ def update(self):$/;" m class:IncrementalBar +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^ def update(self):$/;" m class:Countdown +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^ def update(self):$/;" m class:Counter +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/counter.py /^ def update(self):$/;" m class:Stack +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/spinner.py /^ def update(self):$/;" m class:Spinner +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def update(self):$/;" m class:Infinite +update adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def update(self, other):$/;" m class:RequestsCookieJar +update adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def update(self, *iterValue, **mappingValue):$/;" m class:SequenceAndSetBase +update adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def update(self, other):$/;" m class:RequestsCookieJar +update adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def update(self, other):$/;" m class:LRUDict +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def update(self, x, F):$/;" m class:asjacobian.Jac +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def update(self, x, f):$/;" m class:GenericBroyden +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def update(self, x, F):$/;" m class:Jacobian +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def update(self, x, f):$/;" m class:KrylovJacobian +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def update(self, minres):$/;" m class:Storage +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def update(self, delta_x, delta_grad):$/;" m class:FullHessianUpdateStrategy +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def update(self, delta_x, delta_grad):$/;" m class:HessianUpdateStrategy +update adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def update(self, barrier_parameter, tolerance):$/;" m class:BarrierSubproblem +update adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def update(self, val):$/;" m class:dok_matrix +update adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def update(self, func, **kw):$/;" m class:FunctionMaker +update adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def update(self, sequence):$/;" m class:OrderedSet +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def update(self):$/;" m class:DebugDataReader +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def update(self, var, fn, args=(), kwargs=None, group=True):$/;" m class:StrategyExtendedV2 +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def update(v, g):$/;" f member:DistributionTestBase._test_minimize_loss_eager file: +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def update(v, g):$/;" f member:DistributionTestBase._test_minimize_loss_graph file: +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def update(x, new_x):$/;" f +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ update = lambda: process_update(x())$/;" f function:Layer.add_update.process_update file: +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def update(self, grads):$/;" m class:_DynamicLossScaleState +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def update(self, current, values=None, finalize=None):$/;" m class:Progbar +UPDATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ UPDATE = 0$/;" v class:_UpdateMethod +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def update(self, grads):$/;" m class:DynamicLossScale +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def update(self, grads):$/;" m class:FixedLossScale +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def update(self, grads):$/;" m class:LossScale +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def update(strategy, v, value):$/;" f function:assign_moving_average file: +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update(v, g):$/;" f member:Optimizer._distributed_apply file: +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def update(self, *args, **kwargs):$/;" m class:Mapping +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def update(self, *args, **kwargs):$/;" m class:_DictWrapper +update adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def update(self, items):$/;" m class:ObjectIdentitySet +update adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def update(self, runtime_secs, count):$/;" m class:IterationCountEstimator +update adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def update(self, new_scale=None):$/;" m class:GradScaler +update adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ def update(self, n):$/;" m class:tqdm +update adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def update(self, modules: Mapping[str, Module]) -> None:$/;" m class:ModuleDict +update adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def update(self, parameters: Mapping[str, 'Parameter']) -> None:$/;" m class:ParameterDict +update adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def update(self, val, n=1):$/;" m class:AverageMeter +update adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def update(self):$/;" m class:LOBPCG +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, *args, **kwargs):$/;" m class:Headers +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, *args, **kwargs):$/;" m class:ImmutableDictMixin +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, *args, **kwargs):$/;" m class:ImmutableHeadersMixin +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, iterable):$/;" m class:HeaderSet +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, mapping):$/;" m class:OrderedMultiDict +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def update(self, other_dict):$/;" m class:MultiDict +update adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ update = calls_update("update")$/;" v class:UpdateDictMixin +update adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def update(self):$/;" m class:Map +update adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def update(self, length):$/;" m class:Reader +UpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class UpdateConfirm(univ.Choice):$/;" c +UpdateContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class UpdateContext(object):$/;" c +UpdateDictMixin adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class UpdateDictMixin(object):$/;" c +updated_example_indices adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ updated_example_indices = set()$/;" v class:WhatIfToolPlugin +UpdateExperiment adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def UpdateExperiment(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +UpdateExperimentRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^UpdateExperimentRequest = _reflection.GeneratedProtocolMessageType('UpdateExperimentRequest', (_/;" v +UpdateExperimentResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^UpdateExperimentResponse = _reflection.GeneratedProtocolMessageType('UpdateExperimentResponse', /;" v +UpdateFn adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^UpdateFn = Callable[[Step, Updates, OptimizerState], OptimizerState]$/;" v +Updates adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^Updates = Params # Gradient updates are of the same type as parameters.$/;" v +updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def updates(self):$/;" m class:Layer +updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def updates(self):$/;" m class:Layer +updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def updates(self):$/;" m class:TrackableDataStructure +updatevars adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def updatevars(typespec, selector, attrspec, entitydecl):$/;" f +update_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def update_add(x, increment):$/;" f +update_artists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def update_artists(self):$/;" m class:ColorbarBase +update_avg adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def update_avg(self, n, dt):$/;" m class:Infinite +update_background adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def update_background(self, event):$/;" m class:_SelectorWidget +update_bbox_position_size adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def update_bbox_position_size(self, renderer):$/;" m class:Text +update_best adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def update_best(self, e, x, context):$/;" m class:EnergyState +update_bn adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^def update_bn(loader, model, device=None):$/;" f +update_bn_stats adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def update_bn_stats(self):$/;" m class:_ConvBnNd +update_bn_stats adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^def update_bn_stats(mod):$/;" f +update_bruteforce adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def update_bruteforce(self, mappable):$/;" m class:Colorbar +update_bruteforce adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def update_bruteforce(self, mappable):$/;" m class:Colorbar +update_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def update_buttons(self):$/;" m class:FormDialog +update_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ update_buttons = QtCore.Signal()$/;" v class:FormComboWidget +update_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ update_buttons = QtCore.Signal()$/;" v class:FormTabWidget +update_buttons adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ update_buttons = QtCore.Signal()$/;" v class:FormWidget +update_cached_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def update_cached_response(self, request, response):$/;" m class:CacheController +update_checkpoint_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def update_checkpoint_state(save_dir,$/;" f +update_checkpoint_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^update_checkpoint_state = checkpoint_management.update_checkpoint_state$/;" v +update_checkpoint_state_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def update_checkpoint_state_internal(save_dir,$/;" f +UPDATE_COEFF adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^ UPDATE_COEFF = 0.01$/;" v class:IterativeSubproblem +update_color adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def update_color(self):$/;" m class:ColorLayout +update_component adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def update_component(m_component, v_component):$/;" f function:_AddNextAndBackEdge file: +update_computation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def update_computation(arg1: TfVal, arg2: TfVal) -> TfVal:$/;" f function:_scatter file: +update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def update_config_proto(self, config_proto):$/;" m class:StrategyBase +update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def update_config_proto(self, config_proto):$/;" m class:StrategyV1 +update_confusion_matrix_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def update_confusion_matrix_variables(variables_to_update,$/;" f +update_converged_count adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def update_converged_count(self):$/;" m class:LOBPCG +update_counter_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rowwise_counter_test.py /^def update_counter_ref(prev_iter, update_counter, indices, curr_iter, counter_halflife):$/;" f +update_current adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def update_current(self, e, x):$/;" m class:EnergyState +update_datalim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def update_datalim(self, xys, updatex=True, updatey=True):$/;" m class:_AxesBase +update_datalim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def update_datalim(self, xys, **kwargs):$/;" m class:Axes3D +update_datalim_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def update_datalim_bounds(self, bounds):$/;" m class:_AxesBase +update_default_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def update_default_handler_map(cls, handler_map):$/;" m class:Legend +update_dict adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ update_dict = cbook._deprecate_privatize_attribute("3.3")$/;" v class:ScalarMappable +update_dist_caches adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def update_dist_caches(dist_path, fix_zipimporter_caches):$/;" f +update_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def update_dtype(self, attr_name, index, dtype):$/;" m class:_Node +update_editable adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def update_editable(self, obtain=True):$/;" m class:InstallRequirement +update_ensemble adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_update_ensemble as update_/;" x +update_ensemble_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^from tensorflow.python.ops.gen_boosted_trees_ops import boosted_trees_update_ensemble_v2 as upda/;" x +update_env_context_manager adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^def update_env_context_manager(**changes):$/;" f +update_estimate_and_tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^ def update_estimate_and_tile(num_examples_per_class_seen, c):$/;" f function:_estimate_initial_dist_ds file: +update_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ def update_executables(self):$/;" m class:AbsoftFCompiler +update_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def update_executables(self):$/;" m class:BaseIntelFCompiler +update_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ def update_executables(self):$/;" m class:IntelVisualFCompiler +update_executables adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def update_executables(self):$/;" m class:FCompiler +update_experiment_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def update_experiment_metadata($/;" f +update_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def update_fn(v, value):$/;" f function:assign_moving_average file: +update_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def update_fn(v, value, biased_var, local_step):$/;" f function:_zero_debias file: +update_frame adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_frame(self, bbox, fontsize=None):$/;" m class:AnchoredOffsetbox +update_frame adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_frame(self, bbox, fontsize=None):$/;" m class:PaddedBox +update_from adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def update_from(self, other):$/;" m class:Artist +update_from adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def update_from(self, other):$/;" m class:Collection +update_from adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def update_from(self, other):$/;" m class:Line2D +update_from adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def update_from(self, other):$/;" m class:Patch +update_from adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def update_from(self, other):$/;" m class:Text +update_from_data_xy adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def update_from_data_xy(self, xy, ignore=None, updatex=True, updatey=True):$/;" m class:Bbox +update_from_first_child adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^def update_from_first_child(tgt, src):$/;" f +update_from_path adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def update_from_path(self, path, ignore=None, updatex=True, updatey=True):$/;" m class:Bbox +update_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_fun():$/;" f member:ScalarFunction.__init__ file: +update_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_fun():$/;" f member:VectorFunction.__init__ file: +update_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_grad():$/;" f member:ScalarFunction.__init__ file: +update_grid_finder adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def update_grid_finder(self, aux_trans=None, **kw):$/;" m class:GridHelperCurveLinear +update_group adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def update_group(group, new_group):$/;" f member:Optimizer.load_state_dict file: +update_hash adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^def update_hash(seed, value):$/;" f +update_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def update_headers(self, resp):$/;" m class:LastModified +update_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def update_headers(self, response):$/;" m class:BaseHeuristic +update_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def update_headers(self, response):$/;" m class:ExpiresAfter +update_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def update_headers(self, response):$/;" m class:OneDayCache +update_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_hess():$/;" f member:ScalarFunction.__init__ file: +update_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_hess():$/;" f member:VectorFunction.__init__ file: +update_home_views adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def update_home_views(self, figure=None):$/;" m class:ToolViewsPositions +update_hparam_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def update_hparam_info(self, hparam_info):$/;" m class:Discrete +update_hparam_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def update_hparam_info(self, hparam_info):$/;" m class:Domain +update_hparam_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def update_hparam_info(self, hparam_info):$/;" m class:IntInterval +update_hparam_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def update_hparam_info(self, hparam_info):$/;" m class:RealInterval +update_if_finite_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def update_if_finite_grads():$/;" f member:_DynamicLossScaleState.update file: +update_if_finite_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def update_if_finite_grads():$/;" f member:DynamicLossScale.update file: +update_if_not_finite_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def update_if_not_finite_grads():$/;" f member:_DynamicLossScaleState.update file: +update_if_not_finite_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def update_if_not_finite_grads():$/;" f member:DynamicLossScale.update file: +update_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^def update_initializer(initializer_class,$/;" f +update_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_jac():$/;" f member:VectorFunction.__init__ file: +update_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_jac():$/;" f member:VectorFunction.__init__ file: +update_jacobians adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def update_jacobians(x, idx, d, d_idx, is_mkldnn=False):$/;" f function:get_numerical_jacobian file: +update_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def update_keymap(self, name, key, *args):$/;" m class:ToolManager +update_last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def update_last_triggered_step(self, step):$/;" m class:NeverTriggerTimer +update_last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def update_last_triggered_step(self, step):$/;" m class:SecondOrStepTimer +update_last_triggered_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def update_last_triggered_step(self, step):$/;" m class:_HookTimer +update_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def update_lim(self, axes):$/;" m class:AxisArtistHelper._Base +update_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def update_lim(self, axes):$/;" m class:GridHelperBase +update_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def update_lim(self, axes):$/;" m class:FixedAxisArtistHelper +update_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def update_lim(self, axes):$/;" m class:FixedAxisArtistHelper +update_lim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def update_lim(self, axes):$/;" m class:FloatingAxisArtistHelper +update_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def update_mask(self, padding_mask, dataset_batch):$/;" m class:PartialBatchPaddingHandler +update_matrix_tag adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def update_matrix_tag(self, start_pos):$/;" m class:VarWriter5 +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def update_metrics(self,$/;" m class:Head +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def update_metrics(self,$/;" m class:BinaryClassHead +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def update_metrics(self,$/;" m class:MultiClassHead +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def update_metrics(self,$/;" m class:MultiHead +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def update_metrics(self,$/;" m class:MultiLabelHead +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def update_metrics(self,$/;" m class:RegressionHead +update_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def update_metrics(self,$/;" m class:SequentialHeadWrapper +update_metric_with_broadcast_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def update_metric_with_broadcast_weights(eval_metric, values, weights):$/;" f +update_names adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def update_names(tensor, names, rename_map, inplace):$/;" f +update_names_with_list adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def update_names_with_list(tensor, names, inplace):$/;" f +update_names_with_mapping adpepsenv/lib/python3.8/site-packages/torch/_namedtensor_internals.py /^def update_names_with_mapping(tensor, rename_map, inplace):$/;" f +update_nested_dict adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def update_nested_dict(main_dict, new_dict):$/;" f +update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def update_non_slot($/;" m class:StrategyExtendedV1 +update_normal adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def update_normal(self, mappable):$/;" m class:Colorbar +update_normal adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def update_normal(self, mappable):$/;" m class:Colorbar +update_num adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def update_num(n):$/;" f member:_DefinedFunction._create_hash_str file: +update_numpydoc adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/util.py /^def update_numpydoc(docstr, fun, op):$/;" f +update_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_offset(self, dx, dy):$/;" m class:DraggableAnnotation +update_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_offset(self, dx, dy):$/;" m class:DraggableBase +update_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_offset(self, dx, dy):$/;" m class:DraggableOffsetBox +update_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update_op(self, optimizer, g):$/;" m class:_DenseReadResourceVariableProcessor +update_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update_op(self, optimizer, g):$/;" m class:_DenseResourceVariableProcessor +update_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update_op(self, optimizer, g):$/;" m class:_OptimizableVariable +update_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update_op(self, optimizer, g):$/;" m class:_RefVariableProcessor +update_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def update_op(self, optimizer, g):$/;" m class:_TensorProcessor +UPDATE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ UPDATE_OPS = "update_ops"$/;" v class:GraphKeys +update_parameters adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def update_parameters(self, model):$/;" m class:AveragedModel +update_params adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def update_params(self):$/;" m class:SubplotBase +update_params adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def update_params(self):$/;" m class:SubplotDivider +update_placeholder_op_output adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def update_placeholder_op_output(op, blob_to_device):$/;" f +update_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def update_position(self, loc):$/;" m class:Tick +update_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def update_position(self, loc):$/;" m class:XTick +update_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def update_position(self, loc):$/;" m class:YTick +update_position adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def update_position(self, loc):$/;" m class:RadialTick +update_position adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def update_position(self, loc):$/;" m class:ThetaTick +update_positions adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def update_positions(self, renderer):$/;" m class:AnnotationBbox +update_positions adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def update_positions(self, renderer):$/;" m class:Annotation +update_predictions adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def update_predictions(self, classes):$/;" m class:CRFWithLoss +update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def update_prop(self, legend_handle, orig_handle, legend):$/;" m class:HandlerBase +update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def update_prop(self, legend_handle, orig_handle, legend):$/;" m class:HandlerRegularPolyCollection +update_pth adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def update_pth(self, dist):$/;" m class:easy_install +update_query adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def update_query(url, params, remove=None):$/;" f +update_raw adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def update_raw(self, size=4096):$/;" m class:Reader +update_regroup adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def update_regroup(extended, updates, group):$/;" f +update_residual adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def update_residual(self):$/;" m class:LOBPCG +update_savefig_format adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def update_savefig_format(value):$/;" f +update_scalarmappable adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def update_scalarmappable(self):$/;" m class:Collection +update_scroll_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def update_scroll_position(self, new_scroll_position):$/;" m class:CursesNavigationHistory +update_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def update_server_def(self, server_def, keep_alive_secs=_KEEP_ALIVE_SECS):$/;" m class:Context +update_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def update_server_def(server_def):$/;" f +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:MetricsContainer +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, *args, **kwargs):$/;" m class:Metric +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, values, sample_weight=None):$/;" m class:MeanTensor +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, values, sample_weight=None):$/;" m class:Reduce +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:AUC +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:MeanIoU +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:MeanMetricWrapper +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:MeanRelativeError +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:Precision +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:Recall +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:RootMeanSquaredError +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:SensitivitySpecificityBase +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:SumOverBatchSizeMetricWrapper +update_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state(self, y_true, y_pred, sample_weight=None):$/;" m class:_ConfusionMatrixConditionCount +update_state_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def update_state_fn(*args, **kwargs):$/;" f member:Metric.__new__ file: +update_state_ip adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^def update_state_ip(state, x, last_iteration_failed, objective,$/;" f +update_state_sqp adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^def update_state_sqp(state, x, last_iteration_failed, objective, prepared_constraints,$/;" f +update_state_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def update_state_wrapper(update_state_fn):$/;" f +update_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def update_str(s):$/;" f member:_DefinedFunction._create_hash_str file: +update_string_pasta adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def update_string_pasta(self, text, in_filename):$/;" m class:ASTCodeUpgrader +update_strs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def update_strs(slist):$/;" f member:_DefinedFunction._create_hash_str file: +update_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def update_sub(x, decrement):$/;" f +update_submodules adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^ def update_submodules(cls, location):$/;" m class:Git +update_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^ def update_tensors(tensor_idxs):$/;" f function:_remove_tensors_from_model file: +update_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def update_text(self, color):$/;" m class:ColorLayout +update_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def update_ticks(self):$/;" m class:ColorbarBase +update_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def update_trajectory(self, xg, yg):$/;" m class:DomainMap +update_transform adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def update_transform(self, aux_trans):$/;" m class:GridFinder +update_tr_radius adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/common.py /^def update_tr_radius(Delta, actual_reduction, predicted_reduction,$/;" f +update_units adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def update_units(self, data):$/;" m class:Axis +update_user_library adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def update_user_library(library):$/;" f +update_variables adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def update_variables(self):$/;" m class:LayoutBox +update_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def update_version(version, path):$/;" f member:Wheel.update file: +update_view adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def update_view(self):$/;" m class:ToolViewsPositions +update_viewlim adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def update_viewlim(self):$/;" m class:ParasiteAxesAuxTransBase +update_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_lr_loss.py /^ def update_weight(self, net):$/;" m class:BatchLRLoss +update_weight adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^ def update_weight(self, net):$/;" m class:HomotopyWeight +update_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def update_weights(self, train_op):$/;" m class:_SDCAModel +update_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_x(x):$/;" f member:ScalarFunction.__init__ file: +update_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def update_x(x):$/;" f member:VectorFunction.__init__ file: +UpdatingDefaultsHelpFormatter adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^class UpdatingDefaultsHelpFormatter(PrettyHelpFormatter):$/;" c +upfirdn adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^def upfirdn(h, x, up=1, down=1, axis=-1, mode='constant', cval=0):$/;" f +UpFIRDnCase adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^class UpFIRDnCase(object):$/;" c +upfirdn_naive adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^def upfirdn_naive(x, h, up=1, down=1):$/;" f +upgrade adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def upgrade(self, value):$/;" m class:StringConverter +upgrade_mapper adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def upgrade_mapper(cls, func, default=None):$/;" m class:StringConverter +upload adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def upload(self, text):$/;" m class:DocUploader +upload adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def upload(self, content_body):$/;" m class:GHOpDocUploader +upload adpepsenv/lib/python3.8/site-packages/setuptools/command/upload.py /^class upload(orig.upload):$/;" c +upload adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^class upload(PyPIRCCommand):$/;" c +UploaderSubcommand adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class UploaderSubcommand(program.TensorBoardSubcommand):$/;" c +uploader_lib adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^from tensorboard.uploader import uploader as uploader_lib$/;" x +UploadIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class UploadIntent(_Intent):$/;" c +UploadLimits adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^UploadLimits = _reflection.GeneratedProtocolMessageType('UploadLimits', (_message.Message,), {$/;" v +UploadStats adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^class UploadStats(object):$/;" c +UploadTaskGroupBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^class UploadTaskGroupBuilder(object):$/;" c +UploadToLocalFile adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^class UploadToLocalFile(UploadTaskGroupBuilder):$/;" c +UploadTracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^class UploadTracker(object):$/;" c +upload_docs adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^class upload_docs(upload):$/;" c +upload_documentation adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def upload_documentation(self, metadata, doc_dir):$/;" m class:PackageIndex +upload_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def upload_file(self, metadata, filename, signer=None, sign_password=None,$/;" m class:PackageIndex +upload_file adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def upload_file(self, filename):$/;" m class:upload_docs +upload_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ def upload_file(self, command, pyversion, filename):$/;" m class:upload +upload_limits adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def upload_limits(server_info):$/;" f +upper adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def upper(self):$/;" m class:chararray +upper adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def upper(a):$/;" f +upper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_upper as upper$/;" x +upper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_upper as upper$/;" x +upper adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from tensorflow.python.ops.gen_string_ops import string_upper as upper$/;" x +UpperBound adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^UpperBound = tf_export("raw_ops.UpperBound")(_ops.to_raw_op(upper_bound))$/;" v +uppercase adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^uppercase = "ABCDEFGHIJKLMNOPQRSTUVWXYZ"$/;" v +uppergreek adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mathtext.py /^uppergreek = ("\\\\Gamma \\\\Delta \\\\Theta \\\\Lambda \\\\Xi \\\\Pi \\\\Sigma \\\\Upsilon \\\\/;" v +upper_bound adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def upper_bound(sorted_inputs, values, out_type=_dtypes.int32, name=None):$/;" f +upper_bound_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def upper_bound_eager_fallback(sorted_inputs, values, out_type, name, ctx):$/;" f +upper_builder adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_builder(tensors):$/;" f function:build_shuffle_then_ring file: +upper_builder adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_builder(tensors):$/;" f function:build_shuffle_then_shuffle file: +upper_builder adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_builder(y):$/;" f function:build_nccl_then_ring file: +upper_level_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_level_f(tensors):$/;" f function:build_shuffle_then_ring file: +upper_level_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_level_f(tensors):$/;" f function:build_shuffle_then_shuffle file: +upper_level_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_level_f(x):$/;" f function:build_nccl_then_ring file: +upper_level_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ def upper_level_f(x):$/;" f function:build_nccl_then_shuffle file: +upper_level_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^ upper_level_f = lambda x: build_recursive_hd_all_reduce(x, red_op, un_op)$/;" f function:build_nccl_then_recursive_hd file: +UPPER_TABLE adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^UPPER_TABLE = "".join(_all_chars[:97] + _ascii_upper + _all_chars[97+26:])$/;" v +UPPER_TRIANGULAR adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^UPPER_TRIANGULAR = 'upper_triangular'$/;" v +upper_xlim adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def upper_xlim(self):$/;" m class:SkewXAxes +upsample adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def upsample(input, size=None, scale_factor=None, mode='nearest', align_corners=None): # noqa: /;" f +Upsample adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^class Upsample(Module):$/;" c +upsample adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def upsample(input, size=None, scale_factor=None, mode='nearest', align_corners=None):$/;" f +upsample_bicubic2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_bicubic2d = _interpolate('upsample_bicubic2d', 4, "cubic")$/;" v +upsample_bilinear adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def upsample_bilinear(input, size=None, scale_factor=None): # noqa: F811$/;" f +upsample_bilinear adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def upsample_bilinear(input, size=None, scale_factor=None):$/;" f +upsample_bilinear2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_bilinear2d = _interpolate('upsample_bilinear2d', 4, "linear")$/;" v +upsample_bilinear2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_bilinear2d = _interpolate('upsample_bilinear2d', 4, "linear")$/;" v +upsample_bilinear2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_bilinear2d = _interpolate('upsample_bilinear2d', 4, "linear")$/;" v +upsample_bilinear2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_bilinear2d = _interpolate('upsample_bilinear2d', 4, "linear")$/;" v +upsample_linear1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_linear1d = _interpolate('upsample_linear1d', 3, "linear")$/;" v +upsample_linear1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_linear1d = _interpolate('upsample_linear1d', 3, "linear")$/;" v +upsample_linear1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_linear1d = _interpolate('upsample_linear1d', 3, "linear")$/;" v +upsample_linear1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_linear1d = _interpolate('upsample_linear1d', 3, "linear")$/;" v +upsample_nearest adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def upsample_nearest(input, size=None, scale_factor=None): # noqa: F811$/;" f +upsample_nearest adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/functional.py /^def upsample_nearest(input, size=None, scale_factor=None):$/;" f +upsample_nearest1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_nearest1d = _interpolate('upsample_nearest1d', 3, "nearest")$/;" v +upsample_nearest1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_nearest1d = _interpolate('upsample_nearest1d', 3, "nearest")$/;" v +upsample_nearest1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_nearest1d = _interpolate('upsample_nearest1d', 3, "nearest")$/;" v +upsample_nearest1d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_nearest1d = _interpolate('upsample_nearest1d', 3, "nearest")$/;" v +upsample_nearest2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def upsample_nearest2d(g, input, output_size, align_corners=None, scales_h=None, scales_w=None):$/;" f +upsample_nearest2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_nearest2d = _interpolate('upsample_nearest2d', 4, "nearest")$/;" v +upsample_nearest2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_nearest2d = _interpolate('upsample_nearest2d', 4, "nearest")$/;" v +upsample_nearest2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_nearest2d = _interpolate('upsample_nearest2d', 4, "nearest")$/;" v +upsample_nearest2d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_nearest2d = _interpolate('upsample_nearest2d', 4, "nearest")$/;" v +upsample_nearest2d_impl adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^ from torch.onnx.symbolic_opset9 import upsample_nearest2d as upsample_nearest2d_impl$/;" x function:upsample_nearest2d file: +upsample_nearest3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_nearest3d = _interpolate('upsample_nearest3d', 5, "nearest")$/;" v +upsample_nearest3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_nearest3d = _interpolate('upsample_nearest3d', 5, "nearest")$/;" v +upsample_nearest3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_nearest3d = _interpolate('upsample_nearest3d', 5, "nearest")$/;" v +upsample_nearest3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_nearest3d = _interpolate('upsample_nearest3d', 5, "nearest")$/;" v +upsample_trilinear3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^upsample_trilinear3d = _interpolate('upsample_trilinear3d', 5, "linear")$/;" v +upsample_trilinear3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^upsample_trilinear3d = _interpolate('upsample_trilinear3d', 5, "linear")$/;" v +upsample_trilinear3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^upsample_trilinear3d = _interpolate('upsample_trilinear3d', 5, "linear")$/;" v +upsample_trilinear3d adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^upsample_trilinear3d = _interpolate('upsample_trilinear3d', 5, "linear")$/;" v +UpSampling1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class UpSampling1D(Layer):$/;" c +UpSampling2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class UpSampling2D(Layer):$/;" c +UpSampling3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class UpSampling3D(Layer):$/;" c +UpsamplingBilinear2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^class UpsamplingBilinear2d(Upsample):$/;" c +UpsamplingNearest2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^class UpsamplingNearest2d(Upsample):$/;" c +URI adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^URI = r"^(?: %(scheme)s : %(hier_part)s (?: \\? %(query)s )? (?: \\# %(fragment)s )? )$" % local/;" v +URI adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^URI = re.compile(r"^(([^:\/?#]+):)?(\/\/([^\/?#]*))?([^?#]*)(\\?([^#]*))?(#(.*))?")$/;" v +URI adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^URI = Regex(r"[^ ]+")("url")$/;" v +URI adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^URI = Regex(r"[^ ]+")("url")$/;" v +URI adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^URI = Regex(r"[^ ]+")("url")$/;" v +uri adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def uri(self):$/;" m class:Authorization +uri_query adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def uri_query(self):$/;" m class:Request +URI_QUERY adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^URI_QUERY = 'query'$/;" v +uri_query_params adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def uri_query_params(self):$/;" m class:Request +URI_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^URI_RE = re.compile($/;" v +URI_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^URI_RE = re.compile($/;" v +URI_reference adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^URI_reference = r"^(?: %(URI)s | %(relative_ref)s )$" % locals()$/;" v +uri_to_iri adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def uri_to_iri(uri, charset="utf-8", errors="werkzeug.url_quote"):$/;" f +url adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def url(self):$/;" m class:Link +URL adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^URL = AT + URI$/;" v +url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def url(self):$/;" m class:Url +Url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^class Url(namedtuple("Url", url_attrs)):$/;" c +URL adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^URL = AT + URI$/;" v +URL adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^URL = AT + URI$/;" v +url adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def url(self):$/;" m class:BlobReference +url adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def url(self):$/;" m class:Url +Url adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^class Url(namedtuple("Url", url_attrs)):$/;" c +URL adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^class URL(BaseURL):$/;" c +url adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def url(self):$/;" m class:BaseRequest +urldecode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def urldecode(query):$/;" f +urldecode adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^def urldecode(body):$/;" f +urldefragauth adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def urldefragauth(url):$/;" f +urldefragauth adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def urldefragauth(url):$/;" f +urlencode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def urlencode(params):$/;" f +urlencoded adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^urlencoded = set(always_safe) | set('=&;:%+~,*@!()\/?\\'$')$/;" v +urlencoded adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ def urlencoded(self):$/;" m class:OAuth1Error +urlencoded adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def urlencoded(self):$/;" m class:OAuth2Error +URLError adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ URLError = urllib.URLError$/;" v +URLError adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ URLError = urlliberror.URLError$/;" v +urlfetch adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ urlfetch = None$/;" v +urlfetch adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ urlfetch = None$/;" v +urllib adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ import urllib.request as urllib$/;" I +urllib adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ import urllib2 as urllib$/;" I +urllib2 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^ import urllib.request as urllib2$/;" I +urllib2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import urllib.request as urllib2$/;" I +urlliberror adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ import urllib.error as urlliberror$/;" I +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/network/xmlrpc.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/urls.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_parse adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^from pip._vendor.six.moves.urllib import parse as urllib_parse$/;" x +urllib_request adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^import urllib.request as urllib_request$/;" I +urllib_request adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^from pip._vendor.six.moves.urllib import request as urllib_request$/;" x +urllib_request adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/urls.py /^from pip._vendor.six.moves.urllib import request as urllib_request$/;" x +urllib_request adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^from pip._vendor.six.moves.urllib import request as urllib_request$/;" x +urllib_unquote adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^from pip._vendor.six.moves.urllib.parse import unquote as urllib_unquote$/;" x +urlopen adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def urlopen(self, method, url, body=None, headers=None, **kwargs):$/;" m class:AuthorizedHttp +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def urlopen($/;" m class:HTTPConnectionPool +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def urlopen($/;" m class:AppEngineManager +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/ntlmpool.py /^ def urlopen($/;" m class:NTLMConnectionPool +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def urlopen(self, method, url, redirect=True, **kw):$/;" m class:PoolManager +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def urlopen(self, method, url, redirect=True, **kw):$/;" m class:ProxyManager +urlopen adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ def urlopen($/;" m class:RequestMethods +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def urlopen($/;" m class:HTTPConnectionPool +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def urlopen($/;" m class:AppEngineManager +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/contrib/ntlmpool.py /^ def urlopen($/;" m class:NTLMConnectionPool +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def urlopen(self, method, url, redirect=True, **kw):$/;" m class:PoolManager +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def urlopen(self, method, url, redirect=True, **kw):$/;" m class:ProxyManager +urlopen adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ def urlopen($/;" m class:RequestMethods +urlopen_stub adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def urlopen_stub(url, data=None):$/;" f +urlparse adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^from six.moves.urllib import parse as urlparse$/;" x +urlparse adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ import urllib.parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^ import urllib.parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ import urllib.parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^ import urllib.parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^from pip._vendor.six.moves import urllib_parse as urlparse$/;" x +urlparse adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^from pip._vendor.six.moves.urllib import parse as urlparse$/;" x +urlparse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^from pkg_resources.extern.six.moves.urllib import parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^from setuptools.extern.six.moves.urllib import parse as urlparse$/;" I +urlparse adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ parse as urlparse,$/;" x +urlparse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^from six.moves import urllib_parse as urlparse$/;" x +URLRequired adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^class URLRequired(RequestException):$/;" c +URLRequired adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^class URLRequired(RequestException):$/;" c +urlretrieve adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def urlretrieve(url, filename, reporthook=None, data=None):$/;" f +urlsafe_b64decode adpepsenv/lib/python3.8/site-packages/wheel/util.py /^def urlsafe_b64decode(data):$/;" f +urlsafe_b64encode adpepsenv/lib/python3.8/site-packages/wheel/util.py /^def urlsafe_b64encode(data):$/;" f +URLSchemeUnknown adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^class URLSchemeUnknown(LocationValueError):$/;" c +urlsplit adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^urlsplit = urllib_parse.urlsplit$/;" v +urlunsplit adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/git.py /^urlunsplit = urllib_parse.urlunsplit$/;" v +URL_AND_MARKER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^URL_AND_MARKER = URL + Optional(MARKER)$/;" v +URL_AND_MARKER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^URL_AND_MARKER = URL + Optional(MARKER)$/;" v +URL_AND_MARKER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^URL_AND_MARKER = URL + Optional(MARKER)$/;" v +url_attrs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^url_attrs = ["scheme", "auth", "host", "port", "path", "query", "fragment"]$/;" v +url_attrs adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^url_attrs = ["scheme", "auth", "host", "port", "path", "query", "fragment"]$/;" v +url_charset adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def url_charset(self):$/;" m class:BaseRequest +url_decode adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_decode($/;" f +url_decode_stream adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_decode_stream($/;" f +url_encode adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_encode($/;" f +url_encode_stream adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_encode_stream($/;" f +url_fix adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_fix(s, charset="utf-8"):$/;" f +url_join adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_join(base, url, allow_fragments=True):$/;" f +url_ok adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def url_ok(self, url, fatal=False):$/;" m class:PackageIndex +url_parse adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_parse(url, scheme=None, allow_fragments=True):$/;" f +url_quote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_quote(string, charset="utf-8", errors="strict", safe="\/:", unsafe=""):$/;" f +url_quote_plus adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_quote_plus(string, charset="utf-8", errors="strict", safe=""):$/;" f +URL_RE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^URL_RE = build_url_re()$/;" v +URL_REGEX adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^URL_REGEX = re.compile(r'^http:\/\/|^https:\/\/|^ftp:\/\/|^file:')$/;" v +url_root adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def url_root(self):$/;" m class:BaseRequest +URL_SCHEME adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^URL_SCHEME = re.compile('([-+.a-z0-9]{2,}):', re.I).match$/;" v +url_to_file_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^def url_to_file_path(url, filecache):$/;" f +url_to_path adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/urls.py /^def url_to_path(url):$/;" f +url_unparse adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_unparse(components):$/;" f +url_unquote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_unquote(string, charset="utf-8", errors="replace", unsafe=""):$/;" f +url_unquote_plus adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def url_unquote_plus(s, charset="utf-8", errors="replace"):$/;" f +url_without_fragment adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def url_without_fragment(self):$/;" m class:Link +usage adpepsenv/lib/python3.8/site-packages/absl/app.py /^def usage(shorthelp=False, writeto_stdout=False, detailed_error=None,$/;" f +usage adpepsenv/lib/python3.8/site-packages/mpi4py/futures/__main__.py /^ def usage(error=None):$/;" f function:main file: +usage adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def usage(errmess=None):$/;" f function:main file: +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ usage = None # type: str$/;" v class:Command +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ usage = """$/;" v class:CacheCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/check.py /^ usage = """$/;" v class:CheckCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ usage = """$/;" v class:ConfigurationCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/debug.py /^ usage = """$/;" v class:DebugCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/download.py /^ usage = """$/;" v class:DownloadCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/freeze.py /^ usage = """$/;" v class:FreezeCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^ usage = '%prog [options] <file> ...'$/;" v class:HashCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/help.py /^ usage = """$/;" v class:HelpCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ usage = """$/;" v class:InstallCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ usage = """$/;" v class:ListCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/search.py /^ usage = """$/;" v class:SearchCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/show.py /^ usage = """$/;" v class:ShowCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/uninstall.py /^ usage = """$/;" v class:UninstallCommand +usage adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/wheel.py /^ usage = """$/;" v class:WheelCommand +usage adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ usage = 'usage: %%prog [options] %(keyname)s_key'$/;" v class:CryptoOperation +usage adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ usage = 'usage: %%prog [options] private_key hash_method'$/;" v class:SignOperation +usage adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ usage = 'usage: %%prog [options] public_key signature_file'$/;" v class:VerifyOperation +USAGE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^USAGE = """\\$/;" v +UsageError adpepsenv/lib/python3.8/site-packages/absl/app.py /^class UsageError(Error):$/;" c +UsageError adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^class UsageError(Exception):$/;" c +UsageExit adpepsenv/lib/python3.8/site-packages/mpi4py/futures/__main__.py /^ class UsageExit(SystemExit):$/;" c function:main file: +use adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def use(style):$/;" f +use adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def use(backend, *, force=True):$/;" f +use2fortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def use2fortran(use, tab=''):$/;" f +UsedBlobNames adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def UsedBlobNames(self):$/;" m class:Net +used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def used_characters(self):$/;" m class:PdfFile +used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def used_characters(self):$/;" m class:RendererPS +used_characters adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def used_characters(self):$/;" m class:CharacterTracker +used_nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def used_nodes(self):$/;" m class:TaskGroup +useLocale adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ useLocale = property(fget=get_useLocale, fset=set_useLocale)$/;" v class:ScalarFormatter +useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ useMathText = property(fget=get_useMathText, fset=set_useMathText)$/;" v class:EngFormatter +useMathText adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ useMathText = property(fget=get_useMathText, fset=set_useMathText)$/;" v class:ScalarFormatter +UseModifierBase adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^class UseModifierBase(object):$/;" c +usemodule_rules adpepsenv/lib/python3.8/site-packages/numpy/f2py/use_rules.py /^usemodule_rules = {$/;" v +UseNormalizer adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_context.py /^class UseNormalizer(UseModifierBase):$/;" c +useOffset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ useOffset = property(fget=get_useOffset, fset=set_useOffset)$/;" v class:ScalarFormatter +UseOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^class UseOptimizer(UseModifierBase):$/;" c +usepattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^usepattern = re.compile($/;" v +USERABORT adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^USERABORT = 7 # User requested end of minimization$/;" v +UserAccessTokenCredentials adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^class UserAccessTokenCredentials(credentials.CredentialsWithQuotaProject):$/;" c +UserAccessTokenCredentials adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^class UserAccessTokenCredentials(oauth2_credentials.UserAccessTokenCredentials):$/;" c +UserAccessTokenError adpepsenv/lib/python3.8/site-packages/google/auth/exceptions.py /^class UserAccessTokenError(GoogleAuthError):$/;" c +UserAgent adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^class UserAgent(object):$/;" c +UserAgentMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/user_agent.py /^class UserAgentMixin(object):$/;" c +UserAgentParser adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^class UserAgentParser(object):$/;" c +userblock_size adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def userblock_size(self):$/;" m class:File +UserDefinedProgramParameters adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^UserDefinedProgramParameters = _reflection.GeneratedProtocolMessageType('UserDefinedProgramParam/;" v +UseRegularizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_context.py /^class UseRegularizer(UseModifierBase):$/;" c +userincludes adpepsenv/lib/python3.8/site-packages/numpy/f2py/cfuncs.py /^userincludes = {'userincludes': '\/*need_userincludes*\/'}$/;" v +userinfo adpepsenv/lib/python3.8/site-packages/oauthlib/uri_validate.py /^userinfo = r"(?: %(unreserved)s | %(pct_encoded)s | %(sub_delims)s | : )" % locals($/;" v +UserInfoEndpoint adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/userinfo.py /^class UserInfoEndpoint(BaseEndpoint):$/;" c +USERINFO_CHARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^USERINFO_CHARS = UNRESERVED_CHARS | SUB_DELIM_CHARS | {":"}$/;" v +USERINFO_CHARS adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^USERINFO_CHARS = UNRESERVED_CHARS | SUB_DELIM_CHARS | {":"}$/;" v +userinput adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarprint.py /^ def userinput():$/;" f member:TestRealScalars.test_py2_float_print file: +UserKeyingMaterial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^class UserKeyingMaterial(univ.OctetString):$/;" c +UserKeyingMaterial adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^class UserKeyingMaterial(univ.OctetString):$/;" c +usermodules adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^usermodules = []$/;" v +username adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def username(self):$/;" m class:Authorization +username adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def username(self):$/;" m class:BaseURL +UserNotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UserNotice(univ.Sequence):$/;" c +UserNotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class UserNotice(univ.Sequence):$/;" c +UserNotice adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class UserNotice(univ.Sequence):$/;" c +userPKCS12 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^userPKCS12 = Attribute()$/;" v +UserRegisteredSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class UserRegisteredSpec(type_spec_module.TypeSpec):$/;" c +UserRegisteredTypeKerasTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class UserRegisteredTypeKerasTensor(KerasTensor):$/;" c +user_agent adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^def user_agent():$/;" f +user_agent adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^user_agent = _tmpl.format($/;" v +user_agent adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/user_agent.py /^ def user_agent(self):$/;" m class:UserAgentMixin +USER_CACHE_DIR adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^USER_CACHE_DIR = appdirs.user_cache_dir("pip")$/;" v +user_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/appdirs.py /^def user_cache_dir(appname):$/;" f +user_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def user_cache_dir(self):$/;" m class:AppDirs +user_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def user_cache_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_cache_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def user_cache_dir(self):$/;" m class:AppDirs +user_cache_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def user_cache_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_cache_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def user_cache_dir(self):$/;" m class:AppDirs +user_cache_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def user_cache_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_config_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/appdirs.py /^def user_config_dir(appname, roaming=True):$/;" f +user_config_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def user_config_dir(self):$/;" m class:AppDirs +user_config_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def user_config_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_config_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def user_config_dir(self):$/;" m class:AppDirs +user_config_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def user_config_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_config_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def user_config_dir(self):$/;" m class:AppDirs +user_config_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def user_config_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_context adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def user_context(c):$/;" f +user_data adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def user_data(self):$/;" m class:LowLevelCallable +USER_DATAS adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^USER_DATAS = {$/;" v +user_data_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def user_data_dir(self):$/;" m class:AppDirs +user_data_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def user_data_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_data_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def user_data_dir(self):$/;" m class:AppDirs +user_data_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def user_data_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_data_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def user_data_dir(self):$/;" m class:AppDirs +user_data_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def user_data_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_def_subcls adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ class user_def_subcls(np.void):$/;" c member:TestDtypeAttributes.test_name_dtype_subclass file: +user_frame adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def user_frame(source_info: Optional[Traceback]) -> Optional[Frame]:$/;" f +user_frames adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^def user_frames(source_info: Optional[Traceback]) -> Iterator[Frame]:$/;" f +USER_LIBRARY_PATHS adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^USER_LIBRARY_PATHS = [os.path.join(mpl.get_configdir(), 'stylelib')]$/;" v +user_log_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def user_log_dir(self):$/;" m class:AppDirs +user_log_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def user_log_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_log_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def user_log_dir(self):$/;" m class:AppDirs +user_log_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def user_log_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_log_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def user_log_dir(self):$/;" m class:AppDirs +user_log_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def user_log_dir(appname=None, appauthor=None, version=None, opinion=True):$/;" f +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build.py /^ user_options = old_build.user_options + [$/;" v class:build +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^ user_options = old_build_clib.user_options + [$/;" v class:build_clib +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ user_options = old_build_ext.user_options + [$/;" v class:build_ext +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ user_options = [$/;" v class:build_src +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ user_options = [$/;" v class:config_cc +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config_compiler.py /^ user_options = [$/;" v class:config_fc +user_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/install_clib.py /^ user_options = []$/;" v class:install_clib +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/alias.py /^ user_options = [$/;" v class:alias +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ user_options = [$/;" v class:bdist_egg +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ user_options = easy_install.user_options + [$/;" v class:develop +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/dist_info.py /^ user_options = [$/;" v class:dist_info +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ user_options = [$/;" v class:easy_install +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ user_options = [$/;" v class:egg_info +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ user_options = orig.install.user_options + [$/;" v class:install +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/install_egg_info.py /^ user_options = [$/;" v class:install_egg_info +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/rotate.py /^ user_options = [$/;" v class:rotate +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ user_options = [$/;" v class:sdist +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ user_options = [$/;" v class:option_base +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^ user_options = [$/;" v class:setopt +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ user_options = [$/;" v class:test +user_options adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ user_options = [$/;" v class:upload_docs +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist.py /^ user_options = [('bdist-base=', 'b',$/;" v class:bdist +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_dumb.py /^ user_options = [('bdist-dir=', 'd',$/;" v class:bdist_dumb +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ user_options = [('bdist-dir=', None,$/;" v class:bdist_msi +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ user_options = [$/;" v class:bdist_rpm +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ user_options = [('bdist-dir=', None,$/;" v class:bdist_wininst +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build.py /^ user_options = [$/;" v class:build +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_clib.py /^ user_options = [$/;" v class:build_clib +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ user_options = [$/;" v class:build_ext +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_py.py /^ user_options = [$/;" v class:build_py +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_scripts.py /^ user_options = [$/;" v class:build_scripts +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ user_options = [('metadata', 'm', 'Verify meta-data'),$/;" v class:check +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/clean.py /^ user_options = [$/;" v class:clean +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ user_options = [$/;" v class:config +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ user_options = [$/;" v class:install +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_data.py /^ user_options = [$/;" v class:install_data +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_egg_info.py /^ user_options = [$/;" v class:install_egg_info +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_headers.py /^ user_options = [('install-dir=', 'd',$/;" v class:install_headers +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ user_options = [$/;" v class:install_lib +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_scripts.py /^ user_options = [$/;" v class:install_scripts +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ user_options = PyPIRCCommand.user_options + [$/;" v class:register +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ user_options = [$/;" v class:sdist +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^ user_options = PyPIRCCommand.user_options + [$/;" v class:upload +user_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ user_options = [$/;" v class:PyPIRCCommand +user_options adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ user_options = [$/;" v class:InstallHeaders +user_options adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ user_options = [('bdist-dir=', 'b',$/;" v class:bdist_wheel +user_options versioneer.py /^ user_options = []$/;" v class:get_cmdclass.cmd_version +user_site adpepsenv/lib/python3.8/site-packages/pip/_internal/locations.py /^ user_site = site.USER_SITE$/;" v +user_state_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def user_state_dir(self):$/;" m class:AppDirs +user_state_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def user_state_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_state_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def user_state_dir(self):$/;" m class:AppDirs +user_state_dir adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def user_state_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_state_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def user_state_dir(self):$/;" m class:AppDirs +user_state_dir adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def user_state_dir(appname=None, appauthor=None, version=None, roaming=False):$/;" f +user_test adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def user_test(d):$/;" f function:get_installed_distributions file: +uses adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def uses(self, feature):$/;" m class:ConversionOptions +UsesBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def UsesBlob(self, blob):$/;" m class:Net +uses_blas64 adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/__init__.py /^def uses_blas64():$/;" f +uses_keras_history adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def uses_keras_history(tensors):$/;" f +uses_mkl adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def uses_mkl(info):$/;" f +uses_pycache adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^ uses_pycache = cache_from_source is not None$/;" v +uses_star_args_in_call adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def uses_star_args_in_call(node):$/;" f +uses_star_args_or_kwargs_in_call adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def uses_star_args_or_kwargs_in_call(node):$/;" f +uses_star_kwargs_in_call adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^def uses_star_kwargs_in_call(node):$/;" f +usetex adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ usetex = property(fget=get_usetex, fset=set_usetex)$/;" v class:EngFormatter +useUmfpack adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^useUmfpack = not noScikit$/;" v +use_absl_handler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def use_absl_handler():$/;" f +use_absl_log_file adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def use_absl_log_file(self, program_name=None, log_dir=None):$/;" m class:ABSLHandler +use_absl_log_file adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def use_absl_log_file(self, program_name=None, log_dir=None):$/;" m class:PythonHandler +use_best_quote_char adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ use_best_quote_char = True$/;" v class:HTMLSerializer +use_best_quote_char adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ use_best_quote_char = True$/;" v class:HTMLSerializer +use_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def use_bias(self):$/;" m class:ConvLSTM2D +use_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def use_bias(self):$/;" m class:GRU +use_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def use_bias(self):$/;" m class:LSTM +use_bias adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def use_bias(self):$/;" m class:SimpleRNN +use_blockwise_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def use_blockwise_arg():$/;" m class:LinearOperatorDerivedClassTest +USE_CFFI_ACCESS adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^USE_CFFI_ACCESS = hasattr(sys, "pypy_version_info")$/;" v +use_choose_fastest adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ use_choose_fastest = options.create_option($/;" v class:MapVectorizationOptions +use_cmex adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ use_cmex = False$/;" v class:DejaVuFonts +use_cmex adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ use_cmex = False$/;" v class:StixFonts +use_cmex adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ use_cmex = True$/;" v class:UnicodeFonts +USE_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^USE_DEFAULT = object()$/;" v +USE_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ USE_DEFAULT = 0$/;" v class:Supervisor +USE_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^USE_DEFAULT = object()$/;" v +use_deprecated_feature adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^use_deprecated_feature = partial($/;" v +use_distribution adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def use_distribution(self, dist):$/;" m class:EnvironmentConfig +USE_GLOBAL_DEPS adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^USE_GLOBAL_DEPS = True$/;" v +use_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def use_gpu():$/;" f +use_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def use_gpu():$/;" f +use_hugepage adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ use_hugepage = 0$/;" v +use_hugepage adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ use_hugepage = 1$/;" v +use_hugepage adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ use_hugepage = os.environ.get("NUMPY_MADVISE_HUGEPAGE", None)$/;" v +use_hugepages adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ use_hugepages = 0$/;" v +use_keras_tensors_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def use_keras_tensors_scope(value):$/;" f +use_my_context adpepsenv/lib/python3.8/site-packages/caffe2/python/context_test.py /^ def use_my_context(self):$/;" m class:TestContext +use_new_feature adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^use_new_feature = partial($/;" v +use_offset_data adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ use_offset_data = [True, False]$/;" v class:TestScalarFormatter +use_operator_or_provided_hint_unless_contradicting adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def use_operator_or_provided_hint_unless_contradicting($/;" f +USE_OSS adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/control_imports.py /^USE_OSS = True$/;" v +use_overline adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def use_overline(self, use_overline):$/;" m class:LogitFormatter +use_pep517 adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^use_pep517 = partial($/;" v +use_placeholder_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def use_placeholder_options():$/;" m class:LinearOperatorDerivedClassTest +use_python_logging adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def use_python_logging(quiet=False):$/;" f +use_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def use_resource(self):$/;" m class:VariableScope +use_rref_on_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def use_rref_on_owner(rref: RRef[MyModuleInterface]) -> Tensor:$/;" f member:LocalRRefTest.test_return_local_script_module_rref_in_py_and_use_in_script file: +use_rref_on_owner adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def use_rref_on_owner(rref: RRef[MyScriptClass]) -> int:$/;" f member:LocalRRefTest.test_return_local_script_class_rref_in_py_and_use_in_script file: +USE_RTLD_GLOBAL_WITH_LIBTORCH adpepsenv/lib/python3.8/site-packages/torch/_utils_internal.py /^USE_RTLD_GLOBAL_WITH_LIBTORCH = False$/;" v +use_solver adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def use_solver(**kwargs):$/;" f +use_sticky_edges adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def use_sticky_edges(self):$/;" m class:_AxesBase +use_sticky_edges adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def use_sticky_edges(self, b):$/;" m class:_AxesBase +use_stubs adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ use_stubs = have_rtld = hasattr(dl, 'RTLD_NOW')$/;" v +use_stubs adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ use_stubs = True$/;" v +use_stubs adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^use_stubs = False$/;" v +use_test_undeclared_outputs_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def use_test_undeclared_outputs_dir(self):$/;" m class:TTParameters +use_tfrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def use_tfrt(self):$/;" m class:Context +use_tfrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def use_tfrt(self, tfrt):$/;" m class:Context +use_trailing_solidus adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ use_trailing_solidus = False$/;" v class:HTMLSerializer +use_trailing_solidus adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ use_trailing_solidus = False$/;" v class:HTMLSerializer +use_wrapped_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^def use_wrapped_call(layer, call_fn, default_training_value=None,$/;" f +use_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ use_wrapper = False$/;" v class:TestOptimizeNoWrapperDisp +use_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ use_wrapper = False$/;" v class:TestOptimizeNoWrapperNoDisp +use_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ use_wrapper = True$/;" v class:TestOptimizeWrapperDisp +use_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ use_wrapper = True$/;" v class:TestOptimizeWrapperNoDisp +using_mixed_precision_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision_global_state.py /^using_mixed_precision_policy = False$/;" v +using_newcore adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^using_newcore = True$/;" v +USING_STRINGBUILDER adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ USING_STRINGBUILDER = True$/;" v +UsmSecurityParameters adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3414.py /^class UsmSecurityParameters(univ.Sequence):$/;" c +USTAR_FORMAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^USTAR_FORMAT = 0 # POSIX.1-1988 (ustar) format$/;" v +USTRING adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ USTRING = six.unichr(0xfc) + six.unichr(0xdf)$/;" v class:TestRepr +ut adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ import unittest2 as ut$/;" I +ut adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ import unittest as ut$/;" I +ut adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ import unittest as ut$/;" I +ut adpepsenv/lib/python3.8/site-packages/h5py/tests/test_h5p.py /^ import unittest2 as ut$/;" I +UTC adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^UTC = tzutc()$/;" v +UTC adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^UTC = datetime.timezone.utc$/;" v +UTC adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ UTC = FixedOffset()$/;" v class:TimeMixIn +utcnow adpepsenv/lib/python3.8/site-packages/google/auth/_helpers.py /^def utcnow():$/;" f +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def utcoffset(self, dt):$/;" m class:tzfile +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def utcoffset(self, dt):$/;" m class:tzlocal +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def utcoffset(self, dt):$/;" m class:tzoffset +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def utcoffset(self, dt):$/;" m class:tzutc +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def utcoffset(self, dt):$/;" m class:_tzicalvtz +utcoffset adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def utcoffset(self, dt):$/;" m class:tzrangebase +utcoffset adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/tz.py /^ def utcoffset(self, dt):$/;" m class:TomlTz +utcoffset adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def utcoffset(self, dt):$/;" m class:TimeMixIn.FixedOffset +UTCTime adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^class UTCTime(char.VisibleString, TimeMixIn):$/;" c +UTCTimeDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class UTCTimeDecoder(OctetStringDecoder):$/;" c +UTCTimeEncoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^class UTCTimeEncoder(TimeEncoderMixIn, encoder.OctetStringEncoder):$/;" c +utczone adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def utczone(self, name):$/;" m class:parserinfo +UTCZONE adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ UTCZONE = ["UTC", "GMT", "Z", "z"]$/;" v class:parserinfo +UTF8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^UTF8 = lookup('utf-8')$/;" v +UTF8 adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^UTF8 = lookup('utf-8')$/;" v +UTF8Pairs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2511.py /^class UTF8Pairs(char.UTF8String):$/;" c +UTF8Pairs adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^class UTF8Pairs(char.UTF8String):$/;" c +UTF8Prober adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^class UTF8Prober(CharSetProber):$/;" c +UTF8Prober adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^class UTF8Prober(CharSetProber):$/;" c +UTF8String adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class UTF8String(AbstractCharacterString):$/;" c +UTF8String adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class UTF8String(char.UTF8String):$/;" c +UTF8StringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class UTF8StringDecoder(OctetStringDecoder):$/;" c +UTF8_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UTF8_CHAR_LEN_TABLE = (0, 1, 0, 0, 0, 0, 2, 3, 3, 3, 4, 4, 5, 5, 6, 6)$/;" v +UTF8_CHAR_LEN_TABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UTF8_CHAR_LEN_TABLE = (0, 1, 0, 0, 0, 0, 2, 3, 3, 3, 4, 4, 5, 5, 6, 6)$/;" v +UTF8_CLS adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UTF8_CLS = ($/;" v +UTF8_CLS adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UTF8_CLS = ($/;" v +UTF8_COOKIE adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^UTF8_COOKIE = b"\\xef\\xbb\\xbf"$/;" v +UTF8_SM_MODEL adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UTF8_SM_MODEL = {'class_table': UTF8_CLS,$/;" v +UTF8_SM_MODEL adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UTF8_SM_MODEL = {'class_table': UTF8_CLS,$/;" v +UTF8_ST adpepsenv/lib/python3.8/site-packages/chardet/mbcssm.py /^UTF8_ST = ($/;" v +UTF8_ST adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcssm.py /^UTF8_ST = ($/;" v +util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^from tensorflow.python.ops import control_flow_util_v2 as util$/;" x +util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^from tensorflow.python.ops import control_flow_util as util$/;" x +util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^from tensorflow.python.ops import control_flow_util as util$/;" x +util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^from tensorflow.python.ops import control_flow_util_v2 as util$/;" x +util adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/pool.py /^import multiprocessing.util as util$/;" I +utils adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet_test.py /^import caffe2.python.models.imagenet_trainer_test_utils as utils$/;" I +utils adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet_test.py /^import caffe2.python.models.imagenet_trainer_test_utils as utils$/;" I +utils adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^import caffe2.python.predictor.predictor_py_utils as utils$/;" I +utils adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^import numpy.lib.utils as utils$/;" I +utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^from tensorflow.python.framework import auto_control_deps_utils as utils$/;" x +utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/__init__.py /^from tensorflow.python.keras.utils import all_utils as utils$/;" x +utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^from tensorflow.python.saved_model import utils_impl as utils$/;" x +UtilsTest adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils_test.py /^class UtilsTest(test_utils.TestCase):$/;" c +util_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^from tensorflow.python.util import compat as util_compat$/;" x +util_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^from tensorflow.python.util import compat as util_compat$/;" x +util_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^from tensorflow_estimator.python.estimator.tpu import util as util_lib$/;" x +util_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^from tensorflow_estimator.python.estimator.tpu import util as util_lib$/;" x +util_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^from tensorflow.python.ops import control_flow_util as util_v1$/;" x +utime adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def utime(self, tarinfo, targetpath):$/;" m class:TarFile +uts46data adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^uts46data = tuple($/;" v +uts46data adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^uts46data = tuple($/;" v +uts46_remap adpepsenv/lib/python3.8/site-packages/idna/core.py /^def uts46_remap(domain, std3_rules=True, transitional=False):$/;" f +uts46_remap adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def uts46_remap(domain, std3_rules=True, transitional=False):$/;" f +UT_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def UT_solve(b):$/;" f member:TestQMR.test_leftright_precond file: +uuid adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ uuid = Regex(r'[0-9a-fA-F]{8}(-[0-9a-fA-F]{4}){3}-[0-9a-fA-F]{12}').setName("UUID")$/;" v class:pyparsing_common +uuid adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ uuid = Regex(r'[0-9a-fA-F]{8}(-[0-9a-fA-F]{4}){3}-[0-9a-fA-F]{12}').setName("UUID")$/;" v class:pyparsing_common +uuid adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ uuid = Regex(r'[0-9a-fA-F]{8}(-[0-9a-fA-F]{4}){3}-[0-9a-fA-F]{12}').setName("UUID")$/;" v class:pyparsing_common +uuid adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ uuid = Regex(r'[0-9a-fA-F]{8}(-[0-9a-fA-F]{4}){3}-[0-9a-fA-F]{12}').setName("UUID")$/;" v class:pyparsing_common +UUIDConverter adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class UUIDConverter(BaseConverter):$/;" c +U_solve adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def U_solve(b):$/;" f member:TestQMR.test_leftright_precond file: +u_str adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^u_str = fp_u_str.read().decode('utf-8')$/;" v +v adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ v = _utils.surrogatePairToCodepoint(v)$/;" v +V adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def V(theta):$/;" f member:levy_stable_gen._cdf_single_value_zolotarev file: +V adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def V(theta):$/;" f member:levy_stable_gen._pdf_single_value_zolotarev file: +V adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def V(theta):$/;" f member:levy_stable_gen._cdf_single_value_zolotarev file: +V adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def V(theta):$/;" f member:levy_stable_gen._pdf_single_value_zolotarev file: +v adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ v = _utils.surrogatePairToCodepoint(v)$/;" v +v adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def v(self):$/;" m class:LinearOperatorLowRankUpdate +V1 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ V1 = 1$/;" v class:ExportSavedModelApiVersion +v1_saver_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^from tensorflow.python.training import saver as v1_saver_lib$/;" x +V2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ V2 = 2$/;" v class:ExportSavedModelApiVersion +V2Form adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^class V2Form(univ.Sequence):$/;" c +V2Form adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^class V2Form(univ.Sequence):$/;" c +V2_DTYPE_BEHAVIOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^V2_DTYPE_BEHAVIOR = None$/;" v +v2_dtype_behavior_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def v2_dtype_behavior_enabled():$/;" f +v2_eager_only_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^def v2_eager_only_combinations():$/;" f +v2_only_combinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^def v2_only_combinations():$/;" f +v4_int_to_packed adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def v4_int_to_packed(address):$/;" f +v6_int_to_packed adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def v6_int_to_packed(address):$/;" f +val adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def val(self):$/;" m class:Store +val adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ val = '0' * 100$/;" v class:test_array_called.Wrapper +val adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^ val = physical_constants[key][0]$/;" v +valgrind_timer_interface adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^from torch.utils.benchmark.utils.valgrind_wrapper import timer_interface as valgrind_timer_inter/;" x +VALID adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^VALID = 1$/;" v +valid adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def valid(self):$/;" m class:AnonymousCredentials +valid adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def valid(self):$/;" m class:Credentials +valid adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def valid(self):$/;" m class:OnDemandCredentials +VALID adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ VALID = 1$/;" v class:PaddingType +valid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def valid(self):$/;" m class:GridHelperBase +VALID adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ VALID = 1$/;" v class:Padding +Validate adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def Validate(self):$/;" m class:ModelHelper +validate adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def validate(self, res):$/;" m class:parserinfo +validate adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ validate = rcsetup._validators$/;" v class:RcParams +validate adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def validate(self, names, defaultfmt="f%i", nbfields=None):$/;" m class:NameValidator +validate adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def validate(self):$/;" m class:DirectUrl +validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def validate(self):$/;" m class:Metadata +validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:Forward +validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParseElementEnhance +validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParseExpression +validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParserElement +validate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:Forward +validate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParseElementEnhance +validate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParseExpression +validate adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParserElement +validate adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:Forward +validate adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParseElementEnhance +validate adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParseExpression +validate adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def validate(self, validateTrace=None):$/;" m class:ParserElement +validate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:Forward +validate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParseElementEnhance +validate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParseExpression +validate adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def validate( self, validateTrace=[] ):$/;" m class:ParserElement +validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ def validate(mode):$/;" m class:ProcessingMode +validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^ def validate(cls, key):$/;" m class:Reduction +validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/loss_reduction.py /^ def validate(cls, key):$/;" m class:ReductionV2 +validate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def validate(obj, obj_name):$/;" f member:_ModelFnWrapper._validate_model_features_and_labels file: +validate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def validate(host_calls):$/;" m class:_OutfeedHostCall +validateaxis adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^def validateaxis(axis):$/;" f +ValidateIndices adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValidateIndices(self):$/;" m class:SparseToDenseOptions +ValidateInStrings adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^class ValidateInStrings:$/;" c +validate_access_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_access_token(self, client_key, token, request):$/;" m class:RequestValidator +validate_access_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/access_token.py /^ def validate_access_token_request(self, request):$/;" m class:AccessTokenEndpoint +validate_accumulator_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def validate_accumulator_computation(self, combiner, data, expected):$/;" m class:PreprocessingLayerTest +validate_accumulator_extract adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def validate_accumulator_extract(self, combiner, data, expected):$/;" m class:PreprocessingLayerTest +validate_accumulator_extract_and_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def validate_accumulator_extract_and_restore(self, combiner, data, expected):$/;" m class:PreprocessingLayerTest +validate_accumulator_serialize_and_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def validate_accumulator_serialize_and_deserialize(self, combiner, data,$/;" m class:PreprocessingLayerTest +validate_accumulator_uniqueness adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_test_utils.py /^ def validate_accumulator_uniqueness(self, combiner, data):$/;" m class:PreprocessingLayerTest +validate_activation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def validate_activation(classifier_activation, weights):$/;" f +validate_all_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def validate_all_flags(self):$/;" m class:FlagValues +validate_all_tensor_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_all_tensor_shapes(x, x_values):$/;" f +validate_all_tensor_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_all_tensor_types(x, x_values):$/;" f +validate_and_record_structure adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def validate_and_record_structure(self, features, labels):$/;" m class:_InputPipeline.InputsStructureRecorder +validate_and_slice_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def validate_and_slice_inputs(names_to_saveables):$/;" f +validate_animation_writer_path adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_animation_writer_path(p):$/;" f +validate_any adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_any(s):$/;" f +validate_anylist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_anylist = _listify_validator(validate_any)$/;" v +validate_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def validate_args(self):$/;" m class:Bijector +validate_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def validate_args(self):$/;" m class:Distribution +validate_arguments adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^def validate_arguments(func, args, kwargs, drop_extra=True):$/;" f +validate_aspect adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_aspect(s):$/;" f +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def validate_authorization_request(self, uri, http_method='GET', body=None,$/;" m class:AuthorizationEndpoint +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def validate_authorization_request(self, request):$/;" m class:AuthorizationCodeGrant +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ def validate_authorization_request(self, request):$/;" m class:ImplicitGrant +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def validate_authorization_request(self, request):$/;" m class:GrantTypeBase +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def validate_authorization_request(self, request):$/;" m class:AuthorizationCodeGrantDispatcher +validate_authorization_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def validate_authorization_request(self, request):$/;" m class:ImplicitTokenGrantDispatcher +validate_axes_titlelocation adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_axes_titlelocation = ValidateInStrings($/;" v +validate_axisbelow adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_axisbelow(s):$/;" f +validate_axis_locator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_axis_locator = ValidateInStrings($/;" v +validate_backend adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_backend(s):$/;" f +validate_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_bbox(s):$/;" f +validate_bearer_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_bearer_token(self, token, scopes, request):$/;" m class:RequestValidator +validate_bool adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_bool(b):$/;" f +validate_boolean_mutual_exclusion adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def validate_boolean_mutual_exclusion(flags_dict):$/;" f function:mark_bool_flags_as_mutual_exclusive file: +validate_bool_maybe_none adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_bool_maybe_none(b):$/;" f +validate_boundary adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def validate_boundary(self, boundary):$/;" m class:MultiPartParser +validate_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^def validate_callable(func, decorator_name):$/;" f +validate_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_callbacks(input_callbacks, optimizer):$/;" f +validate_capstyle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_capstyle(s):$/;" f +validate_capstylelist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_capstylelist = _listify_validator(validate_capstyle)$/;" v +validate_client_id adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_client_id(self, client_id, request, *args, **kwargs):$/;" m class:RequestValidator +validate_client_key adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_client_key(self, client_key, request):$/;" m class:RequestValidator +validate_code adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_code(self, client_id, code, client, request, *args, **kwargs):$/;" m class:RequestValidator +validate_code_challenge adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def validate_code_challenge(self, challenge, challenge_method, verifier):$/;" m class:AuthorizationCodeGrant +validate_colocate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def validate_colocate(v, extended):$/;" f +validate_colocate_distributed_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def validate_colocate_distributed_variable(v, extended):$/;" f +validate_color adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_color(s):$/;" f +validate_colorlist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_colorlist = _listify_validator($/;" v +validate_color_for_prop_cycle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_color_for_prop_cycle(s):$/;" f +validate_color_or_auto adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_color_or_auto(s):$/;" f +validate_color_or_inherit adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_color_or_inherit(s):$/;" f +validate_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def validate_config(config):$/;" f +validate_cuda_device adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def validate_cuda_device(location):$/;" f +validate_cycler adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_cycler(s):$/;" f +validate_dashlist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_dashlist = _listify_validator(validate_floatlist)$/;" v +validate_dataset_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def validate_dataset_input(x, y, sample_weight, validation_split=None):$/;" f +validate_dense_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def validate_dense_weights(values, weights, dtype=None):$/;" f +validate_destinations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def validate_destinations(destinations):$/;" f +validate_distributed_dataset_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_distributed_dataset_inputs(distribution_strategy, x, y,$/;" f +validate_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_dpi(s):$/;" f +validate_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def validate_file(fpath, file_hash, algorithm='auto', chunk_size=65535):$/;" f +validate_filename adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def validate_filename(filename, white_list_formats):$/;" f +validate_fillstyle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_fillstyle = ValidateInStrings($/;" v +validate_fillstylelist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_fillstylelist = _listify_validator(validate_fillstyle)$/;" v +validate_first_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def validate_first_step(first_step, t0, t_bound):$/;" f +validate_float adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_float = _make_type_validator(float)$/;" v +validate_floatlist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_floatlist = _listify_validator($/;" v +validate_float_or_None adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_float_or_None = _make_type_validator(float, allow_none=True)$/;" v +validate_fontset adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_fontset = ValidateInStrings($/;" v +validate_fontsize adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_fontsize(s):$/;" f +validate_fontsizelist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_fontsizelist = _listify_validator(validate_fontsize)$/;" v +validate_fontsize_None adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_fontsize_None(s):$/;" f +validate_fonttype adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_fonttype(s):$/;" f +validate_fontweight adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_fontweight(s):$/;" f +validate_font_properties adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_font_properties(s):$/;" f +validate_global_samples adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def validate_global_samples(local_num_samples):$/;" f member:DistributedTest._DistTestBase.test_DistributedSampler_padding file: +validate_grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def validate_grant_type(self, request):$/;" m class:GrantTypeBase +validate_grant_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_grant_type(self, client_id, grant_type, client, request, *args, **kwargs):$/;" m class:RequestValidator +validate_graph adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_validation.py /^def validate_graph(csgraph, directed, dtype=DTYPE,$/;" f +validate_grid_axis adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_grid_axis = ValidateInStrings($/;" v +validate_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_hatch(s):$/;" f +validate_hatchlist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_hatchlist = _listify_validator(validate_hatch)$/;" v +validate_hinting adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_hinting(s):$/;" f +validate_hist_bins adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_hist_bins(s):$/;" f +validate_id_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def validate_id_token(self, token, scopes, request):$/;" m class:RequestValidator +validate_image_and_label adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^ def validate_image_and_label($/;" m class:TestImport +validate_inference_rewrite_for_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def validate_inference_rewrite_for_variables(graph):$/;" f +validate_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def validate_input(x, y, w, bbox, k, s, ext, check_finite):$/;" m class:UnivariateSpline +validate_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_inputs(x, y):$/;" f +validate_input_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def validate_input_types(inp, orig_inp, allow_dict=True, field_name='inputs'):$/;" f +validate_int adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_int = _make_type_validator(int)$/;" v +validate_introspect_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^ def validate_introspect_request(self, request):$/;" m class:IntrospectEndpoint +validate_int_or_None adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_int_or_None = _make_type_validator(int, allow_none=True)$/;" v +validate_joinstyle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_joinstyle(s):$/;" f +validate_joinstylelist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_joinstylelist = _listify_validator(validate_joinstyle)$/;" v +validate_jwt_bearer_token adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def validate_jwt_bearer_token(self, token, scopes, request):$/;" m class:RequestValidator +validate_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^def validate_kwargs(kwargs,$/;" f +validate_legend_loc adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_legend_loc = ValidateInStrings($/;" v +validate_loss_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_loss_fn_args(loss_fn):$/;" f +validate_loss_reduction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_loss_reduction(loss_reduction):$/;" f +validate_map_location adpepsenv/lib/python3.8/site-packages/torch/jit/_serialization.py /^def validate_map_location(map_location=None):$/;" f +validate_markevery adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_markevery(s):$/;" f +validate_markeverylist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_markeverylist = _listify_validator(validate_markevery)$/;" v +validate_mathtext_default adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_mathtext_default = ValidateInStrings($/;" v +validate_max_step adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def validate_max_step(max_step):$/;" f +validate_metadata adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata(self, array, key, is_required=False, is_list=False, is_url=False, is_i/;" m class:MetadataEndpoint +validate_metadata_authorization adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata_authorization(self, claims, endpoint):$/;" m class:MetadataEndpoint +validate_metadata_introspection adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata_introspection(self, claims, endpoint):$/;" m class:MetadataEndpoint +validate_metadata_revocation adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata_revocation(self, claims, endpoint):$/;" m class:MetadataEndpoint +validate_metadata_server adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata_server(self):$/;" m class:MetadataEndpoint +validate_metadata_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def validate_metadata_token(self, claims, endpoint):$/;" m class:MetadataEndpoint +validate_movie_frame_fmt adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_movie_frame_fmt = ValidateInStrings($/;" v +validate_movie_html_fmt adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_movie_html_fmt = ValidateInStrings($/;" v +validate_movie_writer adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_movie_writer(s):$/;" f +validate_mutual_exclusion adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def validate_mutual_exclusion(flags_dict):$/;" f function:mark_flags_as_mutual_exclusive file: +validate_net_equivalence adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def validate_net_equivalence(self, net):$/;" m class:DistributedTest._DistTestBase +validate_nseq_float adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_nseq_float(n):$/;" f +validate_nseq_int adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_nseq_int(n):$/;" f +validate_n_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_n_classes(n_classes):$/;" f +validate_op adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def validate_op(op):$/;" f function:ExtractPredictorNet file: +validate_orientation adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_orientation = ValidateInStrings($/;" v +validate_output_shape adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef validate_output_shape(iter_shape, np.ndarray output)$/;" f +validate_path_exists adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_path_exists(s):$/;" f +validate_per_replica_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def validate_per_replica_inputs(distribution_strategy, x):$/;" f +validate_pgf_texsystem adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_pgf_texsystem = ValidateInStrings($/;" v +validate_port_binding_result adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def validate_port_binding_result(address, port):$/;" f +validate_profiling_workload adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def validate_profiling_workload(self, dst, prof):$/;" m class:RpcTest +validate_protected_resource_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/resource.py /^ def validate_protected_resource_request(self, uri, http_method='GET',$/;" m class:ResourceEndpoint +validate_ps_distiller adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_ps_distiller(s):$/;" f +validate_ps_papersize adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_ps_papersize = ValidateInStrings($/;" v +validate_qtables adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def validate_qtables(qtables):$/;" f function:_save file: +validate_ragged_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def validate_ragged_weights(values, weights, dtype=None):$/;" f +validate_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_realms(self, client_key, token, request, uri=None,$/;" m class:RequestValidator +validate_redirect_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_redirect_uri(self, client_key, redirect_uri, request):$/;" m class:RequestValidator +validate_redirect_uri adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_redirect_uri(self, client_id, redirect_uri, request, *args, **kwargs):$/;" m class:RequestValidator +validate_refresh_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_refresh_token(self, refresh_token, client, request, *args, **kwargs):$/;" m class:RequestValidator +validate_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/signature_only.py /^ def validate_request(self, uri, http_method='GET',$/;" m class:SignatureOnlyEndpoint +validate_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def validate_request(self, request):$/;" m class:BearerToken +validate_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def validate_request(self, request):$/;" m class:TokenBase +validate_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^ def validate_request(self, request):$/;" m class:JWTToken +validate_requested_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_requested_realms(self, client_key, realms, request):$/;" m class:RequestValidator +validate_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_request_token(self, client_key, token, request):$/;" m class:RequestValidator +validate_request_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/request_token.py /^ def validate_request_token_request(self, request):$/;" m class:RequestTokenEndpoint +validate_response_type adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_response_type(self, client_id, response_type, client, request, *args, **kwargs)/;" m class:RequestValidator +validate_revocation_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^ def validate_revocation_request(self, request):$/;" m class:RevocationEndpoint +validate_run_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^def validate_run_function(fn):$/;" f +validate_saveable_view adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def validate_saveable_view(saveable_view):$/;" f +validate_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def validate_scopes(self, request):$/;" m class:GrantTypeBase +validate_scopes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_scopes(self, client_id, scopes, client, request, *args, **kwargs):$/;" m class:RequestValidator +validate_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def validate_signature(signature):$/;" f +validate_silent_authorization adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def validate_silent_authorization(self, request):$/;" m class:RequestValidator +validate_silent_login adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def validate_silent_login(self, request):$/;" m class:RequestValidator +validate_sketch adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_sketch(s):$/;" f +validate_slicing_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def validate_slicing_string(slicing_string):$/;" f +validate_sparse_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/bincount_ops.py /^def validate_sparse_weights(values, weights, dtype=None):$/;" f +validate_string adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_string = _make_type_validator(str)$/;" v +validate_stringlist adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_stringlist = _listify_validator($/;" v +validate_string_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^def validate_string_arg(input_data,$/;" f +validate_string_or_None adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_string_or_None = _make_type_validator(str, allow_none=True)$/;" v +validate_svg_fonttype adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_svg_fonttype = ValidateInStrings($/;" v +validate_synchronization_aggregation_trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def validate_synchronization_aggregation_trainable(synchronization, aggregation,$/;" f +validate_system adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def validate_system(system):$/;" f +validate_timestamp_and_nonce adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_timestamp_and_nonce(self, client_key, timestamp, nonce,$/;" m class:RequestValidator +validate_token_parameters adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/parameters.py /^def validate_token_parameters(params):$/;" f +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def validate_token_request(self, request):$/;" m class:TokenEndpoint +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ def validate_token_request(self, request):$/;" m class:AuthorizationCodeGrant +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/client_credentials.py /^ def validate_token_request(self, request):$/;" m class:ClientCredentialsGrant +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ def validate_token_request(self, request):$/;" m class:ImplicitGrant +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/refresh_token.py /^ def validate_token_request(self, request):$/;" m class:RefreshTokenGrant +validate_token_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/resource_owner_password_credentials.py /^ def validate_token_request(self, request):$/;" m class:ResourceOwnerPasswordCredentialsGrant +validate_tol adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def validate_tol(rtol, atol, n):$/;" f +validate_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^validate_toolbar = ValidateInStrings($/;" v +validate_trainable_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_trainable_variables(trainable_variables=None):$/;" f +validate_update_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_update_ops(update_ops=None):$/;" f +validate_user adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/request_validator.py /^ def validate_user(self, username, password, client, request, *args, **kwargs):$/;" m class:RequestValidator +validate_userinfo_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/userinfo.py /^ def validate_userinfo_request(self, request):$/;" m class:UserInfoEndpoint +validate_user_match adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/request_validator.py /^ def validate_user_match(self, id_token_hint, scopes, claims, request):$/;" m class:RequestValidator +validate_v2_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^def validate_v2_optimizer(optimzier):$/;" f +validate_verifier adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def validate_verifier(self, client_key, token, verifier, request):$/;" m class:RequestValidator +validate_vocabulary_is_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^def validate_vocabulary_is_unique(vocabulary):$/;" f +validate_webagg_address adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_webagg_address(s):$/;" f +validate_whiskers adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def validate_whiskers(s):$/;" f +VALIDATION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ VALIDATION = api_pb2.DATASET_VALIDATION$/;" v class:Metric +ValidationError adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^class ValidationError(Error):$/;" c +ValidationError adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^ValidationError = _exceptions.ValidationError$/;" v +ValidationError adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class ValidationError(ValueError):$/;" c +ValidationParams adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8479.py /^class ValidationParams(univ.Sequence):$/;" c +ValidationParms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class ValidationParms(univ.Sequence):$/;" c +ValidationParms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^class ValidationParms(univ.Sequence):$/;" c +ValidationParms adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^ValidationParms = rfc3279.ValidationParms$/;" v +Validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^class Validator(object):$/;" c +validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def validator(flag_name, message='Flag validation failed',$/;" f +validator adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^validator = _validators.validator$/;" v +validator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^ def validator(expected_images, device_option, count_images):$/;" f member:TestImport.test_imageinput file: +validator adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^ def validator(expected_images, device_option, count_images):$/;" f member:TestImport.test_imageinput_with_additional_outputs file: +validator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def validator(s):$/;" f function:_make_nseq_validator file: +validator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def validator(s):$/;" f function:_make_type_validator file: +ValidatorsContainer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^class ValidatorsContainer(object):$/;" c +validators_count adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ validators_count = 0$/;" v class:Validator +validCap adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ validCap = ('butt', 'round', 'projecting')$/;" v class:Line2D +validCap adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ validCap = mlines.Line2D.validCap$/;" v class:Patch +Validity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Validity(univ.Sequence):$/;" c +Validity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Validity(univ.Sequence):$/;" c +Validity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Validity(univ.Sequence):$/;" c +Validity adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^Validity = rfc5280.Validity$/;" v +validJoin adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ validJoin = ('miter', 'round', 'bevel')$/;" v class:Line2D +validJoin adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ validJoin = mlines.Line2D.validJoin$/;" v class:Patch +validModelName adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^def validModelName(name):$/;" f +valid_baseurl adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def valid_baseurl():$/;" f +valid_contextj adpepsenv/lib/python3.8/site-packages/idna/core.py /^def valid_contextj(label, pos):$/;" f +valid_contextj adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def valid_contextj(label, pos):$/;" f +valid_contexto adpepsenv/lib/python3.8/site-packages/idna/core.py /^def valid_contexto(label, pos, exception=False):$/;" f +valid_contexto adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def valid_contexto(label, pos, exception=False):$/;" f +valid_filemodes adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^valid_filemodes = ["r", "c", "r+", "w+"]$/;" v +valid_httpfile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def valid_httpfile():$/;" f +valid_httpurl adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def valid_httpurl():$/;" f +valid_ident adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def valid_ident(s):$/;" f +valid_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def valid_identifier(name):$/;" f +valid_jaxtype adpepsenv/lib/python3.8/site-packages/jax/core.py /^def valid_jaxtype(x):$/;" f +valid_label_length adpepsenv/lib/python3.8/site-packages/idna/core.py /^def valid_label_length(label):$/;" f +valid_label_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def valid_label_length(label):$/;" f +valid_number adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^def valid_number(n, flags):$/;" f +valid_request_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def valid_request_methods(self):$/;" m class:BaseEndpoint +valid_request_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def valid_request_methods(self, valid_request_methods):$/;" m class:BaseEndpoint +valid_request_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^ valid_request_methods = ('POST',)$/;" v class:IntrospectEndpoint +valid_request_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^ valid_request_methods = ('POST',)$/;" v class:RevocationEndpoint +valid_request_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ valid_request_methods = ('POST',)$/;" v class:TokenEndpoint +valid_string_length adpepsenv/lib/python3.8/site-packages/idna/core.py /^def valid_string_length(label, trailing_dot):$/;" f +valid_string_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def valid_string_length(label, trailing_dot):$/;" f +valid_textfile adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__datasource.py /^def valid_textfile(filedir):$/;" f +valid_token_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^ valid_token_types = ('access_token', 'refresh_token')$/;" v class:IntrospectEndpoint +valid_token_types adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^ valid_token_types = ('access_token', 'refresh_token')$/;" v class:RevocationEndpoint +valign adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ valign = {'N': 'bottom', 'S': 'top', 'E': 'center', 'W': 'center'}$/;" v class:QuiverKey +vals adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^vals = rng.standard_normal(n)$/;" v +vals adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_discrete_basic.py /^vals = ([1, 2, 3, 4], [0.1, 0.2, 0.3, 0.4])$/;" v +vals_cffi adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/cffi/extending.py /^vals_cffi = ffi.new('double[%d]' % n)$/;" v +value adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ def value(self):$/;" m class:DEFINE_alias._FlagAlias +value adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ def value(self, value):$/;" m class:DEFINE_alias._FlagAlias +value adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def value(self):$/;" m class:Flag +value adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def value(self, value):$/;" m class:Flag +value adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def value(self):$/;" m class:FlagHolder +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self):$/;" m class:_LoggerLevelsFlag +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self):$/;" m class:_StderrthresholdFlag +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self):$/;" m class:_VerbosityFlag +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self, v):$/;" m class:_LoggerLevelsFlag +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self, v):$/;" m class:_StderrthresholdFlag +value adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def value(self, v):$/;" m class:_VerbosityFlag +Value adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def Value(self, name): # pylint: disable=invalid-name$/;" m class:EnumTypeWrapper +Value adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^Value = _reflection.GeneratedProtocolMessageType('Value', (_message.Message,), {$/;" v +value adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def value(self):$/;" m class:Dataset +Value adpepsenv/lib/python3.8/site-packages/jax/core.py /^Value = Any$/;" v +VALUE adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_style.py /^VALUE = 'pink'$/;" v +value adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ value = m.group(2)$/;" v +value adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def value(self):$/;" m class:ExportEntry +Value adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Value(Node):$/;" c +Value adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class Value(Node):$/;" c +value adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def value(key):$/;" f +Value adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class Value(Node):$/;" c +value adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def value(self):$/;" m class:Dimension +value adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def value(self):$/;" m class:ScalarDatum +VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ VALUE = 'Static value information. See type_inference.py.'$/;" v class:Static +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def value(self):$/;" m class:_StateStack +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def value(self,$/;" m class:ProfileDataTableView +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def value(self):$/;" m class:PackedDistributedVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def value(self):$/;" m class:PackedVarAndDevice +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def value(self):$/;" m class:TPUVariableMixin +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def value(self):$/;" m class:DistributedVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def value(self):$/;" m class:SyncOnReadVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def value(self):$/;" m class:VariablePolicy +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def value(self, var):$/;" m class:AutoPolicy +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def value(self, var):$/;" m class:OnReadPolicy +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def value(self):$/;" m class:BoolGaugeCell +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def value(self):$/;" m class:CounterCell +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def value(self):$/;" m class:IntGaugeCell +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def value(self):$/;" m class:SamplerCell +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def value(self):$/;" m class:StringGaugeCell +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def value(self):$/;" m class:OpStats +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def value(self, value):$/;" m class:OpStats +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def value(self):$/;" m class:Dimension +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def value(self):$/;" m class:AutoCastVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def value(self):$/;" m class:BaseResourceVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def value(self):$/;" m class:_UnreadVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def value(self):$/;" m class:RefVariable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def value(self):$/;" m class:Variable +value adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def value(self):$/;" m class:RegressionOutput +Value adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^class Value(Tensor):$/;" c +ValueConstraintError adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^class ValueConstraintError(PyAsn1Error):$/;" c +ValueConstraintError adpepsenv/lib/python3.8/site-packages/pyasn1/type/error.py /^class ValueConstraintError(PyAsn1Error):$/;" c +ValueContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class ValueContext(object):$/;" c +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:AbstractDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:AnyDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:BitStringDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:ChoiceDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:ExplicitTagDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:IntegerDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:NullDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:ObjectIdentifierDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:OctetStringDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:RealDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:UniversalConstructedTypeDecoder +valueDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^ def valueDecoder(self, substrate, asn1Spec,$/;" m class:BooleanDecoder +VALUEERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^VALUEERR = 'value error'$/;" v +ValueMac adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^class ValueMac(univ.Sequence):$/;" c +ValueRangeConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ValueRangeConstraint(AbstractConstraint):$/;" c +ValueRowIds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ ValueRowIds = collections.namedtuple("ValueRowIds", ["key"])$/;" v class:RaggedFeature +values adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def values(self):$/;" m class:Mapping +values adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def values(self):$/;" m class:EnumTypeWrapper +values adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def values(self): # pylint: disable=invalid-name$/;" m class:Struct +values adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def values(self):$/;" m class:MappingHDF5 +values adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def values(self):$/;" m class:DimensionProxy +values adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ ids, values = zip(*test_cases)$/;" v class:TestStrCategoryConverter +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def values(self):$/;" m class:OrderedDict +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def values(self):$/;" m class:LegacyMetadata +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def values(self):$/;" m class:getDomBuilder.AttrList +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def values(self):$/;" m class:ParseResults +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ values = _itervalues$/;" v class:ParseResults +values adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def values(self):$/;" m class:RequestsCookieJar +values adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def values( self ):$/;" m class:ParseResults +values adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ values = _itervalues$/;" v class:ParseResults +values adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def values(self):$/;" m class:NamedTypes +values adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def values(self):$/;" m class:NamedValues +values adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def values(self):$/;" m class:OpenType +values adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def values(self):$/;" m class:Choice +values adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def values(self):$/;" m class:SequenceAndSetBase +values adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def values(self):$/;" m class:ParseResults +values adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ values = _itervalues$/;" v class:ParseResults +values adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def values(self):$/;" m class:RequestsCookieJar +values adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def values(self, n):$/;" m class:ProbArg +values adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def values(self, n):$/;" m class:Arg +values adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def values(self, n):$/;" m class:ComplexArg +values adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def values(self, n):$/;" m class:FixedArg +values adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def values(self, n):$/;" m class:IntArg +values adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def values( self ):$/;" m class:ParseResults +values adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ values = _itervalues$/;" v class:ParseResults +values adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def values(self):$/;" m class:BlobSequenceDatum +values adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def values(self):$/;" m class:Discrete +values adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def values(self):$/;" m class:getDomBuilder.AttrList +Values adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Values(self, j):$/;" m class:Int32Vector +Values adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Values(self, j):$/;" m class:Uint16Vector +Values adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Values(self, j):$/;" m class:Uint8Vector +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def values(self):$/;" m class:DistributedDelegate +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def values(self):$/;" m class:PerReplica +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def values(self):$/;" m class:IndexedSlices +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def values(self):$/;" m class:Operation +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def values(self):$/;" m class:SparseTensor +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def values(self):$/;" m class:RaggedTensor +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ values = property($/;" v class:RaggedTensorValue +values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def values(self):$/;" m class:CheckpointedOp +VALUES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^ VALUES = "values"$/;" v class:Values +Values adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/feature_keys.py /^class Values(object):$/;" c +values adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def values(self):$/;" m class:OrderedDictWrapper +values adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def values(self):$/;" m class:OrderedDictWrapper +values adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def values(self) -> Iterable['Parameter']:$/;" m class:ParameterDict +values adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def values(self) -> Iterable[Module]:$/;" m class:ModuleDict +values adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def values(self):$/;" m class:Accept +values adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def values(self):$/;" m class:CombinedMultiDict +values adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def values(self):$/;" m class:Headers +values adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def values(self):$/;" m class:MultiDict +values adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def values(self):$/;" m class:OrderedMultiDict +values adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def values(self):$/;" m class:BaseRequest +ValuesAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesAsNumpy(self):$/;" m class:Int32Vector +ValuesAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesAsNumpy(self):$/;" m class:Uint16Vector +ValuesAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesAsNumpy(self):$/;" m class:Uint8Vector +ValuesCount adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesCount(self):$/;" m class:PackOptions +ValuesDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^ValuesDef = _reflection.GeneratedProtocolMessageType('ValuesDef', (_message.Message,), {$/;" v +ValuesIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesIsNone(self):$/;" m class:Int32Vector +ValuesIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesIsNone(self):$/;" m class:Uint16Vector +ValuesIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesIsNone(self):$/;" m class:Uint8Vector +ValueSizeConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class ValueSizeConstraint(ValueRangeConstraint):$/;" c +ValuesLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesLength(self):$/;" m class:Int32Vector +ValuesLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesLength(self):$/;" m class:Uint16Vector +ValuesLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ValuesLength(self):$/;" m class:Uint8Vector +valuestodict adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^def valuestodict(key):$/;" f +ValuesViewHDF5 adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class ValuesViewHDF5(ValuesView):$/;" c +values_from_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference_lib.py /^def values_from_const(node_def):$/;" f +values_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def values_impl(self, ctx, experiment):$/;" m class:NpmiPlugin +values_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^from tensorflow.python.distribute import values as values_lib$/;" x +VALUES_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/metadata.py /^VALUES_TAG = "_npmi_\/values"$/;" v +ValueToken adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^class ValueToken(Token):$/;" c +ValueType adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^ValueType = _reflection.GeneratedProtocolMessageType('ValueType', (_message.Message,), {$/;" v +value_and_grad adpepsenv/lib/python3.8/site-packages/jax/api.py /^def value_and_grad(fun: Callable, argnums: Union[int, Sequence[int]] = 0,$/;" f +value_and_grad_f adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def value_and_grad_f(*args, **kwargs):$/;" f function:value_and_grad file: +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def value_container(self, value):$/;" m class:StrategyExtendedV2 +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def value_container(self, value):$/;" m class:_DefaultDistributionExtended +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def value_container(val):$/;" f +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def value_container(self, val):$/;" m class:MirroredExtended +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def value_container(self, value):$/;" m class:OneDeviceExtended +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def value_container(self, val):$/;" m class:ParameterServerStrategyExtended +value_container adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def value_container(self, value):$/;" m class:TPUExtended +value_converters adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ value_converters = {$/;" v class:BaseConfigurator +value_converters adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ value_converters = dict(BaseConfigurator.value_converters)$/;" v class:Configurator +value_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def value_dtype(self):$/;" m class:LookupInterface +value_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def value_dtype(self):$/;" m class:TableInitializerBase +value_escape adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^value_escape = re.compile(r'([%s])' % value_punc).sub$/;" v +VALUE_FUTURE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^VALUE_FUTURE = concurrent.futures.Future()$/;" v +value_has_tensors adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def value_has_tensors(v):$/;" f +value_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def value_index(self):$/;" m class:Tensor +value_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def value_index(self):$/;" m class:_EagerTensorBase +value_is_tensor_type adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def value_is_tensor_type(v):$/;" f +value_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^from tensorflow.python.distribute import values as value_lib$/;" x +value_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^from tensorflow.python.distribute import values as value_lib$/;" x +value_or_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def value_or_default(value, default):$/;" f function:_padding_values_or_default file: +value_punc adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^value_punc = r'\\\\=_:,'$/;" v +value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def value_rowids(self, name=None):$/;" m class:RaggedTensor +value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def value_rowids(self):$/;" m class:RowPartition +value_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def value_tensors(self, shape_and_slices=None):$/;" m class:CheckpointPosition +value_to_attr_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def value_to_attr_value(value, attr_type, arg_name): # pylint: disable=invalid-name$/;" f +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def value_type(self):$/;" m class:DatasetSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def value_type(self):$/;" m class:IteratorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def value_type(self):$/;" m class:MultiDeviceIteratorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def value_type(self):$/;" m class:OptionalSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def value_type(self):$/;" m class:NoneTensorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def value_type(self):$/;" m class:DistributedIteratorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def value_type(self):$/;" m class:_SingleWorkerDatasetIteratorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ value_type = property(lambda self: ShardedVariable)$/;" v class:ShardedVariableSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ value_type = property(lambda self: PerReplica)$/;" v class:PerReplicaSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ value_type = property(lambda self: IndexedSlices)$/;" v class:IndexedSlicesSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ value_type = property(lambda self: SparseTensor)$/;" v class:SparseTensorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ value_type = property(lambda self: ops.Tensor)$/;" v class:TensorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def value_type(self):$/;" m class:TypeSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def value_type(self):$/;" m class:UserRegisteredSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ value_type = property(lambda self: ndarray)$/;" v class:NdarraySpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def value_type(self):$/;" m class:RaggedTensorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ value_type = property(lambda self: RowPartition)$/;" v class:RowPartitionSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ value_type = property(lambda self: BaseResourceVariable)$/;" v class:VariableSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def value_type(self):$/;" m class:GeneratorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def value_type(self):$/;" m class:StructuredTensorSpec +value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ value_type = property(lambda self: TensorArray)$/;" v class:TensorArraySpec +value_unescape adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^value_unescape = re.compile(r'\\\\([%s])' % value_punc).sub$/;" v +val_and_grad_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def val_and_grad_function(f, params=None):$/;" f +vancestors adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def vancestors(*types):$/;" f function:dispatch_on.gen_func_dec file: +vander adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def vander(x, N=None, increasing=False):$/;" f +vander adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def vander(x, N=None, increasing=False):$/;" f +vander adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def vander(x, n=None):$/;" f +vander adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def vander(x, N=None, increasing=False): # pylint: disable=missing-docstring,invalid-name$/;" f +Var adpepsenv/lib/python3.8/site-packages/jax/core.py /^class Var:$/;" c +var adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ class var(dict):$/;" c function:_inline_literals file: +var adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def var(a, axis: Optional[Union[int, Tuple[int, ...]]] = None, dtype=None,$/;" f +var adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def var(a, axis=None, dtype=None, out=None, ddof=0, keepdims=np._NoValue):$/;" f +var adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def var(self, axis=None, dtype=None, out=None, ddof=0,$/;" m class:MaskedArray +var adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^var = _frommethod('var')$/;" v +var adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def var(self, axis=None, dtype=None, out=None, ddof=0):$/;" m class:matrix +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def var(self):$/;" m class:rv_frozen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def var(self, *args, **kwds):$/;" m class:rv_generic +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self):$/;" m class:dirichlet_frozen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self):$/;" m class:invwishart_frozen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self):$/;" m class:multivariate_hypergeom_frozen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self):$/;" m class:wishart_frozen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self, alpha):$/;" m class:dirichlet_gen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self, df, scale):$/;" m class:invwishart_gen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self, df, scale):$/;" m class:wishart_gen +var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def var(self, m, n):$/;" m class:multivariate_hypergeom_gen +var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def var(self):$/;" m class:PackedVarAndDevice +var adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def var(x, axis=None, keepdims=False):$/;" f +var adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def var(a, axis=None, dtype=None, out=None, ddof=0, keepdims=None): # pylint: disable=missing-d/;" f +var2fixfortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^def var2fixfortran(vars, a, fa=None, f90mode=None):$/;" f +vararg_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def vararg_name(self):$/;" m class:FunctionSpec +VarBind adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class VarBind(univ.Sequence):$/;" c +VarBind adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class VarBind(univ.Sequence):$/;" c +VarBindList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class VarBindList(univ.SequenceOf):$/;" c +VarBindList adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class VarBindList(univ.SequenceOf):$/;" c +VarHandleOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^VarHandleOp = tf_export("raw_ops.VarHandleOp")(_ops.to_raw_op(var_handle_op))$/;" v +VarHeader4 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^class VarHeader4(object):$/;" c +variable adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def variable(self):$/;" m class:poly1d +Variable adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^class Variable(Node):$/;" c +VARIABLE adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^VARIABLE = ($/;" v +Variable adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^class Variable(Node):$/;" c +VARIABLE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^VARIABLE = ($/;" v +Variable adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^class Variable(Node):$/;" c +VARIABLE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^VARIABLE = ($/;" v +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^Variable = _reflection.GeneratedProtocolMessageType('Variable', (_message.Message,), {$/;" v +variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def variable(self, name, value, dtype):$/;" m class:AutoGraphTestCase +variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def variable(value, dtype=None, name=None, constraint=None):$/;" f +variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def variable(shape, dtype, container="", shared_name="", name=None):$/;" f +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^Variable = tf_export("raw_ops.Variable")(_ops.to_raw_op(variable))$/;" v +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class Variable(six.with_metaclass(VariableMetaclass, trackable.Trackable)):$/;" c +variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^variable = variables.VariableV1$/;" v +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.variables import VariableV1 as Variable$/;" x +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.variables import VariableV1 as Variable$/;" x +Variable adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.variables import VariableV1 as Variable$/;" x +Variable adpepsenv/lib/python3.8/site-packages/torch/autograd/variable.py /^class Variable(with_metaclass(VariableMeta, torch._C._LegacyVariableBase)): # type: ignore$/;" c +variable adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def variable(*args, **kwargs):$/;" f +VariableAccessTransformer adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/variables.py /^class VariableAccessTransformer(converter.Base):$/;" c +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VariableAggregation = enum_type_wrapper.EnumTypeWrapper(_VARIABLEAGGREGATION)$/;" v +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VariableAggregation = enum_type_wrapper.EnumTypeWrapper(_VARIABLEAGGREGATION)$/;" v +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class VariableAggregation(enum.Enum):$/;" c +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^VariableAggregation = variables.VariableAggregation # pylint: disable=invalid-name$/;" v +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.variables import VariableAggregationV2 as VariableAggregation$/;" x +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.variables import VariableAggregationV2 as VariableAggregation$/;" x +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.variables import VariableAggregationV2 as VariableAggregation$/;" x +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.variables import VariableAggregationV2 as VariableAggregation$/;" x +VariableAggregation adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.variables import VariableAggregationV2 as VariableAggregation$/;" x +VariableAggregationV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class VariableAggregationV2(enum.Enum):$/;" c +VariableDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VariableDef = _reflection.GeneratedProtocolMessageType('VariableDef', (_message.Message,), {$/;" v +VariableDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VariableDef = _reflection.GeneratedProtocolMessageType('VariableDef', (_message.Message,), {$/;" v +VariableHolder adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^class VariableHolder(object):$/;" c +VariableMeta adpepsenv/lib/python3.8/site-packages/torch/autograd/variable.py /^class VariableMeta(type):$/;" c +VariableMetaclass adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class VariableMetaclass(type):$/;" c +VariablePolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class VariablePolicy(object):$/;" c +VariablePolicy adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^class VariablePolicy(enum.Enum):$/;" c +VariableProxyMetaClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ class VariableProxyMetaClass(_wrapt_type, _variable_type): # pylint: disable=duplicate-bases$/;" c +VariableProxyMetaClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ VariableProxyMetaClass = _variable_type$/;" v +variables adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def variables(self):$/;" m class:VariableSet +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def variables(self):$/;" m class:ShardedVariableMixin +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def variables(self):$/;" m class:ConcreteFunction +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def variables(self):$/;" m class:VariableHolder +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def variables(self):$/;" m class:WrappedGraph +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def variables(self):$/;" m class:InputLayer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def variables(self):$/;" m class:FuncGraph +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def variables(self, var_list):$/;" m class:FuncGraph +VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def VARIABLES(cls): # pylint: disable=no-self-argument$/;" m class:GraphKeys +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def variables(self):$/;" m class:Layer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def variables(self):$/;" m class:Layer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def variables(self):$/;" m class:LossScaleOptimizer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def variables(self):$/;" m class:OptimizerV2 +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def variables(self):$/;" m class:Module +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^variables = _variables.variables_initializer$/;" v +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def variables(self):$/;" m class:EagerTemplate +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def variables(self):$/;" m class:Template +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def variables(self):$/;" m class:_EagerTemplateVariableStore +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def variables(self):$/;" m class:EagerVariableStore +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def variables(self):$/;" m class:CrossShardOptimizer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def variables(self):$/;" m class:MixedPrecisionLossScaleOptimizer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def variables(self):$/;" m class:Optimizer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def variables(self):$/;" m class:SyncReplicasOptimizer +variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def variables(self):$/;" m class:TrackableDataStructure +variables adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.variables import variables_initializer as variables$/;" x +variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def variables(self):$/;" m class:ModelFunction +VariablesAndOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^VariablesAndOps = collections.namedtuple($/;" v +VariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ VariableSaveable = saveable_object_util.ReferenceVariableSaveable$/;" v class:BaseSaverBuilder +VariableScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class VariableScope(object):$/;" c +VariableSet adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^class VariableSet:$/;" c +VariableShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^VariableShape = tf_export("raw_ops.VariableShape")(_ops.to_raw_op(variable_shape))$/;" v +VariableSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class VariableSpec(tensor_spec.DenseSpec):$/;" c +VariableSynchronization adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VariableSynchronization = enum_type_wrapper.EnumTypeWrapper(_VARIABLESYNCHRONIZATION)$/;" v +VariableSynchronization adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VariableSynchronization = enum_type_wrapper.EnumTypeWrapper(_VARIABLESYNCHRONIZATION)$/;" v +VariableSynchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class VariableSynchronization(enum.Enum):$/;" c +VariableSynchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^VariableSynchronization = variables.VariableSynchronization # pylint: disable=invalid-name$/;" v +variables_accessed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def variables_accessed(variables):$/;" f +VARIABLES_DIRECTORY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^VARIABLES_DIRECTORY = "variables"$/;" v +VARIABLES_FILENAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/constants.py /^VARIABLES_FILENAME = "variables"$/;" v +variables_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def variables_initializer(var_list, name="init"):$/;" f +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_lib adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^from tensorflow.python.ops import variables as variables_lib$/;" x +variables_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^from tensorflow.python.ops import variables as variables_module$/;" x +variables_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^from tensorflow.python.ops import variables as variables_module$/;" x +variables_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^from tensorflow.python.ops import variables as variables_module$/;" x +variables_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def variables_path(self):$/;" m class:SavedModelLoader +variables_to_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def variables_to_restore(self, moving_avg_variables=None):$/;" m class:ExponentialMovingAverage +VariableV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^class VariableV1(Variable):$/;" c +VariableV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^VariableV2 = tf_export("raw_ops.VariableV2")(_ops.to_raw_op(variable_v2))$/;" v +VariableWatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^class VariableWatcher(object):$/;" c +variable_accessed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def variable_accessed(variable):$/;" f +variable_accessed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def variable_accessed(variable):$/;" f +VARIABLE_AGGREGATION_MEAN adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_AGGREGATION_MEAN = 2$/;" v +VARIABLE_AGGREGATION_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_AGGREGATION_MEAN = 2$/;" v +VARIABLE_AGGREGATION_NONE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_AGGREGATION_NONE = 0$/;" v +VARIABLE_AGGREGATION_NONE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_AGGREGATION_NONE = 0$/;" v +VARIABLE_AGGREGATION_ONLY_FIRST_REPLICA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_AGGREGATION_ONLY_FIRST_REPLICA = 3$/;" v +VARIABLE_AGGREGATION_ONLY_FIRST_REPLICA adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_AGGREGATION_ONLY_FIRST_REPLICA = 3$/;" v +VARIABLE_AGGREGATION_SUM adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_AGGREGATION_SUM = 1$/;" v +VARIABLE_AGGREGATION_SUM adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_AGGREGATION_SUM = 1$/;" v +variable_axis_size_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^def variable_axis_size_partitioner($/;" f +variable_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def variable_captures(self):$/;" m class:FuncGraph +variable_capturing_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def variable_capturing_scope(unused_next_creator, **kwds):$/;" f member:Function._initialize file: +VARIABLE_CLASS_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^VARIABLE_CLASS_MAPPING = {$/;" v +variable_created_in_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def variable_created_in_scope(self, v):$/;" m class:StrategyExtendedV2 +variable_created_in_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def variable_created_in_scope(self, v):$/;" m class:_DefaultDistributionExtended +variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def variable_creator(name, initializer, trainable=True):$/;" f function:TPUEmbedding._create_variables_and_slots.create_variables file: +variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def variable_creator_scope(self, next_creator, **kwargs):$/;" m class:VariableHolder +variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def variable_creator_scope(variable_creator):$/;" f +variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.variable_scope import variable_creator_scope_v1 as variable_creator_s/;" x +variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.variable_scope import variable_creator_scope_v1 as variable_creator_s/;" x +variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.variable_scope import variable_creator_scope_v1 as variable_creator_s/;" x +variable_creator_scope_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def variable_creator_scope_v1(variable_creator):$/;" f +variable_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def variable_dtype(self):$/;" m class:Layer +variable_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def variable_dtype(self):$/;" m class:Policy +variable_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def variable_eager_fallback(shape, dtype, container, shared_name, name, ctx):$/;" f +variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def variable_op(shape, dtype, name="Variable", set_shape=True, container="",$/;" f +variable_op_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def variable_op_scope(values,$/;" f +variable_op_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/state_ops.py /^def variable_op_v2(shape, dtype, name="Variable", container="", shared_name=""):$/;" f +VARIABLE_POLICY_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^VARIABLE_POLICY_MAPPING = {$/;" v +variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def variable_scope(self):$/;" m class:Template +variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class variable_scope(object):$/;" c +variable_scope_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def variable_scope_count(self, scope_name):$/;" m class:_VariableScopeStore +variable_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def variable_scope_name(self):$/;" m class:Template +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:BucketizedColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:DenseColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:EmbeddingColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:IndicatorColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:NumericColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def variable_shape(self):$/;" m class:SharedEmbeddingColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def variable_shape(self):$/;" m class:SequenceNumericColumn +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def variable_shape(input, out_type=_dtypes.int32, name=None):$/;" f +variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def variable_shape(handle, out_type=dtypes.int32):$/;" f +variable_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def variable_shape_eager_fallback(input, out_type, name, ctx):$/;" f +VARIABLE_SYNCHRONIZATION_AUTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_AUTO = 0$/;" v +VARIABLE_SYNCHRONIZATION_AUTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_AUTO = 0$/;" v +VARIABLE_SYNCHRONIZATION_NONE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_NONE = 1$/;" v +VARIABLE_SYNCHRONIZATION_NONE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_NONE = 1$/;" v +VARIABLE_SYNCHRONIZATION_ON_READ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_ON_READ = 3$/;" v +VARIABLE_SYNCHRONIZATION_ON_READ adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_ON_READ = 3$/;" v +VARIABLE_SYNCHRONIZATION_ON_WRITE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_ON_WRITE = 2$/;" v +VARIABLE_SYNCHRONIZATION_ON_WRITE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^VARIABLE_SYNCHRONIZATION_ON_WRITE = 2$/;" v +variable_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def variable_v2(shape, dtype, container="", shared_name="", name=None):$/;" f +variable_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^def variable_v2_eager_fallback(shape, dtype, container, shared_name, name, ctx):$/;" f +VARIABLE_VALUE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^VARIABLE_VALUE_KEY = "VARIABLE_VALUE"$/;" v +variadic_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^variadic_reduce = gen_xla_ops.xla_variadic_reduce$/;" v +variance adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def variance(input, labels=None, index=None):$/;" f +Variance adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^Variance = namedtuple('Variance', ('statistic', 'minmax'))$/;" v +variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def variance(self, name="variance"):$/;" m class:Distribution +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def variance(self):$/;" m class:Bernoulli +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def variance(self):$/;" m class:Beta +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def variance(self):$/;" m class:Binomial +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def variance(self):$/;" m class:Categorical +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def variance(self):$/;" m class:Cauchy +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def variance(self):$/;" m class:ContinuousBernoulli +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def variance(self):$/;" m class:Dirichlet +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def variance(self):$/;" m class:Distribution +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def variance(self):$/;" m class:Exponential +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def variance(self):$/;" m class:FisherSnedecor +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def variance(self):$/;" m class:Gamma +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def variance(self):$/;" m class:Geometric +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def variance(self):$/;" m class:Gumbel +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def variance(self):$/;" m class:HalfCauchy +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def variance(self):$/;" m class:HalfNormal +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def variance(self):$/;" m class:Independent +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def variance(self):$/;" m class:Laplace +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def variance(self):$/;" m class:LogNormal +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def variance(self):$/;" m class:LowRankMultivariateNormal +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def variance(self):$/;" m class:MixtureSameFamily +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def variance(self):$/;" m class:Multinomial +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def variance(self):$/;" m class:MultivariateNormal +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def variance(self):$/;" m class:NegativeBinomial +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def variance(self):$/;" m class:Normal +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def variance(self):$/;" m class:OneHotCategorical +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def variance(self):$/;" m class:Pareto +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def variance(self):$/;" m class:Poisson +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def variance(self):$/;" m class:StudentT +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def variance(self):$/;" m class:Uniform +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def variance(self):$/;" m class:VonMises +variance adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ def variance(self):$/;" m class:Weibull +VarianceScaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class VarianceScaling(init_ops_v2.VarianceScaling, Initializer):$/;" c +VarianceScaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class VarianceScaling(Initializer):$/;" c +VarianceScaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class VarianceScaling(Initializer):$/;" c +variance_contribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def variance_contribution(accumulator):$/;" f member:_NormalizingCombiner.merge file: +variance_scaling adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def variance_scaling(scale, mode, distribution, in_axis=-2, out_axis=-1, dtype=jnp.float32):$/;" f +variance_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import VarianceScaling as variance_sca/;" x +variance_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import VarianceScaling as variance_sca/;" x +variance_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^variance_scaling = init_ops.variance_scaling_initializer$/;" v +variance_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import VarianceScaling as variance_scaling$/;" x +variance_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^variance_scaling_initializer = VarianceScaling$/;" v +variance_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^variance_scaling_initializer = VarianceScaling$/;" v +variance_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import VarianceScaling as variance_scaling_initializer$/;" x +variance_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import VarianceScaling as variance_scaling_initializer$/;" x +variance_scaling_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import VarianceScaling as variance_scaling_initializer$/;" x +variance_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def variance_update():$/;" f member:BatchNormalizationBase.call file: +variance_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def variance_update():$/;" f member:BatchNormalizationBase._fused_batch_norm file: +variant adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^variant = DType(types_pb2.DT_VARIANT)$/;" v +variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^variant = DType(types_pb2.DT_VARIANT)$/;" v +VariantTensorDataProto adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^VariantTensorDataProto = _reflection.GeneratedProtocolMessageType('VariantTensorDataProto', (_me/;" v +VariantTensorDataProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^VariantTensorDataProto = _reflection.GeneratedProtocolMessageType('VariantTensorDataProto', (_me/;" v +variant_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^variant_ref = DType(types_pb2.DT_VARIANT_REF)$/;" v +variant_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^variant_ref = DType(types_pb2.DT_VARIANT_REF)$/;" v +variation adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def variation(a, axis=0):$/;" f +variation adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def variation(a, axis=0, nan_policy='propagate'):$/;" f +VarIsInitializedOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^VarIsInitializedOp = tf_export("raw_ops.VarIsInitializedOp")(_ops.to_raw_op(var_is_initialized_o/;" v +varkw_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def varkw_name(self):$/;" m class:FunctionSpec +VarLenFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^class VarLenFeature(collections.namedtuple("VarLenFeature", ["dtype"])):$/;" c +VarLenFeature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^VarLenFeature = parsing_config.VarLenFeature$/;" v +VarLenFeatureProto adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^VarLenFeatureProto = _reflection.GeneratedProtocolMessageType('VarLenFeatureProto', (_message.Me/;" v +varmats_from_mat adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def varmats_from_mat(file_obj):$/;" f +VarReader4 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^class VarReader4(object):$/;" c +vars2fortran adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def vars2fortran(block, vars, args, tab='', as_interface=False):$/;" f +vars_by_fanout adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def vars_by_fanout(jaxpr: core.Jaxpr):$/;" f +VARTYPE_EG adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_netcdf.py /^VARTYPE_EG = 'b' # var type for example variable$/;" v +VarWriter4 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^class VarWriter4(object):$/;" c +VarWriter5 adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^class VarWriter5(object):$/;" c +vary adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ vary = _set_property($/;" v class:CommonResponseDescriptorsMixin +var_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def var_creator(**kwargs):$/;" f member:ParameterServerStrategyExtended._create_variable file: +var_defs_and_refs adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^def var_defs_and_refs(jaxpr: core.Jaxpr):$/;" f +VAR_DEPENDENCY adpepsenv/lib/python3.8/site-packages/torch/hub.py /^VAR_DEPENDENCY = 'dependencies'$/;" v +var_handle_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def var_handle_op(dtype, shape, container="", shared_name="", allowed_devices=[], name=None):$/;" f +var_handle_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def var_handle_op_eager_fallback(dtype, shape, container, shared_name, allowed_devices, name, ct/;" f +VAR_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ VAR_IDX = 2$/;" v class:_NormalizingCombiner +var_is_initialized_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def var_is_initialized_op(resource, name=None):$/;" f +var_is_initialized_op_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^def var_is_initialized_op_eager_fallback(resource, name, ctx):$/;" f +var_offset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def var_offset(self):$/;" m class:_PartitionInfo +var_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^from tensorflow.python.ops import variables as var_ops$/;" x +VAR_OP_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^VAR_OP_TYPES = [$/;" v +var_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def var_scope(self):$/;" m class:Template +VastFCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^class VastFCompiler(GnuFCompiler):$/;" c +Vbox adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Vbox(Box):$/;" c +VBoxDivider adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^class VBoxDivider(HBoxDivider):$/;" c +vc adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ vc = np.arange(10.)$/;" v class:TestMatmul +vc adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def vc(self):$/;" m class:RegistryInfo +vcat adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^def vcat(ps):$/;" f +VCentered adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class VCentered(Vlist):$/;" c +VCIncludes adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCIncludes(self):$/;" m class:EnvironmentInfo +VCInstallDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCInstallDir(self):$/;" m class:SystemInfo +VCLibraries adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCLibraries(self):$/;" m class:EnvironmentInfo +vcpkg adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ vcpkg = shutil.which('vcpkg')$/;" v +vcpkg_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ vcpkg_dir = os.path.dirname(vcpkg)$/;" v +vcpkg_installed adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ vcpkg_installed = os.path.join(vcpkg_dir, 'installed')$/;" v +VCRuntimeRedist adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCRuntimeRedist(self):$/;" m class:EnvironmentInfo +vcs adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^vcs = VcsSupport()$/;" v +VcsHashUnsupported adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^class VcsHashUnsupported(HashError):$/;" c +VcsInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^class VcsInfo(object):$/;" c +VcsSupport adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^class VcsSupport(object):$/;" c +VCStoreRefs adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCStoreRefs(self):$/;" m class:EnvironmentInfo +VCTools adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VCTools(self):$/;" m class:EnvironmentInfo +vc_for_python adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def vc_for_python(self):$/;" m class:RegistryInfo +vc_ver adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def vc_ver(self):$/;" m class:EnvironmentInfo +VDI_PS adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^VDI_PS = """\\$/;" v +vdot adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def vdot(a, b, *, precision=None):$/;" f +vdot adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def vdot(a, b):$/;" f +vdot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def vdot(a, b): # pylint: disable=missing-docstring$/;" f +VDSmap adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^class VDSmap(namedtuple('VDSmap', ('vspace', 'file_name',$/;" c +vds_support adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ vds_support = True$/;" v +vds_support adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^vds_support = False$/;" v +Vec adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class Vec:$/;" c member:TestDot.test_vecobject file: +vec adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def vec(M):$/;" f +vecnorm adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def vecnorm(x, ord=2):$/;" f +Vector adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def Vector(self, off):$/;" m class:Table +vector adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^def vector(length):$/;" f +Vector adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^Vector = _reflection.GeneratedProtocolMessageType('Vector', (_message.Message,), {$/;" v +VectorFunction adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^class VectorFunction(object):$/;" c +vectorize adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def vectorize(self, f: lu.WrappedFun, in_axes, out_axes):$/;" m class:EvaluationPlan +vectorize adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def vectorize(pyfunc, *, excluded=frozenset(), signature=None):$/;" f +vectorize adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^class vectorize:$/;" c +vectorize1 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def vectorize1(func, args=(), vec_func=False):$/;" f +vectorized_batcher adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def vectorized_batcher(prim, batched_args, batch_dims, **params):$/;" f +vectorized_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def vectorized_map(fn, elems, fallback_to_while_loop=True):$/;" f +vectorized_masking_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def vectorized_masking_rule(prim, padded_vals, logical_shapes, **params):$/;" f +VectorLen adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def VectorLen(self, off):$/;" m class:Table +vectorstrength adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def vectorstrength(events, period):$/;" f +vectors_are_parallel adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def vectors_are_parallel(v, w):$/;" f +vector_to_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/utils/convert_parameters.py /^def vector_to_parameters(vec: torch.Tensor, parameters: Iterable[torch.Tensor]) -> None:$/;" f +vect_id adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_idl.py /^vect_id = np.vectorize(id)$/;" v +velocity_field adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_streamplot.py /^def velocity_field():$/;" f +vendored adpepsenv/lib/python3.8/site-packages/pip/_vendor/__init__.py /^def vendored(modulename):$/;" f +vendored_package adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/packages.py /^ vendored_package = "pip._vendor." + package$/;" v +VendorImporter adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^class VendorImporter:$/;" c +VendorImporter adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^class VendorImporter:$/;" c +VendorLoadErrorCode adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class VendorLoadErrorCode(univ.Integer):$/;" c +Verbatim adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class Verbatim:$/;" c +verbose adpeps/simulation/run_ipeps_gs.py /^ def verbose(xk, step_size=None):$/;" f function:run file: +verbose adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ verbose = 0$/;" v +verbose adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^ verbose = 2$/;" v +verbose adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^verbose = 1 # Be quiet if 0, extra verbose if > 1.$/;" v +verbose adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^verbose = 0$/;" v +VERBOSE adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^VERBOSE = 0$/;" v +verbose adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^verbose = partial($/;" v +VerboseApexUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class VerboseApexUpdateConfirm(univ.Sequence):$/;" c +VerboseCommunityConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class VerboseCommunityConfirm(univ.Sequence):$/;" c +VerboseStatusResponse adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class VerboseStatusResponse(univ.Sequence):$/;" c +VerboseUpdateConfirm adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^class VerboseUpdateConfirm(univ.Sequence):$/;" c +verbose_stacktrace adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ verbose_stacktrace = False$/;" v class:ParserElement +verbose_stacktrace adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ verbose_stacktrace = False$/;" v class:ParserElement +verbose_stacktrace adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ verbose_stacktrace = False$/;" v class:ParserElement +verbose_stacktrace adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ verbose_stacktrace = False$/;" v class:ParserElement +verbosity_level adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^verbosity_level = None # vlog-like. Takes precedence over the env variable.$/;" v +VERBOSITY_VAR_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^VERBOSITY_VAR_NAME = 'AUTOGRAPH_VERBOSITY'$/;" v +VERDICT_ERROR adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^VERDICT_ERROR = 3$/;" v +VERDICT_OK adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^VERDICT_OK = 1$/;" v +VERDICT_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^VERDICT_UNKNOWN = 0$/;" v +VERDICT_WARN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^VERDICT_WARN = 2$/;" v +VerificationError adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^class VerificationError(CryptoError):$/;" c +VerifiedHTTPSConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^VerifiedHTTPSConnection = HTTPSConnection$/;" v +VerifiedHTTPSConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^VerifiedHTTPSConnection = HTTPSConnection$/;" v +Verifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^class Verifier(object):$/;" c +Verifier adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^Verifier = base.Verifier$/;" v +VerifierConfig adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^VerifierConfig = _reflection.GeneratedProtocolMessageType('VerifierConfig', (_message.Message,),/;" v +VerifierConfig adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^VerifierConfig = _reflection.GeneratedProtocolMessageType('VerifierConfig', (_message.Message,),/;" v +VerifierMissing adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^class VerifierMissing(ValueError):$/;" c +verifier_length adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def verifier_length(self):$/;" m class:RequestValidator +verify adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def verify(self, flag_values):$/;" m class:Validator +verify adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def verify(inputs, outputs):$/;" f member:TestBoxWithNMSLimitOp.test_detections_per_im_same_thresh file: +verify adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/box_with_nms_limit_op_test.py /^ def verify(inputs, outputs):$/;" f member:TestBoxWithNMSLimitOp.test_detections_per_im_same_thresh_multiclass file: +verify adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^ def verify(self, message, signature):$/;" m class:Verifier +verify adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def verify(self, message, signature):$/;" m class:ES256Verifier +verify adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def verify(self, message, signature):$/;" m class:RSAVerifier +verify adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def verify(self, message, signature):$/;" m class:RSAVerifier +verify adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def verify(self):$/;" m class:Image +verify adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def verify(self):$/;" m class:ImageFile +verify adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def verify(self):$/;" m class:PngImageFile +verify adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def verify(self, endchunk=b"IEND"):$/;" m class:ChunkStream +verify adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def verify(self):$/;" m class:Wheel +verify adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^verify = VerifyOperation()$/;" v +verify adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def verify(message: bytes, signature: bytes, pub_key: key.PublicKey) -> str:$/;" f +verify adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def verify(self, zs, t):$/;" m class:ComplexExp +verify adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def verify(self, zs, t):$/;" m class:CoupledDecay +verify adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def verify(self, zs, t):$/;" m class:Pi +verify adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def verify(self, zs, t):$/;" m class:SimpleOscillator +verify adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^def verify(node):$/;" f +verify adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def verify(model, args, loss_fn=torch.sum, devices=None):$/;" f +verifyCol adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def verifyCol(strg, locn, toks):$/;" f function:matchOnlyAtCol file: +verifyCol adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def verifyCol(strg,locn,toks):$/;" f function:matchOnlyAtCol file: +verifyCol adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def verifyCol(strg, locn, toks):$/;" f function:matchOnlyAtCol file: +verifyCol adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def verifyCol(strg,locn,toks):$/;" f function:matchOnlyAtCol file: +VerifyingHTTPSConn adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^class VerifyingHTTPSConn(HTTPSConnection):$/;" c +VerifyingHTTPSHandler adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^class VerifyingHTTPSHandler(HTTPSHandler):$/;" c +VerifyOperation adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^class VerifyOperation(CryptoOperation):$/;" c +verifySizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def verifySizeSpec(self):$/;" m class:ConstructedAsn1Type +verify_apply_bounding_box adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def verify_apply_bounding_box(img, box):$/;" f +verify_assignments adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def verify_assignments(assignments):$/;" f +verify_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def verify_batch_size(tensor):$/;" f member:_PaddingSignals.slice_tensor_or_dict file: +verify_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def verify_captures(op_type, branch_graphs):$/;" f +verify_cases adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^verify_cases = [$/;" v +verify_color_normalize adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def verify_color_normalize(img, means, stds):$/;" f +verify_command_form adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def verify_command_form(name, value):$/;" f member:FCompiler.find_executables file: +verify_cost adpepsenv/lib/python3.8/site-packages/caffe2/contrib/warpctc/ctc_ops_test.py /^ def verify_cost(self, device_option, is_test, skip_input_lengths=False):$/;" m class:CTCOpsTest +verify_crop adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def verify_crop(img, crop):$/;" f +verify_dataset_shuffled adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^def verify_dataset_shuffled(x):$/;" f +verify_error_on_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_error_on_save(self,$/;" m class:DatasetSerializationTestBase +verify_exhausted_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_exhausted_iterator(self, ds_fn, num_outputs, sparse_tensors=False):$/;" m class:DatasetSerializationTestBase +verify_firebase_token adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^def verify_firebase_token(id_token, request, audience=None):$/;" f +verify_firebase_token adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^async def verify_firebase_token(id_token, request, audience=None):$/;" f +verify_fully_used_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_fully_used_iterator(self, ds_fn, num_outputs,$/;" m class:DatasetSerializationTestBase +verify_gauss_quad adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^def verify_gauss_quad(root_func, eval_func, weight_func, a, b, N,$/;" f +verify_graph_equality adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def verify_graph_equality(net_a, net_b):$/;" f +verify_hmac_sha1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def verify_hmac_sha1(request, client_secret=None,$/;" f +verify_hmac_sha256 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def verify_hmac_sha256(request, client_secret=None,$/;" f +verify_inplace_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def verify_inplace_blobs(net_a, net_b):$/;" f +verify_matching_signatures adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^def verify_matching_signatures(implementation, dispatcher):$/;" f +verify_metadata adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def verify_metadata(self):$/;" m class:register +verify_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def verify_mode(self):$/;" m class:PyOpenSSLContext +verify_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def verify_mode(self, value):$/;" m class:PyOpenSSLContext +verify_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def verify_mode(self):$/;" m class:SecureTransportContext +verify_mode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def verify_mode(self, value):$/;" m class:SecureTransportContext +verify_mode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def verify_mode(self):$/;" m class:PyOpenSSLContext +verify_mode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def verify_mode(self, value):$/;" m class:PyOpenSSLContext +verify_mode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def verify_mode(self):$/;" m class:SecureTransportContext +verify_mode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def verify_mode(self, value):$/;" m class:SecureTransportContext +verify_model_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def verify_model_fn_args(model_fn, params):$/;" f +verify_multiple_breaks adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_multiple_breaks(self,$/;" m class:DatasetSerializationTestBase +verify_ninja_availability adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def verify_ninja_availability():$/;" f +verify_oauth2_token adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^def verify_oauth2_token(id_token, request, audience=None):$/;" f +verify_oauth2_token adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^async def verify_oauth2_token(id_token, request, audience=None):$/;" f +verify_plaintext adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def verify_plaintext(request, client_secret=None, resource_owner_secret=None):$/;" f +verify_polar adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_polar.py /^def verify_polar(a):$/;" f +verify_pre_post_state adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def verify_pre_post_state(obj):$/;" f function:test_setattr_cm file: +verify_realms adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def verify_realms(self, token, realms, request):$/;" m class:RequestValidator +verify_records adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def verify_records(self,$/;" m class:MakeBatchedFeaturesDatasetTestBase +verify_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def verify_request(self, uri, http_method='GET', body=None, headers=None,$/;" m class:ResourceEndpoint +verify_request_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def verify_request_token(self, token, request):$/;" m class:RequestValidator +verify_rescale adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/image_input_op_test.py /^def verify_rescale(img, minsize):$/;" f +verify_reset_restored_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_reset_restored_iterator(self,$/;" m class:DatasetSerializationTestBase +verify_rsa_sha1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def verify_rsa_sha1(request, rsa_public_key):$/;" f +verify_run_with_breaks adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_run_with_breaks(self,$/;" m class:DatasetSerializationTestBase +verify_signature adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^def verify_signature(message, signature, certs, verifier_cls=rsa.RSAVerifier):$/;" f +verify_signature adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def verify_signature(self, signature_filename, data_filename,$/;" m class:PackageIndex +verify_signed_token adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^def verify_signed_token(public_pem, token):$/;" f +verify_single_cond_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def verify_single_cond_var(name, body_var, orelse_var):$/;" f +verify_tensor_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numerics.py /^def verify_tensor_all_finite(t=None, msg=None, name=None, x=None, message=None):$/;" f +verify_tensor_all_finite_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numerics.py /^def verify_tensor_all_finite_v2(x, message, name=None):$/;" f +verify_token adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^def verify_token(id_token, request, audience=None, certs_url=_GOOGLE_OAUTH2_CERTS_URL):$/;" f +verify_token adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^async def verify_token($/;" f +verify_unused_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def verify_unused_iterator(self,$/;" m class:DatasetSerializationTestBase +VERSION adpepsenv/lib/python3.8/site-packages/chardet/version.py /^VERSION = __version__.split('.')$/;" v +version adpepsenv/lib/python3.8/site-packages/dateutil/_version.py /^version = '2.8.1'$/;" v +Version adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^Version = _reflection.GeneratedProtocolMessageType('Version', (_message.Message,), {$/;" v +Version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^def Version():$/;" f +version adpepsenv/lib/python3.8/site-packages/h5py/version.py /^version = "{0.major:d}.{0.minor:d}.{0.bugfix:d}".format(version_tuple)$/;" v +version adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^version = tuple(int(x) for x in jaxlib_version.__version__.split('.'))$/;" v +Version adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^class Version(namedtuple("Version", ["major", "minor", "micro", "release", "pre", "post", "dev"]/;" c +version adpepsenv/lib/python3.8/site-packages/mpi4py/run.py /^ def version():$/;" f function:main file: +version adpepsenv/lib/python3.8/site-packages/numpy/f2py/__version__.py /^ version = '%s_%s' % version_info$/;" v +version adpepsenv/lib/python3.8/site-packages/numpy/f2py/__version__.py /^ version = str(major)$/;" v +version adpepsenv/lib/python3.8/site-packages/numpy/version.py /^ version = full_version$/;" v +version adpepsenv/lib/python3.8/site-packages/numpy/version.py /^version = '1.19.5'$/;" v +version adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def version(feature):$/;" f +VERSION adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^VERSION = "1.0.0 pil"$/;" v +version adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^version = partial($/;" v +version adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/base.py /^ def version(self):$/;" m class:Candidate +version adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def version(self):$/;" m class:AlreadyInstalledCandidate +version adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def version(self):$/;" m class:ExtrasCandidate +version adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def version(self):$/;" m class:RequiresPythonCandidate +version adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def version(self):$/;" m class:_InstallRequirementBackedCandidate +VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/version.py /^VERSION = __version__.split('.')$/;" v +Version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class Version(object):$/;" c +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^version = "0.9.0"$/;" v +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def version(self, pretty=False, best=False):$/;" m class:LinuxDistribution +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def version(pretty=False, best=False):$/;" f +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def version(self):$/;" m class:_BaseV4 +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def version(self):$/;" m class:_BaseV6 +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def version(self):$/;" m class:_IPAddressBase +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/_version.py /^version = (1, 0, 0)$/;" v +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def version(self):$/;" m class:_IndividualSpecifier +Version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^class Version(_BaseVersion):$/;" c +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def version(self):$/;" m class:Distribution +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def version(self):$/;" m class:WrappedSocket +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def version(self):$/;" m class:WrappedSocket +version adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^version = platform.mac_ver()[0]$/;" v +VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^VERSION = '0.5.1'$/;" v +version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def version(self):$/;" m class:_IndividualSpecifier +Version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^class Version(_BaseVersion):$/;" c +version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def version(self):$/;" m class:Distribution +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2314.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^class Version(univ.Integer): # overrides x509.Version$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2437.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2560.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5208.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^class Version(univ.Integer):$/;" c +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^Version = rfc2560.Version$/;" v +Version adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^class Version(univ.Integer):$/;" c +version adpepsenv/lib/python3.8/site-packages/scipy/version.py /^ version = full_version$/;" v +version adpepsenv/lib/python3.8/site-packages/scipy/version.py /^version = '1.6.0'$/;" v +Version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class Version(_BaseVersion):$/;" c +VERSION adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^VERSION = get_build_version()$/;" v +Version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^class Version:$/;" c +version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def version(self):$/;" m class:_IndividualSpecifier +Version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^class Version(_BaseVersion):$/;" c +VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/version.py /^VERSION = "2.4.1"$/;" v +VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/version.py /^VERSION = (2, 0, 0)$/;" v +VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^VERSION = '0.5.1'$/;" v +Version adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Version(self):$/;" m class:Model +Version adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def Version(self):$/;" m class:OperatorCode +version adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def version(self):$/;" m class:Graph +VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^VERSION = __version__$/;" v +VERSION adpepsenv/lib/python3.8/site-packages/termcolor.py /^VERSION = (1, 1, 0)$/;" v +version adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^def version():$/;" f +version adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def version():$/;" f +version adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def version(self):$/;" m class:WrappedSocket +version adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def version(self):$/;" m class:WrappedSocket +version adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^version = platform.mac_ver()[0]$/;" v +version adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def version(self):$/;" m class:SSLTransport +VersionAwareLayers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^class VersionAwareLayers(object):$/;" c +VersionBuild adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class VersionBuild(base_class):$/;" c function:read_mach_header file: +VersionCandidates adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ VersionCandidates = Dict[_BaseVersion, Candidate]$/;" v +VersionComparisonMethod adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ VersionComparisonMethod = Callable[$/;" v +VersionConflict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class VersionConflict(ResolutionError):$/;" c +VersionConflict adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class VersionConflict(ResolutionError):$/;" c +VersionControl adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^class VersionControl(object):$/;" c +VersionDef adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^VersionDef = _reflection.GeneratedProtocolMessageType('VersionDef', (_message.Message,), {$/;" v +VersionDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^VersionDef = _reflection.GeneratedProtocolMessageType('VersionDef', (_message.Message,), {$/;" v +VersionedTFImport adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^class VersionedTFImport(ast_edits.AnalysisResult):$/;" c +VersionedTypeRegistration adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^class VersionedTypeRegistration(object):$/;" c +VersioneerBadRootError versioneer.py /^class VersioneerBadRootError(Exception):$/;" c +VersioneerConfig adpeps/_version.py /^class VersioneerConfig:$/;" c +VersioneerConfig versioneer.py /^class VersioneerConfig:$/;" c +VersionInfo adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ VersionInfo = Tuple[int, int, int]$/;" v +VersionlessRequirement adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^class VersionlessRequirement:$/;" c +VersionMinCommand adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ class VersionMinCommand(base_class):$/;" c function:read_mach_header file: +versionMismatchInfoIn adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def versionMismatchInfoIn(name):$/;" f member:IR.CheckGradientOperatorInput file: +versionMismatchInfoOut adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def versionMismatchInfoOut(name):$/;" f member:IR.CheckGradientOperatorInput file: +VersionPredicate adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^class VersionPredicate:$/;" c +versions adpepsenv/lib/python3.8/site-packages/opt_einsum/__init__.py /^versions = get_versions()$/;" v +versions adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^def versions():$/;" f +VersionScheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^class VersionScheme(object):$/;" c +versions_from_file versioneer.py /^def versions_from_file(filename):$/;" f +versions_from_parentdir adpeps/_version.py /^def versions_from_parentdir(parentdir_prefix, root, verbose):$/;" f +versions_from_parentdir versioneer.py /^def versions_from_parentdir(parentdir_prefix, root, verbose):$/;" f +VERSION_AND_MARKER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_AND_MARKER = VERSION_SPEC + Optional(MARKER)$/;" v +VERSION_AND_MARKER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_AND_MARKER = VERSION_SPEC + Optional(MARKER)$/;" v +VERSION_AND_MARKER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_AND_MARKER = VERSION_SPEC + Optional(MARKER)$/;" v +version_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ version_class = LegacyVersion$/;" v class:LegacyMatcher +version_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ version_class = None$/;" v class:Matcher +version_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ version_class = NormalizedVersion$/;" v class:NormalizedMatcher +version_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ version_class = SemanticVersion$/;" v class:SemanticMatcher +version_cmd adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ version_cmd = _command_property('version_cmd')$/;" v class:FCompiler +VERSION_CMP adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^VERSION_CMP = ($/;" v +VERSION_CMP adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^VERSION_CMP = ($/;" v +VERSION_CMP adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^VERSION_CMP = ($/;" v +version_codec adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def version_codec(feature):$/;" f +VERSION_COMMAND adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ VERSION_COMMAND = "version"$/;" v class:CommandHandlerRegistry +VERSION_COMMAND_ALIASES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ VERSION_COMMAND_ALIASES = ["ver"]$/;" v class:CommandHandlerRegistry +VERSION_COMPATIBLE adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^VERSION_COMPATIBLE = (1, 0)$/;" v +version_f adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^def version_f(args):$/;" f +version_feature adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def version_feature(feature):$/;" f +version_flag adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_flag = '--modversion'$/;" v class:_pkg_config_info +version_flag adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_flag = '--version'$/;" v class:wx_info +VERSION_IDENTIFIER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^VERSION_IDENTIFIER = re.compile(r'^([\\w\\.*+-]+)\\s*')$/;" v +version_info adpepsenv/lib/python3.8/site-packages/numpy/f2py/__version__.py /^ version_info = (major, version)$/;" v +version_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/bindings.py /^version_info = tuple(map(int, version.split(".")))$/;" v +version_info adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/bindings.py /^version_info = tuple(map(int, version.split(".")))$/;" v +version_info_to_nodot adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def version_info_to_nodot(version_info):$/;" f +version_json adpepsenv/lib/python3.8/site-packages/matplotlib/_version.py /^version_json = '''$/;" v +version_json adpepsenv/lib/python3.8/site-packages/opt_einsum/_version.py /^version_json = '''$/;" v +VERSION_LEGACY adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_LEGACY = Regex(LegacySpecifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +VERSION_LEGACY adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_LEGACY = Regex(LegacySpecifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +VERSION_LEGACY adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_LEGACY = Regex(LegacySpecifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'FREETYPE2_VERSION'$/;" v class:freetype2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GDK_PIXBUF_VERSION'$/;" v class:gdk_pixbuf_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GDK_PIXBUF_XLIB_VERSION'$/;" v class:gdk_pixbuf_xlib_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GDK_VERSION'$/;" v class:gdk_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GDK_VERSION'$/;" v class:gdk_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GDK_X11_VERSION'$/;" v class:gdk_x11_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GTK_VERSION'$/;" v class:gtkp_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'GTK_X11_VERSION'$/;" v class:gtkp_x11_2_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'WX_VERSION'$/;" v class:wx_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = 'XFT_VERSION'$/;" v class:xft_info +version_macro_name adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ version_macro_name = None$/;" v class:_pkg_config_info +VERSION_MANY adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_MANY = Combine($/;" v +VERSION_MANY adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_MANY = Combine($/;" v +VERSION_MANY adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_MANY = Combine($/;" v +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def version_match(self, version_string):$/;" m class:Gnu95FCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def version_match(self, version_string):$/;" m class:GnuFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = intel_version_match('32-bit|IA-32')$/;" v class:IntelFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = intel_version_match('32-bit|IA-32')$/;" v class:IntelVisualFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = intel_version_match('EM64T-based|Intel\\\\(R\\\\) 64|64|IA-64|64-bit')$/;" v class:IntelEM64TFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = intel_version_match('Itanium')$/;" v class:IntelItaniumVisualFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = intel_version_match('Itanium|IA-64')$/;" v class:IntelItaniumFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/intel.py /^ version_match = simple_version_match(start=r'Intel\\(R\\).*?64,')$/;" v class:IntelEM64VisualFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ def version_match(self, version_string):$/;" m class:BaseNAGFCompiler +version_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/sun.py /^ version_match = simple_version_match($/;" v class:SunFCompiler +VERSION_MATCHER adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ VERSION_MATCHER = PEP440_VERSION_RE$/;" v class:Metadata +version_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^def version_metadata():$/;" f +version_min_command_fields adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^version_min_command_fields = segment_base_fields + \\$/;" v +version_module adpepsenv/lib/python3.8/site-packages/PIL/features.py /^def version_module(feature):$/;" f +version_ok adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def version_ok(self, version):$/;" m class:Require +VERSION_ONE adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_ONE = VERSION_PEP440 ^ VERSION_LEGACY$/;" v +VERSION_ONE adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_ONE = VERSION_PEP440 ^ VERSION_LEGACY$/;" v +VERSION_ONE adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_ONE = VERSION_PEP440 ^ VERSION_LEGACY$/;" v +version_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def version_parts(self, best=False):$/;" m class:LinuxDistribution +version_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^def version_parts(best=False):$/;" f +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/absoft.py /^ version_pattern = r'(f90:.*?(Absoft Pro FORTRAN Version|FORTRAN 77 Compiler|Absoft Fortran C/;" v class:AbsoftFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ version_pattern = (r'(DIGITAL|Compaq) Visual Fortran Optimizing Compiler'$/;" v class:CompaqVisualFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/compaq.py /^ version_pattern = r'Compaq Fortran (?P<version>[^\\s]*).*'$/;" v class:CompaqFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/g95.py /^ version_pattern = r'G95 \\((GCC (?P<gccversion>[\\d.]+)|.*?) \\(g95 (?P<version>.*)!\\) (?P</;" v class:G95FCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/hpux.py /^ version_pattern = r'HP F90 (?P<version>[^\\s*,]*)'$/;" v class:HPUXFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/ibm.py /^ version_pattern = r'(xlf\\(1\\)\\s*|)IBM XL Fortran ((Advanced Edition |)Version |Enterpris/;" v class:IBMFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/lahey.py /^ version_pattern = r'Lahey\/Fujitsu Fortran 95 Compiler Release (?P<version>[^\\s*]*)'$/;" v class:LaheyFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/mips.py /^ version_pattern = r'MIPSpro Compilers: Version (?P<version>[^\\s*,]*)'$/;" v class:MIPSFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nag.py /^ version_pattern = r'NAG.* Release (?P<version>[^(\\s]*)'$/;" v class:BaseNAGFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/nv.py /^ version_pattern = r'\\s*(nvfortran|(pg(f77|f90|fortran)) \\(aka nvfortran\\)) (?P<version>[\\/;" v class:NVHPCFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pathf95.py /^ version_pattern = r'PathScale\\(TM\\) Compiler Suite: Version (?P<version>[\\d.]+)'$/;" v class:PathScaleFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ version_pattern = r'\\s*(flang|clang) version (?P<version>[\\d.-]+).*'$/;" v class:PGroupFlangCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/pg.py /^ version_pattern = r'\\s*pg(f77|f90|hpf|fortran) (?P<version>[\\d.-]+).*'$/;" v class:PGroupFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/vast.py /^ version_pattern = (r'\\s*Pacific-Sierra Research vf90 '$/;" v class:VastFCompiler +version_pattern adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ version_pattern = None$/;" v class:FCompiler +VERSION_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^VERSION_PATTERN = r"""$/;" v +VERSION_PATTERN adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^VERSION_PATTERN = r"""$/;" v +VERSION_PATTERN adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^VERSION_PATTERN = r"""$/;" v +VERSION_PATTERN adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^VERSION_PATTERN = r"""$/;" v +VERSION_PEP440 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_PEP440 = Regex(Specifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +VERSION_PEP440 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_PEP440 = Regex(Specifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +VERSION_PEP440 adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_PEP440 = Regex(Specifier._regex_str, re.VERBOSE | re.IGNORECASE)$/;" v +version_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ version_re = re.compile(r'^(\\d+) \\. (\\d+) (\\. (\\d+))? ([ab](\\d+))?$',$/;" v class:StrictVersion +VERSION_SPEC adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^VERSION_SPEC = originalTextFor(_VERSION_SPEC)("specifier")$/;" v +VERSION_SPEC adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^VERSION_SPEC = originalTextFor(_VERSION_SPEC)("specifier")$/;" v +VERSION_SPEC adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^VERSION_SPEC = originalTextFor(_VERSION_SPEC)("specifier")$/;" v +version_string adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def version_string(self):$/;" m class:WSGIRequestHandler +version_tuple adpepsenv/lib/python3.8/site-packages/h5py/version.py /^version_tuple = _H5PY_VERSION_CLS(2, 10, 0, None, None, None)$/;" v +Vertex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class Vertex:$/;" c +VertexCache adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class VertexCache:$/;" c +VertexGroup adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^class VertexGroup(object):$/;" c +VertexSelector adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^class VertexSelector:$/;" c +VERTICAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^VERTICAL = 'vertical'$/;" v +VerticalHatch adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^class VerticalHatch(HatchPatternBase):$/;" c +vertices adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def vertices(self):$/;" m class:Path +vertices adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def vertices(self, vertices):$/;" m class:Path +vertices adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def vertices(self):$/;" m class:TextPath +verts adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def verts(self):$/;" m class:PolygonSelector +VerySimpleSubClass adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^class VerySimpleSubClass(np.ndarray):$/;" c +VERY_BAD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^VERY_BAD = "\\033[31m"$/;" v +very_random_gen adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def very_random_gen(seed=0):$/;" f +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'dfftw threads',$/;" v class:dfftw_threads_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'dfftw',$/;" v class:dfftw_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'fftw threads',$/;" v class:fftw_threads_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'fftw2',$/;" v class:fftw2_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'fftw3',$/;" v class:fftw3_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'fftw3',$/;" v class:fftw_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'sfftw threads',$/;" v class:sfftw_threads_info +ver_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ ver_info = [{'name':'sfftw',$/;" v class:sfftw_info +VER_SUFFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ VER_SUFFIX = '%s%s' % sys.version_info[:2]$/;" v +VER_SUFFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^VER_SUFFIX = sysconfig.get_config_var('py_version_nodot')$/;" v +Vf adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^class Vf(Dvi):$/;" c +VFModule adpepsenv/lib/python3.8/site-packages/torch/_VF.py /^class VFModule(types.ModuleType):$/;" c +vfunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^ def vfunc(x):$/;" f function:vectorize1 file: +VGG16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg16.py /^def VGG16($/;" f +VGG19 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg19.py /^def VGG19($/;" f +VGGA adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def VGGA(order):$/;" f +VGGA adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def VGGA(order, cudnn_ws):$/;" f +vhp adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def vhp(func, inputs, v=None, create_graph=False, strict=False):$/;" f +VIANIX_MASC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VIANIX_MASC = 0xA10A$/;" v class:WAVE_FORMAT +VictimObject adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ class VictimObject:$/;" c member:TestRegression.test_object_array_refcount_self_assign file: +video adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def video(tag, tensor, fps=4):$/;" f +VideoInput adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def VideoInput(self, blob_in, blob_out, **kwargs):$/;" m class:CNNModelHelper +VideoInputOpTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/video_input_op_test.py /^class VideoInputOpTest(unittest.TestCase):$/;" c +VideotexString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class VideotexString(AbstractCharacterString):$/;" c +VideotexStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class VideotexStringDecoder(OctetStringDecoder):$/;" c +video_input adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/tools.py /^def video_input(model, blob_in, blob_out, **kwargs):$/;" f +view adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def view(self, dtype=None, type=None, fill_value=None):$/;" m class:MaskedArray +view adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def view(self, dtype=None, type=None):$/;" m class:MaskedRecords +view adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def view(g, self, size):$/;" f +Viewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class Viewer:$/;" c +viewitems adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def viewitems(self):$/;" m class:OrderedDict +viewitems adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewitems = operator.methodcaller("items")$/;" v +viewitems adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewitems = operator.methodcaller("viewitems")$/;" v +ViewItems adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class ViewItems(object):$/;" c +viewkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def viewkeys(self):$/;" m class:OrderedDict +viewkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewkeys = operator.methodcaller("keys")$/;" v +viewkeys adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewkeys = operator.methodcaller("viewkeys")$/;" v +viewLim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def viewLim(self):$/;" m class:_AxesBase +viewlim_to_dt adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def viewlim_to_dt(self):$/;" m class:DateLocator +viewmethod adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def viewmethod(self, *a, **kw):$/;" f function:native_itermethods.setviewmethod file: +ViewsPositionsBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ViewsPositionsBase(ToolBase):$/;" c +viewvalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def viewvalues(self):$/;" m class:OrderedDict +viewvalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewvalues = operator.methodcaller("values")$/;" v +viewvalues adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ viewvalues = operator.methodcaller("viewvalues")$/;" v +view_as adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def view_as(g, self, other):$/;" f +view_element_first_byte adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def view_element_first_byte(x):$/;" f +view_init adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def view_init(self, elev=None, azim=None):$/;" m class:Axes3D +view_length adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^ view_length = 'InputSize()' if has_tensorlist and i < tensorlist_idx else static_ten/;" v +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def view_limits(self, vmin, vmax):$/;" m class:RadialLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def view_limits(self, vmin, vmax):$/;" m class:ThetaLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, dmin, dmax):$/;" m class:MaxNLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, dmin, dmax):$/;" m class:MultipleLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, vmin, vmax):$/;" m class:LinearLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, vmin, vmax):$/;" m class:Locator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, vmin, vmax):$/;" m class:LogLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, vmin, vmax):$/;" m class:OldAutoLocator +view_limits adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def view_limits(self, vmin, vmax):$/;" m class:SymmetricalLogLocator +view_transformation adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def view_transformation(E, R, V):$/;" f +violation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def violation(self, x):$/;" m class:PreparedConstraint +violation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def violation(self, x):$/;" m class:_ConstraintWrapper +violin adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def violin(self, vpstats, positions=None, vert=True, widths=0.5,$/;" m class:Axes +violinplot adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def violinplot(self, dataset, positions=None, vert=True, widths=0.5,$/;" m class:Axes +violinplot adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def violinplot($/;" f +violin_stats adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def violin_stats(X, method, points=100, quantiles=None):$/;" f +viridis adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def viridis():$/;" f +VirtualDeviceConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/experimental/__init__.py /^from tensorflow.python.eager.context import LogicalDeviceConfiguration as VirtualDeviceConfigura/;" x +VirtualDeviceConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/experimental/__init__.py /^from tensorflow.python.eager.context import LogicalDeviceConfiguration as VirtualDeviceConfigura/;" x +VirtualDeviceConfiguration adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/experimental/__init__.py /^from tensorflow.python.eager.context import LogicalDeviceConfiguration as VirtualDeviceConfigura/;" x +VIRTUALENV_NOT_FOUND adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/status_codes.py /^VIRTUALENV_NOT_FOUND = 3$/;" v +virtualenv_no_global adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def virtualenv_no_global():$/;" f +VirtualLayout adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^class VirtualLayout(object):$/;" c +VirtualSource adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^class VirtualSource(object):$/;" c +virtual_sources adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def virtual_sources(self):$/;" m class:Dataset +visibility_define adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def visibility_define(config):$/;" f +VisibleDeprecationWarning adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^class VisibleDeprecationWarning(UserWarning):$/;" c +VisibleString adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^class VisibleString(AbstractCharacterString):$/;" c +VisibleStringDecoder adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^class VisibleStringDecoder(OctetStringDecoder):$/;" c +visible_edges adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def visible_edges(self):$/;" m class:Cell +visible_edges adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def visible_edges(self, value):$/;" m class:Cell +visit adpepsenv/lib/python3.8/site-packages/astunparse/printer.py /^ def visit(self, node):$/;" m class:Printer +visit adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def visit(self, func):$/;" m class:Group +visit adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit(self, node):$/;" m class:AstAnnotator +visit adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit(self, node):$/;" m class:BaseVisitor +visit adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^ def visit(self, node):$/;" m class:FindNodeVisitor +visit adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^ def visit(self, node):$/;" m class:_TreeNormalizer +visit adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def visit(self, node):$/;" m class:Printer +visit adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit(self, node):$/;" m class:ScopeVisitor +visit adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^ def visit(node):$/;" f function:get_topological_weights file: +visit adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def visit(z, dirname, names):$/;" f function:make_zipfile file: +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def visit(self, node):$/;" m class:Base +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def visit(self, node):$/;" m class:OriginResolver +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit(self, node):$/;" m class:TreeAnnotator +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit(self, node):$/;" m class:TreeAnnotator +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def visit(self, node):$/;" m class:TreeAnnotator +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit(self, node):$/;" m class:StmtInferrer +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit(self, node):$/;" m class:ContextAdjuster +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def visit(self, node):$/;" m class:Base +visit adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def visit(self, node):$/;" m class:CodeGenerator +visit adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit(self, node):$/;" m class:_PastaEditVisitor +visit adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def visit(node, qconfig):$/;" f member:Quantizer._find_quants file: +visit adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def visit(x: str):$/;" f member:PackageExporter._write_dep_graph file: +visiting adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def visiting(self, x, step, temperature):$/;" m class:VisitingDistribution +VisitingDistribution adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^class VisitingDistribution(object):$/;" c +visititems adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def visititems(self, func):$/;" m class:Group +Visitor adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^class Visitor(object):$/;" c +visit_Add adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Add(self, node):$/;" m class:BaseVisitor +visit_alias adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_alias(self, node):$/;" m class:BaseVisitor +visit_alias adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_alias(self, node):$/;" m class:ActivityAnalyzer +visit_AnnAssign adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_AnnAssign(self, node):$/;" m class:BaseVisitor +visit_AnnAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_AnnAssign(self, node):$/;" m class:AstToCfg +visit_AnnAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_AnnAssign(self, node):$/;" m class:ActivityAnalyzer +visit_arg adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_arg(self, node):$/;" m class:GAstToAst2 +visit_arg adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_arg(self, node):$/;" m class:Ast3ToGAst +visit_arg adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_arg(self, node):$/;" m class:BaseVisitor +visit_arg adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_arg(self, node):$/;" m class:ScopeVisitor +visit_arg adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def visit_arg(arg):$/;" f function:Quantizer._find_quants.visit file: +visit_arguments adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_arguments(self, node):$/;" m class:Ast2ToGAst +visit_arguments adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_arguments(self, node):$/;" m class:GAstToAst2 +visit_arguments adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_arguments(self, node):$/;" m class:Ast3ToGAst +visit_arguments adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_arguments(self, node):$/;" m class:GAstToAst3 +visit_arguments adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_arguments(self, node):$/;" m class:BaseVisitor +visit_arguments adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_arguments(self, node):$/;" m class:ScopeVisitor +visit_Assert adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Assert(self, node):$/;" m class:BaseVisitor +visit_Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/asserts.py /^ def visit_Assert(self, node):$/;" m class:AssertTransformer +visit_Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Assert(self, node):$/;" m class:AstToCfg +visit_Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Assert(self, node):$/;" m class:AnfTransformer +visit_Assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Assert(self, node):$/;" m class:ActivityAnalyzer +visit_Assign adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Assign(self, node):$/;" m class:BaseVisitor +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_Assign(self, node):$/;" m class:DirectivesTransformer +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/list_comprehensions.py /^ def visit_Assign(self, node):$/;" m class:ListCompTransformer +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/slices.py /^ def visit_Assign(self, node):$/;" m class:SliceTransformer +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Assign(self, node):$/;" m class:AstToCfg +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Assign(self, node):$/;" m class:AnfTransformer +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Assign(self, node):$/;" m class:ActivityAnalyzer +visit_Assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Assign(self, node):$/;" m class:StmtInferrer +visit_AsyncFor adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncFor(self, node):$/;" m class:Ast3ToGAst +visit_AsyncFor adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncFor(self, node):$/;" m class:GAstToAst3 +visit_AsyncFor adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_AsyncFor(self, node):$/;" m class:BaseVisitor +visit_AsyncFor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_AsyncFor(self, node):$/;" m class:AnfTransformer +visit_AsyncFunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncFunctionDef(self, node):$/;" m class:Ast3ToGAst +visit_AsyncFunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncFunctionDef(self, node):$/;" m class:GAstToAst3 +visit_AsyncFunctionDef adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_AsyncFunctionDef(self, node):$/;" m class:BaseVisitor +visit_AsyncWith adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncWith(self, node):$/;" m class:Ast3ToGAst +visit_AsyncWith adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_AsyncWith(self, node):$/;" m class:GAstToAst3 +visit_AsyncWith adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_AsyncWith(self, node):$/;" m class:BaseVisitor +visit_AsyncWith adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_AsyncWith(self, node):$/;" m class:AnfTransformer +visit_Attribute adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^ def visit_Attribute(self, node):$/;" m class:ParseCall +visit_Attribute adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Attribute(self, node):$/;" m class:BaseVisitor +visit_Attribute adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_Attribute(self, node):$/;" m class:ScopeVisitor +visit_Attribute adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^ def visit_Attribute(self, node):$/;" m class:ParseCall +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_Attribute(self, node):$/;" m class:DirectivesTransformer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^ def visit_Attribute(self, node):$/;" m class:UnsupportedFeaturesChecker +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def visit_Attribute(self, node):$/;" m class:SymbolRenamer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Attribute(self, node):$/;" m class:AnfTransformer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def visit_Attribute(self, node):$/;" m class:QnResolver +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Attribute(self, node):$/;" m class:ActivityAnalyzer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Attribute(self, node):$/;" m class:StmtInferrer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Attribute(self, node):$/;" m class:ContextAdjuster +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Attribute(self, node):$/;" m class:ReplaceTransformer +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_Attribute(self, node): # pylint: disable=invalid-name$/;" m class:PastaAnalyzeVisitor +visit_Attribute adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_Attribute(self, node): # pylint: disable=invalid-name$/;" m class:_PastaEditVisitor +visit_AugAssign adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_AugAssign(self, node):$/;" m class:BaseVisitor +visit_AugAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_AugAssign(self, node):$/;" m class:DirectivesTransformer +visit_AugAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/variables.py /^ def visit_AugAssign(self, node):$/;" m class:VariableAccessTransformer +visit_AugAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_AugAssign(self, node):$/;" m class:AstToCfg +visit_AugAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_AugAssign(self, node):$/;" m class:AnfTransformer +visit_AugAssign adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_AugAssign(self, node):$/;" m class:ActivityAnalyzer +visit_Await adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Await(self, node):$/;" m class:BaseVisitor +visit_Await adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Await(self, node):$/;" m class:AnfTransformer +visit_BinOp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_BinOp(self, node):$/;" m class:BaseVisitor +visit_BinOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_BinOp(self, node):$/;" m class:AnfTransformer +visit_BinOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_BinOp(self, node):$/;" m class:StmtInferrer +visit_BitAnd adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_BitAnd(self, node):$/;" m class:BaseVisitor +visit_BitOr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_BitOr(self, node):$/;" m class:BaseVisitor +visit_BitXor adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_BitXor(self, node):$/;" m class:BaseVisitor +visit_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def visit_block(self, nodes, before_visit=None, after_visit=None):$/;" m class:NodeStateTracker +visit_BoolOp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_BoolOp(self, node):$/;" m class:BaseVisitor +visit_BoolOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def visit_BoolOp(self, node):$/;" m class:LogicalExpressionTransformer +visit_BoolOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_BoolOp(self, node):$/;" m class:AnfTransformer +visit_Break adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Break(self, node):$/;" m class:BaseVisitor +visit_Break adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def visit_Break(self, node):$/;" m class:BreakTransformer +visit_Break adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Break(self, node):$/;" m class:AstToCfg +visit_Bytes adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Bytes(self, node):$/;" m class:Ast3ToGAst +visit_Bytes adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Bytes(self, node):$/;" m class:AstAnnotator +visit_Bytes adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def visit_Bytes(self, node):$/;" m class:Printer +visit_Call adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Call(self, node):$/;" m class:Ast2ToGAst +visit_Call adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Call(self, node):$/;" m class:GAstToAst2 +visit_Call adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Call(self, node):$/;" m class:Ast3ToGAst +visit_Call adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Call(self, node):$/;" m class:GAstToAst3 +visit_Call adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^ def visit_Call(self, node):$/;" m class:FindFuncs +visit_Call adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Call(self, node):$/;" m class:BaseVisitor +visit_Call adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^ def visit_Call(self, node):$/;" m class:FindFuncs +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def visit_Call(self, node):$/;" m class:CallTreeTransformer +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_Call(self, node):$/;" m class:ListTransformer +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Call(self, node):$/;" m class:AnfTransformer +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Call(self, node):$/;" m class:ActivityAnalyzer +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Call(self, node):$/;" m class:StmtInferrer +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Call(self, node):$/;" m class:ContextAdjuster +visit_Call adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_Call(self, node): # pylint: disable=invalid-name$/;" m class:_PastaEditVisitor +visit_Call_arguments adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Call_arguments(self, node):$/;" m class:BaseVisitor +visit_Call_arguments35 adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Call_arguments35(self, node):$/;" m class:BaseVisitor +visit_ClassDef adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_ClassDef(self, node):$/;" m class:Ast2ToGAst +visit_ClassDef adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_ClassDef(self, node):$/;" m class:GAstToAst2 +visit_ClassDef adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_ClassDef(self, node):$/;" m class:GAstToAst3 +visit_ClassDef adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_ClassDef(self, node):$/;" m class:BaseVisitor +visit_ClassDef adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_ClassDef(self, node):$/;" m class:ScopeVisitor +visit_ClassDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_ClassDef(self, node):$/;" m class:AstToCfg +visit_ClassDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_ClassDef(self, node):$/;" m class:ActivityAnalyzer +visit_Compare adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Compare(self, node):$/;" m class:BaseVisitor +visit_Compare adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def visit_Compare(self, node):$/;" m class:LogicalExpressionTransformer +visit_Compare adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Compare(self, node):$/;" m class:AnfTransformer +visit_Compare adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Compare(self, node):$/;" m class:StmtInferrer +visit_comprehension adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_comprehension(self, node):$/;" m class:Ast2ToGAst +visit_comprehension adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_comprehension(self, node):$/;" m class:Ast3ToGAst +visit_comprehension adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_comprehension(self, node):$/;" m class:BaseVisitor +visit_comprehension adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_comprehension(self, node):$/;" m class:ActivityAnalyzer +visit_comprehension adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_comprehension(self, node):$/;" m class:ContextAdjuster +visit_Constant adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Constant(self, node):$/;" m class:GAstToAst2 +visit_Constant adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Constant(self, node):$/;" m class:GAstToAst3 +visit_Constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Constant(self, node):$/;" m class:StmtInferrer +visit_Continue adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Continue(self, node):$/;" m class:BaseVisitor +visit_Continue adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_Continue(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_Continue adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Continue(self, node):$/;" m class:AstToCfg +visit_Delete adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Delete(self, node):$/;" m class:BaseVisitor +visit_Delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/variables.py /^ def visit_Delete(self, node):$/;" m class:VariableAccessTransformer +visit_Delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Delete(self, node):$/;" m class:AstToCfg +visit_Delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Delete(self, node):$/;" m class:AnfTransformer +visit_Delete adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Delete(self, node):$/;" m class:ActivityAnalyzer +visit_Dict adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Dict(self, node):$/;" m class:BaseVisitor +visit_Dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Dict(self, node):$/;" m class:AnfTransformer +visit_Dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Dict(self, node):$/;" m class:ContextAdjuster +visit_DictComp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_DictComp(self, node):$/;" m class:BaseVisitor +visit_DictComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_DictComp(self, node):$/;" m class:AnfTransformer +visit_DictComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_DictComp(self, node):$/;" m class:ActivityAnalyzer +visit_Div adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Div(self, node):$/;" m class:BaseVisitor +visit_Ellipsis adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Ellipsis(self, node):$/;" m class:Ast2ToGAst +visit_Ellipsis adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Ellipsis(self, node):$/;" m class:Ast3ToGAst +visit_Ellipsis adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Ellipsis(self, node):$/;" m class:AstAnnotator +visit_Ellipsis adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Ellipsis(self, node):$/;" m class:BaseVisitor +visit_Eq adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Eq(self, node):$/;" m class:BaseVisitor +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_ExceptHandler(self, node):$/;" m class:Ast3ToGAst +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_ExceptHandler(self, node):$/;" m class:GAstToAst3 +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_ExceptHandler(self, node):$/;" m class:BaseVisitor +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_ExceptHandler(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_ExceptHandler(self, node):$/;" m class:ConditionalReturnRewriter +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_ExceptHandler(self, node):$/;" m class:ReturnStatementsTransformer +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_ExceptHandler(self, node):$/;" m class:AstToCfg +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_ExceptHandler(self, node):$/;" m class:ActivityAnalyzer +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_ExceptHandler(self, node):$/;" m class:TreeAnnotator +visit_ExceptHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_ExceptHandler(self, node):$/;" m class:TreeAnnotator +visit_Exec adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Exec(self, node):$/;" m class:BaseVisitor +visit_Exec adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Exec(self, node):$/;" m class:AnfTransformer +visit_Expr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Expr(self, node):$/;" m class:BaseVisitor +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_Expr(self, node):$/;" m class:DirectivesTransformer +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Expr(self, node):$/;" m class:AstToCfg +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Expr(self, node):$/;" m class:AnfTransformer +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Expr(self, node):$/;" m class:ActivityAnalyzer +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_Expr(self, node):$/;" m class:TreeAnnotator +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Expr(self, node):$/;" m class:StmtInferrer +visit_Expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Expr(self, node):$/;" m class:ReplaceTransformer +visit_ExtSlice adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_ExtSlice(self, node):$/;" m class:Ast2ToGAst +visit_ExtSlice adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_ExtSlice(self, node):$/;" m class:BaseVisitor +visit_FloorDiv adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_FloorDiv(self, node):$/;" m class:BaseVisitor +visit_fn adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def visit_fn(self, temperature, dim):$/;" m class:VisitingDistribution +visit_For adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_For(self, node):$/;" m class:Ast2ToGAst +visit_For adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_For(self, node):$/;" m class:GAstToAst2 +visit_For adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_For(self, node):$/;" m class:Ast3ToGAst +visit_For adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_For(self, node):$/;" m class:GAstToAst3 +visit_For adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_For(self, node):$/;" m class:BaseVisitor +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def visit_For(self, node):$/;" m class:BreakTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_For(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def visit_For(self, node):$/;" m class:ControlFlowTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def visit_For(self, node):$/;" m class:ControlFlowTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_For(self, node):$/;" m class:DirectivesTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_For(self, node):$/;" m class:ListTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_For(self, node):$/;" m class:ConditionalReturnRewriter +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_For(self, node):$/;" m class:ReturnStatementsTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^ def visit_For(self, node):$/;" m class:UnsupportedFeaturesChecker +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_For(self, node):$/;" m class:AstToCfg +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_For(self, node):$/;" m class:AnfTransformer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_For(self, node):$/;" m class:ActivityAnalyzer +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_For(self, node):$/;" m class:TreeAnnotator +visit_For adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_For(self, node):$/;" m class:TreeAnnotator +visit_FormattedValue adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_FormattedValue(self, node):$/;" m class:BaseVisitor +visit_FormattedValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_FormattedValue(self, node):$/;" m class:AnfTransformer +visit_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_forward(self):$/;" m class:GraphVisitor +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_FunctionDef(self, node):$/;" m class:Ast2ToGAst +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_FunctionDef(self, node):$/;" m class:GAstToAst2 +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_FunctionDef(self, node):$/;" m class:Ast3ToGAst +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_FunctionDef(self, node):$/;" m class:GAstToAst3 +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_FunctionDef(self, node):$/;" m class:BaseVisitor +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_FunctionDef(self, node):$/;" m class:ScopeVisitor +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def visit_FunctionDef(self, node):$/;" m class:CallTreeTransformer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def visit_FunctionDef(self, node):$/;" m class:ControlFlowTransformer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^ def visit_FunctionDef(self, node):$/;" m class:FunctionTransformer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_FunctionDef(self, node):$/;" m class:ListTransformer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_FunctionDef(self, node):$/;" m class:ConditionalReturnRewriter +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_FunctionDef(self, node):$/;" m class:ReturnStatementsTransformer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def visit_FunctionDef(self, node):$/;" m class:SymbolRenamer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_FunctionDef(self, node):$/;" m class:AstToCfg +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_FunctionDef(self, node):$/;" m class:ActivityAnalyzer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_FunctionDef(self, node):$/;" m class:TreeAnnotator +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_FunctionDef(self, node):$/;" m class:TreeAnnotator +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def visit_FunctionDef(self, node):$/;" m class:TreeAnnotator +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_FunctionDef(self, node):$/;" m class:FunctionVisitor +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_FunctionDef(self, node):$/;" m class:StmtInferrer +visit_FunctionDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_FunctionDef(self, node):$/;" m class:ReplaceTransformer +visit_GeneratorExp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_GeneratorExp(self, node):$/;" m class:BaseVisitor +visit_GeneratorExp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_GeneratorExp(self, node):$/;" m class:AnfTransformer +visit_GeneratorExp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_GeneratorExp(self, node):$/;" m class:ActivityAnalyzer +visit_Global adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Global(self, node):$/;" m class:BaseVisitor +visit_Global adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def visit_Global(self, node):$/;" m class:SymbolRenamer +visit_Global adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Global(self, node):$/;" m class:AstToCfg +visit_Global adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Global(self, node):$/;" m class:ActivityAnalyzer +visit_Gt adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Gt(self, node):$/;" m class:BaseVisitor +visit_GtE adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_GtE(self, node):$/;" m class:BaseVisitor +visit_If adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_If(self, node):$/;" m class:BaseVisitor +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_If(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def visit_If(self, node):$/;" m class:ControlFlowTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def visit_If(self, node):$/;" m class:ControlFlowTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_If(self, node):$/;" m class:ListTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_If(self, node):$/;" m class:ConditionalReturnRewriter +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_If(self, node):$/;" m class:ReturnStatementsTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_If(self, node):$/;" m class:AstToCfg +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_If(self, node):$/;" m class:AnfTransformer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_If(self, node):$/;" m class:ActivityAnalyzer +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_If(self, node):$/;" m class:TreeAnnotator +visit_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_If(self, node):$/;" m class:TreeAnnotator +visit_IfExp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_IfExp(self, node):$/;" m class:BaseVisitor +visit_IfExp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/conditional_expressions.py /^ def visit_IfExp(self, node):$/;" m class:ConditionalExpressionTransformer +visit_IfExp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_IfExp(self, node):$/;" m class:AnfTransformer +visit_Import adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Import(self, node):$/;" m class:BaseVisitor +visit_Import adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_Import(self, node):$/;" m class:ScopeVisitor +visit_Import adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Import(self, node):$/;" m class:AstToCfg +visit_Import adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Import(self, node):$/;" m class:ActivityAnalyzer +visit_Import adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_Import(self, node): # pylint: disable=invalid-name$/;" m class:PastaAnalyzeVisitor +visit_Import adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_Import(self, node): # pylint: disable=invalid-name$/;" m class:_PastaEditVisitor +visit_Import adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def visit_Import(self, node): # pylint: disable=invalid-name$/;" m class:CompatV1ImportReplacer +visit_Import adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^ def visit_Import(self, node):$/;" m class:_ExtractModuleReferences +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_ImportFrom(self, node):$/;" m class:BaseVisitor +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_ImportFrom(self, node):$/;" m class:ScopeVisitor +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_ImportFrom(self, node):$/;" m class:AstToCfg +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_ImportFrom(self, node):$/;" m class:ActivityAnalyzer +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_ImportFrom(self, node): # pylint: disable=invalid-name$/;" m class:PastaAnalyzeVisitor +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def visit_ImportFrom(self, node): # pylint: disable=invalid-name$/;" m class:_PastaEditVisitor +visit_ImportFrom adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^ def visit_ImportFrom(self, node):$/;" m class:_ExtractModuleReferences +visit_In adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_In(self, node):$/;" m class:BaseVisitor +visit_Index adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Index(self, node):$/;" m class:GAstToAst2 +visit_Index adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Index(self, node):$/;" m class:BaseVisitor +visit_Index adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Index(self, node):$/;" m class:StmtInferrer +visit_Invert adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Invert(self, node):$/;" m class:BaseVisitor +visit_in_order adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_in_order(self, node, *attrs):$/;" m class:ScopeVisitor +visit_Is adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Is(self, node):$/;" m class:BaseVisitor +visit_IsNot adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_IsNot(self, node):$/;" m class:BaseVisitor +visit_JoinedStr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_JoinedStr(self, node):$/;" m class:AstAnnotator +visit_JoinedStr adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def visit_JoinedStr(self, node):$/;" m class:Printer +visit_JoinedStr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_JoinedStr(self, node):$/;" m class:AnfTransformer +visit_keyword adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_keyword(self, node):$/;" m class:BaseVisitor +visit_keyword adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_keyword(self, node):$/;" m class:ReplaceTransformer +visit_Lambda adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Lambda(self, node):$/;" m class:BaseVisitor +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def visit_Lambda(self, node):$/;" m class:CallTreeTransformer +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def visit_Lambda(self, node):$/;" m class:ControlFlowTransformer +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^ def visit_Lambda(self, node):$/;" m class:FunctionTransformer +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Lambda(self, node):$/;" m class:AstToCfg +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Lambda(self, node):$/;" m class:AnfTransformer +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Lambda(self, node):$/;" m class:ActivityAnalyzer +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_Lambda(self, node):$/;" m class:TreeAnnotator +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def visit_Lambda(self, node):$/;" m class:TreeAnnotator +visit_Lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Lambda(self, node):$/;" m class:ContextAdjuster +visit_latex_math_html adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ def visit_latex_math_html(self, node):$/;" f function:setup file: +visit_latex_math_latex adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/mathmpl.py /^ def visit_latex_math_latex(self, node):$/;" f function:setup file: +visit_List adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_List(self, node):$/;" m class:BaseVisitor +visit_List adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_List(self, node):$/;" m class:ListTransformer +visit_List adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_List(self, node):$/;" m class:AnfTransformer +visit_List adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_List(self, node):$/;" m class:StmtInferrer +visit_List adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_List(self, node):$/;" m class:ContextAdjuster +visit_ListComp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_ListComp(self, node):$/;" m class:BaseVisitor +visit_ListComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_ListComp(self, node):$/;" m class:AnfTransformer +visit_ListComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_ListComp(self, node):$/;" m class:ActivityAnalyzer +visit_LShift adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_LShift(self, node):$/;" m class:BaseVisitor +visit_Lt adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Lt(self, node):$/;" m class:BaseVisitor +visit_LtE adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_LtE(self, node):$/;" m class:BaseVisitor +visit_Mod adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Mod(self, node):$/;" m class:BaseVisitor +visit_Module adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Module(self, node):$/;" m class:Ast2ToGAst +visit_Module adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Module(self, node):$/;" m class:GAstToAst2 +visit_Module adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Module(self, node):$/;" m class:Ast3ToGAst +visit_Module adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Module(self, node):$/;" m class:GAstToAst3 +visit_Module adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Module(self, node):$/;" m class:BaseVisitor +visit_Mult adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Mult(self, node):$/;" m class:BaseVisitor +visit_Name adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Name(self, node):$/;" m class:Ast2ToGAst +visit_Name adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Name(self, node):$/;" m class:GAstToAst2 +visit_Name adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Name(self, node):$/;" m class:Ast3ToGAst +visit_Name adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Name(self, node):$/;" m class:GAstToAst3 +visit_Name adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^ def visit_Name(self, node):$/;" m class:ParseCall +visit_Name adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Name(self, node):$/;" m class:BaseVisitor +visit_Name adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def visit_Name(self, node):$/;" m class:ScopeVisitor +visit_Name adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^ def visit_Name(self, node):$/;" m class:ParseCall +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_Name(self, node):$/;" m class:DirectivesTransformer +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/variables.py /^ def visit_Name(self, node):$/;" m class:VariableAccessTransformer +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def visit_Name(self, node):$/;" m class:SymbolRenamer +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def visit_Name(self, node):$/;" m class:QnResolver +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Name(self, node):$/;" m class:ActivityAnalyzer +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_Name(self, node):$/;" m class:TreeAnnotator +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Name(self, node):$/;" m class:StmtInferrer +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Name(self, node):$/;" m class:ContextAdjuster +visit_Name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Name(self, node):$/;" m class:ReplaceTransformer +visit_NameConstant adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_NameConstant(self, node):$/;" m class:Ast3ToGAst +visit_NameConstant adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_NameConstant(self, node):$/;" m class:BaseVisitor +visit_NamedExpr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_NamedExpr(self, node):$/;" m class:BaseVisitor +visit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_node(self, node):$/;" m class:GraphVisitor +visit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_node(self, node):$/;" m class:Analyzer +visit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_node(self, node):$/;" m class:Analyzer +visit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def visit_node(self, node):$/;" m class:Analyzer +visit_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_node(self, node):$/;" m class:Analyzer +visit_Nonlocal adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Nonlocal(self, node):$/;" m class:BaseVisitor +visit_Nonlocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def visit_Nonlocal(self, node):$/;" m class:SymbolRenamer +visit_Nonlocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Nonlocal(self, node):$/;" m class:AstToCfg +visit_Nonlocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Nonlocal(self, node):$/;" m class:ActivityAnalyzer +visit_Not adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Not(self, node):$/;" m class:BaseVisitor +visit_NotEq adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_NotEq(self, node):$/;" m class:BaseVisitor +visit_NotIn adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_NotIn(self, node):$/;" m class:BaseVisitor +visit_Num adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Num(self, node):$/;" m class:Ast2ToGAst +visit_Num adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Num(self, node):$/;" m class:Ast3ToGAst +visit_Num adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Num(self, node):$/;" m class:AstAnnotator +visit_Num adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def visit_Num(self, node):$/;" m class:Printer +visit_Pass adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Pass(self, node):$/;" m class:BaseVisitor +visit_Pass adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Pass(self, node):$/;" m class:AstToCfg +visit_Pow adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Pow(self, node):$/;" m class:BaseVisitor +visit_Print adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Print(self, node):$/;" m class:BaseVisitor +visit_Print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Print(self, node):$/;" m class:AstToCfg +visit_Print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Print(self, node):$/;" m class:AnfTransformer +visit_Print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Print(self, node):$/;" m class:ActivityAnalyzer +visit_Raise adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Raise(self, node):$/;" m class:Ast2ToGAst +visit_Raise adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Raise(self, node):$/;" m class:GAstToAst2 +visit_Raise adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Raise(self, node):$/;" m class:BaseVisitor +visit_Raise adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Raise(self, node):$/;" m class:AstToCfg +visit_Raise adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Raise(self, node):$/;" m class:AnfTransformer +visit_Raise adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Raise(self, node):$/;" m class:ActivityAnalyzer +visit_Raise_3 adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Raise_3(self, node):$/;" m class:BaseVisitor +visit_Repr adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Repr(self, node):$/;" m class:BaseVisitor +visit_Repr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Repr(self, node):$/;" m class:AnfTransformer +visit_Return adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Return(self, node):$/;" m class:BaseVisitor +visit_Return adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_Return(self, node):$/;" m class:ConditionalReturnRewriter +visit_Return adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_Return(self, node):$/;" m class:ReturnStatementsTransformer +visit_Return adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Return(self, node):$/;" m class:AstToCfg +visit_Return adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Return(self, node):$/;" m class:AnfTransformer +visit_Return adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Return(self, node):$/;" m class:ActivityAnalyzer +visit_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_reverse(self):$/;" m class:GraphVisitor +visit_RShift adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_RShift(self, node):$/;" m class:BaseVisitor +visit_Set adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Set(self, node):$/;" m class:BaseVisitor +visit_Set adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Set(self, node):$/;" m class:AnfTransformer +visit_Set adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Set(self, node):$/;" m class:StmtInferrer +visit_SetComp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_SetComp(self, node):$/;" m class:BaseVisitor +visit_SetComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_SetComp(self, node):$/;" m class:AnfTransformer +visit_SetComp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_SetComp(self, node):$/;" m class:ActivityAnalyzer +visit_Slice adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Slice(self, node):$/;" m class:BaseVisitor +visit_Starred adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Starred(self, node):$/;" m class:BaseVisitor +visit_Str adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Str(self, node):$/;" m class:Ast2ToGAst +visit_Str adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_Str(self, node):$/;" m class:Ast3ToGAst +visit_Str adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Str(self, node):$/;" m class:AstAnnotator +visit_Str adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def visit_Str(self, node):$/;" m class:Printer +visit_Sub adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Sub(self, node):$/;" m class:BaseVisitor +visit_Subscript adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Subscript(self, node):$/;" m class:Ast2ToGAst +visit_Subscript adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Subscript(self, node):$/;" m class:BaseVisitor +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/slices.py /^ def visit_Subscript(self, node):$/;" m class:SliceTransformer +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Subscript(self, node):$/;" m class:AnfTransformer +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def visit_Subscript(self, node):$/;" m class:QnResolver +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_Subscript(self, node):$/;" m class:ActivityAnalyzer +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Subscript(self, node):$/;" m class:StmtInferrer +visit_Subscript adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Subscript(self, node):$/;" m class:ContextAdjuster +visit_Try adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_Try(self, node):$/;" m class:GAstToAst2 +visit_Try adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Try(self, node):$/;" m class:BaseVisitor +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_Try(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_Try(self, node):$/;" m class:ConditionalReturnRewriter +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_Try(self, node):$/;" m class:ReturnStatementsTransformer +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_Try(self, node):$/;" m class:AstToCfg +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_Try(self, node):$/;" m class:TreeAnnotator +visit_Try adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_Try(self, node):$/;" m class:TreeAnnotator +visit_TryExcept adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_TryExcept(self, node):$/;" m class:Ast2ToGAst +visit_TryExcept adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_TryExcept(self, node):$/;" m class:BaseVisitor +visit_TryFinally adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_TryFinally(self, node):$/;" m class:Ast2ToGAst +visit_TryFinally adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_TryFinally(self, node):$/;" m class:BaseVisitor +visit_Tuple adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Tuple(self, node):$/;" m class:BaseVisitor +visit_Tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Tuple(self, node):$/;" m class:AnfTransformer +visit_Tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def visit_Tuple(self, node):$/;" m class:StmtInferrer +visit_Tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def visit_Tuple(self, node):$/;" m class:ContextAdjuster +visit_UAdd adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_UAdd(self, node):$/;" m class:BaseVisitor +visit_UnaryOp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_UnaryOp(self, node):$/;" m class:BaseVisitor +visit_UnaryOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def visit_UnaryOp(self, node):$/;" m class:LogicalExpressionTransformer +visit_UnaryOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_UnaryOp(self, node):$/;" m class:AnfTransformer +visit_USub adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_USub(self, node):$/;" m class:BaseVisitor +visit_While adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_While(self, node):$/;" m class:BaseVisitor +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def visit_While(self, node):$/;" m class:BreakTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_While(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def visit_While(self, node):$/;" m class:ControlFlowTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def visit_While(self, node):$/;" m class:ControlFlowTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def visit_While(self, node):$/;" m class:DirectivesTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_While(self, node):$/;" m class:ListTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_While(self, node):$/;" m class:ConditionalReturnRewriter +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_While(self, node):$/;" m class:ReturnStatementsTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^ def visit_While(self, node):$/;" m class:UnsupportedFeaturesChecker +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_While(self, node):$/;" m class:AstToCfg +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_While(self, node):$/;" m class:AnfTransformer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_While(self, node):$/;" m class:ActivityAnalyzer +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_While(self, node):$/;" m class:TreeAnnotator +visit_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def visit_While(self, node):$/;" m class:TreeAnnotator +visit_With adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_With(self, node):$/;" m class:Ast2ToGAst +visit_With adpepsenv/lib/python3.8/site-packages/gast/ast2.py /^ def visit_With(self, node):$/;" m class:GAstToAst2 +visit_With adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_With(self, node):$/;" m class:Ast3ToGAst +visit_With adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def visit_With(self, node):$/;" m class:GAstToAst3 +visit_With adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_With(self, node):$/;" m class:BaseVisitor +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def visit_With(self, node):$/;" m class:CallTreeTransformer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def visit_With(self, node):$/;" m class:ContinueCanonicalizationTransformer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def visit_With(self, node):$/;" m class:ListTransformer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_With(self, node):$/;" m class:ConditionalReturnRewriter +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def visit_With(self, node):$/;" m class:ReturnStatementsTransformer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def visit_With(self, node):$/;" m class:AstToCfg +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_With(self, node):$/;" m class:AnfTransformer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_With(self, node):$/;" m class:ActivityAnalyzer +visit_With adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def visit_With(self, node):$/;" m class:TreeAnnotator +visit_withitem adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_withitem(self, node):$/;" m class:BaseVisitor +visit_withitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def visit_withitem(self, node):$/;" m class:ActivityAnalyzer +visit_With_3 adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_With_3(self, node):$/;" m class:BaseVisitor +visit_Yield adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_Yield(self, node):$/;" m class:BaseVisitor +visit_Yield adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^ def visit_Yield(self, node):$/;" m class:UnsupportedFeaturesChecker +visit_Yield adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_Yield(self, node):$/;" m class:AnfTransformer +visit_YieldFrom adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def visit_YieldFrom(self, node):$/;" m class:BaseVisitor +visit_YieldFrom adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/unsupported_features_checker.py /^ def visit_YieldFrom(self, node):$/;" m class:UnsupportedFeaturesChecker +visit_YieldFrom adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def visit_YieldFrom(self, node):$/;" m class:AnfTransformer +visualization adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def visualization(name):$/;" f +visualize adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^def visualize(graph, name_prefix='', pb_graph=None, executors_it=None):$/;" f +visualize_cnn adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def visualize_cnn(cnn, **kwargs):$/;" f +visualize_embeddings adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/__init__.py /^def visualize_embeddings(logdir, config):$/;" f +visualize_file adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def visualize_file(filename):$/;" f +visualize_graph_executor adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^def visualize_graph_executor(state, name_prefix, pb_graph, inline_graph):$/;" f +visualize_net adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def visualize_net(nets, **kwargs):$/;" f +visualize_ops adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def visualize_ops(ops, **kwargs):$/;" f +visualize_print_log adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def visualize_print_log(filename):$/;" f +visualize_rec adpepsenv/lib/python3.8/site-packages/torch/contrib/_tensorboard_vis.py /^def visualize_rec(graph, value_map, name_prefix, pb_graph, executors_it=None):$/;" f +visualize_summary adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^def visualize_summary(filename):$/;" f +visualstudio adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def visualstudio(self):$/;" m class:RegistryInfo +VISUAL_HEBREW_NAME adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ VISUAL_HEBREW_NAME = "ISO-8859-8"$/;" v class:HebrewProber +VISUAL_HEBREW_NAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ VISUAL_HEBREW_NAME = "ISO-8859-8"$/;" v class:HebrewProber +VIVO_G723 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VIVO_G723 = 0x0111$/;" v class:WAVE_FORMAT +VIVO_SIREN adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VIVO_SIREN = 0x0112$/;" v class:WAVE_FORMAT +VizParams adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^class VizParams(object):$/;" c +vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjp(fun: Callable[..., Any],$/;" f +vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjp(fun: Callable[..., Tuple[T, U]],$/;" f +vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjp(fun: Callable[..., T], *primals: Any) -> Tuple[T, Callable]:$/;" f +vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjp(fun: Callable[..., T],$/;" f +vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def vjp( # type: ignore$/;" f +vjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def vjp(traceable, primals, has_aux=False):$/;" f +vjp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def vjp(dy=None):$/;" f function:make_vjp.decorated file: +vjp adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def vjp(func, inputs, v=None, create_graph=False, strict=False):$/;" f +vjpfun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjpfun(ct):$/;" f function:defvjp.custom_vjp file: +vjpfun adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ vjpfun = lambda ct: [vjp(ct, *primals) if vjp else zeros_like_jaxval(x)$/;" f function:defvjp.vjpmaker file: +vjpfun adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ vjpfun = lambda ct: [vjp(ct, ans, *primals) if vjp else zeros_like_jaxval(x)$/;" f function:defvjp2.vjpmaker file: +vjpmaker adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def vjpmaker(*primals):$/;" f function:defvjp file: +vjpmaker adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def vjpmaker(*primals):$/;" f function:defvjp2 file: +vjp_bind adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def vjp_bind(self, fun, fwd, bwd, *args, out_trees):$/;" f function:omnistaging_disabler file: +vjp_flat adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def vjp_flat(*cts_flat):$/;" f function:defvjp_all.custom_transforms_vjp file: +vjp_post_process adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def vjp_post_process(self, trace, out_tracers, params):$/;" f function:omnistaging_disabler file: +vlines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def vlines(self, x, ymin, ymax, colors=None, linestyles='solid',$/;" m class:Axes +vlines adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def vlines($/;" f +Vlist adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Vlist(List):$/;" c +vlist_out adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def vlist_out(self, box):$/;" m class:Ship +vlog adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def vlog(level, msg, *args, **kwargs):$/;" f +vlog adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def vlog(level, msg, *args, **kwargs):$/;" f +vlog_is_on adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def vlog_is_on(level):$/;" f +vmap adpepsenv/lib/python3.8/site-packages/jax/api.py /^def vmap(fun: F, in_axes=0, out_axes=0, axis_name=None) -> F:$/;" f +vmap adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def vmap(func: Callable, in_dims: in_dims_t = 0, out_dims: out_dims_t = 0) -> Callable:$/;" f +VME_VMPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VME_VMPCM = 0x0680$/;" v class:WAVE_FORMAT +VocabInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^class VocabInfo($/;" c +VocabInfo adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^VocabInfo = tf.compat.v1.train.VocabInfo # pylint: disable=invalid-name$/;" v +VocabularyFileCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class VocabularyFileCategoricalColumn($/;" c +VocabularyListCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class VocabularyListCategoricalColumn($/;" c +vocab_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def vocab_size(self):$/;" m class:IndexLookup +vocab_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def vocab_size(self):$/;" m class:TableHandler +VOCORD_G721 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G721 = 0xA11A$/;" v class:WAVE_FORMAT +VOCORD_G722_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G722_1 = 0xA11C$/;" v class:WAVE_FORMAT +VOCORD_G723_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G723_1 = 0xA120$/;" v class:WAVE_FORMAT +VOCORD_G726 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G726 = 0xA11B$/;" v class:WAVE_FORMAT +VOCORD_G728 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G728 = 0xA11D$/;" v class:WAVE_FORMAT +VOCORD_G729 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G729 = 0xA11E$/;" v class:WAVE_FORMAT +VOCORD_G729_A adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_G729_A = 0xA11F$/;" v class:WAVE_FORMAT +VOCORD_LBC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOCORD_LBC = 0xA121$/;" v class:WAVE_FORMAT +VODAFONE_MPEG_ADTS_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VODAFONE_MPEG_ADTS_AAC = 0x160A$/;" v class:WAVE_FORMAT +VODAFONE_MPEG_RAW_AAC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VODAFONE_MPEG_RAW_AAC = 0x160B$/;" v class:WAVE_FORMAT +vode adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class vode(IntegratorBase):$/;" c +voffset adpepsenv/lib/python3.8/site-packages/flatbuffers/packer.py /^voffset = uint16$/;" v +VOffsetTFlags adpepsenv/lib/python3.8/site-packages/flatbuffers/number_types.py /^class VOffsetTFlags(Uint16Flags):$/;" c +VOICEAGE_AMR adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOICEAGE_AMR = 0x0136$/;" v class:WAVE_FORMAT +VOICEAGE_AMR_WB adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOICEAGE_AMR_WB = 0xA104$/;" v class:WAVE_FORMAT +void adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^void = allTypes['void']$/;" v +voidElements adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^voidElements = frozenset([$/;" v +voidElements adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^voidElements = frozenset([$/;" v +voigt_profile adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double voigt_profile(double x0, double x1, double x2) nogil$/;" f +volume adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def volume(self):$/;" m class:Rectangle +vonmises adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^vonmises = vonmises_gen(name='vonmises')$/;" v +VonMises adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^class VonMises(Distribution):$/;" c +vonmises_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class vonmises_gen(rv_continuous):$/;" c +vonmises_line adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^vonmises_line = vonmises_gen(a=-np.pi, b=np.pi, name='vonmises_line')$/;" v +vorbis_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def vorbis_window(window_length, dtype=dtypes.float32, name=None):$/;" f +voronoi_plot_2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^def voronoi_plot_2d(vor, ax=None, **kw):$/;" f +voxels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def voxels(filled, **kwargs):$/;" f member:Axes3D.voxels file: +voxels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def voxels(__x, __y, __z, filled, **kwargs):$/;" f member:Axes3D.voxels file: +voxels adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def voxels(self, *args, facecolors=None, edgecolors=None, shade=True,$/;" m class:Axes3D +VOXWARE adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE = 0x0062$/;" v class:WAVE_FORMAT +VOXWARE_AC10 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_AC10 = 0x0071$/;" v class:WAVE_FORMAT +VOXWARE_AC16 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_AC16 = 0x0072$/;" v class:WAVE_FORMAT +VOXWARE_AC20 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_AC20 = 0x0073$/;" v class:WAVE_FORMAT +VOXWARE_AC8 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_AC8 = 0x0070$/;" v class:WAVE_FORMAT +VOXWARE_BYTE_ALIGNED adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_BYTE_ALIGNED = 0x0069$/;" v class:WAVE_FORMAT +VOXWARE_RT24 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_RT24 = 0x0074$/;" v class:WAVE_FORMAT +VOXWARE_RT24_SPEECH adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_RT24_SPEECH = 0x181C$/;" v class:WAVE_FORMAT +VOXWARE_RT29 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_RT29 = 0x0075$/;" v class:WAVE_FORMAT +VOXWARE_RT29HW adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_RT29HW = 0x0076$/;" v class:WAVE_FORMAT +VOXWARE_SC3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_SC3 = 0x007A$/;" v class:WAVE_FORMAT +VOXWARE_SC3_1 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_SC3_1 = 0x007B$/;" v class:WAVE_FORMAT +VOXWARE_TQ40 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_TQ40 = 0x0079$/;" v class:WAVE_FORMAT +VOXWARE_TQ60 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_TQ60 = 0x0081$/;" v class:WAVE_FORMAT +VOXWARE_VR12 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_VR12 = 0x0077$/;" v class:WAVE_FORMAT +VOXWARE_VR18 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VOXWARE_VR18 = 0x0078$/;" v class:WAVE_FORMAT +vpack adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def vpack(self, h=0., m='additional', l=np.inf):$/;" m class:Vlist +vpack adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def vpack(boxes, padding=0, strength='strong'):$/;" f +VPacker adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^class VPacker(PackerBase):$/;" c +vq adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def vq(obs, code_book, check_finite=True):$/;" f +vr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ vr = np.arange(6.)$/;" v class:TestMatmul +Vrule adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^class Vrule(Rule):$/;" c +vs adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def vs(self):$/;" m class:RegistryInfo +vs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +vs adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^from tensorflow.python.ops import variable_scope as vs$/;" x +VSELP adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ VSELP = 0x0004$/;" v class:WAVE_FORMAT +VSInstallDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VSInstallDir(self):$/;" m class:SystemInfo +VSpace adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/imperative_grad.py /^VSpace = collections.namedtuple("VSpace", [$/;" v +vsplit adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^vsplit = _split_on_axis(np.vsplit, axis=0)$/;" v +vsplit adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def vsplit(ary, indices_or_sections):$/;" f +vsplit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^vsplit = _split_on_axis('vsplit', axis=0)$/;" v +vstack adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def vstack(tup):$/;" f +vstack adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def vstack(boxes, padding=0, strength='strong'):$/;" f +vstack adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def vstack(tup):$/;" f +vstack adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^vstack = row_stack = _fromnxfunction_seq('vstack')$/;" v +vstack adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^ def vstack(blocks):$/;" f function:_get_Abc file: +vstack adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def vstack(blocks, format=None, dtype=None):$/;" f +vstack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def vstack(tup):$/;" f +vstackeq adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^def vstackeq(boxes, padding=0, height_ratios=None):$/;" f +VsTDb adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VsTDb(self):$/;" m class:EnvironmentInfo +VSTools adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def VSTools(self):$/;" m class:EnvironmentInfo +VS_BASE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ VS_BASE = r"Software\\Wow6432Node\\Microsoft\\VisualStudio\\%0.1f"$/;" v +vs_ver adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def vs_ver(self):$/;" m class:EnvironmentInfo +VT adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^VT = typing.TypeVar('VT') # Value type.$/;" v +vtableEqual adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^def vtableEqual(a, objectStart, b):$/;" f +VtableMetadataFields adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^VtableMetadataFields = 2$/;" v +vtags adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ vtags = property(tags)$/;" v class:InfoCommon +vtile adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def vtile(f_flat,$/;" f +VT_co adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^VT_co = typing.TypeVar('VT_co', covariant=True) # Value type covariant containers.$/;" v +V_co adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^V_co = typing.TypeVar('V_co', covariant=True) # Any type covariant containers.$/;" v +v_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def v_interval(self):$/;" m class:Axis +v_interval adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def v_interval(self, minmax):$/;" m class:Axis +W adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^W = {graph.graph.input[0].name: a, graph.graph.input[1].name: b}$/;" v +W adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/observer_test.py /^W = np.array([[5, 6], [7, 8]]).astype(np.float32)$/;" v +w adpepsenv/lib/python3.8/site-packages/h5py/tests/test_group.py /^ def w():$/;" f function:TestExtLinkBugs.test_issue_212.closer file: +W adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^ def W(n):$/;" f function:taylor file: +w adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_lambertw.py /^ def w(x, y):$/;" f function:test_values file: +wait adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def wait(wait_fn, wait_complete_fn, timeout=None, spin_cb=None):$/;" f +WAIT adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ HAND, POINTER, SELECT_REGION, MOVE, WAIT = range(5)$/;" v class:Cursors +wait adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^def wait(fs, timeout=None, return_when=ALL_COMPLETED):$/;" f +wait adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def wait(self):$/;" m class:Pool +wait adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def wait(self, timeout=None):$/;" m class:_CoordinatedClosureQueue +wait adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def wait(self):$/;" m class:_Barrier +wait adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def wait(self):$/;" m class:Executor +wait adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def wait(self, stream=None):$/;" m class:Event +wait adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ def wait(self) -> T:$/;" m class:Future +wait adpepsenv/lib/python3.8/site-packages/torch/jit/_async.py /^def wait(future):$/;" f +wait adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^ def wait(self):$/;" m class:FileBaton +waitforbuttonpress adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def waitforbuttonpress(self, timeout=-1):$/;" m class:Figure +waitforbuttonpress adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def waitforbuttonpress(timeout=-1):$/;" f +wait_all adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^def wait_all(futures: List[Future]) -> List:$/;" f +wait_event adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def wait_event(self, event):$/;" m class:Stream +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def wait_for_connection(self) -> None:$/;" m class:Call +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def wait_for_connection(self) -> None:$/;" m class:UnaryStreamCall +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def wait_for_connection(self) -> None:$/;" m class:UnaryUnaryCall +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def wait_for_connection(self) -> None:$/;" m class:_StreamRequestMixin +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def wait_for_connection(self) -> None:$/;" m class:InterceptedCall +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def wait_for_connection(self) -> None:$/;" m class:UnaryUnaryCallResponse +wait_for_connection adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def wait_for_connection(self) -> None:$/;" m class:_StreamCallResponseIterator +WAIT_FOR_COORDINATOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WAIT_FOR_COORDINATOR = 2$/;" v +WAIT_FOR_COORDINATOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WAIT_FOR_COORDINATOR = 2$/;" v +wait_for_healthy adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def wait_for_healthy(self, timeout_s=1200, interval=30):$/;" m class:Client +wait_for_new_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def wait_for_new_checkpoint(checkpoint_dir,$/;" f +wait_for_other_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def wait_for_other_workers(self):$/;" m class:_WorkerContext +wait_for_other_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def wait_for_other_workers():$/;" f +wait_for_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def wait_for_process(p):$/;" f +wait_for_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def wait_for_read(sock, timeout=None):$/;" f +wait_for_read adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def wait_for_read(sock, timeout=None):$/;" f +wait_for_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def wait_for_session(self, master, config=None, max_wait_secs=float("Inf")):$/;" m class:SessionManager +wait_for_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def wait_for_socket(*args, **kwargs):$/;" f +wait_for_socket adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def wait_for_socket(*args, **kwargs):$/;" f +wait_for_state_change adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ async def wait_for_state_change($/;" m class:Channel +wait_for_state_change adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def wait_for_state_change($/;" m class:Channel +wait_for_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def wait_for_stop(self, timeout=None):$/;" m class:Coordinator +wait_for_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def wait_for_stop(self):$/;" m class:Supervisor +wait_for_termination adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def wait_for_termination(self,$/;" m class:Server +wait_for_termination adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ async def wait_for_termination(self,$/;" m class:Server +wait_for_termination adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def wait_for_termination(self, timeout=None):$/;" m class:_Server +wait_for_termination adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def wait_for_termination(self, timeout=None):$/;" m class:Server +wait_for_value_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def wait_for_value_future():$/;" f +wait_for_write adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def wait_for_write(sock, timeout=None):$/;" f +wait_for_write adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def wait_for_write(sock, timeout=None):$/;" f +wait_on_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def wait_on_failure(self,$/;" m class:WorkerPreemptionHandler +wait_stream adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def wait_stream(self, stream):$/;" m class:Stream +wait_until_node_failure adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def wait_until_node_failure(rank, expected_error_regex=".*"):$/;" f +wait_until_owners_and_forks_on_rank adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def wait_until_owners_and_forks_on_rank(num_owners, num_forks, rank, timeout=20):$/;" f +wait_until_pending_futures_and_users_flushed adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def wait_until_pending_futures_and_users_flushed(timeout=20):$/;" f +wald adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^wald = wald_gen(a=0.0, name="wald")$/;" v +wald_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class wald_gen(invgauss_gen):$/;" c +walk adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def walk(top, topdown=True, onerror=None):$/;" f +walk adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def walk(top, in_order=True):$/;" f +Walk adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import walk as Walk$/;" x +Walk adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import walk as Walk$/;" x +walk adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import walk_v2 as walk$/;" x +walk adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import walk_v2 as walk$/;" x +walk adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from tensorflow.python.lib.io.file_io import walk_v2 as walk$/;" x +walk_egg adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def walk_egg(egg_dir):$/;" f +walk_revctrl adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^def walk_revctrl(dirname=''):$/;" f +walk_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def walk_v2(top, topdown=True, onerror=None):$/;" f +wall_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def wall_time(self):$/;" m class:BlobSequenceDatum +wall_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def wall_time(self):$/;" m class:ScalarDatum +wall_time adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def wall_time(self):$/;" m class:TensorDatum +wall_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def wall_time(self):$/;" m class:InfNanAlert +wall_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def wall_time(self):$/;" m class:BaseDigest +wantFile adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def wantFile(self, file):$/;" m class:NumpyDoctest +wants adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def wants(self, exc):$/;" m class:ExceptionHandler +want_form_data_parsed adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def want_form_data_parsed(self):$/;" m class:BaseRequest +want_form_data_parsed adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/request.py /^ want_form_data_parsed = False$/;" v class:StreamOnlyMixin +ward adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def ward(y):$/;" f +WarmStartSettings adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^class WarmStartSettings($/;" c +warmup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^def warmup(rg, n=None):$/;" f +warmup adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^def warmup():$/;" f +warmup_backward adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def warmup_backward(f, *args):$/;" f +warm_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def warm_start(ckpt_to_initialize_from,$/;" f +warn adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def warn(msg, *args, **kwargs):$/;" f +warn adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def warn(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +warn adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ warn = warning # Deprecated function.$/;" v +WARN adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^WARN = converter.ABSL_WARNING # Deprecated name.$/;" v +warn adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def warn(self, message):$/;" m class:Configuration +warn adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def warn(arr):$/;" f function:test_suppress_warnings_forwarding.warn_other_module file: +warn adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def warn(arr):$/;" f function:test_suppress_warnings_module.warn_other_module file: +warn adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def warn(category):$/;" f function:test_suppress_warnings_decorate_no_record file: +warn adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def warn(self, msg):$/;" m class:manifest_maker +warn adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def warn(self, msg, *args):$/;" m class:PackageIndex +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def warn(self, msg):$/;" m class:CCompiler +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def warn(self, msg):$/;" m class:Command +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def warn(self, msg):$/;" m class:check +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def warn(self, msg, *args):$/;" m class:Log +WARN adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^WARN = 3$/;" v +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^warn = _global_log.warn$/;" v +warn adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def warn(self, msg, line=None):$/;" m class:TextFile +warn adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def warn(msg, *args, **kwargs):$/;" f +WARN adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute_options.py /^ WARN = 0$/;" v class:ExternalStatePolicy +warn adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def warn(msg, *args, **kwargs):$/;" f +warn adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def warn(message, *args):$/;" f +WARN adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^WARN = 'WARN'$/;" v +warning adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def warning(self, msg, *args, **kwargs):$/;" m class:ABSLLogger +warning adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def warning(msg, *args, **kwargs):$/;" f +WARNING adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^WARNING = converter.ABSL_WARNING$/;" v +warning adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def warning(self, resp):$/;" m class:LastModified +warning adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def warning(self, response):$/;" m class:BaseHeuristic +warning adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def warning(self, response):$/;" m class:ExpiresAfter +warning adpepsenv/lib/python3.8/site-packages/scipy/linalg/src/id_dist/doc/doc.tex /^\\label{warning}$/;" l +WARNING adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/expn_asy.py /^WARNING = """\\$/;" v +warning adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def warning(msg, *args, **kwargs):$/;" f +WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^WARNING = "WARNING"$/;" v +Warning adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^class Warning(object):$/;" c +WARNING adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ WARNING = '\\033[93m'$/;" v class:bcolors +warnings adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ warnings = None$/;" v +warnings adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def warnings(self):$/;" m class:_PastaEditVisitor +warnings adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^def warnings(settings=None):$/;" f +warnings_and_errors adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def warnings_and_errors(self):$/;" m class:_PastaEditVisitor +warning_calls adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^def warning_calls():$/;" f +warning_cls adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ warning_cls = DeprecationWarning$/;" v class:_DeprecationTestCase +warning_cls adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ warning_cls = FutureWarning$/;" v class:TestShape1Fields +warning_cls adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ warning_cls = np.VisibleDeprecationWarning$/;" v class:_VisibleDeprecationTestCase +WARNING_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^WARNING_TEMPLATE = textwrap.dedent($/;" v +warn_bc_breaking adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^ def warn_bc_breaking():$/;" f function:gradcheck file: +warn_depends_obsolete adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def warn_depends_obsolete(cmd, basename, filename):$/;" f +warn_deprecated adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def warn_deprecated($/;" f +warn_deprecated_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def warn_deprecated_options(self):$/;" m class:easy_install +warn_distutils_present adpepsenv/lib/python3.8/site-packages/setuptools/distutils_patch.py /^def warn_distutils_present():$/;" f +warn_extraneous adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def warn_extraneous(extraneous):$/;" f +warn_if_has_hooks adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^def warn_if_has_hooks(tensor):$/;" f +warn_if_insufficient adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^ def warn_if_insufficient(width, binwidth):$/;" f function:binary_repr file: +warn_imbalance adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def warn_imbalance(get_prop):$/;" f function:_check_balance file: +WARN_INEFFICIENT_UNROLL adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^WARN_INEFFICIENT_UNROLL = True$/;" v +WARN_INEFFICIENT_UNROLL adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^WARN_INEFFICIENT_UNROLL = True$/;" v +warn_on_401 adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def warn_on_401(self, resp, **kwargs):$/;" m class:MultiDomainBasicAuth +warn_on_mismatching_name adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def warn_on_mismatching_name(self):$/;" m class:InstallRequirement +warn_on_static_input_change adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def warn_on_static_input_change(input_states):$/;" f +warn_other_module adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def warn_other_module():$/;" f function:test_suppress_warnings_forwarding file: +warn_other_module adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def warn_other_module():$/;" f function:test_suppress_warnings_module file: +wasserstein_distance adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def wasserstein_distance(u_values, v_values, u_weights=None, v_weights=None):$/;" f +wasSuccessful adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def wasSuccessful(self):$/;" f function:_monkey_patch_test_result_for_unexpected_passes file: +was_installed_by_pip adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^def was_installed_by_pip(pkg):$/;" f +watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def watch(self, tensor):$/;" m class:GradientTape +watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def watch(tape, tensor):$/;" f +watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def watch(self, x):$/;" m class:_fake_gradient_tape_context_manager.FakeGradientTape +WatchdogConfig adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WatchdogConfig = _reflection.GeneratedProtocolMessageType('WatchdogConfig', (_message.Message,),/;" v +WatchdogConfig adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WatchdogConfig = _reflection.GeneratedProtocolMessageType('WatchdogConfig', (_message.Message,),/;" v +WatchdogManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class WatchdogManager(threading.Thread):$/;" c +WatchdogReloaderLoop adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^class WatchdogReloaderLoop(ReloaderLoop):$/;" c +watched_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def watched_variables(self):$/;" m class:GradientTape +watched_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def watched_variables(self):$/;" m class:Tape +watched_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def watched_variables(self):$/;" m class:VariableWatcher +WatcherThread adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^class WatcherThread(threading.Thread):$/;" c +WatchKeyDoesNotExistInDebugDumpDirError adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^class WatchKeyDoesNotExistInDebugDumpDirError(ValueError):$/;" c +WatchOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^class WatchOptions(object):$/;" c +watch_gradients_by_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def watch_gradients_by_tensors(self, graph, tensors):$/;" m class:GradientsDebugger +watch_gradients_by_tensor_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def watch_gradients_by_tensor_names(self, graph, tensor_name_regex):$/;" m class:GradientsDebugger +watch_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_utils.py /^def watch_graph(run_options,$/;" f +watch_graph_with_denylists adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_utils.py /^def watch_graph_with_denylists(run_options,$/;" f +watch_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def watch_key(self):$/;" m class:DebugTensorDatum +watch_key_to_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def watch_key_to_data(self, debug_watch_key, device_name=None):$/;" m class:DebugDumpDir +watch_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^def watch_variable(tape, variable):$/;" f +watch_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def watch_variable(self, v):$/;" m class:FuncGraph +watershed_ift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def watershed_ift(input, markers, structure=None, output=None):$/;" f +waveforms adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_waveforms.py /^import scipy.signal.waveforms as waveforms$/;" I +WAVE_FORMAT adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^class WAVE_FORMAT(IntEnum):$/;" c +WavFileWarning adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^class WavFileWarning(UserWarning):$/;" c +WAVPACK_AUDIO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WAVPACK_AUDIO = 0x5756$/;" v class:WAVE_FORMAT +wbraycurtis adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wbraycurtis = _weight_checked(braycurtis)$/;" v +wcanberra adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcanberra = _weight_checked(canberra, const_test=False)$/;" v +wcdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcdist = _weight_checked(cdist, default_axis=1, squeeze=False)$/;" v +wcdist_no_const adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcdist_no_const = _weight_checked(cdist, default_axis=1, squeeze=False, const_test=False)$/;" v +wchebyshev adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wchebyshev = _weight_checked(chebyshev)$/;" v +wcityblock adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcityblock = _weight_checked(cityblock)$/;" v +wcorrelation adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcorrelation = _weight_checked(correlation)$/;" v +wcosine adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wcosine = _weight_checked(cosine)$/;" v +WDAYMASK adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^WDAYMASK = [0, 1, 2, 3, 4, 5, 6]*55$/;" v +wdayset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def wdayset(self, year, month, day):$/;" m class:_iterinfo +wdice adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wdice = _weight_checked(dice)$/;" v +WE adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +WE adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^MO, TU, WE, TH, FR, SA, SU = weekdays = tuple(weekday(x) for x in range(7))$/;" v +WeakFunctionProxy adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class WeakFunctionProxy(ObjectProxy):$/;" c +weakmethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def weakmethod(method):$/;" f +WEB adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^WEB = 0$/;" v +WebAggApplication adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^class WebAggApplication(tornado.web.Application):$/;" c +webagg_server_thread adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^webagg_server_thread = ServerThread()$/;" v +WebApplicationClient adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^class WebApplicationClient(Client):$/;" c +WebApplicationServer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/pre_configured.py /^class WebApplicationServer(RequestTokenEndpoint, AuthorizationEndpoint,$/;" c +WebApplicationServer adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^class WebApplicationServer(AuthorizationEndpoint, IntrospectEndpoint, TokenEndpoint,$/;" c +WebPImageFile adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^class WebPImageFile(ImageFile.ImageFile):$/;" c +WebSocket adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ class WebSocket(tornado.websocket.WebSocketHandler):$/;" c class:WebAggApplication +WebsocketMismatch adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^class WebsocketMismatch(BadRequest):$/;" c +Wedge adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class Wedge(_Base):$/;" c class:ArrowStyle +Wedge adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class Wedge(Patch):$/;" c +wedge adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def wedge(cls, theta1, theta2, n=None):$/;" m class:Path +wedge adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^def wedge(mode="RGB"):$/;" f +WEDNESDAY adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY = ($/;" v +week adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^week = 7 * day$/;" v +weekday adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def weekday(self, name):$/;" m class:parserinfo +weekday adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class weekday(weekdaybase):$/;" c +weekday adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^class weekday(object):$/;" c +weekdaybase adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^from ._common import weekday as weekdaybase$/;" x +WeekdayLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class WeekdayLocator(RRuleLocator):$/;" c +WEEKDAYS adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ WEEKDAYS = [("Mon", "Monday"),$/;" v class:parserinfo +WEEKDAYS adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^WEEKDAYS = (MONDAY, TUESDAY, WEDNESDAY, THURSDAY, FRIDAY, SATURDAY, SUNDAY)$/;" v +weeks adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def weeks(self):$/;" m class:relativedelta +weeks adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def weeks(self, value):$/;" m class:relativedelta +weibo_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/weibo.py /^def weibo_compliance_fix(session):$/;" f +Weibull adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^class Weibull(TransformedDistribution):$/;" c +weibull_max adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^weibull_max = weibull_max_gen(b=0.0, name='weibull_max')$/;" v +weibull_max_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class weibull_max_gen(rv_continuous):$/;" c +weibull_min adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def weibull_min(key, scale, concentration, shape=(), dtype=dtypes.float_):$/;" f +weibull_min adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^weibull_min = weibull_min_gen(a=0.0, name='weibull_min')$/;" v +weibull_min_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class weibull_min_gen(rv_continuous):$/;" c +WEIGHT adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ WEIGHT = 'WEIGHT'$/;" v class:ParameterTags +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def weight(self):$/;" m class:Conv1d +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def weight(self):$/;" m class:Conv2d +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def weight(self):$/;" m class:Conv3d +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def weight(self):$/;" m class:ConvTranspose1d +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def weight(self):$/;" m class:ConvTranspose2d +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def weight(self):$/;" m class:Embedding +weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def weight(self):$/;" m class:Linear +weight adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ weight = 100$/;" v class:BaseConverter +weight adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ weight = 200$/;" v class:PathConverter +weight adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ weight = 50$/;" v class:NumberConverter +WeightDecayBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class WeightDecayBuilder(Optimizer):$/;" c +weighted adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def weighted(y):$/;" f +WeightedCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class WeightedCategoricalColumn($/;" c +WeightedRandomSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^class WeightedRandomSampler(Sampler[int]):$/;" c +weightedtau adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def weightedtau(x, y, rank=True, weigher=None, additive=True):$/;" f +WeightedTauResult adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^WeightedTauResult = namedtuple('WeightedTauResult', ('correlation', 'pvalue'))$/;" v +weighted_assign_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ def weighted_assign_add(label, pred, weights, var):$/;" f function:update_confusion_matrix_variables file: +weighted_categorical_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def weighted_categorical_column(categorical_column,$/;" f +weighted_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def weighted_cross_entropy_with_logits(labels=None,$/;" f +weighted_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import weighted_cross_entropy_with_logits_v2 as weighted_cros/;" x +weighted_cross_entropy_with_logits adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import weighted_cross_entropy_with_logits_v2 as weighted_cros/;" x +weighted_cross_entropy_with_logits_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def weighted_cross_entropy_with_logits_v2(labels, logits, pos_weight,$/;" f +WEIGHTED_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^ WEIGHTED_MEAN = 'weighted_mean'$/;" v class:Reduction +weighted_moments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def weighted_moments(x, axes, frequency_weights, name=None, keep_dims=None,$/;" f +weighted_moments adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import weighted_moments_v2 as weighted_moments$/;" x +weighted_moments adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from tensorflow.python.ops.nn_impl import weighted_moments_v2 as weighted_moments$/;" x +weighted_moments_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def weighted_moments_v2(x, axes, frequency_weights, keepdims=False, name=None):$/;" f +weighted_moving_average adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^def weighted_moving_average(value,$/;" f +weighted_sigmoid_xentr_logit_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def weighted_sigmoid_xentr_logit_grad_ref(g_out, outputs, fwd_inputs):$/;" f member:TestCrossEntropyOps.test_weighted_sigmoid_cross_entropy_with_logits file: +weighted_sigmoid_xentr_logit_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/cross_entropy_ops_test.py /^ def weighted_sigmoid_xentr_logit_ref(logits, targets, weights):$/;" f member:TestCrossEntropyOps.test_weighted_sigmoid_cross_entropy_with_logits file: +weighted_sum_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/weightedsum_op_test.py /^ def weighted_sum_op_ref(*args):$/;" f member:TestWeightedSumOp.test_weighted_sum file: +weighted_sum_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/weighted_sum_test.py /^ def weighted_sum_op_ref(*args):$/;" f member:TestWeightedSumOp.test_weighted_sum file: +weightf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ weightf = lambda a: lambda x: (1 - x**2)**(a - 0.5)$/;" f function:test_roots_gegenbauer file: +weightf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ weightf = lambda a: lambda x: x**a * np.exp(-x)$/;" f function:test_roots_genlaguerre file: +WeightNorm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^class WeightNorm(object):$/;" c +weights adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ weights = np.array([1.0, 4.0, 1.0])$/;" v class:TestCov +weights adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def weights(self):$/;" m class:gaussian_kde +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def weights(self):$/;" m class:InputLayer +WEIGHTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ WEIGHTS = "weights"$/;" v class:GraphKeys +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def weights(self):$/;" m class:Layer +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def weights(self):$/;" m class:Layer +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def weights(self):$/;" m class:Model +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def weights(self):$/;" m class:LossScaleOptimizer +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def weights(self):$/;" m class:TFOptimizer +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def weights(self):$/;" m class:OptimizerV2 +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def weights(self):$/;" m class:Template +weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def weights(self):$/;" m class:TrackableDataStructure +WeightsFormat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def WeightsFormat(self):$/;" m class:FullyConnectedOptions +WEIGHTS_HASHES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/efficientnet.py /^WEIGHTS_HASHES = {$/;" v +WEIGHTS_HASHES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^WEIGHTS_HASHES = {$/;" v +WEIGHTS_HASHES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/resnet.py /^WEIGHTS_HASHES = {$/;" v +WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^WEIGHTS_PATH = ($/;" v +WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg16.py /^WEIGHTS_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/keras-applications\/'$/;" v +WEIGHTS_PATH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg19.py /^WEIGHTS_PATH = ('https:\/\/storage.googleapis.com\/tensorflow\/keras-applications\/'$/;" v +WEIGHTS_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/inception_v3.py /^WEIGHTS_PATH_NO_TOP = ($/;" v +WEIGHTS_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg16.py /^WEIGHTS_PATH_NO_TOP = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +WEIGHTS_PATH_NO_TOP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/vgg19.py /^WEIGHTS_PATH_NO_TOP = ('https:\/\/storage.googleapis.com\/tensorflow\/'$/;" v +weight_dict adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^weight_dict = {$/;" v +WEIGHT_INDEX_DICT adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^WEIGHT_INDEX_DICT = {$/;" v +weight_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^def weight_norm(module: T_module, name: str = 'weight', dim: int = 0) -> T_module:$/;" f +WEIGHT_PREPACK_OPS adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^WEIGHT_PREPACK_OPS = {$/;" v +weight_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/examples/v1/debug_mnist_v1.py /^ def weight_variable(shape):$/;" f function:main file: +welch adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def welch(x, fs=1.0, window='hann', nperseg=None, noverlap=None, nfft=None,$/;" f +WerkzeugServer adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^class WerkzeugServer(serving.ThreadedWSGIServer, TensorBoardServer):$/;" c +weuclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^weuclidean = _weight_checked(euclidean, const_test=False)$/;" v +wf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ wf = lambda a, b: lambda x: (1 - x)**a * (1 + x)**b$/;" f function:test_roots_jacobi file: +wf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^ wf = lambda a, b: lambda x: (1. - x)**(a - b) * (x)**(b - 1.)$/;" f function:test_roots_sh_jacobi file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: (1 - x)**alpha * (1 + x)**beta$/;" f function:jacobi file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: (1.0 - x)**(p - q) * (x)**(q - 1.)$/;" f function:sh_jacobi file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: 0.0 * x + 1.0$/;" f function:sh_legendre file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: 1.0 \/ sqrt(1 - x * x)$/;" f function:chebyt file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: exp(-x * x \/ 2.0)$/;" f function:hermitenorm file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: exp(-x * x)$/;" f function:hermite file: +wfunc adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ wfunc = lambda x: exp(-x) * x**alpha$/;" f function:genlaguerre file: +WhatIfToolPlugin adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^class WhatIfToolPlugin(base_plugin.TBPlugin):$/;" c +WhatIfToolPluginLoader adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin_loader.py /^class WhatIfToolPluginLoader(base_plugin.TBLoader):$/;" c +Wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^class Wheel(object):$/;" c +Wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^class Wheel(object):$/;" c +Wheel adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^class Wheel:$/;" c +WheelCache adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^class WheelCache(Cache):$/;" c +WheelCommand adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/wheel.py /^class WheelCommand(RequirementCommand):$/;" c +WheelDistribution adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/wheel.py /^class WheelDistribution(AbstractDistribution):$/;" c +WheelError adpepsenv/lib/python3.8/site-packages/wheel/cli/__init__.py /^class WheelError(Exception):$/;" c +wheelEvent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def wheelEvent(self, event):$/;" m class:FigureCanvasQT +WheelFile adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^class WheelFile(ZipFile):$/;" c +WheelMetadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^class WheelMetadata(DictMetadata):$/;" c +WHEEL_BUILT_MARKER adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^WHEEL_BUILT_MARKER = 'PEP517_ALREADY_BUILT_WHEEL'$/;" v +WHEEL_DIR adpepsenv/lib/python3.8/site-packages/pip/_vendor/__init__.py /^WHEEL_DIR = os.path.abspath(os.path.dirname(__file__))$/;" v +wheel_dist_info_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def wheel_dist_info_dir(source, name):$/;" f +wheel_dist_name adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def wheel_dist_name(self):$/;" m class:bdist_wheel +WHEEL_EXTENSION adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^WHEEL_EXTENSION = '.whl'$/;" v +wheel_file_re adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^ wheel_file_re = re.compile($/;" v class:Wheel +WHEEL_INFO_RE adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^WHEEL_INFO_RE = re.compile($/;" v +wheel_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def wheel_metadata(source, dist_info_dir):$/;" f +WHEEL_METADATA_FILENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^WHEEL_METADATA_FILENAME = 'metadata.json'$/;" v +WHEEL_NAME adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^WHEEL_NAME = re.compile($/;" v +wheel_root_is_purelib adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def wheel_root_is_purelib(metadata):$/;" f +wheel_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ wheel_tags = None$/;" v class:Locator +wheel_version adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^def wheel_version(wheel_data):$/;" f +wheel_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ wheel_version = (1, 1)$/;" v class:Wheel +wheel_version adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^from . import __version__ as wheel_version$/;" x +when_empty adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ def when_empty():$/;" f function:_buckets file: +when_empty adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def when_empty():$/;" f function:_buckets file: +when_imported adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^def when_imported(name):$/;" f +when_nonempty adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ def when_nonempty():$/;" f function:_buckets file: +when_nonempty adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def when_nonempty():$/;" f function:_buckets file: +when_nonsingular adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ def when_nonsingular():$/;" f function:_buckets.when_nonempty file: +when_nonsingular adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def when_nonsingular():$/;" f function:_buckets.when_nonempty file: +when_singular adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^ def when_singular():$/;" f function:_buckets.when_nonempty file: +when_singular adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^ def when_singular():$/;" f function:_buckets.when_nonempty file: +where adpepsenv/lib/python3.8/site-packages/certifi/core.py /^ def where():$/;" f +where adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def where(condition, x=None, y=None):$/;" f +where adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^def where(condition, x=None, y=None):$/;" f +where adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def where(condition, x=_NoValue, y=_NoValue):$/;" f +where adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^ def where():$/;" f +where adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^ def where(A):$/;" f function:_presolve file: +WHERE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ WHERE = 109$/;" v class:BuiltinOperator +where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def where(condition, x=None, y=None, name=None):$/;" f +where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def where(condition, name=None):$/;" f +Where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^Where = tf_export("raw_ops.Where")(_ops.to_raw_op(where))$/;" v +where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def where(condition, x=None, y=None):$/;" f +where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def where(condition, x=None, y=None, name=None):$/;" f +where adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import where_v2 as where$/;" x +where adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import where_v2 as where$/;" x +where adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import where_v2 as where$/;" x +where adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import where_v2 as where$/;" x +where adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import where_v2 as where$/;" x +where adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def where(g, condition, self=None, other=None, _outputs=None):$/;" f +WhereOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ WhereOptions = 85$/;" v class:BuiltinOptions +WhereOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class WhereOptions(object):$/;" c +WhereOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def WhereOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:WhereOptions +WhereOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhereOptionsEnd(builder): return builder.EndObject()$/;" f +WhereOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhereOptionsStart(builder): builder.StartObject(0)$/;" f +WhereOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class WhereOptionsT(object):$/;" c +where_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def where_eager_fallback(condition, name, ctx):$/;" f +where_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def where_v2(condition, x=None, y=None, name=None):$/;" f +where_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def where_v2(condition, x=None, y=None, name=None):$/;" f +which adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def which(cmd, mode=os.F_OK | os.X_OK, path=None):$/;" f +WhichOneof adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def WhichOneof(self, oneof_name):$/;" f function:_AddWhichOneofMethod file: +WhichOneof adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def WhichOneof(self, oneof_group):$/;" m class:Message +While adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def While(name, condition_blob_or_net, nets_or_steps):$/;" f +WHILE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ WHILE = 119$/;" v class:BuiltinOperator +While adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def While(input_, cond, body, name=None, hostmem=None):$/;" f +While adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^While = tf_export("raw_ops.While")(_ops.to_raw_op(_while))$/;" v +WhileBody adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def WhileBody(i, n, start, delta, *args):$/;" f function:_ForUsingWhile file: +WhileBodyFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^WhileBodyFuncGraph = control_flow_v2_func_graphs.WhileBodyFuncGraph$/;" v +WhileBodyFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_func_graphs.py /^class WhileBodyFuncGraph(ControlFlowFuncGraph):$/;" c +WhileCond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def WhileCond(i, n, *args):$/;" f function:_ForUsingWhile file: +WhileCondFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^WhileCondFuncGraph = control_flow_v2_func_graphs.WhileCondFuncGraph$/;" v +WhileCondFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_func_graphs.py /^class WhileCondFuncGraph(ControlFlowFuncGraph):$/;" c +WhileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^class WhileContext(ControlFlowContext):$/;" c +WhileContextDef adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^WhileContextDef = _reflection.GeneratedProtocolMessageType('WhileContextDef', (_message.Message,/;" v +WhileLoopBackendConfig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^WhileLoopBackendConfig = _reflection.GeneratedProtocolMessageType('WhileLoopBackendConfig', (_me/;" v +WhileLoopTest adpepsenv/lib/python3.8/site-packages/caffe2/python/control_test.py /^ def WhileLoopTest(self, nets_or_steps):$/;" m class:TestControl +WhileNet adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def WhileNet(self, name=None):$/;" m class:Operations +WhileOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class WhileOp(object):$/;" c +WhileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ WhileOptions = 93$/;" v class:BuiltinOptions +WhileOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class WhileOptions(object):$/;" c +WhileOptionsAddBodySubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhileOptionsAddBodySubgraphIndex(builder, bodySubgraphIndex): builder.PrependInt32Slot(1, bo/;" f +WhileOptionsAddCondSubgraphIndex adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhileOptionsAddCondSubgraphIndex(builder, condSubgraphIndex): builder.PrependInt32Slot(0, co/;" f +WhileOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def WhileOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:WhileOptions +WhileOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhileOptionsEnd(builder): return builder.EndObject()$/;" f +WhileOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def WhileOptionsStart(builder): builder.StartObject(2)$/;" f +WhileOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class WhileOptionsT(object):$/;" c +WhileV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class WhileV2(object):$/;" c +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_body(has_next, *loop_vars):$/;" f function:_tf_iterator_for_stmt file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_body(iterate, *loop_vars):$/;" f function:_tf_range_for_stmt file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_body(iterate_index, *loop_vars):$/;" f function:_known_len_tf_for_stmt file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_body(iterate_index, *loop_vars):$/;" f function:_tf_ragged_for_stmt file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def while_body(i, *ta_list):$/;" f function:for_loop file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def while_body(i, ta):$/;" f function:_convert_conv2d_backprop_filter file: +while_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def while_body(i, *ta_list):$/;" f function:_fallback_converter file: +while_body_actual adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_body_actual(opt_iterate, *loop_vars):$/;" f function:_tf_iterator_for_stmt file: +while_body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def while_body_fun(loop_carry):$/;" f function:_fori_body_fun file: +while_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_cond(has_next, *loop_vars):$/;" f function:_tf_iterator_for_stmt file: +while_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_cond(iterate, *loop_vars):$/;" f function:_tf_range_for_stmt file: +while_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_cond(iterate_index, *loop_vars):$/;" f function:_known_len_tf_for_stmt file: +while_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def while_cond(iterate_index, *loop_vars):$/;" f function:_tf_ragged_for_stmt file: +while_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ while_condition = lambda index, *args: K.less(index, start)$/;" f member:RadialConstraint._kernel_constraint file: +WHILE_CONTEXT adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ WHILE_CONTEXT = "while_context"$/;" v class:GraphKeys +while_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def while_fn():$/;" f member:WhileV2.__call__ file: +while_loop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def while_loop(cond_fun: Callable[[T], bool],$/;" f +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^while_loop = gen_xla_ops.xla_while$/;" v +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def while_loop(cond,$/;" f +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def while_loop(cond,$/;" f +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^def while_loop(condition, body, inputs=None, infeed_queue=None, name=None):$/;" f +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import while_loop_v2 as while_loop$/;" x +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import while_loop_v2 as while_loop$/;" x +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.control_flow_ops import while_loop_v2 as while_loop$/;" x +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.control_flow_ops import while_loop_v2 as while_loop$/;" x +while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.control_flow_ops import while_loop_v2 as while_loop$/;" x +while_loop_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def while_loop_op(op):$/;" m class:TensorTracer +while_loop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def while_loop_v2(cond,$/;" f +while_op_needs_rewrite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def while_op_needs_rewrite(self):$/;" m class:_WhileBodyGradFuncGraph +while_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^while_p = lax.Primitive('while')$/;" v +while_range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def while_range(self, cond_func):$/;" m class:Scope +while_step adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^ def while_step(control_name):$/;" f function:While file: +while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def while_stmt(test, body, get_state, set_state, symbol_names, opts):$/;" f +while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def while_stmt(test,$/;" f +while_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^while_v2 = LazyLoader("while_v2", globals(),$/;" v +Whirlpool_SecurityClassification adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^class Whirlpool_SecurityClassification(univ.Integer):$/;" c +WHITE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ WHITE = 37$/;" v class:AnsiFore +WHITE adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ WHITE = 47$/;" v class:AnsiBack +White adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class White(Token):$/;" c +White adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class White(Token):$/;" c +White adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class White(Token):$/;" c +White adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class White(Token):$/;" c +whiten adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def whiten(obs, check_finite=True):$/;" f +whitespace adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def whitespace(self, max_lines=None, comment=False):$/;" m class:TokenGenerator +whitespace adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ whitespace = br"[\\000\\011\\012\\014\\015\\040]"$/;" v class:PdfParser +WhitespaceSeparatedListParser adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class WhitespaceSeparatedListParser(BaseListParser):$/;" c +WhitespaceSeparatedListParser adpepsenv/lib/python3.8/site-packages/absl/flags/__init__.py /^WhitespaceSeparatedListParser = _argument_parser.WhitespaceSeparatedListParser$/;" v +whitespace_mandatory adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ whitespace_mandatory = whitespace + b"+"$/;" v class:PdfParser +whitespace_optional adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ whitespace_optional = whitespace + b"*"$/;" v class:PdfParser +whitespace_or_hex adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ whitespace_or_hex = br"[\\000\\011\\012\\014\\015\\0400-9a-fA-F]"$/;" v class:PdfParser +whiteStrs adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ whiteStrs = {$/;" v class:White +whiteStrs adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ whiteStrs = {$/;" v class:White +whiteStrs adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ whiteStrs = {$/;" v class:White +whiteStrs adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ whiteStrs = {$/;" v class:White +white_list_formats adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ white_list_formats = ('png', 'jpg', 'jpeg', 'bmp', 'ppm', 'tif', 'tiff')$/;" v class:Iterator +white_tophat adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def white_tophat(input, size=None, footprint=None, structure=None,$/;" f +who adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def who(vardict=None):$/;" f +WholeFileReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^WholeFileReader = tf_export("raw_ops.WholeFileReader")(_ops.to_raw_op(whole_file_reader))$/;" v +WholeFileReader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^class WholeFileReader(ReaderBase):$/;" c +WholeFileReaderV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^WholeFileReaderV2 = tf_export("raw_ops.WholeFileReaderV2")(_ops.to_raw_op(whole_file_reader_v2))$/;" v +whole_file_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def whole_file_reader(container="", shared_name="", name=None):$/;" f +whole_file_reader_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def whole_file_reader_eager_fallback(container, shared_name, name, ctx):$/;" f +whole_file_reader_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def whole_file_reader_v2(container="", shared_name="", name=None):$/;" f +whole_file_reader_v2_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def whole_file_reader_v2_eager_fallback(container, shared_name, name, ctx):$/;" f +WHOLE_LINE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ WHOLE_LINE = -2$/;" v class:TextFileIndex +whosmat adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def whosmat(file_name, appendmat=True, **kwargs):$/;" f +WideDeepModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^class WideDeepModel(keras_training.Model):$/;" c +Widget adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class Widget:$/;" c +widgets adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^import matplotlib.widgets as widgets$/;" I +widgets adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_widgets.py /^import matplotlib.widgets as widgets$/;" I +width adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ width = property(get_width, set_width)$/;" v class:Ellipse +width adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def width(self):$/;" m class:BboxBase +WIDTH adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^WIDTH = 800$/;" v +width adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def width(self):$/;" m class:Image +width adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def width(self):$/;" m class:BitmapImage +width adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def width(self):$/;" m class:PhotoImage +width adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/bar.py /^ width = 32$/;" v class:Bar +width adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ def width(self):$/;" m class:_Formatter +widths adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ widths = [100, 140, 300]$/;" v class:_HelpDialog +Wien adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^Wien = _cd('Wien wavelength displacement law constant')$/;" v +wiener adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def wiener(im, mysize=None, noise=None):$/;" f +WikiLinkExtension adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^class WikiLinkExtension(Extension):$/;" c +WikiLinksInlineProcessor adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^class WikiLinksInlineProcessor(InlineProcessor):$/;" c +wilcoxon adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def wilcoxon(x, y=None, zero_method="wilcox", correction=False,$/;" f +WilcoxonResult adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^WilcoxonResult = namedtuple('WilcoxonResult', ('statistic', 'pvalue'))$/;" v +will_sync_module_buffers adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def will_sync_module_buffers(self):$/;" m class:DistributedDataParallel +Win adpepsenv/lib/python3.8/site-packages/mpi4py/MPI.pxd /^ctypedef public api class Win [$/;" c +WIN adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^WIN = sys.platform.startswith("win")$/;" v +win1250HungarianCharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhungarianmodel.py /^win1250HungarianCharToOrderMap = ($/;" v +Win1250HungarianModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhungarianmodel.py /^Win1250HungarianModel = {$/;" v +win1251BulgarianCharToOrderMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langbulgarianmodel.py /^win1251BulgarianCharToOrderMap = ($/;" v +Win1251BulgarianModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langbulgarianmodel.py /^Win1251BulgarianModel = {$/;" v +Win1251CyrillicModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^Win1251CyrillicModel = {$/;" v +win1251_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langcyrillicmodel.py /^win1251_char_to_order_map = ($/;" v +Win1253GreekModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langgreekmodel.py /^Win1253GreekModel = {$/;" v +win1253_char_to_order_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langgreekmodel.py /^win1253_char_to_order_map = ($/;" v +Win1255HebrewModel adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhebrewmodel.py /^Win1255HebrewModel = {$/;" v +WIN1255_CHAR_TO_ORDER_MAP adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/langhebrewmodel.py /^WIN1255_CHAR_TO_ORDER_MAP = ($/;" v +Win32CPUInfo adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^class Win32CPUInfo(CPUInfoBase):$/;" c +win32FontDirectory adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def win32FontDirectory():$/;" f +win32InstalledFonts adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def win32InstalledFonts(directory=None, fontext='ttf'):$/;" f +win32_checks adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^def win32_checks(deflist):$/;" f +winapi_test adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def winapi_test():$/;" f +winapi_test adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ winapi_test = lambda *_: None$/;" f +WinColor adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^class WinColor(object):$/;" c +WinDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ WinDir = environ.get('WinDir', '')$/;" v class:SystemInfo +windll adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ windll = LibraryLoader(ctypes.WinDLL)$/;" v +windll adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ windll = None$/;" v +window adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def window(self):$/;" m class:ConfigureSubplotsGTK3 +window adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def window(self, window):$/;" m class:ConfigureSubplotsGTK3 +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ window = np.array(chebdomain)$/;" v class:Chebyshev +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ window = np.array(hermdomain)$/;" v class:Hermite +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ window = np.array(hermedomain)$/;" v class:HermiteE +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ window = np.array(lagdomain)$/;" v class:Laguerre +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ window = np.array(legdomain)$/;" v class:Legendre +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ window = np.array(polydomain)$/;" v class:Polynomial +window adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def window(self):$/;" m class:ABCPolyBase +Window adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^class Window:$/;" c +WINDOW adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^WINDOW = 1$/;" v +Window adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^Window = _reflection.GeneratedProtocolMessageType('Window', (_message.Message,), {$/;" v +window adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def window(self, size, shift=None, stride=1, drop_remainder=False):$/;" m class:DatasetV1 +window adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def window(self, size, shift=None, stride=1, drop_remainder=False):$/;" m class:DatasetV2 +WindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class WindowDataset(UnaryDataset):$/;" c +WindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^WindowDataset = tf_export("raw_ops.WindowDataset")(_ops.to_raw_op(window_dataset))$/;" v +WindowDimension adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^WindowDimension = _reflection.GeneratedProtocolMessageType('WindowDimension', (_message.Message,/;" v +WINDOWS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^WINDOWS = (sys.platform.startswith("win") or$/;" v +WindowsCommandSpec adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class WindowsCommandSpec(CommandSpec):$/;" c +WindowsError adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ WindowsError = None$/;" v +WindowsExecutableLauncherWriter adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class WindowsExecutableLauncherWriter(WindowsScriptWriter):$/;" c +WindowsMixin adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^class WindowsMixin(object):$/;" c +WindowsParser adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^class WindowsParser:$/;" c +WindowsScriptWriter adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^class WindowsScriptWriter(ScriptWriter):$/;" c +WindowsSdkDir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def WindowsSdkDir(self):$/;" m class:SystemInfo +WindowsSDKExecutablePath adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def WindowsSDKExecutablePath(self):$/;" m class:SystemInfo +WindowsSdkLastVersion adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def WindowsSdkLastVersion(self):$/;" m class:SystemInfo +WindowsSdkVersion adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def WindowsSdkVersion(self):$/;" m class:SystemInfo +WindowsViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class WindowsViewer(Viewer):$/;" c +WINDOWS_1250_HUNGARIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langhungarianmodel.py /^WINDOWS_1250_HUNGARIAN_CHAR_TO_ORDER = {$/;" v +WINDOWS_1250_HUNGARIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langhungarianmodel.py /^WINDOWS_1250_HUNGARIAN_MODEL = SingleByteCharSetModel(charset_name='windows-1250',$/;" v +WINDOWS_1251_BULGARIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langbulgarianmodel.py /^WINDOWS_1251_BULGARIAN_CHAR_TO_ORDER = {$/;" v +WINDOWS_1251_BULGARIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langbulgarianmodel.py /^WINDOWS_1251_BULGARIAN_MODEL = SingleByteCharSetModel(charset_name='windows-1251',$/;" v +WINDOWS_1251_RUSSIAN_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^WINDOWS_1251_RUSSIAN_CHAR_TO_ORDER = {$/;" v +WINDOWS_1251_RUSSIAN_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langrussianmodel.py /^WINDOWS_1251_RUSSIAN_MODEL = SingleByteCharSetModel(charset_name='windows-1251',$/;" v +WINDOWS_1253_GREEK_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langgreekmodel.py /^WINDOWS_1253_GREEK_CHAR_TO_ORDER = {$/;" v +WINDOWS_1253_GREEK_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langgreekmodel.py /^WINDOWS_1253_GREEK_MODEL = SingleByteCharSetModel(charset_name='windows-1253',$/;" v +WINDOWS_1255_HEBREW_CHAR_TO_ORDER adpepsenv/lib/python3.8/site-packages/chardet/langhebrewmodel.py /^WINDOWS_1255_HEBREW_CHAR_TO_ORDER = {$/;" v +WINDOWS_1255_HEBREW_MODEL adpepsenv/lib/python3.8/site-packages/chardet/langhebrewmodel.py /^WINDOWS_1255_HEBREW_MODEL = SingleByteCharSetModel(charset_name='windows-1255',$/;" v +WINDOWS_ENCODING adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^WINDOWS_ENCODING = "mbcs"$/;" v +windows_kits_roots adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def windows_kits_roots(self):$/;" m class:RegistryInfo +windows_only adpepsenv/lib/python3.8/site-packages/setuptools/windows_support.py /^def windows_only(func):$/;" f +WINDOWS_SCHEME adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^WINDOWS_SCHEME = {$/;" v +windows_sdk adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def windows_sdk(self):$/;" m class:RegistryInfo +window_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def window_dataset(input_dataset, size, shift, stride, drop_remainder, output_types, output_shap/;" f +window_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def window_dataset_eager_fallback(input_dataset, size, shift, stride, drop_remainder, output_typ/;" f +window_funcs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_windows.py /^window_funcs = [$/;" v +window_hanning adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def window_hanning(x):$/;" f +window_icon adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^window_icon = str(cbook._get_data_path('images', icon_filename))$/;" v +window_none adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def window_none(x):$/;" f +window_padding_type_to_pad_values adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def window_padding_type_to_pad_values(padding_type, lhs_dims, rhs_dims,$/;" f +window_size_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def window_size_fn(bucket_id):$/;" f function:bucket_by_sequence_length file: +window_size_func_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def window_size_func_wrapper(key):$/;" f member:_GroupByWindowDataset._make_window_size_func file: +wininst2wheel adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^def wininst2wheel(path, dest_dir):$/;" f +winreg adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^ import _winreg as winreg$/;" I function:proxy_bypass_registry file: +winreg adpepsenv/lib/python3.8/site-packages/requests/utils.py /^ import _winreg as winreg$/;" I function:proxy_bypass_registry file: +winreg adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ class winreg:$/;" c +WINSDK_BASE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ WINSDK_BASE = r"Software\\Microsoft\\Microsoft SDKs\\Windows"$/;" v +WINSDK_BASE adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ WINSDK_BASE = r"Software\\Wow6432Node\\Microsoft\\Microsoft SDKs\\Windows"$/;" v +winsorize adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def winsorize(a, limits=None, inclusive=(True, True), inplace=False,$/;" f +WinStyle adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^class WinStyle(object):$/;" c +winter adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def winter():$/;" f +winterm adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ winterm = WinTerm()$/;" v +winterm adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^winterm = None$/;" v +WinTerm adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^class WinTerm(object):$/;" c +WIN_BYTE_DETECTOR adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ WIN_BYTE_DETECTOR = re.compile(b'[\\x80-\\x9F]')$/;" v class:UniversalDetector +WIN_BYTE_DETECTOR adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ WIN_BYTE_DETECTOR = re.compile(b'[\\x80-\\x9F]')$/;" v class:UniversalDetector +win_cuda_flags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def win_cuda_flags(cflags):$/;" f member:BuildExtension.build_extensions file: +win_wrap_ninja_compile adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def win_wrap_ninja_compile(sources,$/;" f member:BuildExtension.build_extensions file: +win_wrap_single_compile adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def win_wrap_single_compile(sources,$/;" f member:BuildExtension.build_extensions file: +WIRETYPE_END_GROUP adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_END_GROUP = 4$/;" v +WIRETYPE_FIXED32 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_FIXED32 = 5$/;" v +WIRETYPE_FIXED64 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_FIXED64 = 1$/;" v +WIRETYPE_LENGTH_DELIMITED adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_LENGTH_DELIMITED = 2$/;" v +WIRETYPE_LENGTH_DELIMITED adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^WIRETYPE_LENGTH_DELIMITED = 2$/;" v +WIRETYPE_START_GROUP adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_START_GROUP = 3$/;" v +WIRETYPE_START_GROUP adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^WIRETYPE_START_GROUP = 3$/;" v +WIRETYPE_VARINT adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^WIRETYPE_VARINT = 0$/;" v +wire_type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def wire_type(self):$/;" m class:UnknownFieldRef +wishart adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^wishart = wishart_gen()$/;" v +wishart_docdict_noparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^wishart_docdict_noparams = {$/;" v +wishart_docdict_params adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^wishart_docdict_params = {$/;" v +wishart_frozen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class wishart_frozen(multi_rv_frozen):$/;" c +wishart_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class wishart_gen(multi_rv_generic):$/;" c +withAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def withAttribute(*args, **attrDict):$/;" f +withAttribute adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def withAttribute(*args,**attrDict):$/;" f +withAttribute adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def withAttribute(*args, **attrDict):$/;" f +withAttribute adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def withAttribute(*args,**attrDict):$/;" f +withClass adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def withClass(classname, namespace=''):$/;" f +withClass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def withClass(classname, namespace=''):$/;" f +withClass adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def withClass(classname, namespace=''):$/;" f +withClass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def withClass(classname, namespace=''):$/;" f +WithComponentsConstraint adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^class WithComponentsConstraint(AbstractConstraint):$/;" c +withEffect adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ class withEffect(effect_class):$/;" c function:_subclass_with_normal file: +within_delta adpepsenv/lib/python3.8/site-packages/dateutil/utils.py /^def within_delta(dt1, dt2, delta):$/;" f +within_grid adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def within_grid(self, xi, yi):$/;" m class:Grid +within_tol adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^ def within_tol(x, y, atol, rtol):$/;" f function:isclose file: +within_tol adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^def within_tol(a, b, tol):$/;" f +within_tol adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def within_tol(a, b, tol):$/;" f +WithItemBuilder adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^class WithItemBuilder(Builder):$/;" c +withSimplePatchShadow adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^withSimplePatchShadow = _subclass_with_normal(effect_class=SimplePatchShadow)$/;" v +withStroke adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^withStroke = _subclass_with_normal(effect_class=Stroke)$/;" v +with_64bit_maxval_limit adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def with_64bit_maxval_limit(maxval_limit=None, random=False, fixed_dtype=None,$/;" f +with_account adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def with_account(self, account):$/;" m class:UserAccessTokenCredentials +with_accounted_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_accounted_types(self, account_type_regexes):$/;" m class:ProfileOptionBuilder +with_args adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ with_args = classmethod(_with_args)$/;" v class:FakeQuantize +with_args adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ with_args = _with_args$/;" v class:_with_args._PartialWrapper +with_args adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ with_args = classmethod(_with_args)$/;" v class:ObserverBase +with_args adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ with_args = classmethod(_with_args)$/;" v class:_LearnableFakeQuantize +with_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def with_attributes($/;" m class:SerializedAttributes +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:AffineTransform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:CatTransform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:ComposeTransform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:PowerTransform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:StackTransform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:Transform +with_cache adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def with_cache(self, cache_size=1):$/;" m class:_InverseTransform +with_cached_html_pages adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def with_cached_html_pages($/;" f +with_call adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def with_call(self,$/;" m class:_StreamUnaryMultiCallable +with_call adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def with_call(self,$/;" m class:_UnaryUnaryMultiCallable +with_call adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def with_call(self,$/;" m class:_StreamUnaryMultiCallable +with_call adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def with_call(self,$/;" m class:_UnaryUnaryMultiCallable +with_call adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def with_call(self,$/;" m class:StreamUnaryMultiCallable +with_call adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def with_call(self,$/;" m class:UnaryUnaryMultiCallable +with_claims adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def with_claims($/;" m class:Credentials +with_claims adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def with_claims(self, issuer=None, subject=None, additional_claims=None):$/;" m class:OnDemandCredentials +with_claims adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_claims(self, additional_claims):$/;" m class:Credentials +with_cleanup adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^def with_cleanup(func):$/;" f +with_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def with_context(self, required_by):$/;" m class:VersionConflict +with_context adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def with_context(self, required_by):$/;" m class:VersionConflict +with_control_flow_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def with_control_flow_v2(cls):$/;" f +with_counter adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def with_counter(method):$/;" f member:_LRScheduler.__init__ file: +with_cur_sublevel adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def with_cur_sublevel(self):$/;" m class:MainTrace +with_c_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def with_c_shapes(cls):$/;" f +with_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def with_dependencies(dependencies, output_tensor, name=None):$/;" f +with_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_dependencies(self, dependencies):$/;" m class:RowPartition +with_deps adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ with_deps = lambda x: control_flow_ops.with_dependencies(t_values, x)$/;" f function:_restore_sparse_tensors file: +with_dim_size_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def with_dim_size_dtype(self, dtype):$/;" m class:RaggedTensorDynamicShape +with_empty_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_empty_output(self):$/;" m class:ProfileOptionBuilder +with_exceptions_logged adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^def with_exceptions_logged(behavior, message):$/;" f +with_file_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_file_output(self, outfile):$/;" m class:ProfileOptionBuilder +with_flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def with_flat_values(self, new_values):$/;" m class:RaggedTensor +with_forward_compatibility_horizons adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def with_forward_compatibility_horizons(*horizons):$/;" f +with_function_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^def with_function_scope(thunk, scope_name, options):$/;" f +with_hostmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_hostmask(self):$/;" m class:IPv4Interface +with_hostmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_hostmask(self):$/;" m class:IPv6Interface +with_hostmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_hostmask(self):$/;" m class:_BaseNetwork +with_include_email adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def with_include_email(self, include_email):$/;" m class:IDTokenCredentials +with_load_library_flags adpepsenv/lib/python3.8/site-packages/caffe2/python/__init__.py /^ with_load_library_flags = hasattr(kernel32, 'AddDllDirectory')$/;" v +with_load_library_flags adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ with_load_library_flags = hasattr(kernel32, 'AddDllDirectory')$/;" v +with_max_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_max_depth(self, max_depth):$/;" m class:ProfileOptionBuilder +with_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/torch/_six.py /^def with_metaclass(meta: type, *bases) -> type:$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def with_metaclass(meta, *bases):$/;" f +with_metaclass adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def with_metaclass(meta, *bases):$/;" f +with_min_execution_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_min_execution_time(self,$/;" m class:ProfileOptionBuilder +with_min_float_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_min_float_operations(self, min_float_ops):$/;" m class:ProfileOptionBuilder +with_min_memory adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_min_memory(self,$/;" m class:ProfileOptionBuilder +with_min_occurrence adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_min_occurrence(self, min_occurrence):$/;" m class:ProfileOptionBuilder +with_min_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_min_parameters(self, min_params):$/;" m class:ProfileOptionBuilder +with_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def with_mode(self, mode):$/;" m class:_InternalTPUContext +with_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def with_name_scope(cls, method):$/;" m class:Module +with_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_netmask(self):$/;" m class:IPv4Interface +with_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_netmask(self):$/;" m class:IPv6Interface +with_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_netmask(self):$/;" m class:_BaseNetwork +with_node_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_node_names(self,$/;" m class:ProfileOptionBuilder +with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def with_options(self, options):$/;" m class:DatasetV1 +with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def with_options(self, options):$/;" m class:DatasetV2 +with_options adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def with_options(cls, **options):$/;" m class:BuildExtension +with_port_scanning adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^def with_port_scanning(cls):$/;" f +with_pprof_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_pprof_output(self, pprof_file):$/;" m class:ProfileOptionBuilder +with_precomputed_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_precomputed_nrows(self):$/;" m class:RowPartition +with_precomputed_row_lengths adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_precomputed_row_lengths(self):$/;" m class:RowPartition +with_precomputed_row_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_precomputed_row_splits(self):$/;" m class:RowPartition +with_precomputed_value_rowids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_precomputed_value_rowids(self):$/;" m class:RowPartition +with_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_prefixlen(self):$/;" m class:IPv4Interface +with_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_prefixlen(self):$/;" m class:IPv6Interface +with_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def with_prefixlen(self):$/;" m class:_BaseNetwork +with_prepare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_prepare(np.ndarray):$/;" c member:TestSpecialMethods.test_prepare file: +with_prepare adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_prepare(np.ndarray):$/;" c member:TestSpecialMethods.test_prepare_out file: +with_project_on_sys_path adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def with_project_on_sys_path(self, func):$/;" m class:test +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:IDTokenCredentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:CredentialsWithQuotaProject +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:IDTokenCredentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def with_quota_project(self, quota_project_id):$/;" m class:OnDemandCredentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def with_quota_project(self, quota_project_id):$/;" m class:UserAccessTokenCredentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_quota_project(self, quota_project_id):$/;" m class:Credentials +with_quota_project adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_quota_project(self, quota_project_id):$/;" m class:IDTokenCredentials +with_rank adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def with_rank(self, rank):$/;" m class:TensorShape +with_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def with_rank(self, rank):$/;" m class:TensorShape +with_rank_at_least adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def with_rank_at_least(self, rank):$/;" m class:TensorShape +with_rank_at_least adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def with_rank_at_least(self, rank):$/;" m class:TensorShape +with_rank_at_most adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def with_rank_at_most(self, rank):$/;" m class:TensorShape +with_rank_at_most adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def with_rank_at_most(self, rank):$/;" m class:TensorShape +with_row_splits_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def with_row_splits_dtype(self, dtype):$/;" m class:RaggedTensor +with_row_splits_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def with_row_splits_dtype(self, dtype):$/;" m class:RowPartition +with_scopes adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def with_scopes(self, scopes, default_scopes=None):$/;" m class:Credentials +with_scopes adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def with_scopes(self, scopes, default_scopes=None):$/;" m class:Credentials +with_scopes adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def with_scopes(self, scopes, default_scopes=None):$/;" m class:Scoped +with_scopes adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def with_scopes(self, scopes, default_scopes=None):$/;" m class:Credentials +with_scopes adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_scopes(self, scopes, default_scopes=None):$/;" m class:Credentials +with_scopes_if_required adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^def with_scopes_if_required(credentials, scopes, default_scopes=None):$/;" f +with_scopes_if_required adpepsenv/lib/python3.8/site-packages/google/auth/_credentials_async.py /^def with_scopes_if_required(credentials, scopes):$/;" f +with_sharding adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def with_sharding(builder, sharding: SpatialSharding, op_fn, *args, **kwargs):$/;" f +with_sharding_constraint adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def with_sharding_constraint(x, partitions: Optional[PartitionSpec]):$/;" f +with_sharding_proto adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def with_sharding_proto(builder, sharding_proto, op_fn, *args, **kwargs):$/;" f +with_source adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^ def with_source(text):$/;" f function:parse_req_from_line file: +with_space_to_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def with_space_to_batch($/;" f +with_special_errors adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^def with_special_errors(func):$/;" f +with_stdout_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_stdout_output(self):$/;" m class:ProfileOptionBuilder +with_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_step(self, step):$/;" m class:ProfileOptionBuilder +with_subject adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_subject(self, subject):$/;" m class:Credentials +with_target_audience adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def with_target_audience(self, target_audience):$/;" m class:IDTokenCredentials +with_target_audience adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def with_target_audience(self, target_audience):$/;" m class:IDTokenCredentials +with_target_audience adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def with_target_audience(self, target_audience):$/;" m class:IDTokenCredentials +with_tf32_disabled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def with_tf32_disabled(self, function_call):$/;" f function:tf32_on_and_off file: +with_tf32_enabled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def with_tf32_enabled(self, function_call):$/;" f function:tf32_on_and_off file: +with_tf32_off adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def with_tf32_off(f):$/;" f +with_timeline_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def with_timeline_output(self, timeline_file):$/;" m class:ProfileOptionBuilder +with_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def with_updates(self,$/;" m class:StructuredTensor +with_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def with_values(self, new_values):$/;" m class:SparseTensor +with_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def with_values(self, new_values):$/;" m class:RaggedTensor +with_variable_store adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def with_variable_store(store):$/;" f +with_wait_for_ready adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def with_wait_for_ready(self, wait_for_ready):$/;" m class:_InitialMetadataFlags +with_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_wrap(np.ndarray):$/;" c member:TestSpecialMethods.test_wrap_with_iterable file: +with_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_wrap:$/;" c member:TestSpecialMethods.test_default_prepare file: +with_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_wrap:$/;" c member:TestSpecialMethods.test_old_wrap file: +with_wrap adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ class with_wrap:$/;" c member:TestSpecialMethods.test_wrap file: +wjaccard adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wjaccard = _weight_checked(jaccard)$/;" v +wkq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def wkq(x, y, rank, weigher, add):$/;" f function:test_weightedtau_vs_quadratic file: +wkref adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^from weakref import ref as wkref$/;" x +wkref adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^from weakref import ref as wkref$/;" x +wkref adpepsenv/lib/python3.8/site-packages/pyparsing.py /^from weakref import ref as wkref$/;" x +wkref adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^from weakref import ref as wkref$/;" x +WKTBASES adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^WKTBASES = {$/;" v +wkulsinski adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wkulsinski = _weight_checked(kulsinski)$/;" v +WM9_SPECTRUM_ANALYZER adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WM9_SPECTRUM_ANALYZER = 0xA10B$/;" v class:WAVE_FORMAT +WMASPDIF adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMASPDIF = 0x0164$/;" v class:WAVE_FORMAT +wmatching adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wmatching = whamming = _weight_checked(hamming, dud_test=False)$/;" v +WMAUDIO2 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMAUDIO2 = 0x0161$/;" v class:WAVE_FORMAT +WMAUDIO3 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMAUDIO3 = 0x0162$/;" v class:WAVE_FORMAT +WMAUDIO_LOSSLESS adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMAUDIO_LOSSLESS = 0x0163$/;" v class:WAVE_FORMAT +WMAVOICE10 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMAVOICE10 = 0x000B$/;" v class:WAVE_FORMAT +WMAVOICE9 adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMAVOICE9 = 0x000A$/;" v class:WAVE_FORMAT +WmfHandler adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ class WmfHandler:$/;" c +WmfStubImageFile adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^class WmfStubImageFile(ImageFile.StubImageFile):$/;" c +WMF_SPECTRUM_ANAYZER adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ WMF_SPECTRUM_ANAYZER = 0xA10C$/;" v class:WAVE_FORMAT +wminkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def wminkowski(u, v, p, w):$/;" f +wminkowski adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wminkowski = _weight_checked(minkowski, const_test=False)$/;" v +WngradOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class WngradOptimizer(Optimizer):$/;" c +wngrad_sparse_test_helper adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/wngrad_test.py /^def wngrad_sparse_test_helper(parent_test, inputs, seq_b, lr, epsilon,$/;" f +wofz adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double complex wofz(double complex x0) nogil$/;" f +wolfe_one adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^ def wolfe_one(a_i, phi_i):$/;" f function:line_search file: +wolfe_two adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^ def wolfe_two(dphi_i):$/;" f function:line_search file: +word adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^from ._binary import i16le as word$/;" x +Word adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class Word(Token):$/;" c +Word adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class Word(Token):$/;" c +Word adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class Word(Token):$/;" c +Word adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class Word(Token):$/;" c +WordEnd adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class WordEnd(_PositionToken):$/;" c +WordEnd adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class WordEnd(_PositionToken):$/;" c +WordEnd adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class WordEnd(_PositionToken):$/;" c +WordEnd adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class WordEnd(_PositionToken):$/;" c +words adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hsm_test.py /^words = [[0, 1, 2], [3, 4], [5, 6, 7, 8]]$/;" v +WordStart adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class WordStart(_PositionToken):$/;" c +WordStart adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class WordStart(_PositionToken):$/;" c +WordStart adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class WordStart(_PositionToken):$/;" c +WordStart adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class WordStart(_PositionToken):$/;" c +word_pattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^word_pattern = re.compile(r'\\b[a-z][\\w$]*\\b', re.I)$/;" v +WORD_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ WORD_PATTERN = re.compile(r'^\\s*(\\w+)\\s*')$/;" v class:BaseConfigurator +Work adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ class Work(object):$/;" c function:_is_guaranteed_const file: +workaround_csv_sniffer_bug_last_field adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def workaround_csv_sniffer_bug_last_field(sniff_line, dialect, delimiters):$/;" f +Worker adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^class Worker(object):$/;" c +worker adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def worker():$/;" f function:_manager_thread file: +worker adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def worker(args, q):$/;" f member:TestFFTThreadSafe._test_mtsame file: +worker adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def worker(args, q):$/;" f member:TestFFTThreadSafe._test_mtsame file: +worker adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def worker():$/;" f member:TestSplu.test_threads_parallel file: +worker adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def worker():$/;" f function:test_parallel_threads file: +Worker adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ class Worker(threading.Thread):$/;" c function:test_threads file: +worker adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__threadsafety.py /^ def worker(k):$/;" f function:test_parallel_threads file: +Worker adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def Worker():$/;" f member:EventMultiplexer.Reload file: +Worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class Worker(object):$/;" c +WORKER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ WORKER = "worker"$/;" v class:_TaskType +WORKER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^WORKER = dc._TaskType.WORKER$/;" v +WORKER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ WORKER = 'worker'$/;" v class:TaskType +WorkerConfig adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^WorkerConfig = _reflection.GeneratedProtocolMessageType('WorkerConfig', (_message.Message,), {$/;" v +WorkerConfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^class WorkerConfig($/;" c +WorkerCoordinator adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^class WorkerCoordinator(object):$/;" c +WorkerHealth adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WorkerHealth = enum_type_wrapper.EnumTypeWrapper(_WORKERHEALTH)$/;" v +WorkerHealth adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WorkerHealth = enum_type_wrapper.EnumTypeWrapper(_WORKERHEALTH)$/;" v +WorkerHeartbeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^WorkerHeartbeat = tf_export("raw_ops.WorkerHeartbeat")(_ops.to_raw_op(worker_heartbeat))$/;" v +WorkerHeartbeatManager adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^class WorkerHeartbeatManager(object):$/;" c +WorkerHeartbeatRequest adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WorkerHeartbeatRequest = _reflection.GeneratedProtocolMessageType('WorkerHeartbeatRequest', (_me/;" v +WorkerHeartbeatRequest adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WorkerHeartbeatRequest = _reflection.GeneratedProtocolMessageType('WorkerHeartbeatRequest', (_me/;" v +WorkerHeartbeatResponse adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WorkerHeartbeatResponse = _reflection.GeneratedProtocolMessageType('WorkerHeartbeatResponse', (_/;" v +WorkerHeartbeatResponse adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WorkerHeartbeatResponse = _reflection.GeneratedProtocolMessageType('WorkerHeartbeatResponse', (_/;" v +WorkerInfo adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^class WorkerInfo(object):$/;" c +WorkerPool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def WorkerPool(executor):$/;" f +WorkerPreemptionHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class WorkerPreemptionHandler(object):$/;" c +WorkerServer adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^class WorkerServer(object):$/;" c +WorkerSessionCreator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class WorkerSessionCreator(SessionCreator):$/;" c +WorkerShutdownMode adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^WorkerShutdownMode = enum_type_wrapper.EnumTypeWrapper(_WORKERSHUTDOWNMODE)$/;" v +WorkerShutdownMode adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^WorkerShutdownMode = enum_type_wrapper.EnumTypeWrapper(_WORKERSHUTDOWNMODE)$/;" v +WorkerThread adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_gil.py /^ class WorkerThread(threading.Thread):$/;" c member:TestGIL.make_worker_thread file: +WorkerTrainingState adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^class WorkerTrainingState(object):$/;" c +worker_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def worker_count(cluster_spec, task_type):$/;" f +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def worker_devices(self):$/;" m class:StrategyExtendedV2 +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def worker_devices(self):$/;" m class:_DefaultDistributionExtended +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def worker_devices(self):$/;" m class:InputWorkers +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def worker_devices(self):$/;" m class:MirroredExtended +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def worker_devices(self):$/;" m class:OneDeviceExtended +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def worker_devices(self):$/;" m class:ParameterServerStrategyExtended +worker_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def worker_devices(self):$/;" m class:TPUExtended +worker_devices_by_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def worker_devices_by_replica(self):$/;" m class:MirroredExtended +worker_devices_by_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def worker_devices_by_replica(self):$/;" m class:ParameterServerStrategyExtended +worker_heartbeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def worker_heartbeat(request, name=None):$/;" f +worker_heartbeat_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^def worker_heartbeat_eager_fallback(request, name, ctx):$/;" f +worker_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^def worker_name(rank):$/;" f +worker_src adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ worker_src = csp_property("worker-src")$/;" v class:ContentSecurityPolicy +WorkingSet adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class WorkingSet:$/;" c +WorkingSet adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class WorkingSet:$/;" c +working_set adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^working_set = None$/;" v +working_set adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^working_set = None$/;" v +workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def workspace(self):$/;" m class:Analyzer +Workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^class Workspace(object):$/;" c +Workspace adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^Workspace = C.Workspace$/;" v +WorkspaceGuard adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def WorkspaceGuard(workspace_name):$/;" f +Workspaces adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^Workspaces = C.workspaces$/;" v +WorkspaceType adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^class WorkspaceType(object):$/;" c +workspace_type adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def workspace_type(self):$/;" m class:Task +workspace_type adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def workspace_type(self):$/;" m class:TaskGroup +WORLD adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ WORLD = group.WORLD$/;" v class:GroupMember +WORLD adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ WORLD = object()$/;" v class:group +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def world_size(self):$/;" m class:MultiProcessTestCase +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def world_size(self) -> int:$/;" m class:DdpComparisonTest +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def world_size(self) -> int:$/;" m class:DdpUnderDistAutogradTest +WORLD_SIZE adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^WORLD_SIZE = NUM_TRAINERS + 2$/;" v +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def world_size(self):$/;" m class:TestDistBackend +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def world_size(self): # Override setting in RpcAgentTestFixture$/;" m class:RemoteModuleTest +world_size adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_agent_test_fixture.py /^ def world_size(self):$/;" m class:RpcAgentTestFixture +world_transformation adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def world_transformation(xmin, xmax,$/;" f +wpdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wpdist = _weight_checked(pdist, default_axis=1, squeeze=False, n_args=1)$/;" v +wpdist_no_const adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wpdist_no_const = _weight_checked(pdist, default_axis=1, squeeze=False, const_test=False, n_args/;" v +wr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ import _winreg as wr$/;" I function:get_machine_id._generate file: +wr adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ import winreg as wr$/;" I function:get_machine_id._generate file: +wrap adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def wrap(self, gen, gen_static_args, out_store) -> 'WrappedFun':$/;" m class:WrappedFun +wrap adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/util.py /^ def wrap(op):$/;" f function:_wraps file: +wrap adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def wrap(f):$/;" f function:cache file: +wrap adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^wrap = None$/;" v +wrap adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def wrap(f):$/;" f function:retry file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def wrap(fc):$/;" f function:_possibly_unimplemented file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def wrap(*a, **kw):$/;" f function:time_limited.deco file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def wrap(*a, **kw):$/;" f function:exception_to_nan file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def wrap(*a, **kw):$/;" f function:inf_to_nan file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def wrap(*a, **kw):$/;" f function:trace_args file: +wrap adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^ def wrap(fun):$/;" f function:_deprecated file: +wrap adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def wrap(self, *args, **kw):$/;" f member:AbstractSandbox._mk_query file: +wrap adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def wrap(self, path, *args, **kw):$/;" f member:AbstractSandbox._mk_single_path_wrapper file: +wrap adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def wrap(self, path, *args, **kw):$/;" f member:AbstractSandbox._mk_single_with_return file: +wrap adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def wrap(self, src, dst, *args, **kw):$/;" f member:AbstractSandbox._mk_dual_path_wrapper file: +wrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def wrap(tensor, is_stacked=True, is_sparse_stacked=False):$/;" f +wrap adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def wrap(cls):$/;" f function:native_itermethods file: +wrap adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def wrap(cls, exception, name=None):$/;" m class:HTTPException +wrapcauchy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^wrapcauchy = wrapcauchy_gen(a=0.0, b=2*np.pi, name='wrapcauchy')$/;" v +wrapcauchy_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^class wrapcauchy_gen(rv_continuous):$/;" c +WrapDatasetVariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^WrapDatasetVariant = tf_export("raw_ops.WrapDatasetVariant")(_ops.to_raw_op(wrap_dataset_variant/;" v +wrapDeterministicFlagAPITest adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def wrapDeterministicFlagAPITest(fn):$/;" f +wrapfuncs adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^wrapfuncs = 1$/;" v +WrapHashably adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^class WrapHashably(object):$/;" c +wrapped adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def wrapped(*args):$/;" f function:doubledouble file: +wrapped adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def wrapped(*tf_args: TfVal,$/;" f function:_convert_jax_impl file: +wrapped adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def wrapped(*args, **kwargs):$/;" f function:sharded_jit file: +wrapped adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def wrapped(*args, **kwargs):$/;" f function:_not_implemented file: +wrapped adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^ def wrapped(*args):$/;" f function:vectorize file: +wrapped adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^ def wrapped(*args):$/;" f function:_check_output_dims file: +wrapped adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ def wrapped(a, axis, **kwargs):$/;" f function:_add_keepdims file: +wrapped adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def wrapped(self, node, *args, **kwargs):$/;" f function:block_statement file: +wrapped adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def wrapped(self, node, *args, **kwargs):$/;" f function:_gen_wrapper file: +wrapped adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def wrapped(inp):$/;" f function:_color_wrap file: +wrapped adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def wrapped(self, *args, **kwargs):$/;" f function:getPhases.log file: +wrapped adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def wrapped(*args, **kwargs):$/;" f function:memoize file: +wrapped adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def wrapped(self, prospective, spec):$/;" f function:_require_version_compare file: +wrapped adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def wrapped(self, prospective, spec):$/;" f function:_require_version_compare file: +wrapped adpepsenv/lib/python3.8/site-packages/scipy/signal/__init__.py /^ def wrapped(*args, **kwargs):$/;" f function:deco file: +wrapped adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def wrapped(*args, **kwargs):$/;" f function:_weight_checked file: +wrapped adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def wrapped(self, prospective, spec):$/;" f function:_require_version_compare file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def wrapped(self, *args, **kwargs):$/;" f function:getPhases.log file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ def wrapped(*args, **kwargs):$/;" f function:memoize file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def wrapped(*args, **kwargs):$/;" f member:VariableHolder.call_with_variable_creator_scope file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def wrapped(*args, **kwargs):$/;" f function:dont_use_multiprocessing_pool file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/layer_utils.py /^ def wrapped(item):$/;" f function:cached_per_instance file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^ def wrapped(*args, **kwargs):$/;" f function:_tag_zeros_tensor file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def wrapped(*args, **kwargs):$/;" f function:trace_wrapper.inner_wrapper file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def wrapped(self, value):$/;" f function:invalidate_recursive_cache.outer file: +wrapped adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def wrapped(*args, **kwargs):$/;" f function:should_use_result.decorated file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def wrapped(*args, **kwargs):$/;" f member:ContextDecorator.__call__ file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def wrapped(*args, **kwargs):$/;" f function:_wrap_type_error_to_not_implemented file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def wrapped(self, device):$/;" f function:tf32_on_and_off.wrapper file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def wrapped(self, device, dtype):$/;" f function:tf32_on_and_off.wrapper file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def wrapped(*args, **kwargs):$/;" f function:with_tf32_off file: +wrapped adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^ def wrapped(*args):$/;" f function:vmap file: +wrappedApexContinKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^wrappedApexContinKey = Extension()$/;" v +WrappedFirmwareKey adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^class WrappedFirmwareKey(rfc5652.EnvelopedData):$/;" c +WrappedFun adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^class WrappedFun(object):$/;" c +WrappedFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^class WrappedFunction(function.ConcreteFunction):$/;" c +WrappedGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^class WrappedGraph(object):$/;" c +WrappedSocket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^class WrappedSocket(object):$/;" c +WrappedSocket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^class WrappedSocket(object):$/;" c +WrappedSocket adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^class WrappedSocket(object):$/;" c +WrappedSocket adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^class WrappedSocket(object):$/;" c +WrappedTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^WrappedTensor = collections.namedtuple("WrappedTensor",$/;" v +wrapped_behavior adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^ def wrapped_behavior(*args, **kwargs):$/;" f function:with_exceptions_logged file: +wrapped_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def wrapped_body(loop_counter, maximum_iterations_arg, *args):$/;" f function:while_loop file: +wrapped_callable adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def wrapped_callable(*args, **kwargs):$/;" f function:cleanup.make_cleanup file: +wrapped_callback adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def wrapped_callback(beta_metadata, error):$/;" f function:metadata_call_credentials.plugin file: +wrapped_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def wrapped_cell(self):$/;" m class:DropoutWrapperBase +wrapped_client_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def wrapped_client_fn():$/;" f member:MultiWorkerTestBase._run_client file: +wrapped_closure adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def wrapped_closure():$/;" f member:FuncGraph.capture_call_time_value file: +wrapped_computation adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def wrapped_computation(*args, **kwargs):$/;" f function:rewrite_for_inference file: +wrapped_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def wrapped_cond(loop_counter, maximum_iterations_arg, *args):$/;" f function:while_loop file: +wrapped_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def wrapped_custom_getter(getter, *args, **kwargs):$/;" f function:_maybe_wrap_custom_getter file: +wrapped_experimental_mlir_quantize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/wrap_toco.py /^def wrapped_experimental_mlir_quantize(input_data_str, disable_per_channel,$/;" f +wrapped_experimental_mlir_sparsify adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/wrap_toco.py /^def wrapped_experimental_mlir_sparsify(input_data_str):$/;" f +wrapped_f adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def wrapped_f(*args, **kw):$/;" f function:retry.wrap file: +wrapped_f adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def wrapped_f(*args, **kw):$/;" f function:retry.wrap_simple file: +wrapped_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def wrapped_fn(*args, **kwds):$/;" f member:Function._defun_with_scope file: +wrapped_fun adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def wrapped_fun(args, logical_env):$/;" f function:mask file: +wrapped_fun adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def wrapped_fun(*args, **kwargs):$/;" f function:custom_gradient file: +wrapped_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def wrapped_fun(*args):$/;" f function:callback_transform file: +wrapped_fun adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def wrapped_fun(*args):$/;" f member:JaxTestCase._CompileAndCheck file: +wrapped_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def wrapped_generator():$/;" f member:GeneratorDataAdapter.__init__ file: +wrapped_get_potentially_supported_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/wrap_toco.py /^def wrapped_get_potentially_supported_ops():$/;" f +wrapped_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def wrapped_intermediates(self):$/;" m class:_CondGradFuncGraph +wrapped_method adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def wrapped_method(self, *args, **params):$/;" f function:_arraymethod file: +wrapped_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def wrapped_model_fn(features):$/;" f function:_wrap_and_verify_model_fn file: +wrapped_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def wrapped_model_fn(features, labels=None):$/;" f function:_wrap_and_verify_model_fn file: +wrapped_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def wrapped_model_fn(features):$/;" f function:_wrap_and_verify_model_fn file: +wrapped_register_custom_opdefs adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/wrap_toco.py /^def wrapped_register_custom_opdefs(custom_opdefs_list):$/;" f +wrapped_runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def wrapped_runner(*feed_values, **kwargs):$/;" f member:BaseDebugWrapperSession._make_callable_from_options file: +wrapped_runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def wrapped_runner(*runner_args, **kwargs):$/;" f member:BaseDebugWrapperSession.make_callable file: +wrapped_stderr adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^wrapped_stderr = None$/;" v +wrapped_stdout adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^wrapped_stdout = None$/;" v +wrapped_toco_convert adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/wrap_toco.py /^def wrapped_toco_convert(model_flags_str, toco_flags_str, input_data_str,$/;" f +wrapper adpepsenv/lib/python3.8/site-packages/absl/testing/_parameterized_async.py /^ async def wrapper(*args, **kwargs):$/;" f function:async_wrapped file: +wrapper adpepsenv/lib/python3.8/site-packages/cachetools/decorators.py /^ def wrapper(*args, **kwargs):$/;" f function:cached.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/cachetools/decorators.py /^ def wrapper(self, *args, **kwargs):$/;" f function:cachedmethod.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def wrapper(*args, **kwargs):$/;" f function:_cache.decorator file: +Wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^ def Wrapper(func):$/;" f member:TranslatorRegistry.Register file: +wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def wrapper(*args, **kwargs):$/;" f function:__call__ file: +Wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def Wrapper(func):$/;" f member:GradientRegistry.RegisterGradient file: +wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def wrapper(*args, **kwargs):$/;" f member:Tags.__call__ file: +wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def wrapper(*args, **kwargs):$/;" f member:LayerModelHelper.__getattr__ file: +wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def wrapper(f):$/;" f function:given file: +wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/utils.py /^ def wrapper(*args, **kwargs):$/;" f function:debug file: +wrapper adpepsenv/lib/python3.8/site-packages/h5py/tests/common.py /^ def wrapper(request, *args, **kwargs):$/;" f function:insubprocess file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def wrapper(*args, **kwargs):$/;" f function:bool_to_int8 file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def wrapper(*args, **kwargs):$/;" f function:_stop_gradient_fun file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def wrapper(*args, **kwargs):$/;" f function:_wrap_indices_function file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def wrapper(*args, **kwargs):$/;" f function:_wrap_numpy_nullary_function file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^ def wrapper(*args, **kwargs):$/;" f function:trace_function file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def wrapper(*args, **kwargs):$/;" f function:cache.wrap file: +wrapper adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def wrapper(*args, **kwargs):$/;" f function:memoize file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def wrapper(writer_cls):$/;" f member:MovieWriterRegistry.register file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def wrapper(self, *args, **kwargs):$/;" f member:_axis_method_wrapper.__set_name__ file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def wrapper(self, *args, **kwargs):$/;" f function:_allow_super_init file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def wrapper(*args, **kwargs):$/;" f function:_check_savefig_extra_args file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def wrapper(*args, **kwargs):$/;" f function:deprecated.deprecate file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def wrapper(*args, **kwargs):$/;" f function:_make_keyword_only file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def wrapper(*args, **kwargs):$/;" f function:_rename_parameter file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def wrapper(*inner_args, **inner_kwargs):$/;" f function:_delete_parameter file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def wrapper(self, byte):$/;" f function:_dispatch.decorate file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def wrapper(*args, ext, request, **kwargs):$/;" f function:check_figures_equal.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def wrapper(*args, extension, request, **kwargs):$/;" f function:_pytest_image_comparison.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def wrapper():$/;" f function:_new_epoch_decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def wrapper(**kwargs):$/;" f function:_logged_cached file: +wrapper adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def wrapper(*args, **kwargs):$/;" f function:serialized file: +wrapper adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def wrapper(self, *args, **kwargs):$/;" f function:_recursive_guard.decorating_function file: +Wrapper adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ class Wrapper:$/;" c function:test_array_called file: +Wrapper adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ class Wrapper:$/;" c function:test_getattr_warning file: +wrapper adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^ def wrapper(*a, **kw):$/;" f function:_memoize file: +wrapper adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def wrapper(test_self=None):$/;" f member:parameterized.__call__ file: +wrapper adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def wrapper(*a, **kw):$/;" f function:requires_memory.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def wrapper(*args, **kwargs):$/;" f function:_no_tracing file: +wrapper adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/utils.py /^ def wrapper(params, *args, **kwargs):$/;" f function:filter_params file: +wrapper adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def wrapper(endpoint, uri, *args, **kwargs):$/;" f function:catch_errors_and_unavailability file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def wrapper(self, options, args):$/;" f function:with_cleanup file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def wrapper(cacheable_page):$/;" f function:with_cached_html_pages file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def wrapper(self):$/;" f function:_recursive_repr.decorating_function file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def wrapper(*args):$/;" f function:_trim_arity file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def wrapper(f):$/;" f function:wraps file: +wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def wrapper(*args):$/;" f function:_trim_arity file: +wrapper adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def wrapper(f):$/;" f function:wraps file: +wrapper adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def wrapper(*args):$/;" f function:_trim_arity file: +wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^ def wrapper(*args, **kwargs):$/;" f function:synchronized file: +wrapper adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^ def wrapper(x):$/;" f function:_blocked_elementwise file: +wrapper adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def wrapper(*a, **kw):$/;" f function:_possibly_unimplemented.wrap file: +wrapper adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def wrapper(*a, **kw):$/;" f function:with_special_errors file: +wrapper adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def wrapper(a):$/;" f function:create_multimethod file: +wrapper adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def wrapper(*args, **kwargs):$/;" f function:unique_values file: +wrapper adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def wrapper(*args, **kwargs):$/;" f function:once file: +wrapper adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def wrapper(*args):$/;" f function:_trim_arity file: +wrapper adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def wrapper(f):$/;" f function:wraps file: +wrapper adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def wrapper(environ, start_response):$/;" f function:_auth_context_middleware file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def wrapper(environ, start_response):$/;" f function:_handling_errors file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def wrapper(*args, **kwargs):$/;" f function:_wrap_define_function file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def wrapper(arg):$/;" f function:_memoize file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def wrapper(load_fn):$/;" f function:lazy_load file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def wrapper(*args, **kwargs):$/;" f function:convert.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def wrapper(*args, **kwargs):$/;" f function:call_with_unspecified_conversion_status file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def wrapper(*args, **kwargs):$/;" f function:do_not_convert file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def wrapper():$/;" f function:_isolate_state file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def wrapper():$/;" f function:_wrap_disallow_undefs_from_cond file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^ def wrapper(*args, **kwargs):$/;" f function:wrapping_decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def wrapper(self):$/;" f member:AutoGraphTestCase._run_as_tf_function file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def wrapper(*args, **kwargs):$/;" f function:monitored_timer.actual_decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def wrapper(*args, **kwargs):$/;" f function:automatic_control_dependencies file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def wrapper(*args, **kwargs):$/;" f function:func_graph_from_py_func file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if.real_skip_if file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def wrapper(*args, **kwargs):$/;" f function:enable_control_flow_v2 file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def wrapper(func):$/;" f function:disable_control_flow_v2 file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def wrapper(self, *args, **kwargs):$/;" f function:enable_output_all_intermediates file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def wrapper(model, **kwargs):$/;" f function:_train_with_multi_worker file: +Wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^class Wrapper(Layer):$/;" c +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def wrapper(*args, **kwargs):$/;" f function:layer_call_wrapper file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:_set_v2_dtype_behavior file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def wrapper(instance, input_shape):$/;" f function:shape_type_conversion file: +Wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^ def Wrapper(*args):$/;" f function:_LoopBodyCaptureWrapper file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def wrapper(*args, **kwargs):$/;" f function:_wrap_define_function file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/traceme.py /^ def wrapper(*args, **kwargs):$/;" f function:traceme_wrapper file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def wrapper(wrapper_func):$/;" f function:_wrap_decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def wrapper(*args, **kwargs):$/;" f function:add_dispatch_support file: +wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def wrapper(*args, **kwargs):$/;" f function:kwarg_only file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def wrapper(ctx, *args):$/;" f function:once_differentiable file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ def wrapper(*args, **kwargs):$/;" f function:_require_initialized file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/functions.py /^ def wrapper(*args, **kwargs):$/;" f function:async_execution file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def wrapper(*args):$/;" f member:ONNXTracedModule.forward file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def wrapper(*args, **kwargs):$/;" f function:_script_if_tracing file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/_atfork.py /^ def wrapper(arg):$/;" f function:_register file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^ def wrapper(g, *args, **kwargs):$/;" f function:parse_args.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def wrapper(g, *args):$/;" f function:overload_by_arg_count file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def wrapper(*args, **kwargs):$/;" f function:_LRScheduler.__init__.with_counter file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^ def wrapper(f):$/;" f function:tf32_on_and_off file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:require_n_gpus_for_nccl_backend.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_lt_x_gpu.decorator file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(self):$/;" f member:MultiProcessTestCase.join_or_run file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_not_multigpu file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_no_gpu file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_rocm file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_rocm_single_process file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def wrapper(*args, **kwargs):$/;" f function:skip_if_small_worldsize file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def wrapper(*args, **kwargs):$/;" f function:skipIfNoFBGEMM file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(self, *args, **kwargs):$/;" f member:TestCase.wrap_method_with_cuda_policy file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:retry_on_connect_failures file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:skipIfCompiledWithoutNumpy file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:skipIfNoLapack file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:skipIfNoSciPy file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:skipIfRocm file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:slowTest file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:suppress_warnings file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:wrapDeterministicFlagAPITest file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/dist_utils.py /^ def wrapper(self, *args, **kwargs):$/;" f function:single_threaded_process_group_agent file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:enable_cpu_fuser_if.noop_fuser file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:enable_cpu_fuser file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:_inline_everything file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def wrapper(*args, **kwargs):$/;" f function:_tmp_donotuse_dont_inline_everything file: +wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def wrapper(func):$/;" f function:_trace file: +wrapper adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def wrapper(f):$/;" f function:wraps file: +wrapper adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def wrapper(cls):$/;" f function:add_metaclass file: +wrapper adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def wrapper(self, stream, *args, **kwargs):$/;" f function:exhaust_stream file: +wrapper adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def wrapper(*args, **kwargs):$/;" f function:native_string_result file: +wrappers adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ wrappers = [np.dtype, lambda x: x]$/;" v class:TestIsSubDType +wrapper_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def wrapper_fn(*args): # pylint: disable=missing-docstring$/;" f member:StructuredFunctionWrapper.__init__ file: +wrapper_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def wrapper_fn(*args):$/;" f member:StructuredFunctionWrapper.__init__ file: +wrapper_func adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pyplot.py /^ def wrapper_func(new, kwo=None):$/;" f function:test_copy_docstring_and_deprecators file: +wrapper_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def wrapper_func(tuple_path, *inputs, **kwargs):$/;" f function:map_structure_with_paths file: +wrapper_singleton adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^def wrapper_singleton() -> _ValgrindWrapper:$/;" f +wrapper_wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def wrapper_wrapper(page):$/;" f function:with_cached_html_pages file: +wrapping_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/testing/decorators.py /^def wrapping_decorator(f):$/;" f +wraps adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def wraps(wrapped, fun, namestr="{fun}", docstr="{doc}", **kwargs):$/;" f +wraps adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def wraps(wrapped, assigned=functools.WRAPPER_ASSIGNMENTS,$/;" f +wraps adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def wraps($/;" f +wraps adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def wraps(wrapped, assigned=functools.WRAPPER_ASSIGNMENTS,$/;" f +wraps adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def wraps(wrapped, assigned=functools.WRAPPER_ASSIGNMENTS,$/;" f +wraps adpepsenv/lib/python3.8/site-packages/six.py /^ def wraps(wrapped, assigned=functools.WRAPPER_ASSIGNMENTS,$/;" f +wraps adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def wraps($/;" f +wrap_and_check_input_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def wrap_and_check_input_tensors(tensors, field_name, allow_int_keys=False):$/;" f +wrap_and_filter_returned_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def wrap_and_filter_returned_ops(*args, **kwargs):$/;" f function:_filter_returned_ops file: +wrap_array_like adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^def wrap_array_like(result):$/;" f +wrap_cached_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^def wrap_cached_variables(concrete_function):$/;" f +wrap_check_inputs adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def wrap_check_inputs(check_inputs):$/;" f +wrap_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^def wrap_constraints(g):$/;" f +wrap_cpp_module adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def wrap_cpp_module(cpp_module):$/;" f +wrap_dataset_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def wrap_dataset_variant(input_handle, name=None):$/;" f +wrap_dataset_variant_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def wrap_dataset_variant_eager_fallback(input_handle, name, ctx):$/;" f +wrap_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def wrap_f(f):$/;" f function:assert_no_new_pyobjects_executing_eagerly file: +wrap_file adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def wrap_file(environ, file, buffer_size=8192):$/;" f +wrap_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def wrap_fn(self, device, *args, **kwargs):$/;" f function:skipCUDAIfCudnnVersionLessThan.dec_fn file: +wrap_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^ def wrap_func(x):$/;" f function:_wrap_func file: +wrap_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def wrap_function(function, args):$/;" f +wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^wrap_function = lazy_loader.LazyLoader($/;" v +wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def wrap_function(self, fn, signature, name=None):$/;" m class:WrappedGraph +wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def wrap_function(fn, signature, name=None):$/;" f +wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^wrap_function = lazy_loader.LazyLoader($/;" v +wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^ def wrap_function(*args):$/;" f function:wrap_cached_variables file: +wrap_functional adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def wrap_functional(fn, **kwargs):$/;" f +wrap_functions adpepsenv/lib/python3.8/site-packages/scipy/integrate/_bvp.py /^def wrap_functions(fun, bc, fun_jac, bc_jac, k, a, S, D, dtype):$/;" f +wrap_function_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def wrap_function_wrapper(module, name, wrapper):$/;" f +wrap_hashably adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def wrap_hashably(arg):$/;" f +wrap_inference_results adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^def wrap_inference_results(inference_result_proto):$/;" f +wrap_init adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def wrap_init(f, params={}) -> WrappedFun:$/;" f +wrap_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def wrap_input_receiver_fn(self, input_receiver_fn):$/;" m class:_EstimatorWrappedGraph +wrap_int adpepsenv/lib/python3.8/site-packages/numpy/random/_common.pxd /^cdef object wrap_int(object val, object bits)$/;" f +wrap_layer_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def wrap_layer_functions(layer, serialization_cache):$/;" f +wrap_layer_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def wrap_layer_objects(layer, serialization_cache):$/;" f +wrap_logical_op_with_cast_to adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def wrap_logical_op_with_cast_to(to_type):$/;" f +wrap_logical_op_with_cast_to_and_from adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def wrap_logical_op_with_cast_to_and_from(to_type):$/;" f +wrap_logical_op_with_negation adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def wrap_logical_op_with_negation(func):$/;" f +wrap_method_with_cuda_policy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrap_method_with_cuda_policy(self, method, policy):$/;" m class:TestCase +wrap_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def wrap_model_fn(self,$/;" m class:_EstimatorWrappedGraph +wrap_name adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def wrap_name(name, transform_name):$/;" f +wrap_object adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def wrap_object(module, name, factory, args=(), kwargs={}):$/;" f +wrap_object_attribute adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def wrap_object_attribute(module, name, factory, args=(), kwargs={}):$/;" f +wrap_or_unwrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def wrap_or_unwrap(value):$/;" f +wrap_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/py_func.py /^def wrap_py_func(f, return_dtypes, args, kwargs=None, use_dummy_return=False):$/;" f +wrap_retval adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def wrap_retval(x):$/;" f function:_check_trace file: +wrap_rich_text_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^def wrap_rich_text_lines(inp, cols):$/;" f +wrap_server_method_handler adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^def wrap_server_method_handler(wrapper, handler):$/;" f +wrap_simple adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def wrap_simple(f):$/;" f function:retry file: +wrap_single_convertor adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^def wrap_single_convertor(convert_single):$/;" f +wrap_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def wrap_socket($/;" m class:PyOpenSSLContext +wrap_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def wrap_socket($/;" m class:SecureTransportContext +wrap_socket adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ def wrap_socket(self, socket, server_hostname=None, server_side=False):$/;" m class:SSLContext +wrap_socket adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def wrap_socket($/;" m class:PyOpenSSLContext +wrap_socket adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def wrap_socket($/;" m class:SecureTransportContext +wrap_socket adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ def wrap_socket(self, socket, server_hostname=None, server_side=False):$/;" m class:SSLContext +wrap_socket adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def wrap_socket(self, sock, **kwargs):$/;" m class:_SSLContext +wrap_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/initialise.py /^def wrap_stream(stream, convert, strip, autoreset, wrap):$/;" f +wrap_text adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^def wrap_text(text, width):$/;" f +wrap_unlinkable_objects adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def wrap_unlinkable_objects(self, objects, output_dir, extra_dll_dir):$/;" m class:Gnu95FCompiler +wrap_unlinkable_objects adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def wrap_unlinkable_objects(self, objects, output_dir, extra_dll_dir):$/;" m class:FCompiler +wrap_with_cast adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def wrap_with_cast(g, input, other):$/;" f function:wrap_logical_op_with_cast_to.decorator file: +wrap_with_cast adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def wrap_with_cast(g, input, other):$/;" f function:wrap_logical_op_with_cast_to_and_from.decorator file: +wrap_with_counts adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def wrap_with_counts(self, method_name, method):$/;" m class:Counter +wrap_with_cuda_memory_check adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrap_with_cuda_memory_check(self, method):$/;" m class:TestCase +wrap_with_cuda_policy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def wrap_with_cuda_policy(self, method_name, policy):$/;" m class:TestCase +wrap_with_not adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def wrap_with_not(g, input, other):$/;" f function:wrap_logical_op_with_negation file: +wrap_with_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def wrap_with_training_arg(*args, **kwargs):$/;" f member:LayerCallCollection._maybe_wrap_with_training_arg file: +wrap_with_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^ def wrap_with_training_arg(*args, **kwargs):$/;" f function:maybe_add_training_arg file: +wrightomega adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t wrightomega(Dd_number_t x0) nogil$/;" f +wrightomega_exp_error adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/wrightomega.py /^def wrightomega_exp_error(x):$/;" f +wrightomega_series_error adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/wrightomega.py /^def wrightomega_series_error(x):$/;" f +writable adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def writable(self):$/;" m class:LazyZipOverHTTP +writable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def writable(self):$/;" m class:ExFileObject +write adpepsenv/lib/python3.8/site-packages/astunparse/printer.py /^ def write(self, text):$/;" m class:Printer +write adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def write(self, text):$/;" m class:Unparser +write adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^def write(filename, s):$/;" f +write adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def write(self, writer_net, fields):$/;" m class:Writer +write adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def write(self, writer_net, fields):$/;" m class:_DatasetWriter +write adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def write(self, writer_net, fields):$/;" m class:_QueueWriter +Write adpepsenv/lib/python3.8/site-packages/flatbuffers/encode.py /^def Write(packer_type, buf, head, n):$/;" f +write adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def write(self, val):$/;" m class:TextWriter +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def write(self, request: RequestType) -> None:$/;" m class:StreamStreamCall +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ async def write(self, request: RequestType) -> None:$/;" m class:StreamUnaryCall +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_server.py /^ async def write(self, message: ResponseType) -> None:$/;" m class:ServicerContext +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def write(self, request: RequestType) -> None:$/;" m class:_StreamRequestMixin +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def write(self, request: RequestType) -> None:$/;" m class:StreamStreamCallResponseIterator +write adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def write(self, request: RequestType) -> None:$/;" m class:_InterceptedStreamRequestMixin +write adpepsenv/lib/python3.8/site-packages/h5py/tests/test_file2.py /^ def write(self, b):$/;" m class:TestFileObj.test_exception_write.BrokenBytesIO +write adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def write(v, val):$/;" f function:eval_jaxpr file: +write adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def write(v: Var, a: AbstractValue) -> None:$/;" f function:_check_jaxpr file: +write adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def write(v: Var, val: Any, mapped: bool) -> None:$/;" f function:_soft_pmap_interp file: +write adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def write(v, node):$/;" f function:jaxpr_subcomp file: +write adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^ def write(v: core.Var, eqn: MaybeEqn):$/;" f function:var_defs_and_refs file: +write adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def write(self, contents, file):$/;" m class:Reference +write adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def write(self, data):$/;" m class:PdfFile +write adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def write(self, data):$/;" m class:Stream +write adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def write(self, *args, **kwargs):$/;" m class:FigureCanvasPS._print_figure.NullWriter +write adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def write(self, *args, **kwargs):$/;" m class:FigureCanvasPS._print_figure_tex.NullWriter +write adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def write (self, data):$/;" m class:GrabStdout +write adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def write(self, v):$/;" m class:savetxt.WriteWrap +write adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def write(self, text):$/;" m class:TestSaveTxt.test_custom_writer.CustomWriter +write adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def write(self, s):$/;" m class:JustWriter +write adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def write(self, s):$/;" m class:TextIO +write adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def write(self, data):$/;" m class:getdata.Collector +write adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write(self, f):$/;" m class:XrefTable +write adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def write(self, data):$/;" m class:getchunks.collector +write adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def write(self, data):$/;" m class:_fdat +write adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def write(self, data):$/;" m class:_idat +write adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write(self, data):$/;" m class:AppendingTiffWriter +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def write(self, text):$/;" m class:AnsiToWin32 +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def write(self, text):$/;" m class:StreamWrapper +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def write(self, filepath, skip_unknown=False):$/;" m class:LegacyMetadata +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def write(self, path=None, fileobj=None, legacy=False, skip_unknown=True):$/;" m class:Metadata +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def write(self, data):$/;" m class:_BZ2Proxy +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def write(self, s):$/;" m class:_LowLevelFile +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def write(self, s):$/;" m class:_Stream +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def write(self, s):$/;" m class:StringIO +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def write(self, s):$/;" m class:Infinite +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write(self, arr, name):$/;" m class:VarWriter4 +write adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write(self, arr):$/;" m class:VarWriter5 +write adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def write(self, target, a, comment='', field=None, precision=None,$/;" m class:MMFile +write adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def write(filename, rate, data):$/;" f +write adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def write(self, filename, file_content, binary_mode=False):$/;" m class:LocalFileSystem +write adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def write(self, filename, file_content, binary_mode=False):$/;" m class:S3FileSystem +write adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def write(self, file_content):$/;" m class:GFile +write adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def write(self, bytestring):$/;" m class:_AsyncWriter +write adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^ def write(self, data):$/;" m class:RecordWriter +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/writers.py /^ def write(self, dataset):$/;" m class:TFRecordWriter +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def write(self, file_content):$/;" m class:FileIO +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def write(self, record):$/;" m class:TFRecordWriter +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def write(tag, tensor, step=None, metadata=None, name=None):$/;" f +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def write(self, index, value, name=None):$/;" m class:TensorArray +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def write(self, index, value, name=None):$/;" m class:_EagerTensorArray +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def write(self, index, value, name=None):$/;" m class:_GraphTensorArray +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def write(self, index, value, name=None):$/;" m class:_GraphTensorArrayV2 +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def write(self, file_prefix, options=None):$/;" m class:Checkpoint +write adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def write(self, file_prefix, session=None):$/;" m class:CheckpointV1 +write adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def write(data):$/;" f function:print_ file: +write adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def write(self, data):$/;" m class:_InteractiveConsole +write adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def write(self, x):$/;" m class:HTMLStringO +write adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def write(self, s):$/;" m class:ErrorStream +write adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def write(data):$/;" f member:WSGIRequestHandler.run_wsgi file: +write adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def write(string):$/;" f function:stream_encode_multipart file: +write adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def write(self, value):$/;" m class:ResponseStream +write adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def write(self, filename, arcname=None, compress_type=None):$/;" m class:WheelFile +writeable_filemodes adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^writeable_filemodes = ["r+", "w+"]$/;" v +WriteAudioSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteAudioSummary = tf_export("raw_ops.WriteAudioSummary")(_ops.to_raw_op(write_audio_summary))$/;" v +WriteBlob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^ def WriteBlob(self, request, **kwargs):$/;" m class:DryRunTensorBoardWriterStub +WriteBlob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def WriteBlob(self, request_iterator, context):$/;" m class:TensorBoardWriterServiceServicer +WriteBlobRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteBlobRequest = _reflection.GeneratedProtocolMessageType('WriteBlobRequest', (_message.Messag/;" v +WriteBlobResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteBlobResponse = _reflection.GeneratedProtocolMessageType('WriteBlobResponse', (_message.Mess/;" v +WriteDebuggedGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteDebuggedGraph(self, debugged_graph):$/;" m class:DebugEventsWriter +WriteExecution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteExecution(self, execution):$/;" m class:DebugEventsWriter +writeExtGSTates adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeExtGSTates(self):$/;" m class:PdfFile +WriteFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^WriteFile = tf_export("raw_ops.WriteFile")(_ops.to_raw_op(write_file))$/;" v +writeFonts adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeFonts(self):$/;" m class:PdfFile +writeGouraudTriangles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeGouraudTriangles(self):$/;" m class:PdfFile +WriteGraphExecutionTrace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteGraphExecutionTrace(self, graph_execution_trace):$/;" m class:DebugEventsWriter +WriteGraphOpCreation adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteGraphOpCreation(self, graph_op_creation):$/;" m class:DebugEventsWriter +WriteGraphSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteGraphSummary = tf_export("raw_ops.WriteGraphSummary")(_ops.to_raw_op(write_graph_summary))$/;" v +writeHatches adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeHatches(self):$/;" m class:PdfFile +WriteHistogramSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteHistogramSummary = tf_export("raw_ops.WriteHistogramSummary")(_ops.to_raw_op(write_histogra/;" v +writeImages adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeImages(self):$/;" m class:PdfFile +WriteImageSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteImageSummary = tf_export("raw_ops.WriteImageSummary")(_ops.to_raw_op(write_image_summary))$/;" v +writeInfoDict adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeInfoDict(self):$/;" m class:PdfFile +writelines adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def writelines(self, lines):$/;" m class:TextIO +writelines adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def writelines(self, x):$/;" m class:HTMLStringO +writelines adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def writelines(self, seq):$/;" m class:ErrorStream +writelines adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def writelines(self, seq):$/;" m class:ResponseStream +writeln adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def writeln(fh, line):$/;" f +writeln adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def writeln(self, line):$/;" m class:Infinite +writeLong adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def writeLong(self, value):$/;" m class:AppendingTiffWriter +writeMarkers adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeMarkers(self):$/;" m class:PdfFile +writeObject adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeObject(self, object, contents):$/;" m class:PdfFile +writePath adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writePath(self, path, transform, clip=False, sketch=None):$/;" m class:PdfFile +writePathCollectionTemplates adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writePathCollectionTemplates(self):$/;" m class:PdfFile +writer adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def writer(self):$/;" m class:Pipe +Writer adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^class Writer(object):$/;" c +writer adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def writer(self, init_net=None):$/;" m class:Dataset +writer adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def writer(self):$/;" m class:QueueWrapper +writer adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def writer(error_list):$/;" f member:TestSavezLoad.test_savez_filename_clashes file: +writer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/filepost.py /^writer = codecs.lookup("utf-8")[3]$/;" v +writer adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def writer():$/;" m class:MMFile +writer adpepsenv/lib/python3.8/site-packages/urllib3/filepost.py /^writer = codecs.lookup("utf-8")[3]$/;" v +WriteRawProtoSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteRawProtoSummary = tf_export("raw_ops.WriteRawProtoSummary")(_ops.to_raw_op(write_raw_proto_/;" v +writerow adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def writerow(self, row):$/;" m class:CSVWriter +writers adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^writers = MovieWriterRegistry()$/;" v +WRITER_OUTPUT adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^WRITER_OUTPUT = [$/;" v +WriteScalar adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^ def WriteScalar(self, request, **kwargs):$/;" m class:DryRunTensorBoardWriterStub +WriteScalar adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def WriteScalar(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +WriteScalarRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteScalarRequest = _reflection.GeneratedProtocolMessageType('WriteScalarRequest', (_message.Me/;" v +WriteScalarResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteScalarResponse = _reflection.GeneratedProtocolMessageType('WriteScalarResponse', (_message./;" v +WriteScalarSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteScalarSummary = tf_export("raw_ops.WriteScalarSummary")(_ops.to_raw_op(write_scalar_summary/;" v +writeShort adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def writeShort(self, value):$/;" m class:AppendingTiffWriter +WriteSourceFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteSourceFile(self, source_file):$/;" m class:DebugEventsWriter +WriteStackFrameWithId adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def WriteStackFrameWithId(self, stack_frame_with_id):$/;" m class:DebugEventsWriter +writestr adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def writestr(self, zinfo_or_arcname, bytes, compress_type=None):$/;" m class:WheelFile +WriteSummary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^WriteSummary = tf_export("raw_ops.WriteSummary")(_ops.to_raw_op(write_summary))$/;" v +WriteTensor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/dry_run_stubs.py /^ def WriteTensor(self, request, **kwargs):$/;" m class:DryRunTensorBoardWriterStub +WriteTensor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def WriteTensor(self, request, context):$/;" m class:TensorBoardWriterServiceServicer +WriteTensorRequest adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteTensorRequest = _reflection.GeneratedProtocolMessageType('WriteTensorRequest', (_message.Me/;" v +WriteTensorResponse adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^WriteTensorResponse = _reflection.GeneratedProtocolMessageType('WriteTensorResponse', (_message./;" v +writeTrailer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeTrailer(self):$/;" m class:PdfFile +WriteVtable adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def WriteVtable(self):$/;" m class:Builder +WriteWrap adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ class WriteWrap:$/;" c function:savetxt file: +writeXref adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def writeXref(self):$/;" m class:PdfFile +write_and_convert adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def write_and_convert(self, text):$/;" m class:AnsiToWin32 +write_arg adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_arg(cmd, basename, filename, force=False):$/;" f +write_array adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def write_array(fp, array, version=None, allow_pickle=True, pickle_kwargs=None):$/;" f +write_array adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def write_array(f, ar, nlines, fmt):$/;" f function:_write_data file: +write_array_header_1_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def write_array_header_1_0(fp, d):$/;" f +write_array_header_2_0 adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def write_array_header_2_0(fp, d):$/;" f +write_audio_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_audio_summary(writer, step, tag, tensor, sample_rate, max_outputs=3, name=None):$/;" f +write_audio_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_audio_summary_eager_fallback(writer, step, tag, tensor, sample_rate, max_outputs, name/;" f +write_autogen_blurb adpepsenv/lib/python3.8/site-packages/scipy/sparse/generate_sparsetools.py /^def write_autogen_blurb(stream):$/;" f +write_binary adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def write_binary(string):$/;" f function:stream_encode_multipart file: +write_binary_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def write_binary_file(self, path, data):$/;" m class:FileOperator +write_byte adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write_byte(self, data):$/;" m class:ImageFileDirectory_v2 +write_bytes adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def write_bytes(self, data, mode='wb'):$/;" m class:_TempFile +write_bytes adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def write_bytes(self, v):$/;" m class:savetxt.WriteWrap +write_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_bytes(self, arr):$/;" m class:VarWriter4 +write_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_bytes(self, arr):$/;" m class:VarWriter5 +write_catalog adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_catalog(self):$/;" m class:PdfParser +write_cells adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_cells(self, arr):$/;" m class:VarWriter5 +write_char adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_char(self, arr, name):$/;" m class:VarWriter4 +write_char adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_char(self, arr, codec='ascii'):$/;" m class:VarWriter5 +write_checkpoint_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def write_checkpoint_metadata(self, epoch):$/;" m class:CheckpointManager +write_checkpoint_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def write_checkpoint_metadata(self, epoch):$/;" m class:MultiNodeCheckpointManager +write_comment adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_comment(self, s):$/;" m class:PdfParser +write_cotangent adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def write_cotangent(prim, v, ct):$/;" f function:backward_pass file: +write_cotangent adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def write_cotangent(v, ct):$/;" f function:inv_backward_pass file: +write_credentials adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def write_credentials(self, credentials):$/;" m class:CredentialsStore +write_direct adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def write_direct(self, source, source_sel=None, dest_sel=None):$/;" m class:Dataset +write_dirpath adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def write_dirpath(dirpath, strategy):$/;" f +write_double_quoted adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_double_quoted(self, text, split=True):$/;" m class:Emitter +write_element adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_element(self, arr, mdtype=None):$/;" m class:VarWriter5 +write_empty_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_empty_struct(self):$/;" m class:VarWriter5 +write_entries adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_entries(cmd, basename, filename):$/;" f +write_events adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def write_events(tf_dir, events):$/;" f +write_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def write_ex(self, fields, local_init_net, local_finish_net, stop_blob):$/;" m class:Writer +write_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def write_ex(self, fields, local_init_net, local_finish_net, status):$/;" m class:_QueueWriter +write_exports adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def write_exports(self, exports):$/;" m class:InstalledDistribution +write_exports adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def write_exports(exports, stream):$/;" f +write_field adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def write_field(key, value):$/;" f function:write_pkg_file file: +write_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def write_file(self, fileobject, skip_unknown=False):$/;" m class:LegacyMetadata +write_file adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def write_file(self, what, filename, data):$/;" m class:egg_info +write_file adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_file(filename, contents):$/;" f +write_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/file_util.py /^def write_file (filename, contents):$/;" f +write_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def write_file(filename, contents, name=None):$/;" f +write_filepath adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def write_filepath(filepath, strategy):$/;" f +write_files adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def write_files(self, base_dir):$/;" m class:WheelFile +write_file_content adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def write_file_content(filename, content):$/;" f +write_file_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^def write_file_eager_fallback(filename, contents, name, ctx):$/;" f +write_file_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_file_header(self):$/;" m class:MatFile5Writer +WRITE_FLAGS adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^WRITE_FLAGS = functools.reduce($/;" v +write_folded adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_folded(self, text):$/;" m class:Emitter +write_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_io.py /^def write_graph(graph_or_graph_def, logdir, name, as_text=True):$/;" f +write_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^write_graph = graph_io.write_graph$/;" v +write_graph_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_graph_summary(writer, step, tensor, name=None):$/;" f +write_graph_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_graph_summary_eager_fallback(writer, step, tensor, name, ctx):$/;" f +write_header adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_header(self):$/;" m class:PdfParser +write_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_header(self, name, shape, P=miDOUBLE, T=mxFULL_CLASS, imagf=0):$/;" m class:VarWriter4 +write_header adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_header(self,$/;" m class:VarWriter5 +write_help_in_xml_format adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def write_help_in_xml_format(self, outfile=None):$/;" m class:FlagValues +write_histogram_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_histogram_summary(writer, step, tag, values, name=None):$/;" f +write_histogram_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_histogram_summary_eager_fallback(writer, step, tag, values, name, ctx):$/;" f +write_image_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_image_summary(writer, step, tag, tensor, bad_color, max_images=3, name=None):$/;" f +write_image_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_image_summary_eager_fallback(writer, step, tag, tensor, bad_color, max_images, name, c/;" f +write_indent adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_indent(self):$/;" m class:Emitter +write_indicator adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_indicator(self, indicator, need_whitespace,$/;" m class:Emitter +write_info_file adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def write_info_file(tensorboard_info):$/;" f +write_installed_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def write_installed_files(self, paths, prefix, dry_run=False):$/;" m class:InstalledDistribution +write_item adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def write_item(item):$/;" f member:Unparser._Dict file: +write_json adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/compat.py /^ def write_json(obj, path, **kwargs):$/;" f +write_json adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^ def write_json(obj, path, **kwargs):$/;" f +write_key_value_pair adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def write_key_value_pair(k, v):$/;" f member:Unparser._Dict file: +WRITE_LIBTIFF adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^WRITE_LIBTIFF = False$/;" v +write_line_break adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_line_break(self, data=None):$/;" m class:Emitter +write_literal adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_literal(self, text):$/;" m class:Emitter +write_manifest adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def write_manifest(self):$/;" m class:manifest_maker +write_manifest adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def write_manifest(self):$/;" m class:sdist +write_matrix adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def write_matrix(self, m):$/;" m class:HBFile +write_normal adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def write_normal(self, v):$/;" m class:savetxt.WriteWrap +write_numeric adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_numeric(self, arr, name):$/;" m class:VarWriter4 +write_numeric adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_numeric(self, arr):$/;" m class:VarWriter5 +write_obj adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_obj(self, ref, *objs, **dict_obj):$/;" m class:PdfParser +write_object adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_object(self, arr):$/;" m class:VarWriter5 +write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values_util.py /^def write_object_proto(var, proto, options):$/;" f +write_ops adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ write_ops = dict.fromkeys([$/;" v class:DirectorySandbox +write_op_log adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^def write_op_log(graph, log_dir, op_log=None, run_meta=None, add_trace=True):$/;" f +write_or_delete_file adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def write_or_delete_file(self, what, filename, data, force=False):$/;" m class:egg_info +write_outfile adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def write_outfile(self, outdata: bytes, outname: str) -> None:$/;" m class:CryptoOperation +write_output adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def write_output(msg, *args):$/;" f +write_page adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_page(self, ref, *objs, **dict_obj):$/;" m class:PdfParser +write_pbtxt adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_embedding.py /^def write_pbtxt(save_path, contents):$/;" f +write_pkg_file adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def write_pkg_file(self, file):$/;" f +write_pkg_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def write_pkg_file(self, file):$/;" m class:DistributionMetadata +write_pkg_info adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_pkg_info(cmd, basename, filename):$/;" f +write_pkg_info adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def write_pkg_info(self, base_dir):$/;" m class:DistributionMetadata +write_pkg_info adpepsenv/lib/python3.8/site-packages/wheel/pkginfo.py /^ def write_pkg_info(path, message):$/;" f +write_plain adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_plain(self, text, split=True):$/;" m class:Emitter +write_plain_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def write_plain_text(self, text, start, end):$/;" m class:AnsiToWin32 +write_png adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def write_png(self, fname):$/;" m class:_ImageBase +write_primal adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def write_primal(v, val):$/;" f function:backward_pass file: +write_primal adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def write_primal(v, val):$/;" f function:inv_backward_pass file: +write_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write_rational(self, *values):$/;" m class:ImageFileDirectory_v2 +write_raw_pb adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def write_raw_pb(tensor, step=None, name=None):$/;" f +write_raw_proto_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_raw_proto_summary(writer, step, tensor, name=None):$/;" f +write_raw_proto_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_raw_proto_summary_eager_fallback(writer, step, tensor, name, ctx):$/;" f +write_record adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def write_record(self, writer_net, fields):$/;" m class:Writer +write_record adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def write_record(self, records, record_path, base):$/;" m class:Wheel +write_record adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def write_record(self, *items):$/;" m class:FortranFile +write_records adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def write_records(self, info, libdir, archive_paths):$/;" m class:Wheel +write_record_ex adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def write_record_ex($/;" m class:Writer +write_regular_element adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_regular_element(self, arr, mdtype, byte_count):$/;" m class:VarWriter5 +write_report_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def write_report_proto(self, report_proto, tt_parameters):$/;" m class:TTReportHandle +write_requirements adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_requirements(cmd, basename, filename):$/;" f +write_safety_flag adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def write_safety_flag(egg_dir, safe):$/;" f +write_scalar_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def write_scalar_summaries(logs, step):$/;" f +write_scalar_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_scalar_summary(writer, step, tag, value, name=None):$/;" f +write_scalar_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_scalar_summary_eager_fallback(writer, step, tag, value, name, ctx):$/;" f +write_script adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def write_script(self, script_name, contents, mode="t", blockers=()):$/;" m class:easy_install +write_script adpepsenv/lib/python3.8/site-packages/setuptools/command/install_scripts.py /^ def write_script(self, script_name, contents, mode="t", *ignored):$/;" m class:install_scripts +write_setup_requirements adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_setup_requirements(cmd, basename, filename):$/;" f +write_shared_locations adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def write_shared_locations(self, paths, dry_run=False):$/;" m class:InstalledDistribution +write_signed_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write_signed_rational(self, *values):$/;" m class:ImageFileDirectory_v2 +write_single_quoted adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_single_quoted(self, text, split=True):$/;" m class:Emitter +write_smalldata_element adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_smalldata_element(self, arr, mdtype, byte_count):$/;" m class:VarWriter5 +write_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_sparse(self, arr, name):$/;" m class:VarWriter4 +write_sparse adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_sparse(self, arr):$/;" m class:VarWriter5 +write_stream_end adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_stream_end(self):$/;" m class:Emitter +write_stream_start adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_stream_start(self):$/;" m class:Emitter +write_string adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write_string(self, value):$/;" m class:ImageFileDirectory_v2 +write_string adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def write_string(self, s):$/;" m class:VarWriter4 +write_string adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_string(self, s):$/;" m class:VarWriter5 +write_string_to_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^def write_string_to_file(filename, file_content):$/;" f +write_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_struct(self, arr):$/;" m class:VarWriter5 +write_stub adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^def write_stub(resource, pyfile):$/;" f +write_stub adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def write_stub(self, output_dir, ext, compile=False):$/;" m class:build_ext +write_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_summary(writer, step, tensor, tag, summary_metadata, name=None):$/;" f +write_summary_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^def write_summary_eager_fallback(writer, step, tensor, tag, summary_metadata, name, ctx):$/;" f +WRITE_SUPPORT adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ WRITE_SUPPORT = False$/;" v +WRITE_SUPPORT adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ WRITE_SUPPORT = True$/;" v +WRITE_SUPPORT adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ WRITE_SUPPORT = False$/;" v +WRITE_SUPPORT adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ WRITE_SUPPORT = True$/;" v +write_tag_directive adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_tag_directive(self, handle_text, prefix_text):$/;" m class:Emitter +write_text adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def write_text(self, text, mode='w', encoding='utf8', errors='strict'):$/;" m class:_TempFile +write_text_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def write_text_file(self, path, data, encoding):$/;" m class:FileOperator +write_top adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def write_top(self, arr, name, is_global):$/;" m class:VarWriter5 +write_toplevel_names adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def write_toplevel_names(cmd, basename, filename):$/;" f +write_to_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def write_to_file(self, file_path):$/;" m class:RichTextLines +write_to_version_file versioneer.py /^def write_to_version_file(filename, versions):$/;" f +write_undefined adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def write_undefined(self, value):$/;" m class:ImageFileDirectory_v2 +write_version_directive adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def write_version_directive(self, version_text):$/;" m class:Emitter +write_wheelfile adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def write_wheelfile(self, wheelfile_base, generator='bdist_wheel (' + wheel_version + ')'):$/;" m class:bdist_wheel +write_xref_and_trailer adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def write_xref_and_trailer(self, new_root_ref=None):$/;" m class:PdfParser +wrogerstanimoto adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wrogerstanimoto = _weight_checked(rogerstanimoto)$/;" v +WrongResultException adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/codegen/random_topo_test.py /^class WrongResultException(Exception):$/;" c +WRONG_COMPILER_WARNING adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^WRONG_COMPILER_WARNING = '''$/;" v +wrong_dimensions_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__numdiff.py /^ def wrong_dimensions_fun(self, x):$/;" m class:TestApproxDerivativesDense +wrong_shape_fun adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_bvp.py /^ def wrong_shape_fun(x, y):$/;" f function:test_parameter_validation file: +wrussellrao adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wrussellrao = _weight_checked(russellrao)$/;" v +ws adpepsenv/lib/python3.8/site-packages/caffe2/python/observer_test.py /^import caffe2.python.workspace as ws$/;" I +ws adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def ws(self, max_lines=None, semicolon=False, comment=True):$/;" m class:AstAnnotator +ws adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def ws(self, max_lines=None, semicolon=False, comment=True):$/;" m class:BaseVisitor +WSGIRequestHandler adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^class WSGIRequestHandler(BaseHTTPRequestHandler, object):$/;" c +WSGIWarning adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^class WSGIWarning(Warning):$/;" c +wsgi_decoding_dance adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def wsgi_decoding_dance(s, charset="utf-8", errors="replace"):$/;" f +wsgi_encoding_dance adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def wsgi_encoding_dance(s, charset="utf-8", errors="replace"):$/;" f +WSGI_ENVIRON_KEY adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experiment_id.py /^WSGI_ENVIRON_KEY = "HTTP_TENSORBOARD_EXPERIMENT_ID"$/;" v +wsgi_get_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ wsgi_get_bytes = _identity$/;" v +wsgi_get_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ wsgi_get_bytes = _latin1_encode$/;" v +wsgi_to_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def wsgi_to_bytes(data):$/;" f +wsgi_version adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ wsgi_version = (1, 0)$/;" v class:EnvironBuilder +wsokalmichener adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wsokalmichener = _weight_checked(sokalmichener)$/;" v +wsokalsneath adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wsokalsneath = _weight_checked(sokalsneath)$/;" v +wsqeuclidean adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wsqeuclidean = _weight_checked(sqeuclidean, const_test=False)$/;" v +ws_oneline adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def ws_oneline(self):$/;" m class:BaseVisitor +WS_TRANS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^WS_TRANS = {ord(_wschar) : ' ' for _wschar in string.whitespace}$/;" v +WWWAuthenticate adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class WWWAuthenticate(UpdateDictMixin, dict):$/;" c +WWWAuthenticateMixin adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/auth.py /^class WWWAuthenticateMixin(object):$/;" c +www_authenticate adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/auth.py /^ def www_authenticate(self):$/;" m class:WWWAuthenticateMixin +wxcairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^import wx.lib.wxcairo as wxcairo$/;" I +wxoverlay adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ wxoverlay = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +wx_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class wx_info(_pkg_config_info):$/;" c +wyule adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^wyule = _weight_checked(yule)$/;" v +W_AXIS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^W_AXIS = 2$/;" v +w_xaxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def w_xaxis(self):$/;" m class:Axes3D +w_yaxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def w_yaxis(self):$/;" m class:Axes3D +w_zaxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def w_zaxis(self):$/;" m class:Axes3D +x adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/docs/sample.py /^x = np.maximum(a, 0)$/;" v +X adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/observer_test.py /^X = np.array([[1, 2], [3, 4]]).astype(np.float32)$/;" v +X adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^X = TypeVar('X')$/;" v +x adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def x(self):$/;" m class:ToolHandles +x adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ class x:$/;" c member:TestCreation.test_from_attribute file: +x adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_packbits.py /^ x = np.array([$/;" v class:TestCount +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ x = np.linspace(-3, 3, 100)$/;" v class:TestArithmetic +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ x = np.linspace(-3, 3, 100)$/;" v class:TestArithmetic +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ x = np.linspace(-3, 3, 100)$/;" v class:TestArithmetic +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ x = np.linspace(-1, 1, 100)$/;" v class:TestArithmetic +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestEvaluation +x adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ x = np.random.random((3, 5))*2 - 1$/;" v class:TestVander +x adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^x = PCG64()$/;" v +X adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ class X(object):$/;" c +X adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ class X(object):$/;" c +X adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ class X(object):$/;" c +X adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^X = array([[1.43054825, -7.5693489],$/;" v +X adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_vq.py /^X = np.array([[3.0, 3], [4, 3], [4, 2],$/;" v +x adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def x():$/;" f +X adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^X = [MDATA['x%d' % i] for i in range(8)]$/;" v +x adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ x = np.sort(np.random.random(n))$/;" v class:TestLSQ +x adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_sketches.py /^ x = rng.randn(n_rows, 1) \/ np.sqrt(n_rows)$/;" v class:TestClarksonWoodruffTransform +x adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ x, f = fmin_slsqp(fun, array([-1, 1]), bounds=bnds, disp=1,$/;" v +x adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^ x, f = fmin_slsqp(fun, array([-1, 1]),$/;" v +x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def x(self):$/;" m class:DifferentialEvolutionSolver +x adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^ x = minres(A,b,tol=1e-12,maxiter=None,callback=cb)$/;" v +X adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ X = lsqr(G, b, show=show, atol=tol, btol=tol, iter_lim=maxit)$/;" v +x adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/expn_asy.py /^ x = sympy.symbols('x')$/;" v +X adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ X = np.ma.masked_invalid([1, 2, 1, 1, 1, np.nan, 1, 1,$/;" v class:TestBrunnerMunzel +X adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ X = [1, 2, 1, 1, 1, 1, 1, 1, 1, 1, 2, 4, 1, 1]$/;" v class:TestBrunnerMunzel +X adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ X = [19.8958398126694, 19.5452691647182, 19.0577309166425, 21.716543054589,$/;" v class:TestMannWhitneyU +X adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^X = array([1,2,3,4,5,6,7,8,9], float)$/;" v +X adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ class X(object):$/;" c +X adpepsenv/lib/python3.8/site-packages/six.py /^ class X(object):$/;" c +X adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ class X(object):$/;" c +x0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def x0(self):$/;" m class:BboxBase +x0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def x0(self, val):$/;" m class:Bbox +x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_constraint_conversion.py /^ x0 = (2, 0)$/;" v class:TestOldToNew +x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ x0 = np.array([1.0, 1.0])$/;" v +x0 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ x0 = np.array([1.0, 1.])$/;" v +x0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x0, info = la.gmres(A, b, restrt=M, tol=1e-14)$/;" v +x0_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_1(n):$/;" f +x0_10 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_10(n):$/;" f +x0_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_2(n):$/;" f +x0_4 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_4(n):$/;" f +x0_6 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_6(n):$/;" f +x0_7 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_7(n):$/;" f +x0_9 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__spectral.py /^def x0_9(n):$/;" f +x1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def x1(self):$/;" m class:BboxBase +x1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def x1(self, val):$/;" m class:Bbox +x1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ x1 = np.array([[0, 2], [1, 1], [2, 0]]).T$/;" v class:TestCov +x1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x1, info = la.lgmres(A, b, inner_m=M-6*2, outer_k=6, tol=1e-14)$/;" v +X1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ X1 = np.array([-1, 0, 1])$/;" v class:TestStudentTest +X11FontDirectories adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^X11FontDirectories = [$/;" v +X11NotFoundError adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class X11NotFoundError(NotFoundError):$/;" c +x11_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class x11_info(system_info):$/;" c +x11_so_dir adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ x11_so_dir = os.path.split(globbed_x11_dir[0])[0]$/;" v +X121Address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X121Address(char.NumericString):$/;" c +X121Address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X121Address(char.NumericString):$/;" c +X121Address adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X121Address(char.NumericString):$/;" c +x2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ x2 = np.array([0.0, 1.0, 2.0], ndmin=2)$/;" v class:TestCov +x2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/demo_lgmres.py /^x2, info = la.lgmres(A, b, inner_m=M-6, outer_k=6, tol=1e-14)$/;" v +X2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ X2 = np.array([0, 1, 2])$/;" v class:TestStudentTest +x2_repeats adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ x2_repeats = np.array([[0.0], [1.0], [1.0], [1.0], [1.0], [2.0]]).T$/;" v class:TestCov +x3 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ x3 = np.array([0.3942, 0.5969, 0.7730, 0.9918, 0.7964])$/;" v class:TestCov +x509Certificate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^x509Certificate = CertBag()$/;" v +x509CRL adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^x509CRL = CRLBag()$/;" v +X520CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520CommonName(univ.Choice):$/;" c +X520CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520CommonName(univ.Choice):$/;" c +X520CommonName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520CommonName(univ.Choice):$/;" c +X520countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520countryName(char.PrintableString):$/;" c +X520countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^X520countryName = rfc5280.X520countryName$/;" v +X520countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520countryName(char.PrintableString):$/;" c +X520countryName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520countryName(char.PrintableString):$/;" c +X520dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520dnQualifier(char.PrintableString):$/;" c +X520dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520dnQualifier(char.PrintableString):$/;" c +X520dnQualifier adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520dnQualifier(char.PrintableString):$/;" c +X520LocalityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520LocalityName(univ.Choice):$/;" c +X520LocalityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520LocalityName(univ.Choice):$/;" c +X520LocalityName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520LocalityName(univ.Choice):$/;" c +X520name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520name(univ.Choice):$/;" c +X520name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520name(univ.Choice):$/;" c +X520name adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520name(univ.Choice):$/;" c +X520OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520OrganizationalUnitName(univ.Choice):$/;" c +X520OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520OrganizationalUnitName(univ.Choice):$/;" c +X520OrganizationalUnitName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520OrganizationalUnitName(univ.Choice):$/;" c +X520OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520OrganizationName(univ.Choice):$/;" c +X520OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520OrganizationName(univ.Choice):$/;" c +X520OrganizationName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520OrganizationName(univ.Choice):$/;" c +X520Pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520Pseudonym(univ.Choice):$/;" c +X520Pseudonym adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520Pseudonym(univ.Choice):$/;" c +X520SerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^X520SerialNumber = rfc5280.X520SerialNumber$/;" v +X520SerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520SerialNumber(char.PrintableString):$/;" c +X520SerialNumber adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520SerialNumber(char.PrintableString):$/;" c +X520StateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520StateOrProvinceName(univ.Choice):$/;" c +X520StateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520StateOrProvinceName(univ.Choice):$/;" c +X520StateOrProvinceName adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520StateOrProvinceName(univ.Choice):$/;" c +X520Title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^class X520Title(univ.Choice):$/;" c +X520Title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^class X520Title(univ.Choice):$/;" c +X520Title adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^class X520Title(univ.Choice):$/;" c +x9_44 adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^x9_44 = _OID(1, 3, 133, 16, 840, 9, 44)$/;" v +x9_44_components adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^x9_44_components = _OID(x9_44, 1)$/;" v +X9_SymmetricKeyWrappingScheme adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^class X9_SymmetricKeyWrappingScheme(AlgorithmIdentifier):$/;" c +xafter adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def xafter(self, dt, count=None, inc=False):$/;" m class:rrulebase +XavierInit adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def XavierInit(self):$/;" m class:CNNModelHelper +xavier_normal adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^xavier_normal = glorot_normal = partial(variance_scaling, 1.0, "fan_avg", "truncated_normal")$/;" v +xavier_normal adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^xavier_normal = _make_deprecate(xavier_normal_)$/;" v +xavier_normal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def xavier_normal_(tensor, gain=1.):$/;" f +xavier_uniform adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^xavier_uniform = glorot_uniform = partial(variance_scaling, 1.0, "fan_avg", "uniform")$/;" v +xavier_uniform adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^xavier_uniform = _make_deprecate(xavier_uniform_)$/;" v +xavier_uniform_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def xavier_uniform_(tensor, gain=1.):$/;" f +XAxis adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class XAxis(Axis):$/;" c +XAxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^class XAxis(Axis):$/;" c +xaxis_date adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ xaxis_date = _axis_method_wrapper("xaxis", "axis_date")$/;" v class:_AxesBase +xaxis_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ xaxis_inverted = _axis_method_wrapper("xaxis", "get_inverted")$/;" v class:_AxesBase +xb adpepsenv/lib/python3.8/site-packages/jax/api.py /^from .lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^from jax.lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^from ..lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^from ..lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^from ..lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^from ..lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^from .lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^from jax.lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^xb = xla_bridge$/;" v +xb adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^from jax.lib import xla_bridge as xb$/;" x +xb adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^from jax.lib import xla_bridge as xb$/;" x +XbmImageFile adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^class XbmImageFile(ImageFile.ImageFile):$/;" c +xbm_head adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^xbm_head = re.compile($/;" v +xbutton adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def xbutton(self, name, title, next, xpos):$/;" m class:PyDialog +xc adpepsenv/lib/python3.8/site-packages/jax/api.py /^from .lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^from ..lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^from ..lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^from ..lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^from ..lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^from .lib import xla_client as xc$/;" x +xc adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^xc = xla_client$/;" v +xc adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^from jax.lib import xla_client as xc$/;" x +Xception adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/xception.py /^def Xception($/;" f +xcode_stub_lib_extension adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ xcode_stub_lib_extension = ".tbd"$/;" v class:UnixCCompiler +xcode_stub_lib_format adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ xcode_stub_lib_format = dylib_lib_format$/;" v class:UnixCCompiler +XCONVERGED adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^XCONVERGED = 2 # Converged (|x_n-x_(n-1)| ~= 0)$/;" v +xcorr adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def xcorr(self, x, y, normed=True, detrend=mlab.detrend_none,$/;" m class:Axes +xcorr adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xcorr($/;" f +xdivy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xdivy(x, y, name=None):$/;" f +Xdivy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Xdivy = tf_export("raw_ops.Xdivy")(_ops.to_raw_op(xdivy))$/;" v +xdivy_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xdivy_eager_fallback(x, y, name, ctx):$/;" f +xe adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xe = xc._xla$/;" v +XEBEC adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ XEBEC = 0x003D$/;" v class:WAVE_FORMAT +xeinsum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def xeinsum(spec: str, x, y):$/;" f +XeinsumSpecParser adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^class XeinsumSpecParser:$/;" c +xerbla_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void xerbla_array(char *srname_array, int *srname_len, int *info) nogil$/;" f +XEvent adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XEvent = _reflection.GeneratedProtocolMessageType('XEvent', (_message.Message,), {$/;" v +XEventMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XEventMetadata = _reflection.GeneratedProtocolMessageType('XEventMetadata', (_message.Message,),/;" v +xfail_complex_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^xfail_complex_tests = (not sys.platform.startswith('linux') or functions_seem_flaky)$/;" v +xffi adpepsenv/lib/python3.8/site-packages/numpy/random/_examples/numba/extending_distributions.py /^xffi = x.cffi$/;" v +xft_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class xft_info(_pkg_config_info):$/;" c +xfunc adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ xfunc = lambda x: func(pu.mapdomain(x, cls.window, domain), *args)$/;" f member:Chebyshev.interpolate file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(delta, r):$/;" f function:test_huber file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(delta, r):$/;" f function:test_pseudo_huber file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(x):$/;" f function:test_entr file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(x, y):$/;" f function:test_kl_div file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(x, y):$/;" f function:test_rel_entr file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(x, y):$/;" f function:test_xlog1py file: +xfunc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def xfunc(x, y):$/;" f function:test_xlogy file: +XGLTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^XGLTYPE = b"g" # POSIX.1-2001 global header$/;" v +XHDTYPE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^XHDTYPE = b"x" # POSIX.1-2001 extended header$/;" v +XHI adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^XLO, XHI = 0.0, 2.0 # first and second bounds of zeros functions$/;" v +xhtml adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^xhtml = HTMLBuilder("xhtml")$/;" v +xkcd adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xkcd(scale=1, length=100, randomness=2):$/;" f +XKCD_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^XKCD_COLORS = {$/;" v +XKCD_COLORS adpepsenv/lib/python3.8/site-packages/matplotlib/_color_data.py /^XKCD_COLORS = {'xkcd:' + name: value for name, value in XKCD_COLORS.items()}$/;" v +xl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^xl = numpy.random.uniform(-1, 1, 100*100).reshape(100, 100)$/;" v +xlabel adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xlabel(xlabel, fontdict=None, labelpad=None, *, loc=None, **kwargs):$/;" f +XlaBroadcastHelper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaBroadcastHelper = tf_export("raw_ops.XlaBroadcastHelper")(_ops.to_raw_op(xla_broadcast_helper/;" v +XlaBuilder adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^XlaBuilder = _xla.XlaBuilder$/;" v +XlaClusterOutput adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^XlaClusterOutput = tf_export("raw_ops.XlaClusterOutput")(_ops.to_raw_op(xla_cluster_output))$/;" v +XLACompileContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^class XLACompileContext(control_flow_ops.XLAControlFlowContext):$/;" c +XlaComputation adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^XlaComputation = _xla.XlaComputation$/;" v +XlaComputationBuilder adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^XlaComputationBuilder = Any # xla_bridge._JaxComputationBuilder$/;" v +XlaComputationBuilder adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^XlaComputationBuilder = Any # xla_bridge._JaxComputationBuilder$/;" v +XLAControlFlowContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^class XLAControlFlowContext(ControlFlowContext):$/;" c +XlaConv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaConv = tf_export("raw_ops.XlaConv")(_ops.to_raw_op(xla_conv))$/;" v +XlaDequantize adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaDequantize = tf_export("raw_ops.XlaDequantize")(_ops.to_raw_op(xla_dequantize))$/;" v +XlaDevice adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^XlaDevice = Any # xla_client.Device$/;" v +XlaDot adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaDot = tf_export("raw_ops.XlaDot")(_ops.to_raw_op(xla_dot))$/;" v +XlaDynamicSlice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaDynamicSlice = tf_export("raw_ops.XlaDynamicSlice")(_ops.to_raw_op(xla_dynamic_slice))$/;" v +XlaDynamicUpdateSlice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaDynamicUpdateSlice = tf_export("raw_ops.XlaDynamicUpdateSlice")(_ops.to_raw_op(xla_dynamic_up/;" v +XlaEinsum adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaEinsum = tf_export("raw_ops.XlaEinsum")(_ops.to_raw_op(xla_einsum))$/;" v +XlaExecutable adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^XlaExecutable = Any # xla_extension.LocalExecutable$/;" v +XlaGather adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaGather = tf_export("raw_ops.XlaGather")(_ops.to_raw_op(xla_gather))$/;" v +XlaIf adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaIf = tf_export("raw_ops.XlaIf")(_ops.to_raw_op(xla_if))$/;" v +XlaKeyValueSort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaKeyValueSort = tf_export("raw_ops.XlaKeyValueSort")(_ops.to_raw_op(xla_key_value_sort))$/;" v +XlaLaunch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^XlaLaunch = tf_export("raw_ops.XlaLaunch")(_ops.to_raw_op(xla_launch))$/;" v +XlaLocalClient adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^XlaLocalClient = Any # xla_extension.LocalClient$/;" v +XlaOp adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^XlaOp = Any # xla_extension.XlaOp$/;" v +XlaOp adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^XlaOp = Any # xla_extension.XlaOp$/;" v +XLAOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^class XLAOptions($/;" c +XlaPad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaPad = tf_export("raw_ops.XlaPad")(_ops.to_raw_op(xla_pad))$/;" v +XlaRecv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaRecv = tf_export("raw_ops.XlaRecv")(_ops.to_raw_op(xla_recv))$/;" v +XlaReduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaReduce = tf_export("raw_ops.XlaReduce")(_ops.to_raw_op(xla_reduce))$/;" v +XlaReduceWindow adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaReduceWindow = tf_export("raw_ops.XlaReduceWindow")(_ops.to_raw_op(xla_reduce_window))$/;" v +XlaReplicaId adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaReplicaId = tf_export("raw_ops.XlaReplicaId")(_ops.to_raw_op(xla_replica_id))$/;" v +XlaScatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaScatter = tf_export("raw_ops.XlaScatter")(_ops.to_raw_op(xla_scatter))$/;" v +XlaSelectAndScatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSelectAndScatter = tf_export("raw_ops.XlaSelectAndScatter")(_ops.to_raw_op(xla_select_and_sca/;" v +XlaSelfAdjointEig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSelfAdjointEig = tf_export("raw_ops.XlaSelfAdjointEig")(_ops.to_raw_op(xla_self_adjoint_eig))$/;" v +XlaSend adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSend = tf_export("raw_ops.XlaSend")(_ops.to_raw_op(xla_send))$/;" v +XlaSetBound adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSetBound = tf_export("raw_ops.XlaSetBound")(_ops.to_raw_op(xla_set_bound))$/;" v +XlaShape adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^XlaShape = Any # xla_client.Shape$/;" v +XlaShape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^XlaShape = Any # xla_client.Shape$/;" v +XlaSharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSharding = tf_export("raw_ops.XlaSharding")(_ops.to_raw_op(xla_sharding))$/;" v +XlaSort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSort = tf_export("raw_ops.XlaSort")(_ops.to_raw_op(xla_sort))$/;" v +XlaSpmdFullToShardShape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSpmdFullToShardShape = tf_export("raw_ops.XlaSpmdFullToShardShape")(_ops.to_raw_op(xla_spmd_f/;" v +XlaSpmdShardToFullShape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSpmdShardToFullShape = tf_export("raw_ops.XlaSpmdShardToFullShape")(_ops.to_raw_op(xla_spmd_s/;" v +XlaSvd adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaSvd = tf_export("raw_ops.XlaSvd")(_ops.to_raw_op(xla_svd))$/;" v +XlaVariadicReduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaVariadicReduce = tf_export("raw_ops.XlaVariadicReduce")(_ops.to_raw_op(xla_variadic_reduce))$/;" v +XlaWhile adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^XlaWhile = tf_export("raw_ops.XlaWhile")(_ops.to_raw_op(xla_while))$/;" v +xla_allow_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def xla_allow_fallback(description): # pylint: disable=unused-argument$/;" f +xla_allow_fallback_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def xla_allow_fallback_impl(func):$/;" f function:xla_allow_fallback file: +xla_broadcast_helper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_broadcast_helper(lhs, rhs, broadcast_dims, name=None):$/;" f +xla_broadcast_helper_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_broadcast_helper_eager_fallback(lhs, rhs, broadcast_dims, name, ctx):$/;" f +xla_call adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xla_call = xla_call_p.bind$/;" v +xla_call_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xla_call_p = core.CallPrimitive('xla_call')$/;" v +xla_cluster_output adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^def xla_cluster_output(input, name=None):$/;" f +xla_cluster_output_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^def xla_cluster_output_eager_fallback(input, name, ctx):$/;" f +xla_compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/jit.py /^ def xla_compile(node_def):$/;" f function:experimental_jit_scope file: +xla_compile_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def xla_compile_wrapper():$/;" f function:compile file: +xla_computation adpepsenv/lib/python3.8/site-packages/jax/api.py /^def xla_computation(fun: Callable,$/;" f +xla_conv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_conv(lhs, rhs, window_strides, padding, lhs_dilation, rhs_dilation, feature_group_count,/;" f +xla_conv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_conv_eager_fallback(lhs, rhs, window_strides, padding, lhs_dilation, rhs_dilation, featu/;" f +xla_dequantize adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dequantize(input, min_range, max_range, mode, transpose_output, name=None):$/;" f +xla_dequantize_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dequantize_eager_fallback(input, min_range, max_range, mode, transpose_output, name, ctx/;" f +xla_destructure adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def xla_destructure(c, ans):$/;" f +xla_dot adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dot(lhs, rhs, dimension_numbers, precision_config, name=None):$/;" f +xla_dot_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dot_eager_fallback(lhs, rhs, dimension_numbers, precision_config, name, ctx):$/;" f +xla_dynamic_slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dynamic_slice(input, start_indices, size_indices, name=None):$/;" f +xla_dynamic_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dynamic_slice_eager_fallback(input, start_indices, size_indices, name, ctx):$/;" f +xla_dynamic_update_slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dynamic_update_slice(input, update, indices, name=None):$/;" f +xla_dynamic_update_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_dynamic_update_slice_eager_fallback(input, update, indices, name, ctx):$/;" f +xla_einsum adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_einsum(a, b, equation, name=None):$/;" f +xla_einsum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_einsum_eager_fallback(a, b, equation, name, ctx):$/;" f +XLA_ELEMENT_TYPE_TO_DTYPE adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^XLA_ELEMENT_TYPE_TO_DTYPE = {$/;" v +xla_extension adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^xla_extension = xla_client._xla$/;" v +xla_gather adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_gather(operand, start_indices, slice_sizes, dimension_numbers, indices_are_sorted, name=/;" f +xla_gather_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_gather_eager_fallback(operand, start_indices, slice_sizes, dimension_numbers, indices_ar/;" f +xla_if adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_if(cond, inputs, then_branch, else_branch, Tout, name=None):$/;" f +xla_if_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_if_eager_fallback(cond, inputs, then_branch, else_branch, Tout, name, ctx):$/;" f +xla_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def xla_intermediates(self):$/;" m class:_CondGradFuncGraph +xla_key_value_sort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_key_value_sort(keys, values, name=None):$/;" f +xla_key_value_sort_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_key_value_sort_eager_fallback(keys, values, name, ctx):$/;" f +xla_launch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^def xla_launch(constants, args, resources, Tresults, function, name=None):$/;" f +xla_launch_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^def xla_launch_eager_fallback(constants, args, resources, Tresults, function, name, ctx):$/;" f +xla_pad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_pad(input, padding_value, padding_low, padding_high, padding_interior, name=None):$/;" f +xla_pad_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_pad_eager_fallback(input, padding_value, padding_low, padding_high, padding_interior, na/;" f +xla_platform_names adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^xla_platform_names = {$/;" v +xla_pmap adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^xla_pmap = xla_pmap_p.bind$/;" v +xla_pmap_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def xla_pmap_impl(fun: lu.WrappedFun, *args, backend, axis_name, axis_size,$/;" f +xla_pmap_p adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^xla_pmap_p = core.MapPrimitive('xla_pmap')$/;" v +xla_primitive_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def xla_primitive_callable(prim, *arg_specs: Tuple[core.AbstractValue,$/;" f +xla_recv adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_recv(dtype, tensor_name, shape, name=None):$/;" f +xla_recv_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_recv_eager_fallback(dtype, tensor_name, shape, name, ctx):$/;" f +xla_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_reduce(input, init_value, dimensions_to_reduce, reducer, name=None):$/;" f +xla_reduce_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_reduce_eager_fallback(input, init_value, dimensions_to_reduce, reducer, name, ctx):$/;" f +xla_reduce_window adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_reduce_window(input, init_value, window_dimensions, window_strides, base_dilations, wind/;" f +xla_reduce_window_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_reduce_window_eager_fallback(input, init_value, window_dimensions, window_strides, base_/;" f +xla_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_replica_id(name=None):$/;" f +xla_replica_id_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_replica_id_eager_fallback(name, ctx):$/;" f +xla_result_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xla_result_handlers: Dict[Type[core.AbstractValue], Callable[..., Callable]] = {$/;" v +xla_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_scatter(operand, scatter_indices, updates, update_computation, dimension_numbers, indice/;" f +xla_scatter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_scatter_eager_fallback(operand, scatter_indices, updates, update_computation, dimension_/;" f +xla_select_and_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_select_and_scatter(operand, window_dimensions, window_strides, padding, source, init_val/;" f +xla_select_and_scatter_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_select_and_scatter_eager_fallback(operand, window_dimensions, window_strides, padding, s/;" f +xla_self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_self_adjoint_eig(a, lower, max_iter, epsilon, name=None):$/;" f +xla_self_adjoint_eig_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_self_adjoint_eig_eager_fallback(a, lower, max_iter, epsilon, name, ctx):$/;" f +xla_send adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_send(tensor, tensor_name, name=None):$/;" f +xla_send_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_send_eager_fallback(tensor, tensor_name, name, ctx):$/;" f +xla_set_bound adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_set_bound(input, bound, name=None):$/;" f +xla_set_bound_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_set_bound_eager_fallback(input, bound, name, ctx):$/;" f +xla_shape_handlers adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xla_shape_handlers: Dict[Type[core.AbstractValue], Callable] = {$/;" v +xla_sharding adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_sharding(input, name=None):$/;" f +xla_sharding_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_sharding_eager_fallback(input, name, ctx):$/;" f +xla_sort adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_sort(input, name=None):$/;" f +xla_sort_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_sort_eager_fallback(input, name, ctx):$/;" f +xla_spmd_full_to_shard_shape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_spmd_full_to_shard_shape(input, manual_sharding, name=None):$/;" f +xla_spmd_full_to_shard_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_spmd_full_to_shard_shape_eager_fallback(input, manual_sharding, name, ctx):$/;" f +xla_spmd_shard_to_full_shape adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_spmd_shard_to_full_shape(input, manual_sharding, full_shape, name=None):$/;" f +xla_spmd_shard_to_full_shape_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_spmd_shard_to_full_shape_eager_fallback(input, manual_sharding, full_shape, name, ctx):$/;" f +xla_svd adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_svd(a, max_iter, epsilon, precision_config, name=None):$/;" f +xla_svd_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_svd_eager_fallback(a, max_iter, epsilon, precision_config, name, ctx):$/;" f +xla_variadic_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_variadic_reduce(input, init_value, dimensions_to_reduce, reducer, name=None):$/;" f +xla_variadic_reduce_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_variadic_reduce_eager_fallback(input, init_value, dimensions_to_reduce, reducer, name, c/;" f +xla_while adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_while(input, cond, body, name=None):$/;" f +xla_while_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^def xla_while_eager_fallback(input, cond, body, name, ctx):$/;" f +xlim adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xlim(*args, **kwargs):$/;" f +XLine adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XLine = _reflection.GeneratedProtocolMessageType('XLine', (_message.Message,), {$/;" v +XLO adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^XLO, XHI = 0.0, 2.0 # first and second bounds of zeros functions$/;" v +xlog1py adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def xlog1py(x, y):$/;" f +xlog1py adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t xlog1py(Dd_number_t x0, Dd_number_t x1) nogil$/;" f +xlog1py adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xlog1py(x, y, name=None):$/;" f +Xlog1py adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Xlog1py = tf_export("raw_ops.Xlog1py")(_ops.to_raw_op(xlog1py))$/;" v +xlog1py adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def xlog1py(x, y, name=None):$/;" f +xlog1py_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xlog1py_eager_fallback(x, y, name, ctx):$/;" f +xlogy adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def xlogy(x, y):$/;" f +xlogy adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t xlogy(Dd_number_t x0, Dd_number_t x1) nogil$/;" f +xlogy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xlogy(x, y, name=None):$/;" f +Xlogy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Xlogy = tf_export("raw_ops.Xlogy")(_ops.to_raw_op(xlogy))$/;" v +xlogy_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def xlogy_eager_fallback(x, y, name, ctx):$/;" f +xmap adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def xmap(fun: Callable,$/;" f +XMapPrimitive adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class XMapPrimitive(core.Primitive):$/;" c +xmap_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def xmap_impl(fun: lu.WrappedFun, *args, name, in_axes, out_axes_thunk, axis_sizes,$/;" f +xmap_p adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^xmap_p = XMapPrimitive()$/;" v +xmax adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def xmax(self):$/;" m class:BboxBase +xmin adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def xmin(self):$/;" m class:BboxBase +xmlEntities adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^xmlEntities = frozenset(['lt;', 'gt;', 'amp;', 'apos;', 'quot;'])$/;" v +xmlEntities adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^xmlEntities = frozenset(['lt;', 'gt;', 'amp;', 'apos;', 'quot;'])$/;" v +xmlrpclib adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ import xmlrpc.client as xmlrpclib$/;" I +XMLWriter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^class XMLWriter:$/;" c +XMP adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^XMP = 700$/;" v +XmppAddr adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^class XmppAddr(char.UTF8String):$/;" c +XNNPACKEngine adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^class XNNPACKEngine(types.ModuleType):$/;" c +xo adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_lsqr.py /^ xo = X[0]$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^xops = xla_client._xla.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^xops = xc.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^xops = xc.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^xops = xc._xla.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^xops = xc._xla.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^xops = xc.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^xops = xla_client.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^xops = xla_client.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^xops = xla_client.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^xops = xla_client.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^xops = xla_client.ops$/;" v +xops adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^xops = xc.ops$/;" v +xor_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def xor_(x, y, name=None):$/;" f +xor_bytes adpepsenv/lib/python3.8/site-packages/rsa/_compat.py /^def xor_bytes(b1: bytes, b2: bytes) -> bytes:$/;" f +xor_p adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^xor_p = standard_naryop([_bool_or_int, _bool_or_int], 'xor')$/;" v +xpdf_distill adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def xpdf_distill(tmpfile, eps=False, ptype='letter', bbox=None, rotated=False):$/;" f +XPlane adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XPlane = _reflection.GeneratedProtocolMessageType('XPlane', (_message.Message,), {$/;" v +XPLANE_TOOLS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^XPLANE_TOOLS = [$/;" v +XPLANE_TOOLS_ALL_HOSTS_ONLY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^XPLANE_TOOLS_ALL_HOSTS_ONLY = frozenset($/;" v +XPLANE_TOOLS_ALL_HOSTS_SUPPORTED adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^XPLANE_TOOLS_ALL_HOSTS_SUPPORTED = frozenset([$/;" v +XpmImageFile adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^class XpmImageFile(ImageFile.ImageFile):$/;" c +xpm_head adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^xpm_head = re.compile(b'"([0-9]*) ([0-9]*) ([0-9]*) ([0-9]*)')$/;" v +xrange adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ xrange = range$/;" v +xrange adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^xrange = six.moves.xrange$/;" v +xrange adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^xrange = six.moves.xrange$/;" v +XrefTable adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^class XrefTable:$/;" c +xs adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^xs = numpy.random.uniform(-1, 1, 6).reshape(2, 3)$/;" v +xs adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ xs = [np.array([1,2,3,4,5], float),$/;" v class:TestSecant +xscale adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xscale(value, **kwargs):$/;" f +XSpace adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XSpace = _reflection.GeneratedProtocolMessageType('XSpace', (_message.Message,), {$/;" v +xspace_to_tool_data adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/raw_to_tool_data.py /^def xspace_to_tool_data(xspace_paths, tool, tqx):$/;" f +XStat adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XStat = _reflection.GeneratedProtocolMessageType('XStat', (_message.Message,), {$/;" v +XStatMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^XStatMetadata = _reflection.GeneratedProtocolMessageType('XStatMetadata', (_message.Message,), {$/;" v +XTick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class XTick(Tick):$/;" c +xticks adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def xticks(ticks=None, labels=None, **kwargs):$/;" f +XTOL adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_cython_optimize.py /^XTOL, RTOL, MITR = 0.001, 0.001, 10$/;" v +XVThumbImageFile adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^class XVThumbImageFile(ImageFile.ImageFile):$/;" c +XVViewer adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^class XVViewer(UnixViewer):$/;" c +xw_plus_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def xw_plus_b(x, weights, biases, name=None): # pylint: disable=invalid-name$/;" f +xw_plus_b_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def xw_plus_b_v1(x, weights, biases, name=None):$/;" f +xx adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ xx = np.linspace(0., 2.*np.pi)$/;" v class:TestInterp +xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def xy(self):$/;" m class:RegularPolygon +xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def xy(self, xy):$/;" m class:RegularPolygon +xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ xy = property(get_xy, set_xy)$/;" v class:Rectangle +xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ xy = property(get_xy, set_xy,$/;" v class:Polygon +xyann adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def xyann(self):$/;" m class:AnnotationBbox +xyann adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def xyann(self, xyann):$/;" m class:AnnotationBbox +xyann adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def xyann(self):$/;" m class:Annotation +xyann adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def xyann(self, xytext):$/;" m class:Annotation +xycoords adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def xycoords(self):$/;" m class:Annotation +xycoords adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def xycoords(self, xycoords):$/;" m class:Annotation +xy_specialized_dims adpepsenv/lib/python3.8/site-packages/scipy/linalg/_generate_pyx.py /^xy_specialized_dims = {'x': '', 'y': ''}$/;" v +XZ_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^XZ_EXTENSIONS = ('.tar.xz', '.txz', '.tlz',$/;" v +x_cb adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def x_cb(x):$/;" f member:TestGMRES.test_callback_type file: +x_cb adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def x_cb(x):$/;" f member:TestGMRES.test_callback_x_monotonic file: +x_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def x_key(self):$/;" m class:_Mapping +x_major adpeps/utils/tlist.py /^ def x_major(self):$/;" m class:TList +X_RESOLUTION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^X_RESOLUTION = 282$/;" v +Y adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/observer_test.py /^Y = net.FC(["X", "W", "b"], ["Y"])$/;" v +Y adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^Y = TypeVar('Y')$/;" v +y adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def y(self):$/;" m class:ToolHandles +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_chebyshev.py /^ y = polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite.py /^ y = polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_hermite_e.py /^ y = polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_laguerre.py /^ y = polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_legendre.py /^ y = polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +y adpepsenv/lib/python3.8/site-packages/numpy/polynomial/tests/test_polynomial.py /^ y = poly.polyval(x, [1., 2., 3.])$/;" v class:TestEvaluation +Y adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^Y = [MDATA['y%d' % i] for i in range(8)]$/;" v +y adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def y(self):$/;" m class:complex_ode +y adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def y(self):$/;" m class:ode +y adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ y = np.random.random(n)$/;" v class:TestLSQ +Y adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def Y(x, c):$/;" f member:TestOptimizeSimple.test_minimize_coerce_args_param file: +Y adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_mstats_basic.py /^ Y = np.ma.masked_invalid([3, 3, 4, 3, np.nan, 1, 2, 3, 1, 1, 5, 4])$/;" v class:TestBrunnerMunzel +Y adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ Y = [19.2790668029091, 16.993808441865, 18.5416338448258, 17.2634018833575,$/;" v class:TestMannWhitneyU +Y adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ Y = [3, 3, 4, 3, 1, 2, 3, 1, 1, 5, 4]$/;" v class:TestBrunnerMunzel +y0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def y0(self):$/;" m class:BboxBase +y0 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def y0(self, val):$/;" m class:Bbox +y0 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double y0(double x0) nogil$/;" f +Y00 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^ def Y00(theta, phi):$/;" f function:test_first_harmonics file: +Y01 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^ def Y01(theta, phi):$/;" f function:test_first_harmonics file: +y0_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def y0_zeros(nt, complex=False):$/;" f +y1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def y1(self):$/;" m class:BboxBase +y1 adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def y1(self, val):$/;" m class:Bbox +y1 adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double y1(double x0) nogil$/;" f +Y11 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^ def Y11(theta, phi):$/;" f function:test_first_harmonics file: +y1p_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def y1p_zeros(nt, complex=False):$/;" f +y1_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def y1_zeros(nt, complex=False):$/;" f +YAMAHA_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ YAMAHA_ADPCM = 0x0020$/;" v class:WAVE_FORMAT +yaml adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ yaml = None$/;" v +yaml adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/model_config.py /^ yaml = None$/;" v +YAMLError adpepsenv/lib/python3.8/site-packages/yaml/error.py /^class YAMLError(Exception):$/;" c +YAMLLoadWarning adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^class YAMLLoadWarning(RuntimeWarning):$/;" c +YAMLObject adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^class YAMLObject(metaclass=YAMLObjectMetaclass):$/;" c +YAMLObjectMetaclass adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^class YAMLObjectMetaclass(type):$/;" c +yaml_constructors adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ yaml_constructors = {}$/;" v class:BaseConstructor +yaml_dumper adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ yaml_dumper = Dumper$/;" v class:YAMLObject +yaml_flow_style adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ yaml_flow_style = None$/;" v class:YAMLObject +yaml_implicit_resolvers adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ yaml_implicit_resolvers = {}$/;" v class:BaseResolver +yaml_load adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^ from json import load as yaml_load$/;" x +yaml_load adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^ from yaml import load as yaml_load$/;" x +yaml_load adpepsenv/lib/python3.8/site-packages/markdown/__main__.py /^ from yaml import unsafe_load as yaml_load$/;" x +yaml_loader adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ yaml_loader = [Loader, FullLoader, UnsafeLoader]$/;" v class:YAMLObject +yaml_multi_constructors adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ yaml_multi_constructors = {}$/;" v class:BaseConstructor +yaml_multi_representers adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ yaml_multi_representers = {}$/;" v class:BaseRepresenter +yaml_path_resolvers adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ yaml_path_resolvers = {}$/;" v class:BaseResolver +yaml_representers adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ yaml_representers = {}$/;" v class:BaseRepresenter +yaml_tag adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ yaml_tag = None$/;" v class:YAMLObject +yard adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^yard = 3 * foot$/;" v +YAxis adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class YAxis(Axis):$/;" c +YAxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^class YAxis(Axis):$/;" c +yaxis_date adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ yaxis_date = _axis_method_wrapper("yaxis", "axis_date")$/;" v class:_AxesBase +yaxis_inverted adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ yaxis_inverted = _axis_method_wrapper("yaxis", "get_inverted")$/;" v class:_AxesBase +ydayset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def ydayset(self, year, month, day):$/;" m class:_iterinfo +year adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^year = 365 * day$/;" v +YearLocator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^class YearLocator(DateLocator):$/;" c +YELLOW adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ YELLOW = 33$/;" v class:AnsiFore +YELLOW adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ YELLOW = 43$/;" v class:AnsiBack +YELLOW adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ YELLOW = 6$/;" v class:WinColor +YellowFinOptimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^class YellowFinOptimizer(Optimizer):$/;" c +yellow_text adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def yellow_text(s):$/;" f +yeojohnson adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def yeojohnson(x, lmbda=None):$/;" f +yeojohnson_llf adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def yeojohnson_llf(lmb, data):$/;" f +yeojohnson_normmax adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def yeojohnson_normmax(x, brack=(-2, 2)):$/;" f +yeojohnson_normplot adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def yeojohnson_normplot(x, la, lb, plot=None, N=80):$/;" f +yield_fixedblocks adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def yield_fixedblocks(infile: typing.BinaryIO, blocksize: int) -> typing.Iterator[bytes]:$/;" f +yield_flat_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def yield_flat_paths(nest, expand_composites=False):$/;" f +yield_lines adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def yield_lines(strs):$/;" f +yield_lines adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def yield_lines(strs):$/;" f +yiq_to_rgb adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def yiq_to_rgb(images):$/;" f +yl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^yl = numpy.random.uniform(-1, 1, 100*100).reshape(100, 100)$/;" v +ylabel adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ylabel(ylabel, fontdict=None, labelpad=None, *, loc=None, **kwargs):$/;" f +ylim adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def ylim(*args, **kwargs):$/;" f +ymax adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def ymax(self):$/;" m class:BboxBase +ymin adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def ymin(self):$/;" m class:BboxBase +yn adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double yn(dl_number_t x0, double x1) nogil$/;" f +Yn11 adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sph_harm.py /^ def Yn11(theta, phi):$/;" f function:test_first_harmonics file: +ynp_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def ynp_zeros(n, nt):$/;" f +yn_zeros adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def yn_zeros(n, nt):$/;" f +yobi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^yobi = 2**80$/;" v +yotta adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^yotta = 1e24$/;" v +ys adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^ys = numpy.random.uniform(-1, 1, 6).reshape(2, 3)$/;" v +yscale adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def yscale(value, **kwargs):$/;" f +ytdist adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/hierarchy_test_data.py /^ytdist = array([662., 877., 255., 412., 996., 295., 468., 268., 400., 754.,$/;" v +YTick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class YTick(Tick):$/;" c +yticks adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def yticks(ticks=None, labels=None, **kwargs):$/;" f +yule adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def yule(u, v, w=None):$/;" f +yulesimon adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^yulesimon = yulesimon_gen(name='yulesimon', a=1)$/;" v +yulesimon_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class yulesimon_gen(rv_discrete):$/;" c +yulewalk adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def yulewalk():$/;" f +yuv_to_rgb adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def yuv_to_rgb(images):$/;" f +yv adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t yv(double x0, Dd_number_t x1) nogil$/;" f +yve adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef Dd_number_t yve(double x0, Dd_number_t x1) nogil$/;" f +yvp adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def yvp(v, z, n=1):$/;" f +yy adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^ yy = np.sin(xx)$/;" v class:TestInterp +y_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_bbox_tight.py /^ def y_formatter(y, pos):$/;" f function:test_bbox_inches_tight_suptile_legend file: +y_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def y_key(self):$/;" m class:_Mapping +y_major adpeps/utils/tlist.py /^ def y_major(self):$/;" m class:TList +Y_RESOLUTION adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^Y_RESOLUTION = 283$/;" v +y_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def y_tensor(self):$/;" m class:GradientsDebugger +z adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def z(x, y):$/;" f function:test_triinterp_transformations file: +z adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_triangulation.py /^ def z(x, y):$/;" f function:test_tri_smooth_contouring file: +z adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def z(*paArgs):$/;" f function:traceParseAction file: +z adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def z(*paArgs):$/;" f function:traceParseAction file: +z adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def z(*paArgs):$/;" f function:traceParseAction file: +z adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def z(*paArgs):$/;" f function:traceParseAction file: +z0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ z0 = array([1.0, 0.1], float)$/;" v class:SimpleOscillator +z0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ z0 = exp([1j, 2j, 3j, 4j, 5j])$/;" v class:ComplexExp +z0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ z0 = [0]$/;" v class:Pi +z0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ z0 = [5.0, 7.0, 13.0]$/;" v class:CoupledDecay +z0 adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ z0 = []$/;" v class:ODE +zap_pyfiles adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def zap_pyfiles(self):$/;" m class:bdist_egg +ZAxis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^class ZAxis(Axis):$/;" c +zaxis_date adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ zaxis_date = _axis_method_wrapper("zaxis", "axis_date")$/;" v class:Axes3D +zaxis_inverted adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def zaxis_inverted(self):$/;" m class:Axes3D +zaxpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zaxpy(int *n, z *za, z *zx, int *incx, z *zy, int *incy) nogil$/;" f +zbbcsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zbbcsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, int *m, int /;" f +zbdsqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zbdsqr(char *uplo, int *n, int *ncvt, int *nru, int *ncc, d *d, d *e, z *vt, int *ldvt/;" f +zcgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zcgesv(int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, z *x, int *ldx, z/;" f +zcopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zcopy(int *n, z *zx, int *incx, z *zy, int *incy) nogil$/;" f +zcposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zcposv(char *uplo, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, z *x, int *ldx, /;" f +zdotc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef z zdotc(int *n, z *zx, int *incx, z *zy, int *incy) nogil$/;" f +zdotu adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef z zdotu(int *n, z *zx, int *incx, z *zy, int *incy) nogil$/;" f +zdrot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zdrot(int *n, z *cx, int *incx, z *cy, int *incy, d *c, d *s) nogil$/;" f +zdrscl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zdrscl(int *n, d *sa, z *sx, int *incx) nogil$/;" f +zdscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zdscal(int *n, d *da, z *zx, int *incx) nogil$/;" f +zebi adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^zebi = 2**70$/;" v +zepto adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^zepto = 1e-21$/;" v +ZERO adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ZERO = datetime.timedelta(0)$/;" v +ZERO adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ZERO = timedelta(0)$/;" v +Zero adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^class Zero:$/;" c +zero adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ zero = lambda x: lax.full_like(x, shape=(), fill_value=0)$/;" f function:diag file: +zero adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ zero = lambda x: lax.full_like(x, shape=(), fill_value=0)$/;" f function:_logical_op.op file: +Zero adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ class Zero:$/;" c member:TestIndexing.test_scalar_return_type file: +ZERO adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ZERO = b'\\x00\\x00\\x00\\x00'$/;" v +ZERO adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ZERO = array([0,0,0,0,0,0,0,0,0], float)$/;" v +zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^zero = zeros = Zeros$/;" v +ZERO adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/unconnected_gradients.py /^ ZERO = "zero"$/;" v class:UnconnectedGradients +ZeroInit adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def ZeroInit(self):$/;" m class:CNNModelHelper +ZeroOrMore adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class ZeroOrMore(_MultipleMatch):$/;" c +ZeroOrMore adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class ZeroOrMore(_MultipleMatch):$/;" c +ZeroOrMore adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class ZeroOrMore(_MultipleMatch):$/;" c +ZeroOrMore adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class ZeroOrMore(_MultipleMatch):$/;" c +ZeroPad2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class ZeroPad2d(ConstantPad2d):$/;" c +ZeroPadding1D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class ZeroPadding1D(Layer):$/;" c +ZeroPadding2D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class ZeroPadding2D(Layer):$/;" c +ZeroPadding3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^class ZeroPadding3D(Layer):$/;" c +ZeroPoint adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ZeroPoint(self, j):$/;" m class:QuantizationParameters +ZeroPointAsNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ZeroPointAsNumpy(self):$/;" m class:QuantizationParameters +ZeroPointIsNone adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ZeroPointIsNone(self):$/;" m class:QuantizationParameters +ZeroPointLength adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ZeroPointLength(self):$/;" m class:QuantizationParameters +zeros adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def zeros(xla_shape):$/;" f function:_remat_translation_rule file: +zeros adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def zeros(key, shape, dtype=jnp.float32): return jnp.zeros(shape, dtype)$/;" f +zeros adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def zeros(shape, dtype=None):$/;" f +zeros adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^zeros = _convert2ma('zeros', params=dict(fill_value=None, hardmask=False))$/;" v +zeros adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^def zeros(shape, dtype=None, order='C'):$/;" f +zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def zeros(self):$/;" m class:LinearTimeInvariant +zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def zeros(self):$/;" m class:ZerosPolesGain +zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def zeros(self, zeros):$/;" m class:ZerosPolesGain +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Zeros as zeros$/;" x +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros$/;" x +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros$/;" x +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from tensorflow.python.keras.initializers.initializers_v2 import Zeros as zeros$/;" x +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def zeros(shape, dtype=None, name=None):$/;" f +Zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^class Zeros(init_ops_v2.Zeros, Initializer):$/;" c +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def zeros(shape, dtype=dtypes.float32, name=None):$/;" f +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^zeros = init_ops.zeros_initializer$/;" v +Zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^class Zeros(Initializer):$/;" c +Zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class Zeros(Initializer):$/;" c +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def zeros(shape, dtype=float): # pylint: disable=redefined-outer-name$/;" f +zeros adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros$/;" x +zeros adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def zeros(g, sizes, dtype, layout, device, pin_memory=False):$/;" f +zeros adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def zeros(g, sizes, dtype, layout, device, pin_memory=False):$/;" f +ZeroSeries adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^class ZeroSeries(object): pass$/;" c +ZerosLike adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^def ZerosLike(op, index):$/;" f +ZerosLike adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^ZerosLike = tf_export("raw_ops.ZerosLike")(_ops.to_raw_op(zeros_like))$/;" v +ZerosLikeForExit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def ZerosLikeForExit(self, val):$/;" m class:_ControlFlowState +ZerosLikeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ZerosLikeOptions = 67$/;" v class:BuiltinOptions +ZerosLikeOptions adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ZerosLikeOptions(object):$/;" c +ZerosLikeOptionsBufferHasIdentifier adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def ZerosLikeOptionsBufferHasIdentifier(cls, buf, offset, size_prefixed=False):$/;" m class:ZerosLikeOptions +ZerosLikeOptionsEnd adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ZerosLikeOptionsEnd(builder): return builder.EndObject()$/;" f +ZerosLikeOptionsStart adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^def ZerosLikeOptionsStart(builder): builder.StartObject(0)$/;" f +ZerosLikeOptionsT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^class ZerosLikeOptionsT(object):$/;" c +ZerosLikeV1WhileLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def ZerosLikeV1WhileLoop(self, op, index):$/;" m class:_ControlFlowState +ZeroSpline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ class ZeroSpline(UnivariateSpline):$/;" c member:TestUnivariateSpline.test_subclassing file: +ZerosPolesGain adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class ZerosPolesGain(LinearTimeInvariant):$/;" c +ZerosPolesGainContinuous adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class ZerosPolesGainContinuous(ZerosPolesGain, lti):$/;" c +ZerosPolesGainDiscrete adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^class ZerosPolesGainDiscrete(ZerosPolesGain, dlti):$/;" c +zeros_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def zeros_(tensor):$/;" f +zeros_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def zeros_cache(self):$/;" m class:Context +zeros_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def zeros_cache(self):$/;" m class:_TensorCaches +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^zeros_initializer = Zeros$/;" v +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^zeros_initializer = Zeros$/;" v +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.ops.init_ops import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.init_ops_v2 import Zeros as zeros_initializer$/;" x +zeros_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.init_ops_v2 import Zeros as zeros_initializer$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def zeros_like(a, dtype=None, shape=None):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def zeros_like(a, dtype=None, order='K', subok=True, shape=None):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^zeros_like = np.zeros_like$/;" v +ZEROS_LIKE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ ZEROS_LIKE = 93$/;" v class:BuiltinOperator +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def zeros_like(x, dtype=None, name=None):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def zeros_like(tensor, dtype=None, name=None, optimize=True):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/default_gradient.py /^def zeros_like(t):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def zeros_like(x, name=None):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def zeros_like(a, dtype=None): # pylint: disable=missing-docstring$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import zeros_like_v2 as zeros_like$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import zeros_like_v2 as zeros_like$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.ops.array_ops import zeros_like_v2 as zeros_like$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.ops.array_ops import zeros_like_v2 as zeros_like$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.ops.array_ops import zeros_like_v2 as zeros_like$/;" x +zeros_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def zeros_like(g, input, dtype, layout, device, pin_memory=False, memory_format=None):$/;" f +zeros_like adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def zeros_like(g, input, dtype=None, layout=None, device=None, pin_memory=False, memory_format=N/;" f +zeros_like_array adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^def zeros_like_array(x):$/;" f +zeros_like_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def zeros_like_array(x):$/;" f +zeros_like_aval adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def zeros_like_aval(aval):$/;" f +zeros_like_batched adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def zeros_like_batched(batched_args, batch_dims):$/;" f +zeros_like_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def zeros_like_eager_fallback(x, name, ctx):$/;" f +zeros_like_float0 adpepsenv/lib/python3.8/site-packages/jax/core.py /^def zeros_like_float0(array, dtype=None):$/;" f +zeros_like_impl adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def zeros_like_impl(example):$/;" f +zeros_like_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def zeros_like_impl(tensor, dtype, name, optimize=True):$/;" f +zeros_like_jaxval adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def zeros_like_jaxval(val):$/;" f +zeros_like_p adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^zeros_like_p = Primitive('zeros_like')$/;" v +zeros_like_shaped_array adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^def zeros_like_shaped_array(aval):$/;" f +zeros_like_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def zeros_like_translation_rule(c, x):$/;" f +zeros_like_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def zeros_like_v2($/;" f +ZeroTerm adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^class ZeroTerm(object): pass$/;" c +zero_Celsius adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^zero_Celsius = 273.15$/;" v +ZERO_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ ZERO_CHAR = ord('0')$/;" v class:TimeEncoderMixIn +zero_ext adpepsenv/lib/python3.8/site-packages/scipy/signal/_arraytools.py /^def zero_ext(x, n, axis=-1):$/;" f +zero_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^ def zero_fill(blob):$/;" f function:create_predict_init_net file: +zero_fraction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def zero_fraction(value, name=None):$/;" f +zero_grad adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def zero_grad(self) -> None:$/;" m class:_RemoteModule +zero_grad adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def zero_grad(self, set_to_none: bool = False) -> None:$/;" m class:Module +zero_grad adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def zero_grad(self, set_to_none: bool = False):$/;" m class:Optimizer +zero_gradients adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def zero_gradients(x):$/;" f +zero_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def zero_jvp(primitive, primals, tangents, **params):$/;" f +zero_prop adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def zero_prop(prim, primals_in, series_in, **params):$/;" f +zero_series adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^zero_series = ZeroSeries()$/;" v +zero_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def zero_state(self, batch_size, dtype):$/;" m class:MultiRNNCell +zero_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def zero_state(self, batch_size, dtype):$/;" m class:RNNCell +zero_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def zero_state(self, batch_size, dtype):$/;" m class:DeviceWrapperBase +zero_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def zero_state(self, batch_size, dtype):$/;" m class:DropoutWrapperBase +zero_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def zero_state(self, batch_size, dtype):$/;" m class:ResidualWrapperBase +zero_term adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^zero_term = ZeroTerm()$/;" v +zeta adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def zeta(x, q=None):$/;" f +zeta adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def zeta(x, q=None, out=None):$/;" f +zeta adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^zeta = _broadcasting_binary_op(math_ops.zeta)$/;" v +zeta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def zeta(x, q, name=None):$/;" f +Zeta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^Zeta = tf_export("raw_ops.Zeta")(_ops.to_raw_op(zeta))$/;" v +zetac adpepsenv/lib/python3.8/site-packages/scipy/special/cython_special.pxd /^cpdef double zetac(double x0) nogil/;" f +zetac_series adpepsenv/lib/python3.8/site-packages/scipy/special/_precompute/zetac.py /^def zetac_series(N):$/;" f +zeta_ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def zeta_(x):$/;" f +zeta_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def zeta_eager_fallback(x, q, name, ctx):$/;" f +zetta adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^zetta = 1e21$/;" v +zfill adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def zfill(self, width):$/;" m class:chararray +zfill adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def zfill(a, width):$/;" f +zgbbrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbbrd(char *vect, int *m, int *n, int *ncc, int *kl, int *ku, z *ab, int *ldab, d *d,/;" f +zgbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbcon(char *norm, int *n, int *kl, int *ku, z *ab, int *ldab, int *ipiv, d *anorm, d /;" f +zgbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbequ(int *m, int *n, int *kl, int *ku, z *ab, int *ldab, d *r, d *c, d *rowcnd, d *c/;" f +zgbequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbequb(int *m, int *n, int *kl, int *ku, z *ab, int *ldab, d *r, d *c, d *rowcnd, d */;" f +zgbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zgbmv(char *trans, int *m, int *n, int *kl, int *ku, z *alpha, z *a, int *lda, z *x, i/;" f +zgbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbrfs(char *trans, int *n, int *kl, int *ku, int *nrhs, z *ab, int *ldab, z *afb, int/;" f +zgbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbsv(int *n, int *kl, int *ku, int *nrhs, z *ab, int *ldab, int *ipiv, z *b, int *ldb/;" f +zgbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbsvx(char *fact, char *trans, int *n, int *kl, int *ku, int *nrhs, z *ab, int *ldab,/;" f +zgbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbtf2(int *m, int *n, int *kl, int *ku, z *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +zgbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbtrf(int *m, int *n, int *kl, int *ku, z *ab, int *ldab, int *ipiv, int *info) nogil$/;" f +zgbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgbtrs(char *trans, int *n, int *kl, int *ku, int *nrhs, z *ab, int *ldab, int *ipiv, /;" f +zgebak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgebak(char *job, char *side, int *n, int *ilo, int *ihi, d *scale, int *m, z *v, int /;" f +zgebal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgebal(char *job, int *n, z *a, int *lda, int *ilo, int *ihi, d *scale, int *info) nog/;" f +zgebd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgebd2(int *m, int *n, z *a, int *lda, d *d, d *e, z *tauq, z *taup, z *work, int *inf/;" f +zgebrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgebrd(int *m, int *n, z *a, int *lda, d *d, d *e, z *tauq, z *taup, z *work, int *lwo/;" f +zgecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgecon(char *norm, int *n, z *a, int *lda, d *anorm, d *rcond, z *work, d *rwork, int /;" f +zgeequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeequ(int *m, int *n, z *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, int /;" f +zgeequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeequb(int *m, int *n, z *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, int/;" f +zgees adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgees(char *jobvs, char *sort, zselect1 *select, int *n, z *a, int *lda, int *sdim, z /;" f +zgeesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeesx(char *jobvs, char *sort, zselect1 *select, char *sense, int *n, z *a, int *lda,/;" f +zgeev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeev(char *jobvl, char *jobvr, int *n, z *a, int *lda, z *w, z *vl, int *ldvl, z *vr,/;" f +zgeevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, z *a, int *lda, z /;" f +zgegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^zgegv = _np.deprecate(zgegv, old_name='zgegv', message=_dep_message)$/;" v +zgehd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgehd2(int *n, int *ilo, int *ihi, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgehrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgehrd(int *n, int *ilo, int *ihi, z *a, int *lda, z *tau, z *work, int *lwork, int *i/;" f +zgelq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgelq2(int *m, int *n, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgelqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgelqf(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zgels adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgels(char *trans, int *m, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, z *work,/;" f +zgelsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgelsd(int *m, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, d *s, d *rcond, int /;" f +zgelss adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgelss(int *m, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, d *s, d *rcond, int /;" f +zgelsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgelsy(int *m, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, int *jpvt, d *rcond,/;" f +zgemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zgemm(char *transa, char *transb, int *m, int *n, int *k, z *alpha, z *a, int *lda, z /;" f +zgemqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgemqrt(char *side, char *trans, int *m, int *n, int *k, int *nb, z *v, int *ldv, z *t/;" f +zgemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zgemv(char *trans, int *m, int *n, z *alpha, z *a, int *lda, z *x, int *incx, z *beta,/;" f +zgeql2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeql2(int *m, int *n, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgeqlf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqlf(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zgeqp3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqp3(int *m, int *n, z *a, int *lda, int *jpvt, z *tau, z *work, int *lwork, d *rwor/;" f +zgeqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqr2(int *m, int *n, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgeqr2p adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqr2p(int *m, int *n, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgeqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqrf(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zgeqrfp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqrfp(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zgeqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqrt(int *m, int *n, int *nb, z *a, int *lda, z *t, int *ldt, z *work, int *info) no/;" f +zgeqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqrt2(int *m, int *n, z *a, int *lda, z *t, int *ldt, int *info) nogil$/;" f +zgeqrt3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgeqrt3(int *m, int *n, z *a, int *lda, z *t, int *ldt, int *info) nogil$/;" f +zgerc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zgerc(int *m, int *n, z *alpha, z *x, int *incx, z *y, int *incy, z *a, int *lda) nogi/;" f +zgerfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgerfs(char *trans, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, int *ipiv, z /;" f +zgerq2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgerq2(int *m, int *n, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zgerqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgerqf(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zgeru adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zgeru(int *m, int *n, z *alpha, z *x, int *incx, z *y, int *incy, z *a, int *lda) nogi/;" f +zgesc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgesc2(int *n, z *a, int *lda, z *rhs, int *ipiv, int *jpiv, d *scale) nogil$/;" f +zgesdd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgesdd(char *jobz, int *m, int *n, z *a, int *lda, d *s, z *u, int *ldu, z *vt, int *l/;" f +zgesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgesv(int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, int *info) nogil$/;" f +zgesvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgesvd(char *jobu, char *jobvt, int *m, int *n, z *a, int *lda, d *s, z *u, int *ldu, /;" f +zgesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgesvx(char *fact, char *trans, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, i/;" f +zgetc2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgetc2(int *n, z *a, int *lda, int *ipiv, int *jpiv, int *info) nogil$/;" f +zgetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgetf2(int *m, int *n, z *a, int *lda, int *ipiv, int *info) nogil$/;" f +zgetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgetrf(int *m, int *n, z *a, int *lda, int *ipiv, int *info) nogil$/;" f +zgetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgetri(int *n, z *a, int *lda, int *ipiv, z *work, int *lwork, int *info) nogil$/;" f +zgetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgetrs(char *trans, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, int /;" f +zggbak adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggbak(char *job, char *side, int *n, int *ilo, int *ihi, d *lscale, d *rscale, int *m/;" f +zggbal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggbal(char *job, int *n, z *a, int *lda, z *b, int *ldb, int *ilo, int *ihi, d *lscal/;" f +zgges adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgges(char *jobvsl, char *jobvsr, char *sort, zselect2 *selctg, int *n, z *a, int *lda/;" f +zggesx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggesx(char *jobvsl, char *jobvsr, char *sort, zselect2 *selctg, char *sense, int *n, /;" f +zggev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggev(char *jobvl, char *jobvr, int *n, z *a, int *lda, z *b, int *ldb, z *alpha, z *b/;" f +zggevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggevx(char *balanc, char *jobvl, char *jobvr, char *sense, int *n, z *a, int *lda, z /;" f +zggglm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggglm(int *n, int *m, int *p, z *a, int *lda, z *b, int *ldb, z *d, z *x, z *y, z *wo/;" f +zgghrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgghrd(char *compq, char *compz, int *n, int *ilo, int *ihi, z *a, int *lda, z *b, int/;" f +zgglse adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgglse(int *m, int *n, int *p, z *a, int *lda, z *b, int *ldb, z *c, z *d, z *x, z *wo/;" f +zggqrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggqrf(int *n, int *m, int *p, z *a, int *lda, z *taua, z *b, int *ldb, z *taub, z *wo/;" f +zggrqf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zggrqf(int *m, int *p, int *n, z *a, int *lda, z *taua, z *b, int *ldb, z *taub, z *wo/;" f +zgtcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgtcon(char *norm, int *n, z *dl, z *d, z *du, z *du2, int *ipiv, d *anorm, d *rcond, /;" f +zgtrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgtrfs(char *trans, int *n, int *nrhs, z *dl, z *d, z *du, z *dlf, z *df, z *duf, z *d/;" f +zgtsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgtsv(int *n, int *nrhs, z *dl, z *d, z *du, z *b, int *ldb, int *info) nogil$/;" f +zgtsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgtsvx(char *fact, char *trans, int *n, int *nrhs, z *dl, z *d, z *du, z *dlf, z *df, /;" f +zgttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgttrf(int *n, z *dl, z *d, z *du, z *du2, int *ipiv, int *info) nogil$/;" f +zgttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgttrs(char *trans, int *n, int *nrhs, z *dl, z *d, z *du, z *du2, int *ipiv, z *b, in/;" f +zgtts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zgtts2(int *itrans, int *n, int *nrhs, z *dl, z *d, z *du, z *du2, int *ipiv, z *b, in/;" f +zhbev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbev(char *jobz, char *uplo, int *n, int *kd, z *ab, int *ldab, d *w, z *z, int *ldz,/;" f +zhbevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbevd(char *jobz, char *uplo, int *n, int *kd, z *ab, int *ldab, d *w, z *z, int *ldz/;" f +zhbevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbevx(char *jobz, char *range, char *uplo, int *n, int *kd, z *ab, int *ldab, z *q, i/;" f +zhbgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbgst(char *vect, char *uplo, int *n, int *ka, int *kb, z *ab, int *ldab, z *bb, int /;" f +zhbgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbgv(char *jobz, char *uplo, int *n, int *ka, int *kb, z *ab, int *ldab, z *bb, int */;" f +zhbgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbgvd(char *jobz, char *uplo, int *n, int *ka, int *kb, z *ab, int *ldab, z *bb, int /;" f +zhbgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbgvx(char *jobz, char *range, char *uplo, int *n, int *ka, int *kb, z *ab, int *ldab/;" f +zhbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhbmv(char *uplo, int *n, int *k, z *alpha, z *a, int *lda, z *x, int *incx, z *beta, /;" f +zhbtrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhbtrd(char *vect, char *uplo, int *n, int *kd, z *ab, int *ldab, d *d, d *e, z *q, in/;" f +zhecon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhecon(char *uplo, int *n, z *a, int *lda, int *ipiv, d *anorm, d *rcond, z *work, int/;" f +zheequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheequb(char *uplo, int *n, z *a, int *lda, d *s, d *scond, d *amax, z *work, int *inf/;" f +zheev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheev(char *jobz, char *uplo, int *n, z *a, int *lda, d *w, z *work, int *lwork, d *rw/;" f +zheevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheevd(char *jobz, char *uplo, int *n, z *a, int *lda, d *w, z *work, int *lwork, d *r/;" f +zheevr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheevr(char *jobz, char *range, char *uplo, int *n, z *a, int *lda, d *vl, d *vu, int /;" f +zheevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheevx(char *jobz, char *range, char *uplo, int *n, z *a, int *lda, d *vl, d *vu, int /;" f +zhegs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhegs2(int *itype, char *uplo, int *n, z *a, int *lda, z *b, int *ldb, int *info) nogi/;" f +zhegst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhegst(int *itype, char *uplo, int *n, z *a, int *lda, z *b, int *ldb, int *info) nogi/;" f +zhegv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhegv(int *itype, char *jobz, char *uplo, int *n, z *a, int *lda, z *b, int *ldb, d *w/;" f +zhegvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhegvd(int *itype, char *jobz, char *uplo, int *n, z *a, int *lda, z *b, int *ldb, d */;" f +zhegvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhegvx(int *itype, char *jobz, char *range, char *uplo, int *n, z *a, int *lda, z *b, /;" f +zhemm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhemm(char *side, char *uplo, int *m, int *n, z *alpha, z *a, int *lda, z *b, int *ldb/;" f +zhemv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhemv(char *uplo, int *n, z *alpha, z *a, int *lda, z *x, int *incx, z *beta, z *y, in/;" f +zher adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zher(char *uplo, int *n, d *alpha, z *x, int *incx, z *a, int *lda) nogil$/;" f +zher2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zher2(char *uplo, int *n, z *alpha, z *x, int *incx, z *y, int *incy, z *a, int *lda) /;" f +zher2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zher2k(char *uplo, char *trans, int *n, int *k, z *alpha, z *a, int *lda, z *b, int *l/;" f +zherfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zherfs(char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, int *ipiv, z */;" f +zherk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zherk(char *uplo, char *trans, int *n, int *k, d *alpha, z *a, int *lda, d *beta, z *c/;" f +zhesv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhesv(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, z *wor/;" f +zhesvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhesvx(char *fact, char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, in/;" f +zheswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zheswapr(char *uplo, int *n, z *a, int *lda, int *i1, int *i2) nogil$/;" f +zhetd2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetd2(char *uplo, int *n, z *a, int *lda, d *d, d *e, z *tau, int *info) nogil$/;" f +zhetf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetf2(char *uplo, int *n, z *a, int *lda, int *ipiv, int *info) nogil$/;" f +zhetrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetrd(char *uplo, int *n, z *a, int *lda, d *d, d *e, z *tau, z *work, int *lwork, in/;" f +zhetrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetrf(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *lwork, int *info) /;" f +zhetri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetri(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *info) nogil$/;" f +zhetri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetri2(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *lwork, int *info)/;" f +zhetri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetri2x(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *nb, int *info) n/;" f +zhetrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetrs(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, int */;" f +zhetrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhetrs2(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, z *w/;" f +zhfrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhfrk(char *transr, char *uplo, char *trans, int *n, int *k, d *alpha, z *a, int *lda,/;" f +zhgeqz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhgeqz(char *job, char *compq, char *compz, int *n, int *ilo, int *ihi, z *h, int *ldh/;" f +zhpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpcon(char *uplo, int *n, z *ap, int *ipiv, d *anorm, d *rcond, z *work, int *info) n/;" f +zhpev adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpev(char *jobz, char *uplo, int *n, z *ap, d *w, z *z, int *ldz, z *work, d *rwork, /;" f +zhpevd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpevd(char *jobz, char *uplo, int *n, z *ap, d *w, z *z, int *ldz, z *work, int *lwor/;" f +zhpevx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpevx(char *jobz, char *range, char *uplo, int *n, z *ap, d *vl, d *vu, int *il, int /;" f +zhpgst adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpgst(int *itype, char *uplo, int *n, z *ap, z *bp, int *info) nogil$/;" f +zhpgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpgv(int *itype, char *jobz, char *uplo, int *n, z *ap, z *bp, d *w, z *z, int *ldz, /;" f +zhpgvd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpgvd(int *itype, char *jobz, char *uplo, int *n, z *ap, z *bp, d *w, z *z, int *ldz,/;" f +zhpgvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpgvx(int *itype, char *jobz, char *range, char *uplo, int *n, z *ap, z *bp, d *vl, d/;" f +zhpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhpmv(char *uplo, int *n, z *alpha, z *ap, z *x, int *incx, z *beta, z *y, int *incy) /;" f +zhpr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhpr(char *uplo, int *n, d *alpha, z *x, int *incx, z *ap) nogil$/;" f +zhpr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zhpr2(char *uplo, int *n, z *alpha, z *x, int *incx, z *y, int *incy, z *ap) nogil$/;" f +zhprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhprfs(char *uplo, int *n, int *nrhs, z *ap, z *afp, int *ipiv, z *b, int *ldb, z *x, /;" f +zhpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpsv(char *uplo, int *n, int *nrhs, z *ap, int *ipiv, z *b, int *ldb, int *info) nogi/;" f +zhpsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhpsvx(char *fact, char *uplo, int *n, int *nrhs, z *ap, z *afp, int *ipiv, z *b, int /;" f +zhptrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhptrd(char *uplo, int *n, z *ap, d *d, d *e, z *tau, int *info) nogil$/;" f +zhptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhptrf(char *uplo, int *n, z *ap, int *ipiv, int *info) nogil$/;" f +zhptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhptri(char *uplo, int *n, z *ap, int *ipiv, z *work, int *info) nogil$/;" f +zhptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhptrs(char *uplo, int *n, int *nrhs, z *ap, int *ipiv, z *b, int *ldb, int *info) nog/;" f +zhsein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhsein(char *side, char *eigsrc, char *initv, bint *select, int *n, z *h, int *ldh, z /;" f +zhseqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zhseqr(char *job, char *compz, int *n, int *ilo, int *ihi, z *h, int *ldh, z *w, z *z,/;" f +ZigZagDecode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def ZigZagDecode(value):$/;" f +ZigZagEncode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def ZigZagEncode(value):$/;" f +zigzag_index adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^zigzag_index = ($/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/api.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/core.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/flatten_util.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^zip, unsafe_zip = safe_zip, zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/jaxpr_util.py /^zip, unsafe_zip = util.safe_zip, zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/lazy.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^zip = safe_zip$/;" v +zip adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^from jax._src.util import safe_map as map, safe_zip as zip$/;" x +zip adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^from jax._src.util import safe_zip as zip$/;" x +zip adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ from itertools import izip as zip$/;" x +zip adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def zip(datasets):$/;" m class:DatasetV1 +zip adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def zip(datasets):$/;" m class:DatasetV2 +ZipBackedFile adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^class ZipBackedFile(object):$/;" c +ZipDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class ZipDataset(DatasetV2):$/;" c +ZipDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^ZipDataset = tf_export("raw_ops.ZipDataset")(_ops.to_raw_op(zip_dataset))$/;" v +ZipExtFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ZipExtFile(BaseZipExtFile):$/;" c +zipf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^zipf = zipf_gen(a=1, name='zipf', longname='A Zipf')$/;" v +ZipFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ class ZipFile(BaseZipFile):$/;" c +ZipFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ ZipFile = BaseZipFile$/;" v +zipfile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^ zipfile = None$/;" v +zipfile_factory adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def zipfile_factory(file, *args, **kwargs):$/;" f +zipf_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^class zipf_gen(rv_discrete):$/;" c +zipinfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def zipinfo(self):$/;" m class:ZipProvider +zipinfo adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def zipinfo(self):$/;" m class:ZipProvider +ZipManifests adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ZipManifests(dict):$/;" c +ZipManifests adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ZipManifests(dict):$/;" c +ZipProvider adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class ZipProvider(EggProvider):$/;" c +ZipProvider adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class ZipProvider(EggProvider):$/;" c +ZipResourceFinder adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^class ZipResourceFinder(ResourceFinder):$/;" c +zip_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def zip_(*iterables):$/;" f +ZIP_ARCHIVE adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^ ZIP_ARCHIVE = 2$/;" v class:ExportTypes +zip_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def zip_dataset(input_datasets, output_types, output_shapes, name=None):$/;" f +zip_dataset_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^def zip_dataset_eager_fallback(input_datasets, output_types, output_shapes, name, ctx):$/;" f +zip_descr adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^zip_descr = np.lib.recfunctions._zip_descr$/;" v +zip_dir adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def zip_dir(directory):$/;" f +zip_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_recfunctions.py /^zip_dtype = np.lib.recfunctions._zip_dtype$/;" v +ZIP_EXTENSIONS adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filetypes.py /^ZIP_EXTENSIONS = ('.zip', WHEEL_EXTENSION) # type: Tuple[str, ...]$/;" v +zip_item_is_executable adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/unpacking.py /^def zip_item_is_executable(info):$/;" f +zip_safe adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def zip_safe(self):$/;" m class:bdist_egg +zip_with adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def zip_with(fun, *args):$/;" f +zl adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^zl = xl + 1j * yl$/;" v +zlabrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlabrd(int *m, int *n, int *nb, z *a, int *lda, d *d, d *e, z *tauq, z *taup, z *x, in/;" f +zlacgv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacgv(int *n, z *x, int *incx) nogil$/;" f +zlacn2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacn2(int *n, z *v, z *x, d *est, int *kase, int *isave) nogil$/;" f +zlacon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacon(int *n, z *v, z *x, d *est, int *kase) nogil$/;" f +zlacp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacp2(char *uplo, int *m, int *n, d *a, int *lda, z *b, int *ldb) nogil$/;" f +zlacpy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacpy(char *uplo, int *m, int *n, z *a, int *lda, z *b, int *ldb) nogil$/;" f +zlacrm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacrm(int *m, int *n, z *a, int *lda, d *b, int *ldb, z *c, int *ldc, d *rwork) nogil$/;" f +zlacrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlacrt(int *n, z *cx, int *incx, z *cy, int *incy, z *c, z *s) nogil$/;" f +zladiv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef z zladiv(z *x, z *y) nogil$/;" f +zlaed0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaed0(int *qsiz, int *n, d *d, d *e, z *q, int *ldq, z *qstore, int *ldqs, d *rwork, /;" f +zlaed7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaed7(int *n, int *cutpnt, int *qsiz, int *tlvls, int *curlvl, int *curpbm, d *d, z */;" f +zlaed8 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaed8(int *k, int *n, int *qsiz, z *q, int *ldq, d *d, d *rho, int *cutpnt, d *z, d */;" f +zlaein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaein(bint *rightv, bint *noinit, int *n, z *h, int *ldh, z *w, z *v, z *b, int *ldb,/;" f +zlaesy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaesy(z *a, z *b, z *c, z *rt1, z *rt2, z *evscal, z *cs1, z *sn1) nogil$/;" f +zlaev2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaev2(z *a, z *b, z *c, d *rt1, d *rt2, d *cs1, z *sn1) nogil$/;" f +zlag2c adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlag2c(int *m, int *n, z *a, int *lda, c *sa, int *ldsa, int *info) nogil$/;" f +zlags2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlags2(bint *upper, d *a1, z *a2, d *a3, d *b1, z *b2, d *b3, d *csu, z *snu, d *csv, /;" f +zlagtm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlagtm(char *trans, int *n, int *nrhs, d *alpha, z *dl, z *d, z *du, z *x, int *ldx, d/;" f +zlahef adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlahef(char *uplo, int *n, int *nb, int *kb, z *a, int *lda, int *ipiv, z *w, int *ldw/;" f +zlahqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlahqr(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, z *h, int *ldh, z *w, int/;" f +zlahr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlahr2(int *n, int *k, int *nb, z *a, int *lda, z *tau, z *t, int *ldt, z *y, int *ldy/;" f +zlaic1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaic1(int *job, int *j, z *x, d *sest, z *w, z *gamma, d *sestpr, z *s, z *c) nogil$/;" f +zlals0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlals0(int *icompq, int *nl, int *nr, int *sqre, int *nrhs, z *b, int *ldb, z *bx, int/;" f +zlalsa adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlalsa(int *icompq, int *smlsiz, int *n, int *nrhs, z *b, int *ldb, z *bx, int *ldbx, /;" f +zlalsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlalsd(char *uplo, int *smlsiz, int *n, int *nrhs, d *d, d *e, z *b, int *ldb, d *rcon/;" f +zlangb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlangb(char *norm, int *n, int *kl, int *ku, z *ab, int *ldab, d *work) nogil$/;" f +zlange adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlange(char *norm, int *m, int *n, z *a, int *lda, d *work) nogil$/;" f +zlangt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlangt(char *norm, int *n, z *dl, z *d, z *du) nogil$/;" f +zlanhb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanhb(char *norm, char *uplo, int *n, int *k, z *ab, int *ldab, d *work) nogil$/;" f +zlanhe adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanhe(char *norm, char *uplo, int *n, z *a, int *lda, d *work) nogil$/;" f +zlanhf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanhf(char *norm, char *transr, char *uplo, int *n, z *a, d *work) nogil$/;" f +zlanhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanhp(char *norm, char *uplo, int *n, z *ap, d *work) nogil$/;" f +zlanhs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanhs(char *norm, int *n, z *a, int *lda, d *work) nogil$/;" f +zlanht adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlanht(char *norm, int *n, d *d, z *e) nogil$/;" f +zlansb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlansb(char *norm, char *uplo, int *n, int *k, z *ab, int *ldab, d *work) nogil$/;" f +zlansp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlansp(char *norm, char *uplo, int *n, z *ap, d *work) nogil$/;" f +zlansy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlansy(char *norm, char *uplo, int *n, z *a, int *lda, d *work) nogil$/;" f +zlantb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlantb(char *norm, char *uplo, char *diag, int *n, int *k, z *ab, int *ldab, d *work) nog/;" f +zlantp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlantp(char *norm, char *uplo, char *diag, int *n, z *ap, d *work) nogil$/;" f +zlantr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef d zlantr(char *norm, char *uplo, char *diag, int *m, int *n, z *a, int *lda, d *work) nogil$/;" f +zlapll adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlapll(int *n, z *x, int *incx, z *y, int *incy, d *ssmin) nogil$/;" f +zlapmr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlapmr(bint *forwrd, int *m, int *n, z *x, int *ldx, int *k) nogil$/;" f +zlapmt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlapmt(bint *forwrd, int *m, int *n, z *x, int *ldx, int *k) nogil$/;" f +zlaqgb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqgb(int *m, int *n, int *kl, int *ku, z *ab, int *ldab, d *r, d *c, d *rowcnd, d *c/;" f +zlaqge adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqge(int *m, int *n, z *a, int *lda, d *r, d *c, d *rowcnd, d *colcnd, d *amax, char/;" f +zlaqhb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqhb(char *uplo, int *n, int *kd, z *ab, int *ldab, d *s, d *scond, d *amax, char *e/;" f +zlaqhe adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqhe(char *uplo, int *n, z *a, int *lda, d *s, d *scond, d *amax, char *equed) nogil$/;" f +zlaqhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqhp(char *uplo, int *n, z *ap, d *s, d *scond, d *amax, char *equed) nogil$/;" f +zlaqp2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqp2(int *m, int *n, int *offset, z *a, int *lda, int *jpvt, z *tau, d *vn1, d *vn2,/;" f +zlaqps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqps(int *m, int *n, int *offset, int *nb, int *kb, z *a, int *lda, int *jpvt, z *ta/;" f +zlaqr0 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr0(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, z *h, int *ldh, z *w, int/;" f +zlaqr1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr1(int *n, z *h, int *ldh, z *s1, z *s2, z *v) nogil$/;" f +zlaqr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr2(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, z *h, int *ldh/;" f +zlaqr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr3(bint *wantt, bint *wantz, int *n, int *ktop, int *kbot, int *nw, z *h, int *ldh/;" f +zlaqr4 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr4(bint *wantt, bint *wantz, int *n, int *ilo, int *ihi, z *h, int *ldh, z *w, int/;" f +zlaqr5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqr5(bint *wantt, bint *wantz, int *kacc22, int *n, int *ktop, int *kbot, int *nshft/;" f +zlaqsb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqsb(char *uplo, int *n, int *kd, z *ab, int *ldab, d *s, d *scond, d *amax, char *e/;" f +zlaqsp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqsp(char *uplo, int *n, z *ap, d *s, d *scond, d *amax, char *equed) nogil$/;" f +zlaqsy adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaqsy(char *uplo, int *n, z *a, int *lda, d *s, d *scond, d *amax, char *equed) nogil$/;" f +zlar1v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlar1v(int *n, int *b1, int *bn, d *lambda_, d *d, d *l, d *ld, d *lld, d *pivmin, d */;" f +zlar2v adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlar2v(int *n, z *x, z *y, z *z, int *incx, d *c, z *s, int *incc) nogil$/;" f +zlarcm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarcm(int *m, int *n, d *a, int *lda, z *b, int *ldb, z *c, int *ldc, d *rwork) nogil$/;" f +zlarf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarf(char *side, int *m, int *n, z *v, int *incv, z *tau, z *c, int *ldc, z *work) no/;" f +zlarfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, z /;" f +zlarfg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarfg(int *n, z *alpha, z *x, int *incx, z *tau) nogil$/;" f +zlarfgp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarfgp(int *n, z *alpha, z *x, int *incx, z *tau) nogil$/;" f +zlarft adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarft(char *direct, char *storev, int *n, int *k, z *v, int *ldv, z *tau, z *t, int */;" f +zlarfx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarfx(char *side, int *m, int *n, z *v, z *tau, z *c, int *ldc, z *work) nogil$/;" f +zlargv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlargv(int *n, z *x, int *incx, z *y, int *incy, d *c, int *incc) nogil$/;" f +zlarnv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarnv(int *idist, int *iseed, int *n, z *x) nogil$/;" f +zlarrv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarrv(int *n, d *vl, d *vu, d *d, d *l, d *pivmin, int *isplit, int *m, int *dol, int/;" f +zlartg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlartg(z *f, z *g, d *cs, z *sn, z *r) nogil$/;" f +zlartv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlartv(int *n, z *x, int *incx, z *y, int *incy, d *c, z *s, int *incc) nogil$/;" f +zlarz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarz(char *side, int *m, int *n, int *l, z *v, int *incv, z *tau, z *c, int *ldc, z */;" f +zlarzb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarzb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +zlarzt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlarzt(char *direct, char *storev, int *n, int *k, z *v, int *ldv, z *tau, z *t, int */;" f +zlascl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlascl(char *type_bn, int *kl, int *ku, d *cfrom, d *cto, int *m, int *n, z *a, int *l/;" f +zlaset adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaset(char *uplo, int *m, int *n, z *alpha, z *beta, z *a, int *lda) nogil$/;" f +zlasr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlasr(char *side, char *pivot, char *direct, int *m, int *n, d *c, d *s, z *a, int *ld/;" f +zlassq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlassq(int *n, z *x, int *incx, d *scale, d *sumsq) nogil$/;" f +zlaswp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlaswp(int *n, z *a, int *lda, int *k1, int *k2, int *ipiv, int *incx) nogil$/;" f +zlasyf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlasyf(char *uplo, int *n, int *nb, int *kb, z *a, int *lda, int *ipiv, z *w, int *ldw/;" f +zlat2c adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlat2c(char *uplo, int *n, z *a, int *lda, c *sa, int *ldsa, int *info) nogil$/;" f +zlatbs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatbs(char *uplo, char *trans, char *diag, char *normin, int *n, int *kd, z *ab, int /;" f +zlatdf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatdf(int *ijob, int *n, z *z, int *ldz, z *rhs, d *rdsum, d *rdscal, int *ipiv, int /;" f +zlatps adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatps(char *uplo, char *trans, char *diag, char *normin, int *n, z *ap, z *x, d *scal/;" f +zlatrd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatrd(char *uplo, int *n, int *nb, z *a, int *lda, d *e, z *tau, z *w, int *ldw) nogi/;" f +zlatrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatrs(char *uplo, char *trans, char *diag, char *normin, int *n, z *a, int *lda, z *x/;" f +zlatrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlatrz(int *m, int *n, int *l, z *a, int *lda, z *tau, z *work) nogil$/;" f +zlauu2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlauu2(char *uplo, int *n, z *a, int *lda, int *info) nogil$/;" f +zlauum adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zlauum(char *uplo, int *n, z *a, int *lda, int *info) nogil$/;" f +ZLIB adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ ZLIB = 1$/;" v class:TFRecordCompressionType +zmap adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def zmap(scores, compare, axis=0, ddof=0):$/;" f +ZNAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ZNAUPD_ERRORS = DNAUPD_ERRORS.copy()$/;" v +ZNEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ZNEUPD_ERRORS = {0: "Normal exit.",$/;" v +ZOLL_ASAO adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ZOLL_ASAO = 0xA108$/;" v class:WAVE_FORMAT +ZONEFILENAME adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^ZONEFILENAME = "dateutil-zoneinfo.tar.gz"$/;" v +ZoneInfoFile adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^class ZoneInfoFile(object):$/;" c +ZONE_ID_PAT adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ZONE_ID_PAT = "(?:%25|%)(?:[" + UNRESERVED_PAT + "]|%[a-fA-F0-9]{2})+"$/;" v +ZONE_ID_PAT adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ZONE_ID_PAT = "(?:%25|%)(?:[" + UNRESERVED_PAT + "]|%[a-fA-F0-9]{2})+"$/;" v +ZONE_ID_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ZONE_ID_RE = re.compile("(" + ZONE_ID_PAT + r")\\]$")$/;" v +ZONE_ID_RE adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ZONE_ID_RE = re.compile("(" + ZONE_ID_PAT + r")\\]$")$/;" v +ZONE_JS_ROUTE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ZONE_JS_ROUTE = '\/zone.js'$/;" v +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def zoom(self, direction):$/;" m class:Axis +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def zoom(self, *args):$/;" m class:NavigationToolbar2GTK3 +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def zoom(self, *args):$/;" m class:NavigationToolbar2QT +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def zoom(self):$/;" m class:NavigationToolbar2WebAgg +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def zoom(self, *args):$/;" m class:NavigationToolbar2Wx +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def zoom(self, *args):$/;" m class:NavigationToolbar2Tk +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def zoom(self, *args):$/;" m class:NavigationToolbar2 +ZOOM adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ ZOOM = "zoom rect"$/;" v class:_Mode +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def zoom(self, direction):$/;" m class:RadialLocator +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def zoom(self, direction):$/;" m class:ThetaLocator +zoom adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def zoom(self, direction):$/;" m class:Locator +zoom adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def zoom(input, zoom, output=None, order=3, mode='constant', cval=0.0,$/;" f +zoomAxes adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ zoomAxes = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +zoomed_inset_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^def zoomed_inset_axes(parent_axes, zoom, loc='upper right',$/;" f +ZoomPanBase adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class ZoomPanBase(ToolToggleBase):$/;" c +zoomStartX adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ zoomStartX = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +zoomStartY adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ zoomStartY = cbook._deprecate_privatize_attribute("3.3")$/;" v class:NavigationToolbar2Wx +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ zorder = 0$/;" v class:Artist +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ zorder = 0$/;" v class:FigureImage +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ zorder = 0$/;" v class:_ImageBase +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ zorder = 5$/;" v class:Legend +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ zorder = 2$/;" v class:Line2D +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ zorder = 3$/;" v class:AnnotationBbox +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ zorder = 5 # zorder of the legend$/;" v class:AnchoredOffsetbox +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ zorder = 1$/;" v class:Patch +zorder adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ zorder = 3$/;" v class:Text +zorder adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ zorder = ZORDER = 2.5 # ZORDER is a backcompat alias.$/;" v class:AxisArtist +zpbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbcon(char *uplo, int *n, int *kd, z *ab, int *ldab, d *anorm, d *rcond, z *work, d */;" f +zpbequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbequ(char *uplo, int *n, int *kd, z *ab, int *ldab, d *s, d *scond, d *amax, int *in/;" f +zpbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbrfs(char *uplo, int *n, int *kd, int *nrhs, z *ab, int *ldab, z *afb, int *ldafb, z/;" f +zpbstf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbstf(char *uplo, int *n, int *kd, z *ab, int *ldab, int *info) nogil$/;" f +zpbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbsv(char *uplo, int *n, int *kd, int *nrhs, z *ab, int *ldab, z *b, int *ldb, int *i/;" f +zpbsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbsvx(char *fact, char *uplo, int *n, int *kd, int *nrhs, z *ab, int *ldab, z *afb, i/;" f +zpbtf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbtf2(char *uplo, int *n, int *kd, z *ab, int *ldab, int *info) nogil$/;" f +zpbtrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbtrf(char *uplo, int *n, int *kd, z *ab, int *ldab, int *info) nogil$/;" f +zpbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpbtrs(char *uplo, int *n, int *kd, int *nrhs, z *ab, int *ldab, z *b, int *ldb, int */;" f +zpftrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpftrf(char *transr, char *uplo, int *n, z *a, int *info) nogil$/;" f +zpftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpftri(char *transr, char *uplo, int *n, z *a, int *info) nogil$/;" f +zpftrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpftrs(char *transr, char *uplo, int *n, int *nrhs, z *a, z *b, int *ldb, int *info) n/;" f +zpk2sos adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def zpk2sos(z, p, k, pairing='nearest'):$/;" f +zpk2ss adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def zpk2ss(z, p, k):$/;" f +zpk2tf adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def zpk2tf(z, p, k):$/;" f +zpkfreqz adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def zpkfreqz(z, p, k, worN=None):$/;" f +zpocon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpocon(char *uplo, int *n, z *a, int *lda, d *anorm, d *rcond, z *work, d *rwork, int /;" f +zpoequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpoequ(int *n, z *a, int *lda, d *s, d *scond, d *amax, int *info) nogil$/;" f +zpoequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpoequb(int *n, z *a, int *lda, d *s, d *scond, d *amax, int *info) nogil$/;" f +zporfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zporfs(char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, z *b, int *ldb/;" f +zposv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zposv(char *uplo, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, int *info) nogil$/;" f +zposvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zposvx(char *fact, char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, ch/;" f +zpotf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpotf2(char *uplo, int *n, z *a, int *lda, int *info) nogil$/;" f +zpotrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpotrf(char *uplo, int *n, z *a, int *lda, int *info) nogil$/;" f +zpotri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpotri(char *uplo, int *n, z *a, int *lda, int *info) nogil$/;" f +zpotrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpotrs(char *uplo, int *n, int *nrhs, z *a, int *lda, z *b, int *ldb, int *info) nogil$/;" f +zppcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zppcon(char *uplo, int *n, z *ap, d *anorm, d *rcond, z *work, d *rwork, int *info) no/;" f +zppequ adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zppequ(char *uplo, int *n, z *ap, d *s, d *scond, d *amax, int *info) nogil$/;" f +zpprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpprfs(char *uplo, int *n, int *nrhs, z *ap, z *afp, z *b, int *ldb, z *x, int *ldx, d/;" f +zppsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zppsv(char *uplo, int *n, int *nrhs, z *ap, z *b, int *ldb, int *info) nogil$/;" f +zppsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zppsvx(char *fact, char *uplo, int *n, int *nrhs, z *ap, z *afp, char *equed, d *s, z /;" f +zpptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpptrf(char *uplo, int *n, z *ap, int *info) nogil$/;" f +zpptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpptri(char *uplo, int *n, z *ap, int *info) nogil$/;" f +zpptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpptrs(char *uplo, int *n, int *nrhs, z *ap, z *b, int *ldb, int *info) nogil$/;" f +zpstf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpstf2(char *uplo, int *n, z *a, int *lda, int *piv, int *rank, d *tol, d *work, int */;" f +zpstrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpstrf(char *uplo, int *n, z *a, int *lda, int *piv, int *rank, d *tol, d *work, int */;" f +zptcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zptcon(int *n, d *d, z *e, d *anorm, d *rcond, d *rwork, int *info) nogil$/;" f +zpteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpteqr(char *compz, int *n, d *d, d *e, z *z, int *ldz, d *work, int *info) nogil$/;" f +zptrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zptrfs(char *uplo, int *n, int *nrhs, d *d, z *e, d *df, z *ef, z *b, int *ldb, z *x, /;" f +zptsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zptsv(int *n, int *nrhs, d *d, z *e, z *b, int *ldb, int *info) nogil$/;" f +zptsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zptsvx(char *fact, int *n, int *nrhs, d *d, z *e, d *df, z *ef, z *b, int *ldb, z *x, /;" f +zpttrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpttrf(int *n, d *d, z *e, int *info) nogil$/;" f +zpttrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zpttrs(char *uplo, int *n, int *nrhs, d *d, z *e, z *b, int *ldb, int *info) nogil$/;" f +zptts2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zptts2(int *iuplo, int *n, int *nrhs, d *d, z *e, z *b, int *ldb) nogil$/;" f +zrot adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zrot(int *n, z *cx, int *incx, z *cy, int *incy, d *c, z *s) nogil$/;" f +zrotg adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zrotg(z *ca, z *cb, d *c, z *s) nogil$/;" f +zs adpepsenv/lib/python3.8/site-packages/numpy/ma/bench.py /^zs = xs + 1j * ys$/;" v +zscal adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zscal(int *n, z *za, z *zx, int *incx) nogil$/;" f +zscore adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def zscore(a, axis=0, ddof=0, nan_policy='propagate'):$/;" f +zspcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zspcon(char *uplo, int *n, z *ap, int *ipiv, d *anorm, d *rcond, z *work, int *info) n/;" f +zspmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zspmv(char *uplo, int *n, z *alpha, z *ap, z *x, int *incx, z *beta, z *y, int *incy) /;" f +zspr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zspr(char *uplo, int *n, z *alpha, z *x, int *incx, z *ap) nogil$/;" f +zsprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsprfs(char *uplo, int *n, int *nrhs, z *ap, z *afp, int *ipiv, z *b, int *ldb, z *x, /;" f +zspsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zspsv(char *uplo, int *n, int *nrhs, z *ap, int *ipiv, z *b, int *ldb, int *info) nogi/;" f +zspsvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zspsvx(char *fact, char *uplo, int *n, int *nrhs, z *ap, z *afp, int *ipiv, z *b, int /;" f +zsptrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsptrf(char *uplo, int *n, z *ap, int *ipiv, int *info) nogil$/;" f +zsptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsptri(char *uplo, int *n, z *ap, int *ipiv, z *work, int *info) nogil$/;" f +zsptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsptrs(char *uplo, int *n, int *nrhs, z *ap, int *ipiv, z *b, int *ldb, int *info) nog/;" f +zstedc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zstedc(char *compz, int *n, d *d, d *e, z *z, int *ldz, z *work, int *lwork, d *rwork,/;" f +zstegr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zstegr(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, d /;" f +zstein adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zstein(int *n, d *d, d *e, int *m, d *w, int *iblock, int *isplit, z *z, int *ldz, d */;" f +zstemr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zstemr(char *jobz, char *range, int *n, d *d, d *e, d *vl, d *vu, int *il, int *iu, in/;" f +zsteqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsteqr(char *compz, int *n, d *d, d *e, z *z, int *ldz, d *work, int *info) nogil$/;" f +zswap adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zswap(int *n, z *zx, int *incx, z *zy, int *incy) nogil$/;" f +zsycon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsycon(char *uplo, int *n, z *a, int *lda, int *ipiv, d *anorm, d *rcond, z *work, int/;" f +zsyconv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsyconv(char *uplo, char *way, int *n, z *a, int *lda, int *ipiv, z *work, int *info) /;" f +zsyequb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsyequb(char *uplo, int *n, z *a, int *lda, d *s, d *scond, d *amax, z *work, int *inf/;" f +zsymm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zsymm(char *side, char *uplo, int *m, int *n, z *alpha, z *a, int *lda, z *b, int *ldb/;" f +zsymv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsymv(char *uplo, int *n, z *alpha, z *a, int *lda, z *x, int *incx, z *beta, z *y, in/;" f +zsyr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsyr(char *uplo, int *n, z *alpha, z *x, int *incx, z *a, int *lda) nogil$/;" f +zsyr2k adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zsyr2k(char *uplo, char *trans, int *n, int *k, z *alpha, z *a, int *lda, z *b, int *l/;" f +zsyrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsyrfs(char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, int *ipiv, z */;" f +zsyrk adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void zsyrk(char *uplo, char *trans, int *n, int *k, z *alpha, z *a, int *lda, z *beta, z *c/;" f +zsysv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsysv(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, z *wor/;" f +zsysvx adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsysvx(char *fact, char *uplo, int *n, int *nrhs, z *a, int *lda, z *af, int *ldaf, in/;" f +zsyswapr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsyswapr(char *uplo, int *n, z *a, int *lda, int *i1, int *i2) nogil$/;" f +zsytf2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytf2(char *uplo, int *n, z *a, int *lda, int *ipiv, int *info) nogil$/;" f +zsytrf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytrf(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *lwork, int *info) /;" f +zsytri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytri(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *info) nogil$/;" f +zsytri2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytri2(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *lwork, int *info)/;" f +zsytri2x adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytri2x(char *uplo, int *n, z *a, int *lda, int *ipiv, z *work, int *nb, int *info) n/;" f +zsytrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytrs(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, int */;" f +zsytrs2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zsytrs2(char *uplo, int *n, int *nrhs, z *a, int *lda, int *ipiv, z *b, int *ldb, z *w/;" f +ztbcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztbcon(char *norm, char *uplo, char *diag, int *n, int *kd, z *ab, int *ldab, d *rcond/;" f +ztbmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztbmv(char *uplo, char *trans, char *diag, int *n, int *k, z *a, int *lda, z *x, int */;" f +ztbrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztbrfs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, z *ab, int *ld/;" f +ztbsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztbsv(char *uplo, char *trans, char *diag, int *n, int *k, z *a, int *lda, z *x, int */;" f +ztbtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztbtrs(char *uplo, char *trans, char *diag, int *n, int *kd, int *nrhs, z *ab, int *ld/;" f +ztfsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztfsm(char *transr, char *side, char *uplo, char *trans, char *diag, int *m, int *n, z/;" f +ztftri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztftri(char *transr, char *uplo, char *diag, int *n, z *a, int *info) nogil$/;" f +ztfttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztfttp(char *transr, char *uplo, int *n, z *arf, z *ap, int *info) nogil$/;" f +ztfttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztfttr(char *transr, char *uplo, int *n, z *arf, z *a, int *lda, int *info) nogil$/;" f +ztgevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgevc(char *side, char *howmny, bint *select, int *n, z *s, int *lds, z *p, int *ldp,/;" f +ztgex2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgex2(bint *wantq, bint *wantz, int *n, z *a, int *lda, z *b, int *ldb, z *q, int *ld/;" f +ztgexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgexc(bint *wantq, bint *wantz, int *n, z *a, int *lda, z *b, int *ldb, z *q, int *ld/;" f +ztgsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgsen(int *ijob, bint *wantq, bint *wantz, bint *select, int *n, z *a, int *lda, z *b/;" f +ztgsja adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgsja(char *jobu, char *jobv, char *jobq, int *m, int *p, int *n, int *k, int *l, z */;" f +ztgsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgsna(char *job, char *howmny, bint *select, int *n, z *a, int *lda, z *b, int *ldb, /;" f +ztgsy2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgsy2(char *trans, int *ijob, int *m, int *n, z *a, int *lda, z *b, int *ldb, z *c, i/;" f +ztgsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztgsyl(char *trans, int *ijob, int *m, int *n, z *a, int *lda, z *b, int *ldb, z *c, i/;" f +ztpcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpcon(char *norm, char *uplo, char *diag, int *n, z *ap, d *rcond, z *work, d *rwork,/;" f +ztpmqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpmqrt(char *side, char *trans, int *m, int *n, int *k, int *l, int *nb, z *v, int *l/;" f +ztpmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztpmv(char *uplo, char *trans, char *diag, int *n, z *ap, z *x, int *incx) nogil$/;" f +ztpqrt adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpqrt(int *m, int *n, int *l, int *nb, z *a, int *lda, z *b, int *ldb, z *t, int *ldt/;" f +ztpqrt2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpqrt2(int *m, int *n, int *l, z *a, int *lda, z *b, int *ldb, z *t, int *ldt, int *i/;" f +ztprfb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztprfb(char *side, char *trans, char *direct, char *storev, int *m, int *n, int *k, in/;" f +ztprfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztprfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, z *ap, z *b, int *ldb, /;" f +ztpsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztpsv(char *uplo, char *trans, char *diag, int *n, z *ap, z *x, int *incx) nogil$/;" f +ztptri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztptri(char *uplo, char *diag, int *n, z *ap, int *info) nogil$/;" f +ztptrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztptrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, z *ap, z *b, int *ldb, /;" f +ztpttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpttf(char *transr, char *uplo, int *n, z *ap, z *arf, int *info) nogil$/;" f +ztpttr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztpttr(char *uplo, int *n, z *ap, z *a, int *lda, int *info) nogil$/;" f +ztrcon adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrcon(char *norm, char *uplo, char *diag, int *n, z *a, int *lda, d *rcond, z *work, /;" f +ztrevc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrevc(char *side, char *howmny, bint *select, int *n, z *t, int *ldt, z *vl, int *ldv/;" f +ztrexc adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrexc(char *compq, int *n, z *t, int *ldt, z *q, int *ldq, int *ifst, int *ilst, int /;" f +ztrmm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztrmm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, z *alpha, z *a/;" f +ztrmv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztrmv(char *uplo, char *trans, char *diag, int *n, z *a, int *lda, z *x, int *incx) no/;" f +ztrrfs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrrfs(char *uplo, char *trans, char *diag, int *n, int *nrhs, z *a, int *lda, z *b, i/;" f +ztrsen adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrsen(char *job, char *compq, bint *select, int *n, z *t, int *ldt, z *q, int *ldq, z/;" f +ztrsm adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztrsm(char *side, char *uplo, char *transa, char *diag, int *m, int *n, z *alpha, z *a/;" f +ztrsna adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrsna(char *job, char *howmny, bint *select, int *n, z *t, int *ldt, z *vl, int *ldvl/;" f +ztrsv adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_blas.pxd /^cdef void ztrsv(char *uplo, char *trans, char *diag, int *n, z *a, int *lda, z *x, int *incx) no/;" f +ztrsyl adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrsyl(char *trana, char *tranb, int *isgn, int *m, int *n, z *a, int *lda, z *b, int /;" f +ztrti2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrti2(char *uplo, char *diag, int *n, z *a, int *lda, int *info) nogil$/;" f +ztrtri adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrtri(char *uplo, char *diag, int *n, z *a, int *lda, int *info) nogil$/;" f +ztrtrs adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrtrs(char *uplo, char *trans, char *diag, int *n, int *nrhs, z *a, int *lda, z *b, i/;" f +ztrttf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrttf(char *transr, char *uplo, int *n, z *a, int *lda, z *arf, int *info) nogil$/;" f +ztrttp adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztrttp(char *uplo, int *n, z *a, int *lda, z *ap, int *info) nogil$/;" f +ztzrzf adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void ztzrzf(int *m, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nogil$/;" f +zunbdb adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunbdb(char *trans, char *signs, int *m, int *p, int *q, z *x11, int *ldx11, z *x12, i/;" f +zuncsd adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zuncsd(char *jobu1, char *jobu2, char *jobv1t, char *jobv2t, char *trans, char *signs,/;" f +zung2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zung2l(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zung2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zung2r(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zungbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungbr(char *vect, int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *lwork/;" f +zunghr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunghr(int *n, int *ilo, int *ihi, z *a, int *lda, z *tau, z *work, int *lwork, int *i/;" f +zungl2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungl2(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zunglq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunglq(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *lwork, int *info)/;" f +zungql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungql(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *lwork, int *info)/;" f +zungqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungqr(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *lwork, int *info)/;" f +zungr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungr2(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *info) nogil$/;" f +zungrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungrq(int *m, int *n, int *k, z *a, int *lda, z *tau, z *work, int *lwork, int *info)/;" f +zungtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zungtr(char *uplo, int *n, z *a, int *lda, z *tau, z *work, int *lwork, int *info) nog/;" f +zunm2l adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunm2l(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunm2r adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunm2r(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmbr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmbr(char *vect, char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z /;" f +zunmhr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmhr(char *side, char *trans, int *m, int *n, int *ilo, int *ihi, z *a, int *lda, z /;" f +zunml2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunml2(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmlq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmlq(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmql adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmql(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmqr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmqr(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmr2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmr2(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmr3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmr3(char *side, char *trans, int *m, int *n, int *k, int *l, z *a, int *lda, z *tau/;" f +zunmrq adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmrq(char *side, char *trans, int *m, int *n, int *k, z *a, int *lda, z *tau, z *c, /;" f +zunmrz adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmrz(char *side, char *trans, int *m, int *n, int *k, int *l, z *a, int *lda, z *tau/;" f +zunmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zunmtr(char *side, char *uplo, char *trans, int *m, int *n, z *a, int *lda, z *tau, z /;" f +zupgtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zupgtr(char *uplo, int *n, z *ap, z *tau, z *q, int *ldq, z *work, int *info) nogil$/;" f +zupmtr adpepsenv/lib/python3.8/site-packages/scipy/linalg/cython_lapack.pxd /^cdef void zupmtr(char *side, char *uplo, char *trans, int *m, int *n, z *ap, z *tau, z *c, int */;" f +zvode adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^class zvode(vode):$/;" c +ZYXEL_ADPCM adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ ZYXEL_ADPCM = 0x0097$/;" v class:WAVE_FORMAT +Z_CHAR adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ Z_CHAR = ord('Z')$/;" v class:TimeEncoderMixIn +_ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/aten/gen_op.py /^args, _ = parser.parse_known_args()$/;" v +_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def _(array):$/;" f member:TestArrayFunctionImplementation.test_one_arg file: +_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def _(array):$/;" f member:TestNumPyFunctions.test_override_sum file: +_241_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_241_FIELDS = ('Metadata-Version', 'Name', 'Version', 'Platform',$/;" v +_314_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_314_FIELDS = ('Metadata-Version', 'Name', 'Version', 'Platform',$/;" v +_314_MARKERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_314_MARKERS = ('Obsoletes', 'Provides', 'Requires', 'Classifier',$/;" v +_32bit_integer_dense_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_32bit_integer_dense_example = '''\\$/;" v +_32bit_integer_sparse_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_32bit_integer_sparse_example = '''\\$/;" v +_32_BIT_INTERPRETER adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^_32_BIT_INTERPRETER = sys.maxsize <= 2 ** 32$/;" v +_32_BIT_INTERPRETER adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^_32_BIT_INTERPRETER = sys.maxsize <= 2 ** 32$/;" v +_32_BIT_INTERPRETER adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^_32_BIT_INTERPRETER = sys.maxsize <= 2 ** 32$/;" v +_32_BIT_INTERPRETER adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^_32_BIT_INTERPRETER = sys.maxsize <= 2 ** 32$/;" v +_345_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_345_FIELDS = ('Metadata-Version', 'Name', 'Version', 'Platform',$/;" v +_345_MARKERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_345_MARKERS = ('Provides-Dist', 'Requires-Dist', 'Requires-Python',$/;" v +_3COM_NBX adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^ _3COM_NBX = 0x7000 # Can't have leading digit$/;" v class:WAVE_FORMAT +_3d_extend_contour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _3d_extend_contour(self, cset, stride=5):$/;" m class:Axes3D +_426_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_426_FIELDS = ('Metadata-Version', 'Name', 'Version', 'Platform',$/;" v +_426_MARKERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_426_MARKERS = ('Private-Version', 'Provides-Extra', 'Obsoleted-By',$/;" v +_566_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_566_FIELDS = _426_FIELDS + ('Description-Content-Type',$/;" v +_566_MARKERS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_566_MARKERS = ('Description-Content-Type',)$/;" v +_5_smooth_numbers adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^_5_smooth_numbers = [$/;" v +_64bit_integer_dense_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_64bit_integer_dense_example = '''\\$/;" v +_64bit_integer_sparse_general_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_64bit_integer_sparse_general_example = '''\\$/;" v +_64bit_integer_sparse_skew_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_64bit_integer_sparse_skew_example = '''\\$/;" v +_64bit_integer_sparse_symmetric_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_64bit_integer_sparse_symmetric_example = '''\\$/;" v +_a adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ _a = ma.array([1, 2, 3], mask=[0, 0, 1], dtype=int)$/;" v class:TestMRecordsImport +_abc_ABC adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ _abc_ABC = abc.ABC$/;" v +_aberth adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _aberth(f, fp, x0, tol=1e-15, maxiter=50):$/;" f +_abi3_applies adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _abi3_applies(python_version):$/;" f +_abi3_applies adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _abi3_applies(python_version):$/;" f +_abort adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _abort(state, code, details):$/;" f +_abort adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _abort(state, call, code, details):$/;" f +_aborter adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^_aborter = Aborter()$/;" v +_abortion adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _abortion(rpc_error_call):$/;" f +_abortion_code adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _abortion_code(state, code):$/;" f +_abortion_error adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _abortion_error(rpc_error_call):$/;" f +_abs adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_abs = builtins.abs$/;" v +_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _abs(x, name=None):$/;" f +_abs2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _abs2(x):$/;" f +_AbsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AbsGrad(op, grad):$/;" f +_AbslProcess adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^class _AbslProcess:$/;" c +_ABSL_BASEDIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^_ABSL_BASEDIR = os.path.dirname(absl.__file__)$/;" v +_absl_handler adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_absl_handler = None$/;" v +_absl_logger adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_absl_logger = None$/;" v +_ABSL_LOG_FATAL adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_ABSL_LOG_FATAL = '_absl_log_fatal'$/;" v +_absmodule adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^ def _absmodule(self, module_name: str, level: int) -> str:$/;" m class:_ExtractModuleReferences +_absolute_col_offset adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def _absolute_col_offset(self, node):$/;" m class:OriginResolver +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_import adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from __future__ import absolute_import as _absolute_import$/;" x +_absolute_lineno adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def _absolute_lineno(self, node):$/;" m class:OriginResolver +_absolute_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _absolute_url(self, path):$/;" m class:HTTPConnectionPool +_absolute_url adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _absolute_url(self, path):$/;" m class:HTTPConnectionPool +_abstractify adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _abstractify(x):$/;" f +_abstractify adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _abstractify(x):$/;" f +_abstract_types adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_abstract_types = {}$/;" v +_abs_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _abs_eager_fallback(x, name, ctx):$/;" f +_abs_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _abs_jvp_rule(g, ans, x):$/;" f +_abs_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _abs_taylor_rule(x, series_in, **params):$/;" f +_accentprefixed adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _accentprefixed = (lambda am: [$/;" v class:Parser +_Accent_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Accent_data = ($/;" v +_accent_map adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _accent_map = {$/;" v class:Parser +_accept adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/McIdasImagePlugin.py /^def _accept(s):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^def _accept(prefix):$/;" f +_accept adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^def _accept(prefix):$/;" f +_ACCEPTABLE_CSV_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^_ACCEPTABLE_CSV_TYPES = (dtypes.float32, dtypes.float64, dtypes.int32,$/;" v +_accepted_compilers_for_platform adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _accepted_compilers_for_platform() -> List[str]:$/;" f +_accept_re adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_accept_re = re.compile($/;" v +_accept_trial adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _accept_trial(self, energy_trial, feasible_trial, cv_trial,$/;" m class:DifferentialEvolutionSolver +_access_check adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def _access_check(fn, mode):$/;" f function:which file: +_accumulate adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _accumulate(values):$/;" f +_accumulate adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _accumulate(iterable, fn=lambda x, y: x + y):$/;" f +_accumulate_n_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _accumulate_n_grad(op, grad):$/;" f +_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _accumulator(dtype, shape, shared_name):$/;" f +_AccumulatorEnsembleGrower adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _AccumulatorEnsembleGrower(_EnsembleGrower):$/;" c +_AccumulatorShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _AccumulatorShape(inputs):$/;" f +_ACCUMULATOR_COUNTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^_ACCUMULATOR_COUNTS_NAME = "counts"$/;" v +_ACCUMULATOR_COUNTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_ACCUMULATOR_COUNTS_NAME = "counts"$/;" v +_ACCUMULATOR_DOCUMENT_COUNTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_ACCUMULATOR_DOCUMENT_COUNTS = "document_counts"$/;" v +_ACCUMULATOR_NUM_DOCUMENTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_ACCUMULATOR_NUM_DOCUMENTS = "num_documents"$/;" v +_ACCUMULATOR_VOCAB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^_ACCUMULATOR_VOCAB_NAME = "vocab"$/;" v +_ACCUMULATOR_VOCAB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_ACCUMULATOR_VOCAB_NAME = "vocab"$/;" v +_accuracy_at_threshold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _accuracy_at_threshold(labels, predictions, weights, threshold, name=None):$/;" f +_accuracy_baseline adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _accuracy_baseline(labels_mean):$/;" f +_acc_func adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _acc_func(opname, x):$/;" f +_acd_resource_resolvers_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^_acd_resource_resolvers_registry = registry.Registry("acd_resource_resolvers")$/;" v +_AcosGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AcosGrad(op, grad):$/;" f +_AcoshGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AcoshGrad(op, grad):$/;" f +_AcquireFutures adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class _AcquireFutures(object):$/;" c +_active adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ _active = True$/;" v class:Widget +_active adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _active = False$/;" v class:AbstractSandbox +_active_pool_runners adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_active_pool_runners = weakref.WeakSet()$/;" v +_active_session_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ _active_session_count = 0 # GUARDED_BY(_count_lock)$/;" v class:InteractiveSession +_active_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^_active_trace = None$/;" v +_actual_eval adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _actual_eval(self,$/;" m class:Estimator +_actual_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def _actual_loop(self):$/;" m class:TestNetBuilder +_actual_train_model_distributed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _actual_train_model_distributed(self, strategy, input_fn, hooks,$/;" m class:Estimator +_ADADELTAPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_ADADELTAPARAMETERS = _descriptor.Descriptor($/;" v +_AdagradHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _AdagradHandler(_OptimizerHandler):$/;" c +_ADAGRADPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_ADAGRADPARAMETERS = _descriptor.Descriptor($/;" v +_AdamHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _AdamHandler(_OptimizerHandler):$/;" c +_ADAMPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_ADAMPARAMETERS = _descriptor.Descriptor($/;" v +_AdapterFunctionCode adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class _AdapterFunctionCode(CallableObjectProxy):$/;" c +_AdapterFunctionSurrogate adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class _AdapterFunctionSurrogate(CallableObjectProxy):$/;" c +_adaptive adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _adaptive(self):$/;" m class:_SDCAModel +_AdaptiveAvgPoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _AdaptiveAvgPoolNd(Module):$/;" c +_AdaptiveInputAuxiliaryStatistics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ class _AdaptiveInputAuxiliaryStatistics($/;" c class:InputStatisticsFromMiniBatch +_AdaptiveMaxPoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _AdaptiveMaxPoolNd(Module):$/;" c +_adaptive_max_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _adaptive_max_pool1d(input, output_size, return_indices=False):$/;" f +_adaptive_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _adaptive_max_pool2d(input, output_size, return_indices=False):$/;" f +_adaptive_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _adaptive_max_pool3d(input, output_size, return_indices=False):$/;" f +_adaptive_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _adaptive_pool(name, type, tuple_fn, fn=None):$/;" f +_adapt_stream_request_inline adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_stream_request_inline(stream_request_inline):$/;" f +_adapt_stream_stream_event adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_stream_stream_event(stream_stream_event):$/;" f +_adapt_stream_unary_event adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_stream_unary_event(stream_unary_event):$/;" f +_adapt_unary_request_inline adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_unary_request_inline(unary_request_inline):$/;" f +_adapt_unary_stream_event adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_unary_stream_event(unary_stream_event):$/;" f +_adapt_unary_unary_event adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _adapt_unary_unary_event(unary_unary_event):$/;" f +_add adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def _add(self, field_number, wire_type, data):$/;" m class:UnknownFieldSet +_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _add(x: TfVal, y: TfVal) -> TfVal:$/;" f +_add adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_add = partial(tree_multimap, operator.add)$/;" v +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _add = staticmethod(chebadd)$/;" v class:Chebyshev +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _add = staticmethod(hermadd)$/;" v class:Hermite +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _add = staticmethod(hermeadd)$/;" v class:HermiteE +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _add = staticmethod(lagadd)$/;" v class:Laguerre +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _add = staticmethod(legadd)$/;" v class:Legendre +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _add = staticmethod(polyadd)$/;" v class:Polynomial +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _add(c1, c2):$/;" f +_add adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _add(c1, c2):$/;" m class:ABCPolyBase +_add adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def _add(self, minres):$/;" m class:Storage +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def _add(*x):$/;" f function:tridiag file: +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def _add(self, op1, op2, operator_name, hints):$/;" m class:_AddAndReturnDiag +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def _add(self, op1, op2, operator_name, hints):$/;" m class:_AddAndReturnMatrix +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def _add(self, op1, op2, operator_name, hints):$/;" m class:_AddAndReturnScaledIdentity +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def _add(self, op1, op2, operator_name, hints):$/;" m class:_AddAndReturnTriL +_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def _add(self, op1, op2, operator_name, hints):$/;" m class:_Adder +_add2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _add2(x, y):$/;" f +_AddAndReturnDiag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _AddAndReturnDiag(_Adder):$/;" c +_AddAndReturnMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _AddAndReturnMatrix(_Adder):$/;" c +_AddAndReturnScaledIdentity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _AddAndReturnScaledIdentity(_Adder):$/;" c +_AddAndReturnTriL adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _AddAndReturnTriL(_Adder):$/;" c +_AddBarrierToModelNets adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AddBarrierToModelNets(model, barrier_net_timeout_sec):$/;" f +_AddByteSizeMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddByteSizeMethod(message_descriptor, cls):$/;" f +_AddClassAttributesForNestedExtensions adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddClassAttributesForNestedExtensions(descriptor, dictionary):$/;" f +_AddClearExtensionMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddClearExtensionMethod(cls):$/;" f +_AddClearFieldMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddClearFieldMethod(message_descriptor, cls):$/;" f +_AddDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _AddDescriptor(self, desc):$/;" m class:DescriptorPool +_AddDynamicMemoryOptimization adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AddDynamicMemoryOptimization(model, blobs_to_keep, devices):$/;" f +_added_new adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _added_new(self, dist):$/;" m class:WorkingSet +_added_new adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _added_new(self, dist):$/;" m class:WorkingSet +_AddEnumDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _AddEnumDescriptor(self, enum_desc):$/;" m class:DescriptorPool +_AddEnumValues adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddEnumValues(descriptor, cls):$/;" f +_AddEqualsMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddEqualsMethod(message_descriptor, cls):$/;" f +_Adder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _Adder(object):$/;" c +_addExpectedFailure adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def _addExpectedFailure(self, result, exc_info):$/;" m class:TestCase +_AddExtensionDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _AddExtensionDescriptor(self, extension):$/;" m class:DescriptorPool +_AddFieldPaths adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _AddFieldPaths(node, prefix, field_mask):$/;" f +_AddFile adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ def _AddFile(file_proto):$/;" f function:GetMessages file: +_AddFileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _AddFileDescriptor(self, file_desc):$/;" m class:DescriptorPool +_AddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AddGrad(op, grad):$/;" f +_AddGradientOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AddGradientOperators(devices, model, losses_by_gpu):$/;" f +_AddHasExtensionMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddHasExtensionMethod(cls):$/;" f +_AddHasFieldMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddHasFieldMethod(message_descriptor, cls):$/;" f +_addindent adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^def _addindent(s_, numSpaces):$/;" f +_AddInitMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddInitMethod(message_descriptor, cls):$/;" f +_AddIsInitializedMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddIsInitializedMethod(message_descriptor, cls):$/;" f +_AddListFieldsMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddListFieldsMethod(message_descriptor, cls):$/;" f +_AddMergeFromMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddMergeFromMethod(cls):$/;" f +_AddMergeFromStringMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddMergeFromStringMethod(message_descriptor, cls):$/;" f +_AddMessageMethods adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddMessageMethods(message_descriptor, cls):$/;" f +_AddNextAndBackEdge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _AddNextAndBackEdge(m, v, enforce_shape_invariant=True):$/;" f +_AddNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AddNGrad(op, grad):$/;" f +_AddOpInternal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _AddOpInternal(self, op):$/;" m class:CondContext +_AddOpInternal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _AddOpInternal(self, op):$/;" m class:WhileContext +_AddOpInternal adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _AddOpInternal(self, op):$/;" m class:_TPUInferenceContext +_addPatterns adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def _addPatterns(self, md, patterns, serie, priority):$/;" m class:SmartyExtension +_AddPrivateHelperMethods adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPrivateHelperMethods(message_descriptor, cls):$/;" f +_AddPropertiesForExtensions adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForExtensions(descriptor, cls):$/;" f +_AddPropertiesForField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForField(field, cls):$/;" f +_AddPropertiesForFields adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForFields(descriptor, cls):$/;" f +_AddPropertiesForNonRepeatedCompositeField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForNonRepeatedCompositeField(field, cls):$/;" f +_AddPropertiesForNonRepeatedScalarField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForNonRepeatedScalarField(field, cls):$/;" f +_AddPropertiesForRepeatedField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddPropertiesForRepeatedField(field, cls):$/;" f +_AddReprMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddReprMethod(message_descriptor, cls):$/;" f +_address adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _address(self):$/;" m class:DispatchServer +_address adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _address(self):$/;" m class:WorkerServer +_address_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _address_class(self):$/;" m class:_BaseNetwork +_address_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _address_class = IPv4Address$/;" v class:IPv4Network +_address_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _address_class = IPv6Address$/;" v class:IPv6Network +_AddRestoreOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _AddRestoreOps(self,$/;" m class:BaseSaverBuilder +_ADDR_RE adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^_ADDR_RE = re.compile(r'\\<([a-zA-Z0-9_\\-\\.]+) object at 0x[a-fA-F0-9]+\\>')$/;" v +_AddSaveOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _AddSaveOps(self, filename_tensor, saveables):$/;" m class:BaseSaverBuilder +_AddSerializePartialToStringMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddSerializePartialToStringMethod(message_descriptor, cls):$/;" f +_AddSerializeToStringMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddSerializeToStringMethod(message_descriptor, cls):$/;" f +_AddServiceDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _AddServiceDescriptor(self, service_desc):$/;" m class:DescriptorPool +_AddShardedRestoreOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _AddShardedRestoreOps(self, filename_tensor, per_device,$/;" m class:BaseSaverBuilder +_AddShardedSaveOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _AddShardedSaveOps(self, filename_tensor, per_device):$/;" m class:BaseSaverBuilder +_AddShardedSaveOpsForV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _AddShardedSaveOpsForV2(self, checkpoint_prefix, per_device):$/;" m class:BaseSaverBuilder +_addSkip adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def _addSkip(self, result, reason, test_case=None):$/;" m class:TestCase +_AddSlots adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddSlots(message_descriptor, dictionary):$/;" f +_AddStaticMethods adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddStaticMethods(cls):$/;" f +_addstr adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _addstr(self, *args):$/;" m class:CursesUI +_AddStrMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddStrMethod(message_descriptor, cls):$/;" f +_AddSymbol adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def _AddSymbol(self, name, file_desc_proto):$/;" m class:DescriptorDatabase +_AddTests adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def _AddTests(test_class):$/;" f +_AddTestsFor adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def _AddTestsFor(test_class, is_v2):$/;" f +_addUnexpectedSuccess adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def _addUnexpectedSuccess(self, result):$/;" m class:TestCase +_AddUnicodeMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddUnicodeMethod(unused_message_descriptor, cls):$/;" f +_AddWhichOneofMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AddWhichOneofMethod(message_descriptor, cls):$/;" f +_add_aliases adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _add_aliases():$/;" f +_add_args adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _add_args(f, extra_args):$/;" f +_add_args_ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _add_args_(extra_args, *args, **kwargs):$/;" f +_add_argument_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _add_argument_transformer(parent, node, full_name, name, logs,$/;" f +_add_array_type adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _add_array_type(typename, bits):$/;" f +_add_asset_to_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _add_asset_to_collection(asset_filename, asset_tensor):$/;" f +_add_asset_to_metagraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _add_asset_to_metagraph(meta_graph_def, asset_filename, asset_tensor):$/;" f +_add_attribute adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _add_attribute(node, key, value, aten):$/;" f +_add_attributes_to_object_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def _add_attributes_to_object_graph($/;" m class:ObjectGraphView +_add_axes_internal adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _add_axes_internal(self, key, ax):$/;" m class:Figure +_add_axis_labels_title adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _add_axis_labels_title(plot, xlabel, ylabel, title):$/;" f +_add_a_b adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^def _add_a_b(tests):$/;" f +_add_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _add_batch(shape):$/;" f member:Lambda.compute_output_shape file: +_add_batched_ragged_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^def _add_batched_ragged_partition(rt, partition, tensor_dict, feature_key,$/;" f +_add_bearer_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def _add_bearer_token(self, uri, http_method='GET', body=None,$/;" m class:Client +_add_blank_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _add_blank_line(s):$/;" f +_add_blob_to_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def _add_blob_to_queue(self, queue, data, blob, status):$/;" m class:BlobsQueueDBTest +_add_blob_to_queue adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def _add_blob_to_queue(self, queue, data, blob, status):$/;" m class:BlobsQueueDBTest +_add_block adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _add_block(node):$/;" f +_add_button adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _add_button(self, button, group, position):$/;" m class:ToolbarGTK3 +_add_checker adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def _add_checker(self, checker):$/;" m class:ScalarMappable +_add_children_recreated_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _add_children_recreated_from_config(self, obj, proto, node_id):$/;" m class:KerasObjectLoader +_add_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _add_collections(self, assets_collection, main_op, train_op):$/;" m class:SavedModelBuilder +_add_collection_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _add_collection_def(meta_graph_def, key, export_scope=None):$/;" m class:Saver +_add_command_to_history_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _add_command_to_history_file(self, command):$/;" m class:CommandHistory +_add_compile_flag adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def _add_compile_flag(self, extension, flag):$/;" m class:BuildExtension +_add_consumer adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_consumer(self, consumer):$/;" m class:_EagerTensorBase +_add_control_dependencies_to_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def _add_control_dependencies_to_lock(self, created_ops, lock_op):$/;" m class:CriticalSection +_add_control_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_control_input(self, op):$/;" m class:Operation +_add_control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_control_inputs(self, ops):$/;" m class:Operation +_add_conversion adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _add_conversion(self, old_output, new_output):$/;" m class:PFor +_add_data_doc adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _add_data_doc(docstring, replace_names):$/;" f +_add_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _add_data_files(self, data_files):$/;" m class:sdist +_add_data_for_ragged_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _add_data_for_ragged_tensor(self, tensor, weight, indices, values,$/;" m class:TPUEmbedding +_add_data_for_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _add_data_for_sparse_tensor(self, tensor, weight, indices, values,$/;" m class:TPUEmbedding +_add_data_for_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _add_data_for_tensor(self, tensor, weight, indices, values, weights,$/;" m class:TPUEmbedding +_add_decl adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def _add_decl(f):$/;" f function:check_ieee_macros file: +_add_defaults_c_libs adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_c_libs(self):$/;" m class:sdist_add_defaults +_add_defaults_c_libs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_c_libs(self):$/;" m class:sdist +_add_defaults_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_data_files(self):$/;" m class:sdist_add_defaults +_add_defaults_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _add_defaults_data_files(self):$/;" m class:sdist +_add_defaults_data_files adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_data_files(self):$/;" m class:sdist +_add_defaults_ext adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_ext(self):$/;" m class:sdist_add_defaults +_add_defaults_ext adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_ext(self):$/;" m class:sdist +_add_defaults_optional adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_optional(self):$/;" m class:sdist_add_defaults +_add_defaults_optional adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _add_defaults_optional(self):$/;" m class:sdist +_add_defaults_optional adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_optional(self):$/;" m class:sdist +_add_defaults_python adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_python(self):$/;" m class:sdist_add_defaults +_add_defaults_python adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _add_defaults_python(self):$/;" m class:sdist +_add_defaults_python adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_python(self):$/;" m class:sdist +_add_defaults_scripts adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_scripts(self):$/;" m class:sdist_add_defaults +_add_defaults_scripts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_scripts(self):$/;" m class:sdist +_add_defaults_standards adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _add_defaults_standards(self):$/;" m class:sdist_add_defaults +_add_defaults_standards adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _add_defaults_standards(self):$/;" m class:sdist +_add_default_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _add_default_callbacks(self, add_history, add_progbar):$/;" m class:CallbackList +_add_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _add_dense(self, other):$/;" m class:spmatrix +_add_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _add_dense(self, other):$/;" m class:bsr_matrix +_add_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _add_dense(self, other):$/;" m class:_cs_matrix +_add_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _add_dense(self, other):$/;" m class:coo_matrix +_add_deprecated_arg_notice_to_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _add_deprecated_arg_notice_to_docstring(doc, date, instructions,$/;" f +_add_deprecated_arg_value_notice_to_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _add_deprecated_arg_value_notice_to_docstring(doc, date, instructions,$/;" f +_add_deprecated_function_notice_to_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _add_deprecated_function_notice_to_docstring(doc, date, instructions):$/;" f +_add_device_to_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_device_to_stack(self, device_name_or_function, offset=0):$/;" m class:Graph +_add_disjoint_kwargs adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^def _add_disjoint_kwargs(d, **kwargs):$/;" f +_add_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _add_dispatch(x, y, name=None):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def _add_doc(func, doc):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def _add_doc(func, doc):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def _add_doc(func, doc):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def _add_doc(func, doc):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/six.py /^def _add_doc(func, doc):$/;" f +_add_doc adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def _add_doc(func, doc):$/;" f +_add_docstring adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def _add_docstring(obj, doc, warn_on_python):$/;" f +_add_dummy_mingwex_sym adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def _add_dummy_mingwex_sym(self, c_sources):$/;" m class:build_ext +_add_elements_to_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^def _add_elements_to_collection(elements, collection_list):$/;" f +_add_embedding_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _add_embedding_features(self, features, hook_dummy_table_variables):$/;" m class:_ModelFnWrapper +_add_ends adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _add_ends(self):$/;" m class:ColorbarBase +_add_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def _add_event(self, event, step):$/;" m class:FileWriter +_add_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def _add_event(self, event, step):$/;" m class:SummaryToEventTransformer +_add_event_internal adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _add_event_internal(self, run_name, event, value, metadata):$/;" m class:_ScalarBatchedRequestSender +_add_event_internal adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _add_event_internal(self, run_name, event, value, metadata):$/;" m class:_TensorBatchedRequestSender +_add_extern adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _add_extern(self, extern_name: str):$/;" m class:PackageImporter +_add_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_file adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _add_file(self, filename: str):$/;" m class:PackageImporter +_add_file_from_data adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def _add_file_from_data(self, key, value):$/;" m class:EnvironBuilder +_add_fixed_len_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_fixed_len_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_fixed_len_sequence_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_fixed_len_sequence_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _add_flops(graph, node):$/;" f +_add_fn adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_add_fn = tf.function(_add, autograph=False)$/;" v +_add_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_function(self, function):$/;" m class:Graph +_add_generic_handlers adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _add_generic_handlers(state, generic_handlers):$/;" f +_add_global_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _add_global_constants(self, init_net):$/;" m class:LayerModelHelper +_add_gnu_cpp_abi_flag adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def _add_gnu_cpp_abi_flag(self, extension):$/;" m class:BuildExtension +_add_grad adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _add_grad(self, step_size, update):$/;" m class:LBFGS +_add_gradient_scope adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _add_gradient_scope(shapes, track_blob_names, ops):$/;" f +_add_gradient_scope adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _add_gradient_scope(shapes, blob_name_tracker, ops):$/;" f +_add_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def _add_graph_def(self, graph_def, global_step=None):$/;" m class:SummaryToEventTransformer +_add_head_tail adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^ def _add_head_tail(self, pred_net, new_head, new_tail):$/;" m class:OnnxifiTransformTest +_add_head_tail adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def _add_head_tail(self, pred_net, new_head, new_tail):$/;" m class:TensorRTTransformTest +_add_hidden_layer_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _add_hidden_layer_summary(value, tag):$/;" f +_add_inc_data adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^def _add_inc_data(name, chunksize):$/;" f +_add_input adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def _add_input(self, path, angle, flow, length):$/;" m class:Sankey +_add_input_to_block adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _add_input_to_block(block):$/;" f +_add_insecure_port adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _add_insecure_port(state, address):$/;" f +_add_inset_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^def _add_inset_axes(parent_axes, inset_axes):$/;" f +_add_integer_aliases adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _add_integer_aliases():$/;" f +_add_inverse adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _add_inverse(r, x, y):$/;" f +_add_item_to_params adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _add_item_to_params(params, key, value):$/;" f +_add_iterator_ops_to_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _add_iterator_ops_to_collection(self,$/;" m class:DatasetSerializationTestBase +_add_jaxvals adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _add_jaxvals(xs, ys):$/;" f +_add_jump_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _add_jump_node(self, ast_node, guards):$/;" m class:GraphBuilder +_add_keepdims adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^def _add_keepdims(func):$/;" f +_add_key_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def _add_key_attr(op, name):$/;" f +_add_label adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _add_label(self, t, x, y, lev, cvalue):$/;" m class:ContourLabeler +_add_label_and_weight_to_parsing_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/parsing_utils.py /^def _add_label_and_weight_to_parsing_spec(parsing_spec,$/;" f +_add_layer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _add_layer(self, layer, layer_name):$/;" m class:_DNNModel +_add_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _add_layers(self, layers):$/;" m class:_LinearModel +_add_layer_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _add_layer_summary(value, tag):$/;" f +_add_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _add_library(self, name, sources, install_dir, build_info):$/;" m class:Configuration +_add_local_lr_multiplier adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _add_local_lr_multiplier(self, local_lr_multiplier, is_gpu_blob=False):$/;" m class:Optimizer +_add_loss_reduction_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _add_loss_reduction_transformer(parent, node, full_name, name, logs):$/;" f +_add_mac_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def _add_mac_token(self, uri, http_method='GET', body=None,$/;" m class:Client +_add_main_menu adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^def _add_main_menu(output,$/;" f +_add_many_sparse_to_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _add_many_sparse_to_tensors_map(sp_input,$/;" f +_add_max_iterations_hint adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _add_max_iterations_hint(opts, n):$/;" f +_add_meta_graph_for_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _add_meta_graph_for_mode(self,$/;" m class:Estimator +_add_meta_graph_for_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _add_meta_graph_for_mode(self,$/;" m class:TPUEstimator +_add_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_module adpepsenv/lib/python3.8/site-packages/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def _add_module(self, mod, *fullnames):$/;" m class:_SixMetaPathImporter +_add_monitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _add_monitor(self, monitor):$/;" m class:DebugDataReader +_add_net_to_dict adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _add_net_to_dict(net_dict, net):$/;" f +_add_new_centers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _add_new_centers(self):$/;" m class:_InitializeClustersOpFactory +_add_new_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _add_new_node(self, ast_node):$/;" m class:GraphBuilder +_add_new_tf_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_new_tf_operations(self, compute_devices=True):$/;" m class:Graph +_add_new_variable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def _add_new_variable(initial_value, var_name_v2, var_name_v1, var_map,$/;" f +_add_nnpack adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def _add_nnpack(self, net):$/;" m class:TestTransformations +_add_nodes_denylist adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _add_nodes_denylist(self):$/;" m class:TrtGraphConverter +_add_np_doc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _add_np_doc(doc, np_fun_name, np_f, link):$/;" f +_add_n_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _add_n_flops(graph, node):$/;" f +_add_object_graph_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _add_object_graph_edges(self, proto, node_id):$/;" m class:Loader +_add_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _add_offsets(self, segs):$/;" m class:LineCollection +_add_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_op(self, op, op_name):$/;" m class:Graph +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^ def _add_ops(self, net, is_test, out_blob=None):$/;" m class:BatchNormalization +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^ def _add_ops(self, net, is_test):$/;" m class:Dropout +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def _add_ops(self, net, params, version):$/;" m class:FC +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_without_bias.py /^ def _add_ops(self, net, params):$/;" m class:FCWithoutBias +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def _add_ops(self, net, features, iteration, params, version):$/;" m class:FCWithBootstrap +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def _add_ops(self, net, param_blobs):$/;" m class:SamplingTrainableMixin +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^ def _add_ops(self, net, ratio):$/;" m class:SparseDropoutWithReplacement +_add_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _add_ops(self, net, version='fp32', is_train=True):$/;" m class:SparseLookup +_add_ops_id_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _add_ops_id_list(self, net, version):$/;" m class:SparseLookup +_add_ops_id_score_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _add_ops_id_score_list(self, net, version):$/;" m class:SparseLookup +_add_ops_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def _add_ops_impl(self, net, enable_diagnose):$/;" m class:AdaptiveWeight +_add_opt_variable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def _add_opt_variable(opt_name_v2, var_name_v1, idx, suffix_v2, reader, var_map,$/;" f +_add_op_and_parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _add_op_and_parents(self, op):$/;" m class:_FuncGraph +_add_op_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _add_op_node(op, func, input_dict):$/;" f +_add_op_to_signature_def_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _add_op_to_signature_def_map(signature_def_map, op, key):$/;" f +_add_output adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def _add_output(self, path, angle, flow, length):$/;" m class:Sankey +_add_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_outputs(self, types, shapes):$/;" m class:Operation +_add_output_to_block adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _add_output_to_block(block, value):$/;" f +_add_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def _add_pad(self, x_min, x_max, y_min, y_max):$/;" m class:ExtremeFinderSimple +_add_padding_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^def _add_padding_ref($/;" f +_add_pending_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _add_pending_statement(self, stmt):$/;" m class:AnfTransformer +_add_ragged_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_ragged_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_ragged_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_ragged_key(self, key, value_type, split_type):$/;" m class:_ParseOpParams +_add_ragged_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^def _add_ragged_partition(values, partition, tensor_dict, row_splits_dtype,$/;" f +_add_replica_id_to_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _add_replica_id_to_graph(self):$/;" m class:TensorTracer +_add_saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def _add_saveable(saveables, seen_ops, saveable):$/;" f +_add_saveable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def _add_saveable_objects(self):$/;" m class:_SaveableView +_add_scope_exit_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def _add_scope_exit_callback(self, fn):$/;" m class:FuncGraph +_add_script_class adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _add_script_class(cls, name):$/;" f +_add_secure_port adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _add_secure_port(state, address, server_credentials):$/;" f +_add_separator adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _add_separator(self):$/;" m class:ToolbarGTK3 +_add_separator adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _add_separator(self):$/;" m class:ToolbarTk +_add_session adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _add_session(self, session, start_info, groups_by_name):$/;" m class:Handler +_add_sharing_cache adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def _add_sharing_cache(cache):$/;" f +_add_should_use_warning adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _add_should_use_warning(x, error_in_function=False, warn_in_eager=False):$/;" f +_add_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def _add_signature(self, name, concrete_function):$/;" m class:_SignatureMap +_add_single_target_ifneeded adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _add_single_target_ifneeded(g):$/;" f +_add_solids adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _add_solids(self, X, Y, C):$/;" m class:ColorbarBase +_add_solids adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _add_solids(self, X, Y, C):$/;" m class:ColorbarPatch +_add_solids adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _add_solids(self, X, Y, C):$/;" m class:ColorbarBase +_add_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _add_sparse(self, other):$/;" m class:spmatrix +_add_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _add_sparse(self, other):$/;" m class:_cs_matrix +_add_sparse_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_sparse_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_sparse_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_sparse_key(self, key, dtype):$/;" m class:_ParseOpParams +_add_sparse_to_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _add_sparse_to_tensors_map(sp_input,$/;" f +_add_state_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def _add_state_variable(self,$/;" m class:CombinerPreprocessingLayer +_add_subclass_info adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^def _add_subclass_info(inner, obj, base):$/;" f +_add_suffixes adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _add_suffixes(tensor_str, suffixes, indent, force_newline):$/;" f +_add_summary_recording_cond_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _add_summary_recording_cond_transformer(parent, node, full_name, name, logs,$/;" f +_add_summary_step_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _add_summary_step_transformer(parent, node, full_name, name, logs):$/;" f +_add_supported_value_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _add_supported_value_type(cls):$/;" f +_add_tensor_and_parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _add_tensor_and_parents(self, tensor):$/;" m class:_FuncGraph +_add_text adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _add_text(self, txt):$/;" m class:_AxesBase +_add_tf_shape adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _add_tf_shape(m, ints):$/;" f +_add_tf_shape adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _add_tf_shape(attr_dict, ints):$/;" f +_add_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _add_tool(self, tool):$/;" m class:SetCursorBase +_add_tool_cbk adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _add_tool_cbk(self, event):$/;" m class:SetCursorBase +_add_to_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _add_to_collections(var, weight_collections):$/;" f +_add_to_group adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _add_to_group(self, group, name, button, position):$/;" m class:ToolbarQt +_add_to_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _add_to_stack(x):$/;" f member:PFor._convert_helper file: +_add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _add_to_tensor(self, x):$/;" m class:LinearOperator +_add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _add_to_tensor(self, x):$/;" m class:LinearOperatorAdjoint +_add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _add_to_tensor(self, x):$/;" m class:LinearOperatorDiag +_add_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _add_trackable(self, trackable_object, trainable):$/;" m class:Layer +_add_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _add_trackable(self, trackable_object, trainable):$/;" m class:Layer +_add_trailing_padding adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _add_trailing_padding(value, padding):$/;" f +_add_train_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _add_train_op(self, train_op):$/;" m class:SavedModelBuilder +_add_transform adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _add_transform(params: Dict, name: str, *transform_params) -> Dict:$/;" f +_add_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _add_transpose(t, x, y):$/;" f +_add_types adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _add_types():$/;" f +_add_uniform_scaling_initializer_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _add_uniform_scaling_initializer_transformer($/;" f +_add_unique_metric_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _add_unique_metric_name(self, metric_name, output_index):$/;" m class:Model +_add_validator adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^def _add_validator(fv, validator_instance):$/;" f +_add_variable_with_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _add_variable_with_custom_getter(self,$/;" m class:_DelegatingTrackableMixin +_add_variable_with_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _add_variable_with_custom_getter(self,$/;" m class:Trackable +_add_varlen_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _add_varlen_feature(self, key, feature):$/;" m class:_ParseOpParams +_add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _add_weight(self, name, initial_value, dtype=None):$/;" m class:_DynamicLossScaleState +_add_weight adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def _add_weight(self, name, initial_value, dtype=None):$/;" m class:LossScale +_add_while_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _add_while_inputs(self, tensors):$/;" m class:Operation +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^ def _adjoint(self):$/;" m class:_MatrixM1PowerOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:IdentityOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:LinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:MatrixLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_AdjointMatrixOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_CustomLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_PowerLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_ProductLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_ScaledLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _adjoint(self):$/;" m class:_SumLinearOperator +_adjoint adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _adjoint(self):$/;" m class:TestAsLinearOperator.setup_method.make_cases.HasAdjoint +_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _adjoint(matrix, name=None):$/;" f +_AdjointLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _AdjointLinearOperator(LinearOperator):$/;" c +_AdjointMatrixOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _AdjointMatrixOperator(MatrixLinearOperator):$/;" c +_ADJOINTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^_ADJOINTS = {}$/;" v +_adjoint_adjoint_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_adjoint_linear_operator(linop):$/;" f +_adjoint_block_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_block_diag(block_diag_operator):$/;" f +_adjoint_circulant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_circulant(circulant_operator):$/;" f +_adjoint_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_diag(diag_operator):$/;" f +_adjoint_householder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_householder(householder_operator):$/;" f +_adjoint_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_identity(identity_operator):$/;" f +_adjoint_kronecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_kronecker(kronecker_operator):$/;" f +_adjoint_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_linear_operator(linop):$/;" f +_adjoint_registrations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import adjoint_registrations as _adjoint_registrations$/;" x +_adjoint_scaled_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/adjoint_registrations.py /^def _adjoint_scaled_identity(identity_operator):$/;" f +_AdjustDims adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _AdjustDims(op_def, arg_map, pads, dim1, dim2):$/;" f +_adjust_ampm adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _adjust_ampm(self, hour, ampm):$/;" m class:parser +_adjust_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def _adjust_block(p, ip, filters, block_id=None):$/;" f +_adjust_bounds adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^def _adjust_bounds(ax, points):$/;" f +_adjust_char_id adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _adjust_char_id(self, char_id):$/;" m class:RendererSVG +_adjust_frame_size adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _adjust_frame_size(self):$/;" m class:MovieWriter +_adjust_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _adjust_header(cls, type_, orig_header):$/;" m class:WindowsScriptWriter +_adjust_local adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _adjust_local(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_adjust_location adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def _adjust_location(self):$/;" m class:Spine +_adjust_location adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def _adjust_location(self):$/;" m class:SkewSpine +_adjust_min_max adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _adjust_min_max(self, combined_min, combined_max, upsample_rate):$/;" m class:HistogramObserver +_adjust_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _adjust_path(self, path):$/;" m class:ResourceFinder +_adjust_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _adjust_path(self, path):$/;" m class:ZipResourceFinder +_adjust_scheme_to_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _adjust_scheme_to_bounds(x0, h, num_steps, scheme, lb, ub):$/;" f +_adjust_step_size adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def _adjust_step_size(self):$/;" m class:AdaptiveStepsize +_ADVICEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_ADVICEPROTO = _descriptor.Descriptor($/;" v +_ADVICEPROTO_CHECKER adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_ADVICEPROTO_CHECKER = _descriptor.Descriptor($/;" v +_ADVICEPROTO_CHECKERSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_ADVICEPROTO_CHECKERSENTRY = _descriptor.Descriptor($/;" v +_ADVISOROPTIONSPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_ADVISOROPTIONSPROTO = _descriptor.Descriptor($/;" v +_ADVISOROPTIONSPROTO_CHECKEROPTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_ADVISOROPTIONSPROTO_CHECKEROPTION = _descriptor.Descriptor($/;" v +_ADVISOROPTIONSPROTO_CHECKEROPTION_OPTIONSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_ADVISOROPTIONSPROTO_CHECKEROPTION_OPTIONSENTRY = _descriptor.Descriptor($/;" v +_ADVISOROPTIONSPROTO_CHECKERSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_ADVISOROPTIONSPROTO_CHECKERSENTRY = _descriptor.Descriptor($/;" v +_afmhot_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_afmhot_data = {$/;" v +_afm_font_dir adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ _afm_font_dir = cbook._get_data_path("fonts\/pdfcorefonts")$/;" v class:RendererPdf +_afm_font_dir adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ _afm_font_dir = cbook._get_data_path("fonts\/afm")$/;" v class:RendererPS +_after_all_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _after_all_abstract_eval(*operands):$/;" f +_after_all_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _after_all_translation_rule(c, *operands):$/;" f +_after_fork adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def _after_fork(self):$/;" m class:SharedCache +_after_jpeg_open adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def _after_jpeg_open(self, mpheader=None):$/;" m class:MpoImageFile +_AFTER_SEQ adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_AFTER_SEQ = ['376', '334', '272', '230', '166', '124', '062', '020']$/;" v +_AggregatedGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _AggregatedGrads(grads,$/;" f +_aggregate_across_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _aggregate_across_replicas(metrics_collections, metric_value_fn, *args):$/;" f +_aggregate_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _aggregate_gradients(self, grads_and_vars):$/;" m class:LossScaleOptimizer +_aggregate_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _aggregate_gradients(self, grads_and_vars):$/;" m class:OptimizerV2 +_aggregate_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _aggregate_grads(gradients):$/;" f +_aggregate_metrics adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _aggregate_metrics(self, session_group):$/;" m class:Handler +_aggregate_predecessors_defined_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def _aggregate_predecessors_defined_in(self, node):$/;" m class:TreeAnnotator +_aggregate_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _aggregate_variable(v, collections):$/;" f +_AGGREGATIONTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_AGGREGATIONTYPE = _descriptor.EnumDescriptor($/;" v +_Ak adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def _Ak(k, x):$/;" f function:_psi1_mod file: +_alabel_prefix adpepsenv/lib/python3.8/site-packages/idna/core.py /^_alabel_prefix = b'xn--'$/;" v +_alabel_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^_alabel_prefix = b'xn--'$/;" v +_alen_dispathcer adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _alen_dispathcer(a):$/;" f +_alert_to_json adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^def _alert_to_json(alert):$/;" f +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3565.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5480.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6210.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6955.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7914.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8017.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithmIdentifierMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8419.py /^_algorithmIdentifierMapUpdate = {$/;" v +_algorithm_2_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def _algorithm_2_2(A, AT, t):$/;" f +_ALGORITHM_TO_VERIFIER_CLASS adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^_ALGORITHM_TO_VERIFIER_CLASS = {"RS256": crypt.RSAVerifier}$/;" v +_alias adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _alias(self, t):$/;" m class:Unparser +_aliases adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^_aliases = {$/;" v +_aliases adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_aliases = {}$/;" v +_align adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def _align(self, axis):$/;" m class:matrix +_aligned_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def _aligned_zeros(shape, dtype=float, order="C", align=None):$/;" f +_aligned_zeros adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def _aligned_zeros(shape, dtype=float, order="C", align=None):$/;" f +_alignment adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^_alignment = _typedict()$/;" v +_align_32 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _align_32(f):$/;" f +_align_matrices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/kernelized_utils.py /^def _align_matrices(x, y):$/;" f +_align_nums adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _align_nums(nums):$/;" f +_align_spines adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _align_spines(fig, gs):$/;" f +_all adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_all = builtins.all$/;" v +_all adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _all(self, other):$/;" m class:TestArrayPriority.Other +_all adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _all(a, axis=None, dtype=None, out=None, keepdims=False):$/;" f +_all adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _all(x, brack):$/;" f function:boxcox_normmax file: +_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _all(input, axis, keep_dims=False, name=None):$/;" f +_AllCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_AllCandidateSamplerOutput = collections.namedtuple($/;" v +_allclose_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _allclose_dispatcher(a, b, rtol=None, atol=None, equal_nan=None):$/;" f +_AllCompletedWaiter adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class _AllCompletedWaiter(_Waiter):$/;" c +_allocate_pids adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _allocate_pids(self):$/;" m class:Timeline +_ALLOCATIONDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^_ALLOCATIONDESCRIPTION = _descriptor.Descriptor($/;" v +_ALLOCATIONDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^_ALLOCATIONDESCRIPTION = _descriptor.Descriptor($/;" v +_ALLOCATIONRECORD adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_ALLOCATIONRECORD = _descriptor.Descriptor($/;" v +_ALLOCATIONRECORD adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_ALLOCATIONRECORD = _descriptor.Descriptor($/;" v +_ALLOCATORMEMORYUSED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_ALLOCATORMEMORYUSED = _descriptor.Descriptor($/;" v +_ALLOCATORMEMORYUSED adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_ALLOCATORMEMORYUSED = _descriptor.Descriptor($/;" v +_alloc_flow_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _alloc_flow_id(self):$/;" m class:Timeline +_alloc_pid adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _alloc_pid(self):$/;" m class:Timeline +_AllowedKeys adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ _AllowedKeys = ["left", "bottom", "right", "top", "wspace", "hspace"]$/;" v class:GridSpec +_ALLOWED_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^_ALLOWED_ATTRIBUTES = {$/;" v +_ALLOWED_DEVICES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^_ALLOWED_DEVICES = ['cpu', 'tpu_tensor_core', 'tpu_embedding_core']$/;" v +_ALLOWED_MIME_TYPES adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^_ALLOWED_MIME_TYPES = frozenset($/;" v +_allowed_strategies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ _allowed_strategies = {"eager", "only-if-needed", "to-satisfy-only"}$/;" v class:Resolver +_allowed_strategies adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^ _allowed_strategies = {"eager", "only-if-needed", "to-satisfy-only"}$/;" v class:Resolver +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^_allowed_symbols = [$/;" v +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^_allowed_symbols = [$/;" v +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/__init__.py /^_allowed_symbols = [$/;" v +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/__init__.py /^_allowed_symbols = [$/;" v +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler.py /^_allowed_symbols = [$/;" v +_allowed_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/__init__.py /^_allowed_symbols = ['Trace', 'Resource', 'Device', 'TraceEvent']$/;" v +_ALLOWED_TAGS adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^_ALLOWED_TAGS = [$/;" v +_ALLOWED_TOOL_ITEMS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^_ALLOWED_TOOL_ITEMS = {$/;" v +_allowed_to_proceed adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def _allowed_to_proceed(self, verbose):$/;" m class:UninstallPathSet +_ALLOWLIST_CACHE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^_ALLOWLIST_CACHE = cache.UnboundInstanceCache()$/;" v +_ALLOWLIST_STATELESS_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^_ALLOWLIST_STATELESS_OPS = [$/;" v +_ALLOWS_GZIP_PATTERN adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_ALLOWS_GZIP_PATTERN = re.compile($/;" v +_allow_float64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^_allow_float64 = True$/;" v +_allow_super_init adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^def _allow_super_init(__init__):$/;" f +_allow_variable_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _allow_variable_partition(self):$/;" m class:StrategyExtendedV2 +_allow_variable_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _allow_variable_partition(self):$/;" m class:ParameterServerStrategyExtended +_AllReduce adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AllReduce(devices, model, net, param, use_nccl=False, control_input=None):$/;" f +_AllReduceBlobs adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AllReduceBlobs(blob_names, devices, model, net, rendezvous, use_nccl,$/;" f +_AllReduceBlobsDistributed adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AllReduceBlobsDistributed($/;" f +_AllReduceBlobsSingleHost adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AllReduceBlobsSingleHost(blob_names, devices, model, net, use_nccl):$/;" f +_allreduce_soft_pmap_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _allreduce_soft_pmap_rule(prim, reducer, vals, mapped, chunk_size,$/;" f +_allreduce_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _allreduce_translation_rule(prim, c, *args, axis_name, axis_index_groups,$/;" f +_ALL_BINARY_OPERATORS adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^_ALL_BINARY_OPERATORS = [$/;" v +_all_chars adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^_all_chars = [chr(_m) for _m in range(256)]$/;" v +_all_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _all_classes(logits, n_classes, label_vocabulary=None):$/;" f +_all_class_ids adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _all_class_ids(logits, n_classes):$/;" f +_all_contexts_cleaned_up adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _all_contexts_cleaned_up(timeout_seconds=10):$/;" f +_ALL_DENYLISTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^_ALL_DENYLISTED_OPS = ($/;" v +_all_deprecated adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_all_deprecated = {*_deprecated_map, *_deprecated_ignore_map}$/;" v +_all_devices_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _all_devices_match(value_destination_pairs):$/;" f +_all_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _all_dimensions(x):$/;" f +_all_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _all_dispatcher(a, axis=None, out=None, keepdims=None):$/;" f +_all_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _all_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +_ALL_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_ALL_FIELDS = set()$/;" v +_all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def _all_gather(self, input_tensor, communication_hint='AUTO', timeout=0):$/;" m class:CollectiveReplicaLauncher +_all_gather adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _all_gather(obj, timeout=UNSET_RPC_TIMEOUT):$/;" f +_all_gather_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_abstract_eval(x, *, all_gather_dimension, axis_name, axis_index_groups, axis_siz/;" f +_all_gather_batched_collective adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_batched_collective(frame, vals_in, dims_in, all_gather_dimension, axis_name, axi/;" f +_all_gather_batcher adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_batcher(vals_in, dims_in, *, all_gather_dimension, axis_name, axis_index_groups,/;" f +_all_gather_dict_lock adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_all_gather_dict_lock = threading.RLock()$/;" v +_all_gather_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_impl(x, *, all_gather_dimension, axis_name, axis_index_groups, axis_size):$/;" f +_all_gather_sequence_id adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_all_gather_sequence_id = 0$/;" v +_all_gather_sequence_id_to_states adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_all_gather_sequence_id_to_states = collections.defaultdict(AllGatherStates)$/;" v +_all_gather_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_translation_rule(c, x, *, all_gather_dimension, axis_name, axis_index_groups, ax/;" f +_all_gather_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_transpose_rule(cts, x, *, all_gather_dimension, axis_name, axis_index_groups, ax/;" f +_all_gather_via_psum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_gather_via_psum(x, *, all_gather_dimension, axis_name, axis_index_groups, axis_size):$/;" f +_all_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _all_mean(value):$/;" f +_all_modes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^_all_modes = {$/;" v +_all_names_in_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _all_names_in_graph(graph):$/;" m class:Caffe2Backend +_all_names_in_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _all_names_in_net(net):$/;" m class:Caffe2Frontend +_ALL_ONES adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _ALL_ONES = (2 ** IPV4LENGTH) - 1$/;" v class:_BaseV4 +_ALL_ONES adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _ALL_ONES = (2 ** IPV6LENGTH) - 1$/;" v class:_BaseV6 +_all_packages adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def _all_packages(pkg_name):$/;" m class:install_lib +_all_parallel_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^_all_parallel_devices = weakref.WeakValueDictionary()$/;" v +_ALL_QINT_TYPES adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^_ALL_QINT_TYPES = ($/;" v +_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^ def _all_reduce():$/;" f function:_apply_all_reduce file: +_all_reduce_coalesced_max_test_cases adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _all_reduce_coalesced_max_test_cases(group_size):$/;" m class:DistributedTest._DistTestBase +_all_reduce_coalesced_min_test_cases adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _all_reduce_coalesced_min_test_cases(group_size):$/;" m class:DistributedTest._DistTestBase +_all_reduce_coalesced_product_test_cases adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _all_reduce_coalesced_product_test_cases(group_size):$/;" m class:DistributedTest._DistTestBase +_all_reduce_coalesced_sum_test_cases adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _all_reduce_coalesced_sum_test_cases(group_size):$/;" m class:DistributedTest._DistTestBase +_all_reduce_sum_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/utils.py /^def _all_reduce_sum_fn(distribution, grads_and_vars):$/;" f +_all_saveable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _all_saveable_objects(scope=None):$/;" f +_all_sharing_strategies adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^ _all_sharing_strategies = {'file_descriptor', 'file_system'}$/;" v +_all_sharing_strategies adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^ _all_sharing_strategies = {'file_system'}$/;" v +_all_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _all_sum(value):$/;" f +_all_sum_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _all_sum_grad(op, grad):$/;" f +_all_tasks adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def _all_tasks() -> Iterable[asyncio.Task]:$/;" f +_all_to_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _all_to_all(value, axis):$/;" f member:_TPUReplicaContext.all_gather file: +_all_to_all_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_abstract_eval(x, axis_name, split_axis, concat_axis, axis_index_groups):$/;" f +_all_to_all_batched_collective adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_batched_collective(frame, vals_in, dims_in,$/;" f +_all_to_all_batcher adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_batcher(vals_in, dims_in, *, axis_name, split_axis, concat_axis, axis_index_grou/;" f +_all_to_all_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def _all_to_all_grad(op, grad):$/;" f +_all_to_all_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_translation_rule(c, x, *, split_axis, concat_axis, axis_name,$/;" f +_all_to_all_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_transpose_rule(cts, x, axis_name, split_axis, concat_axis, axis_index_groups):$/;" f +_all_to_all_via_all_gather adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _all_to_all_via_all_gather(x, *, axis_name, split_axis, concat_axis, axis_index_groups):$/;" f +_all_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_all_types = _floating_types + _integral_types$/;" v +_all_types_and_complex adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_all_types_and_complex = _all_types + _complex_types$/;" v +_all_types_and_half adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_all_types_and_half = _all_types + (torch.half,)$/;" v +_all_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/__init__.py /^from tensorflow.python.util import all_util as _all_util$/;" x +_ALL_WORKER_NAMES adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_ALL_WORKER_NAMES = None$/;" v +_all__ adpepsenv/lib/python3.8/site-packages/torch/quantization/__init__.py /^_all__ = [$/;" v +_always_object adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _always_object(classes):$/;" f +_always_object adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _always_object(classes):$/;" f +_always_safe adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_always_safe = frozenset($/;" v +_always_true adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _always_true(_):$/;" f +_always_wrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _always_wrap(strategy):$/;" f +_amax adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _amax(a, axis=None, out=None, keepdims=False,$/;" f +_amax_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _amax_dispatcher(a, axis=None, out=None, keepdims=None, initial=None,$/;" f +_ambi_delim adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _ambi_delim = set("""$/;" v class:Parser +_amin adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _amin(a, axis=None, out=None, keepdims=False,$/;" f +_amin_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _amin_dispatcher(a, axis=None, out=None, keepdims=None, initial=None,$/;" f +_ampm_valid adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _ampm_valid(self, hour, ampm, fuzzy):$/;" m class:parser +_analytical_jacobian adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _analytical_jacobian(self, module, input: _TensorOrTensors, jacobian_input=True, jacobia/;" m class:NNTestCase +_analytical_solution adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _analytical_solution(a, y0, t):$/;" f +_AnalyzeOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _AnalyzeOperators(model):$/;" f +_analyze_einsum_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^def _analyze_einsum_string(equation, bias_axes, input_shape, output_shape):$/;" f +_analyze_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def _analyze_function(self, node, is_lambda):$/;" m class:TreeAnnotator +_analyze_split_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^def _analyze_split_string(split_string,$/;" f +_analyze_tab_complete_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def _analyze_tab_complete_input(self, text):$/;" m class:BaseUI +_analyze_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _analyze_tensors(self, show_memory):$/;" m class:Timeline +_anderson_ksamp_midrank adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _anderson_ksamp_midrank(samples, Z, Zstar, k, n, N):$/;" f +_anderson_ksamp_right adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _anderson_ksamp_right(samples, Z, Zstar, k, n, N):$/;" f +_AngleGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AngleGrad(op, grad):$/;" f +_angles_lengths adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _angles_lengths(self, U, V, eps=1):$/;" m class:Quiver +_angle_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _angle_dispatcher(z, deg=None):$/;" f +_angular_acceleration_nonlinear_term adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _angular_acceleration_nonlinear_term(rotvecs, rotvecs_dot):$/;" f +_angular_rate_to_rotvec_dot_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _angular_rate_to_rotvec_dot_matrix(rotvecs):$/;" f +_AnnAssign adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _AnnAssign(self, t):$/;" m class:Unparser +_annealing_cos adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _annealing_cos(self, start, end, pct):$/;" m class:OneCycleLR +_annealing_linear adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _annealing_linear(self, start, end, pct):$/;" m class:OneCycleLR +_AnnotatedAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _AnnotatedAlias(typing._GenericAlias, _root=True):$/;" c +_AnnotatedAlias adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _AnnotatedAlias = typing._AnnotatedAlias$/;" v +_annotate_ndarray_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def _annotate_ndarray_lines($/;" f +_AnnotationBase adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^class _AnnotationBase:$/;" c +_AnonymousIteratorV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^_AnonymousIteratorV2Output = collections.namedtuple($/;" v +_AnonymousMemoryCacheOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^_AnonymousMemoryCacheOutput = collections.namedtuple($/;" v +_AnonymousMultiDeviceIteratorOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^_AnonymousMultiDeviceIteratorOutput = collections.namedtuple($/;" v +_AnonymousRandomSeedGeneratorOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^_AnonymousRandomSeedGeneratorOutput = collections.namedtuple($/;" v +_AnonymousSeedGeneratorOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^_AnonymousSeedGeneratorOutput = collections.namedtuple($/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4043.py /^_anotherNameMapUpdate = {$/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^_anotherNameMapUpdate = {$/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4985.py /^_anotherNameMapUpdate = {$/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6120.py /^_anotherNameMapUpdate = {$/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7585.py /^_anotherNameMapUpdate = {$/;" v +_anotherNameMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8398.py /^_anotherNameMapUpdate = {$/;" v +_another_group_active adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def _another_group_active(self, group_id):$/;" m class:GroupLock +_antiderivative_inplace adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _antiderivative_inplace(self, nu, axis):$/;" m class:NdPPoly +_ANY adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^_ANY = _descriptor.Descriptor($/;" v +_any adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_any = _int | _float | _complex | _bool$/;" v +_any adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_any = builtins.any$/;" v +_any adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _any(a, axis=None, dtype=None, out=None, keepdims=False):$/;" f +_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _any(input, axis, keep_dims=False, name=None):$/;" f +_AnyFullTypeName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^_AnyFullTypeName = 'google.protobuf.Any'$/;" v +_AnyMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _AnyMessageToJsonObject(self, message):$/;" m class:_Printer +_any_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _any_dispatcher(a, axis=None, out=None, keepdims=None):$/;" f +_any_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _any_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +_ANY_FULL_TYPE_NAME adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_ANY_FULL_TYPE_NAME = 'google.protobuf.Any'$/;" v +_any_optimization_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _any_optimization_enabled(self):$/;" m class:QuantizationMode +_ANY_TO_TF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_ANY_TO_TF = {}$/;" v +_any_weight_initialized adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _any_weight_initialized(keras_model):$/;" f +_AOTCONFIG adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_AOTCONFIG = _descriptor.Descriptor($/;" v +_API adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^_API = _descriptor.Descriptor($/;" v +_APIDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEF = _descriptor.Descriptor($/;" v +_APIDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEF = _descriptor.Descriptor($/;" v +_APIDEFS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEFS = _descriptor.Descriptor($/;" v +_APIDEFS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEFS = _descriptor.Descriptor($/;" v +_APIDEF_ARG adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEF_ARG = _descriptor.Descriptor($/;" v +_APIDEF_ARG adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEF_ARG = _descriptor.Descriptor($/;" v +_APIDEF_ATTR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEF_ATTR = _descriptor.Descriptor($/;" v +_APIDEF_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEF_ATTR = _descriptor.Descriptor($/;" v +_APIDEF_ENDPOINT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEF_ENDPOINT = _descriptor.Descriptor($/;" v +_APIDEF_ENDPOINT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEF_ENDPOINT = _descriptor.Descriptor($/;" v +_APIDEF_VISIBILITY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_APIDEF_VISIBILITY = _descriptor.EnumDescriptor($/;" v +_APIDEF_VISIBILITY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_APIDEF_VISIBILITY = _descriptor.EnumDescriptor($/;" v +_APISERVER adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_APISERVER = _descriptor.Descriptor($/;" v +_APIStyle adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class _APIStyle(enum.IntEnum):$/;" c +_API_MODULE adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_API_MODULE = _sys.modules[__name__].bitwise$/;" v +_API_MODULE adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_API_MODULE = _sys.modules[__name__].bitwise$/;" v +_API_STYLE_ERROR adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_API_STYLE_ERROR = 'The iterator and read\/write APIs may not be mixed on a single RPC.'$/;" v +_api_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_api_usage_gauge = monitoring.BoolGauge($/;" v +_api_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^_api_usage_gauge = monitoring.BoolGauge($/;" v +_api_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_api_usage_gauge = monitoring.BoolGauge($/;" v +_api_version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _api_version = 0$/;" v +_api_version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _api_version = 2$/;" v +_api_version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _api_version = -1 # Unspecified by compiler flags.$/;" v +_api_version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _api_version = _api_implementation.api_version$/;" v +_API_VERSIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_API_VERSIONS = [1, 2]$/;" v +_appdirs adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/appdirs.py /^from pip._vendor import appdirs as _appdirs$/;" x +_AppendAutoGradGenerator adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _AppendAutoGradGenerator(self, y, grad, autograd_op):$/;" m class:IR +_AppendNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _AppendNets(nets_or_steps, *nets):$/;" f +_append_activity_regularizer_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _append_activity_regularizer_loss($/;" f +_append_contraction_marks adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _append_contraction_marks(Z, iv, i, n, contraction_marks):$/;" f +_append_contraction_marks_sub adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _append_contraction_marks_sub(Z, iv, i, n, contraction_marks):$/;" f +_append_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _append_dispatcher(arr, values, axis=None):$/;" f +_append_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _append_fields_dispatcher(base, names, data, dtypes=None,$/;" f +_append_nonsingleton_leaf_node adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _append_nonsingleton_leaf_node(Z, p, n, level, lvs, ivl, leaf_label_func,$/;" f +_append_params adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/parameters.py /^def _append_params(oauth_params, params):$/;" f +_append_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^def _append_path(ctx, path, transform, clip=None):$/;" f +_append_plugin_asset_directories adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _append_plugin_asset_directories(self, run_path_pairs):$/;" m class:ProjectorPlugin +_append_ragged_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor_utils.py /^def _append_ragged_tensor_value(target, to_append):$/;" f +_append_singleton_leaf_node adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _append_singleton_leaf_node(Z, p, n, level, lvs, ivl, leaf_label_func,$/;" f +_append_sparse_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor_utils.py /^def _append_sparse_tensor_value(target, to_append):$/;" f +_append_update_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _append_update_ops(train_op):$/;" f +_application_code adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _application_code(code):$/;" f +_apply adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def _apply(obj):$/;" f function:_parameter_decorator file: +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^ def _apply($/;" m class:GRUCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^ def _apply(self, model, input_t,$/;" m class:MulCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:AttentionCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:DropoutCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:LayerNormLSTMCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:LayerNormMILSTMCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:MILSTMCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:MultiRNNCell +_apply adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply($/;" m class:RNNCell +_apply adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def _apply(self, fn):$/;" m class:ModuleWrapper +_apply adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _apply(self, fn):$/;" m class:Module +_apply adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def _apply(self, fn):$/;" m class:RNNBase +_applyConstraints adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _applyConstraints(blockVectorV, factYBY, blockVectorBY, blockVectorY):$/;" f +_apply_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _apply_all_reduce(reduction, tensors):$/;" f +_apply_along_axis_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _apply_along_axis_dispatcher(func1d, axis, arr, *args, **kwargs):$/;" f +_apply_along_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _apply_along_fields_dispatcher(func, arr):$/;" f +_apply_assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _apply_assign_fn(self, assign_fn, value):$/;" m class:PartitionedVariable +_apply_assign_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _apply_assign_update(self,$/;" m class:AutoCastVariable +_apply_chunks adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^def _apply_chunks(function, chunk):$/;" f +_apply_conv_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _apply_conv_mode(ret, s1, s2, mode, axes):$/;" f +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _apply_dense(self, grad, var):$/;" m class:AdadeltaOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _apply_dense(self, grad, var):$/;" m class:AdagradOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _apply_dense(self, grad, var):$/;" m class:AdagradDAOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _apply_dense(self, grad, var):$/;" m class:AdamOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _apply_dense(self, grad, var):$/;" m class:MixedPrecisionLossScaleOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _apply_dense(self, grad, var):$/;" m class:FtrlOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def _apply_dense(self, grad, var):$/;" m class:GradientDescentOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _apply_dense(self, grad, var):$/;" m class:MomentumOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _apply_dense(self, grad, var):$/;" m class:Optimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _apply_dense(self, grad, var):$/;" m class:ProximalAdagradOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def _apply_dense(self, grad, var):$/;" m class:ProximalGradientDescentOptimizer +_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _apply_dense(self, grad, var):$/;" m class:RMSPropOptimizer +_apply_device_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _apply_device_functions(self, op):$/;" m class:Graph +_apply_dropout adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _apply_dropout(self, model, output):$/;" m class:DropoutCell +_apply_env_variables adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _apply_env_variables(env=None):$/;" f +_apply_excluded adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def _apply_excluded(func, excluded, args):$/;" f +_apply_fc_weight_for_sum_match adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def _apply_fc_weight_for_sum_match($/;" f +_apply_feature_transformations adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _apply_feature_transformations(features, feature_columns):$/;" f +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _apply_fn(dataset):$/;" f function:dense_to_ragged_batch file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _apply_fn(dataset):$/;" f function:dense_to_sparse_batch file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _apply_fn(dataset):$/;" f function:map_and_batch file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _apply_fn(dataset):$/;" f function:map_and_batch_with_legacy_function file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _apply_fn(dataset):$/;" f function:unbatch file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^ def _apply_fn(dataset):$/;" f function:assert_cardinality file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ def _apply_fn(dataset): # pylint: disable=missing-docstring$/;" f function:_distribute file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/enumerate_ops.py /^ def _apply_fn(dataset):$/;" f function:enumerate_dataset file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/error_ops.py /^ def _apply_fn(dataset):$/;" f function:ignore_errors file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _apply_fn(dataset):$/;" f function:bucket_by_sequence_length file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _apply_fn(dataset):$/;" f function:group_by_reducer file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _apply_fn(dataset):$/;" f function:group_by_window file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def _apply_fn(dataset):$/;" f function:parallel_interleave file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def _apply_fn(dataset):$/;" f function:model file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def _apply_fn(dataset):$/;" f function:optimize file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/parsing_ops.py /^ def _apply_fn(dataset):$/;" f function:parse_example_dataset file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _apply_fn(dataset):$/;" f function:copy_to_device file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _apply_fn(dataset):$/;" f function:map_on_gpu file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _apply_fn(dataset):$/;" f function:prefetch_to_device file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^ def _apply_fn(dataset):$/;" f function:rejection_resample file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^ def _apply_fn(dataset):$/;" f function:scan file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/shuffle_ops.py /^ def _apply_fn(dataset): # pylint: disable=missing-docstring$/;" f function:shuffle_and_repeat file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def _apply_fn(dataset):$/;" f function:legacy_snapshot file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def _apply_fn(dataset):$/;" f function:snapshot file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^ def _apply_fn(dataset):$/;" f function:bytes_produced_stats file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^ def _apply_fn(dataset):$/;" f function:latency_stats file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^ def _apply_fn(dataset):$/;" f function:set_stats_aggregator file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/take_while_ops.py /^ def _apply_fn(dataset):$/;" f function:take_while file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def _apply_fn(dataset):$/;" f function:assert_next file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def _apply_fn(dataset):$/;" f function:non_serializable file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def _apply_fn(dataset):$/;" f function:sleep file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/unique.py /^ def _apply_fn(dataset):$/;" f function:unique file: +_apply_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _apply_fn(o):$/;" f member:Conv.call file: +_apply_func adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _apply_func(x, g, func):$/;" f +_apply_givens_rotations adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _apply_givens_rotations(H_row, givens, k):$/;" f +_apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _apply_gradients(self, grads, wrapped_vars, name,$/;" m class:LossScaleOptimizer +_apply_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _apply_gradients(self, distribution, grads_and_vars, global_step, name):$/;" m class:MixedPrecisionLossScaleOptimizer +_apply_gradients_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _apply_gradients_cross_replica(self, distribution, grads_and_vars, name,$/;" m class:LossScaleOptimizer +_apply_mask_1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^ def _apply_mask_1d(reshaped_tensor, mask, axis=None):$/;" f function:boolean_mask file: +_apply_missing_value adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _apply_missing_value(data, missing_value):$/;" m class:netcdf_variable +_apply_norm_ratio adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _apply_norm_ratio($/;" m class:Seq2SeqModelCaffe2 +_apply_ops_h adpeps/ipeps/evaluation.py /^ def _apply_ops_h(A, B, ops):$/;" f function:filter_null_modes file: +_apply_ops_v adpeps/ipeps/evaluation.py /^ def _apply_ops_v(A, B, ops):$/;" f function:filter_null_modes file: +_apply_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _apply_options(self):$/;" m class:DatasetV2 +_apply_op_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _apply_op_helper(op_type_name, name=None, **keywords): # pylint: disable=invalid-name$/;" f +_apply_override adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def _apply_override(self, node):$/;" m class:ContextAdjuster +_apply_over_axes_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _apply_over_axes_dispatcher(func, a, axes):$/;" f +_apply_params adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _apply_params(self, **kw):$/;" m class:Tick +_apply_params adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _apply_params(self, **kw):$/;" m class:ThetaTick +_apply_pax_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _apply_pax_info(self, pax_headers, encoding, errors):$/;" m class:TarInfo +_apply_pivot adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_simplex.py /^def _apply_pivot(T, basis, pivrow, pivcol, tol=1e-9):$/;" f +_apply_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _apply_reduce(reduction, tensors):$/;" f +_apply_rotation adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _apply_rotation(point, degrees, centroid):$/;" f function:_compute_regular_polygon_vertices file: +_apply_scores adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def _apply_scores(self, scores, value, scores_mask=None, training=None):$/;" m class:BaseDenseAttention +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _apply_sparse(self, grad, var):$/;" m class:AdadeltaOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _apply_sparse(self, grad, var):$/;" m class:AdagradOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _apply_sparse(self, grad, var):$/;" m class:AdagradDAOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _apply_sparse(self, grad, var):$/;" m class:AdamOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _apply_sparse(self, grad, var):$/;" m class:MixedPrecisionLossScaleOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _apply_sparse(self, grad, var):$/;" m class:FtrlOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _apply_sparse(self, grad, var):$/;" m class:MomentumOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _apply_sparse(self, grad, var):$/;" m class:Optimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _apply_sparse(self, grad, var):$/;" m class:ProximalAdagradOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def _apply_sparse(self, grad, var):$/;" m class:ProximalGradientDescentOptimizer +_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _apply_sparse(self, grad, var):$/;" m class:RMSPropOptimizer +_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def _apply_sparse_duplicate_indices(self, grad, var):$/;" m class:GradientDescentOptimizer +_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _apply_sparse_duplicate_indices(self, grad, var):$/;" m class:Optimizer +_apply_sparse_shared adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _apply_sparse_shared(self, grad, var, indices, scatter_add):$/;" m class:AdamOptimizer +_apply_style adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def _apply_style(d, warn=True):$/;" f +_apply_todos adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _apply_todos(todos, outs):$/;" f +_apply_unary_to_chunks adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _apply_unary_to_chunks(f, chunks_by_dev):$/;" f +_apply_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _apply_update(self, update_fn, *args, **kwargs):$/;" m class:AutoCastVariable +_apply_window adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^def _apply_window(*args, **kwargs):$/;" f +_approx_text_height adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _approx_text_height(self):$/;" m class:Table +_APS_TESTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_APS_TESTS = [$/;" v +_APS_TESTS_DICTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_APS_TESTS_DICTS = [dict(zip(_APS_TESTS_KEYS, testcase)) for testcase in _APS_TESTS]$/;" v +_APS_TESTS_KEYS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_APS_TESTS_KEYS = ["f", "fprime", "fprime2", "args", "bracket", "smoothness", "x0", "root", "ID"/;" v +_arange_cast_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _arange_cast_helper(g, end, start=None, step=None, dtype=None):$/;" f +_arc adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def _arc(self, quadrant=0, cw=True, radius=1, center=(0, 0)):$/;" m class:Sankey +_ARCHIVE_FORMATS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^_ARCHIVE_FORMATS = {$/;" v +_arc_cosine_hypothesis_test adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _arc_cosine_hypothesis_test(ac_output, X, W, b, s):$/;" f member:TestLayers.testArcCosineFeatureMap file: +_are_both_of_integer_type adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _are_both_of_integer_type(a, b):$/;" f +_are_both_of_mapping_type adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _are_both_of_mapping_type(a, b):$/;" f +_are_both_of_sequence_type adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _are_both_of_sequence_type(a, b):$/;" f +_are_both_of_set_type adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _are_both_of_set_type(a, b):$/;" f +_are_validate_args adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def _are_validate_args(a, b, q, r, e, s, eq_type='care'):$/;" f +_arg adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _arg(self, t):$/;" m class:Unparser +_arg adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _arg(self, nbytes, signed=False):$/;" m class:Dvi +_arg adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg(nbytes, signed, dvi, _):$/;" f +_arg1_for_noncanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _arg1_for_noncanonical(self, M, sorted_indices=False):$/;" m class:_NonCanonicalCompressedMixin +_arg1_for_noncanonical adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _arg1_for_noncanonical(self, M, sorted_indices=None):$/;" m class:TestCOONonCanonical +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a):$/;" m class:erlang_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a):$/;" m class:kappa3_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a):$/;" m class:skew_norm_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b):$/;" m class:johnsonsb_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b):$/;" m class:johnsonsu_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b):$/;" m class:norminvgauss_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b):$/;" m class:reciprocal_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b):$/;" m class:truncnorm_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, b, c, z):$/;" m class:gausshyper_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, a, c):$/;" m class:gengamma_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, alpha, beta):$/;" m class:levy_stable_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, b):$/;" m class:rice_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, b):$/;" m class:truncexpon_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, beta, m):$/;" m class:crystalball_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:foldnorm_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:genextreme_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:genhalflogistic_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:genpareto_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:triang_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c):$/;" m class:wrapcauchy_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, c, d):$/;" m class:trapezoid_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, df):$/;" m class:t_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, df, nc):$/;" m class:nct_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, df, nc):$/;" m class:ncx2_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, df1, df2, nc):$/;" m class:ncf_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, h, k):$/;" m class:kappa4_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, k, s):$/;" m class:mielke_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, lam):$/;" m class:tukeylambda_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, p, b):$/;" m class:geninvgauss_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _argcheck(self, skew):$/;" m class:pearson3_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, a):$/;" m class:zipf_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, alpha):$/;" m class:yulesimon_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, lambda_):$/;" m class:planck_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, lambda_, N):$/;" m class:boltzmann_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, low, high):$/;" m class:randint_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, M, n, N):$/;" m class:hypergeom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, M, n, r):$/;" m class:nhypergeom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, mu):$/;" m class:poisson_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, n, a, b):$/;" m class:betabinom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, n, p):$/;" m class:binom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, n, p):$/;" m class:nbinom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, p):$/;" m class:bernoulli_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, p):$/;" m class:geom_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _argcheck(self, p):$/;" m class:logser_gen +_argcheck adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _argcheck(self, *args):$/;" m class:rv_generic +_argcheck_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _argcheck_rvs(self, *args, **kwargs):$/;" m class:rv_generic +_ArgInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_ArgInfo = collections.namedtuple('ArgInfo', ['name', 'position', 'is_list'])$/;" v +_ArgMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ArgMaxGrad(op, grad):$/;" f +_argmax_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _argmax_dispatcher(a, axis=None, out=None):$/;" f +_argmax_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_argmax_translation_rule = partial(_argminmax_translation_rule, xops.Gt,$/;" v +_ArgMinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ArgMinGrad(op, grad):$/;" f +_argminmax adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _argminmax(fn, operand, axes, index_dtype):$/;" f +_argminmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _argminmax(fn, a, axis=None):$/;" f +_argminmax_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _argminmax_dtype_rule(operand, *, axes, index_dtype):$/;" f +_argminmax_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _argminmax_gpu_translation_rule(op, a, *, axes, index_dtype):$/;" f +_argminmax_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _argminmax_shape_rule(operand, *, axes, index_dtype):$/;" f +_argminmax_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _argminmax_translation_rule(value_comparator, identity,$/;" f +_argmin_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _argmin_dispatcher(a, axis=None, out=None):$/;" f +_argmin_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_argmin_translation_rule = partial(_argminmax_translation_rule, xops.Lt,$/;" v +_argnums_partial adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def _argnums_partial(dyn_argnums, fixed_args, *dyn_args, **kwargs):$/;" f +_argpartition_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _argpartition_dispatcher(a, kth, axis=None, kind=None, order=None):$/;" f +_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _args(self):$/;" m class:FFMpegFileWriter +_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _args(self):$/;" m class:FFMpegWriter +_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _args(self):$/;" m class:ImageMagickFileWriter +_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _args(self):$/;" m class:ImageMagickWriter +_args adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _args(self):$/;" m class:MovieWriter +_argsort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _argsort(a, axis, stable):$/;" f function:argsort file: +_argsort_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _argsort_dispatcher(a, axis=None, kind=None, order=None):$/;" f +_args_from_dynargs adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _args_from_dynargs(self, dyn_args: Sequence) -> Sequence:$/;" m class:Harness +_args_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _args_key = 'animation.avconv_args'$/;" v class:AVConvBase +_args_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _args_key = 'animation.convert_args'$/;" v class:ImageMagickBase +_args_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _args_key = 'animation.ffmpeg_args'$/;" v class:FFMpegBase +_args_to_kwargs_xdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _args_to_kwargs_xdist(args, kwargs, metric, func_name):$/;" f +_args_to_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def _args_to_tuple(self, node):$/;" m class:CallTreeTransformer +_ArgTemplateBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^class _ArgTemplateBuilder(object):$/;" c +_ARGUMENT adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_ARGUMENT = _descriptor.Descriptor($/;" v +_ARGUMENT adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^_ARGUMENT = r'\\({}\\)'.format(_CORE_DIMENSION_LIST)$/;" v +_ARGUMENT adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_ARGUMENT = r'\\({}\\)'.format(_CORE_DIMENSION_LIST)$/;" v +_ArgumentParserCache adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^class _ArgumentParserCache(type):$/;" c +_arguments adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _arguments(self, t):$/;" m class:Unparser +_ARGUMENT_LIST adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^_ARGUMENT_LIST = '{0:}(?:,{0:})*'.format(_ARGUMENT)$/;" v +_ARGUMENT_LIST adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_ARGUMENT_LIST = '{0:}(?:,{0:})*'.format(_ARGUMENT)$/;" v +_ARGUMENT_REPR adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^_ARGUMENT_REPR = object()$/;" v +_argus_phi adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _argus_phi(chi):$/;" f +_argv adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def _argv(self):$/;" m class:test +_argwhere_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _argwhere_dispatcher(a):$/;" f +_arg_maker adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _arg_maker(self, arg_descriptor, rng: Rng):$/;" m class:Harness +_arg_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^_arg_mapping = dict(raw=_arg_raw,$/;" v +_arg_max_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _arg_max_flops(graph, node):$/;" f +_arg_min_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _arg_min_flops(graph, node):$/;" f +_arg_min_or_max adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _arg_min_or_max(self, axis, out, op, compare):$/;" m class:_minmax_mixin +_arg_min_or_max_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _arg_min_or_max_axis(self, axis, op, compare):$/;" m class:_minmax_mixin +_arg_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def _arg_name(node):$/;" f +_arg_olen1 adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg_olen1(dvi, delta):$/;" f +_arg_peaks_as_expected adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _arg_peaks_as_expected(value):$/;" f +_arg_raw adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg_raw(dvi, delta):$/;" f +_arg_slen adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg_slen(dvi, delta):$/;" f +_arg_slen1 adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg_slen1(dvi, delta):$/;" f +_arg_ulen1 adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _arg_ulen1(dvi, delta):$/;" f +_arg_val adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def _arg_val(arg):$/;" f +_arg_wlen_as_expected adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _arg_wlen_as_expected(value):$/;" f +_arg_x_as_expected adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _arg_x_as_expected(value):$/;" f +_around_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _around_dispatcher(a, decimals=None, out=None):$/;" f +_ArpackParams adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class _ArpackParams(object):$/;" c +_ARPACK_LOCK adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_ARPACK_LOCK = ReentrancyLock("Nested calls to eigs\/eighs not allowed: "$/;" v +_arrange_subplotspecs adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _arrange_subplotspecs(gs, hspace=0, wspace=0):$/;" f +_array2string adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array2string(a, options, separator=' ', prefix=""):$/;" f +_array2string_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array2string_dispatcher($/;" f +_array2string_impl adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^_array2string_impl = getattr(array2string, '__wrapped__', array2string)$/;" v +_ArrayFeedFn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^class _ArrayFeedFn(object):$/;" c +_arraylike adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _arraylike(x): return isinstance(x, ndarray) or isscalar(x)$/;" f +_arraylike_types adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_arraylike_types = (np.ndarray, UnshapedArray, DeviceArray)$/;" v +_ArrayMemoryError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^_ArrayMemoryError = np.core._exceptions._ArrayMemoryError$/;" v +_ArrayMemoryError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _ArrayMemoryError(MemoryError):$/;" c +_ArrayMeta adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^class _ArrayMeta(type(np.ndarray)): # type: ignore$/;" c +_arraymethod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _arraymethod(funcname, onmask=True):$/;" f +_ARRAYSEXTRAINFO adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_ARRAYSEXTRAINFO = _descriptor.Descriptor($/;" v +_ARRAYSEXTRAINFO_ENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_ARRAYSEXTRAINFO_ENTRY = _descriptor.Descriptor($/;" v +_arrays_for_stack_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _arrays_for_stack_dispatcher(arrays, stacklevel=4):$/;" f +_array_aval_from_xla_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _array_aval_from_xla_shape(xla_shape):$/;" f +_array_descr adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _array_descr(descriptor):$/;" f +_array_equal_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _array_equal_dispatcher(a1, a2, equal_nan=None):$/;" f +_array_equiv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _array_equiv_dispatcher(a1, a2):$/;" f +_ARRAY_INDICES_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _ARRAY_INDICES_COLOR_PAIR = ($/;" v class:CursesUI +_array_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _array_internal(val, dtype=None, copy=True, ndmin=0): # pylint: disable=redefined-outer-nam/;" f +_array_kind adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^_array_kind = {'b': 0, 'h': 0, 'B': 0, 'i': 0, 'l': 0,$/;" v +_array_like adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _array_like(x, x0):$/;" f +_array_newton adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _array_newton(func, x0, fprime, args, tol, maxiter, fprime2, full_output):$/;" f +_array_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.ops import array_ops as _array_ops$/;" x +_array_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^from tensorflow.python.ops import array_ops as _array_ops$/;" x +_array_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^from tensorflow.python.ops import array_ops as _array_ops$/;" x +_array_patch_perimeters adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _array_patch_perimeters(x, rstride, cstride):$/;" f +_array_perimeter adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _array_perimeter(arr):$/;" f +_array_precision adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^_array_precision = {'i': 1, 'l': 1, 'f': 0, 'd': 1, 'F': 0, 'D': 1}$/;" v +_array_precision adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^_array_precision = {'i': 1, 'l': 1, 'f': 0, 'd': 1, 'F': 0, 'D': 1}$/;" v +_array_repr_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array_repr_dispatcher($/;" f +_array_repr_implementation adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array_repr_implementation($/;" f +_array_split_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _array_split_dispatcher(ary, indices_or_sections, axis=None):$/;" f +_array_str_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array_str_dispatcher($/;" f +_array_str_implementation adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _array_str_implementation($/;" f +_array_tofile adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _array_tofile(fid, data):$/;" f +_array_type adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^_array_type = [['f', 'd'], ['F', 'D']]$/;" v +_ARRAY_VALUE_SEPARATOR_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_test_utils.py /^_ARRAY_VALUE_SEPARATOR_REGEX = re.compile(r"(array|\\(|\\[|\\]|\\)|\\||,)")$/;" v +_ARROW_STYLE adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ _ARROW_STYLE = "->"$/;" v class:_FancyAxislineStyle.SimpleArrow +_ARROW_STYLE adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ _ARROW_STYLE = "-|>"$/;" v class:_FancyAxislineStyle.FilledArrow +_arrow_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _arrow_symbols = set('''$/;" v class:Parser +_arr_to_scalar adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^def _arr_to_scalar(x):$/;" f +_as2d adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _as2d(ar):$/;" f +_asarray adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _asarray(x):$/;" f +_asarray_square adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def _asarray_square(A):$/;" f +_asarray_validated adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def _asarray_validated(a, check_finite=True,$/;" f +_ascending_sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^def _ascending_sort(values, axis, return_argsort=False):$/;" f +_ascii_lower adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^_ascii_lower = _all_chars[97:97+26]$/;" v +_ascii_upper adpepsenv/lib/python3.8/site-packages/numpy/core/_string_helpers.py /^_ascii_upper = _all_chars[65:65+26]$/;" v +_AsCompletedWaiter adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class _AsCompletedWaiter(_Waiter):$/;" c +_asdict adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^ def _asdict(self):$/;" f function:_make_tuple_bunch file: +_asfarray adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _asfarray(x):$/;" f +_asfarray_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _asfarray_dispatcher(a, dtype=None):$/;" f +_asfortranarray_copy adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^def _asfortranarray_copy(A):$/;" f +_asindices adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _asindices(self, idx, N):$/;" m class:lil_matrix +_asindices adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _asindices(self, idx, length):$/;" m class:IndexMixin +_AsinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AsinGrad(op, grad):$/;" f +_AsinhGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AsinhGrad(op, grad):$/;" f +_aslinearoperator_with_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def _aslinearoperator_with_dtype(m):$/;" f +_aslinearoperator_with_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def _aslinearoperator_with_dtype(m):$/;" f +_AsList adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _AsList(x):$/;" f +_ASMoutput adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^_ASMoutput = namedtuple('ASMoutput', ['output', 'loss'])$/;" v +_asscalar_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _asscalar_dispatcher(a):$/;" f +_assemble_sparse_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def _assemble_sparse_jacobian(self, J_eq, J_ineq, s):$/;" m class:BarrierSubproblem +_Assert adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Assert(self, t):$/;" m class:Unparser +_assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def _assert(condition, data, summarize=3, name=None):$/;" f +_assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _assert(cond, ex_type, msg):$/;" f +_assert2d adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _assert2d(*arrays):$/;" f +_Assert3DImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _Assert3DImage(image):$/;" f +_assertAllCloseRecursive adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _assertAllCloseRecursive(self,$/;" m class:TensorFlowTestCase +_assertArrayLikeAllClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _assertArrayLikeAllClose(self, a, b, rtol=1e-6, atol=1e-6, msg=None):$/;" m class:TensorFlowTestCase +_AssertAtLeast3DImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _AssertAtLeast3DImage(image):$/;" f +_AssertCardinalityDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^class _AssertCardinalityDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_AssertCompatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _AssertCompatible(values, dtype):$/;" f +_assertCompilationSucceeded adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _assertCompilationSucceeded(self, result, coord):$/;" m class:TPUInfeedOutfeedSessionHook +_Assertconvertible adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _Assertconvertible(values, dtype):$/;" f +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertCountEqual = "assertCountEqual"$/;" v +_assertCountEqual adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertCountEqual = "assertItemsEqual"$/;" v +_assertEventContains adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertEventContains(self, logdir, tag, num_events, offset):$/;" m class:StatsDatasetTestBase +_assertEventHasCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertEventHasCount(self, logdir, tag, count, num_events, greater_than,$/;" m class:StatsDatasetTestBase +_assertEventHasRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertEventHasRange(self, logdir, tag, min_value, max_value, num_events,$/;" m class:StatsDatasetTestBase +_assertEventHasScalarValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertEventHasScalarValue(self, logdir, tag, expected_value, num_events,$/;" m class:StatsDatasetTestBase +_assertEventHasSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertEventHasSum(self, logdir, tag, expected_value, num_events, offset):$/;" m class:StatsDatasetTestBase +_assertGradAndGradgradChecks adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def _assertGradAndGradgradChecks(test_case, apply_fn, inputs):$/;" f +_assertGradReferenceChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def _assertGradReferenceChecks($/;" m class:HypothesisTestCase +_AssertGrayscaleImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _AssertGrayscaleImage(image):$/;" f +_assertInferTensorChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def _assertInferTensorChecks(self, op, grad_ops):$/;" m class:GradientChecker +_assertInferTensorChecks adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^ def _assertInferTensorChecks(self, name, shapes, types, output,$/;" m class:HypothesisTestCase +_assertListCloseRecursive adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _assertListCloseRecursive(self, a, b, rtol, atol, msg, path="value"):$/;" m class:TensorFlowTestCase +_assertNdSquareness adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _assertNdSquareness(*arrays):$/;" f +_AssertNextDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^class _AssertNextDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_assertNoEmpty2d adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _assertNoEmpty2d(*arrays):$/;" f +_assertNotRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertNotRegex = "assertNotRegex"$/;" v +_assertNotRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertNotRegex = "assertNotRegexpMatches"$/;" v +_assertNotRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertNotRegex = "assertNotRegexpMatches"$/;" v +_assertNotRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertNotRegex = "assertNotRegex"$/;" v +_assertNotRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertNotRegex = "assertNotRegexpMatches"$/;" v +_assertNotRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertNotRegex = "assertNotRegexpMatches"$/;" v +_AssertProtoEquals adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _AssertProtoEquals(self, a, b, msg=None):$/;" m class:TensorFlowTestCase +_assertRaggedClose adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _assertRaggedClose(self, a, b, rtol, atol, msg=None):$/;" m class:TensorFlowTestCase +_assertRaggedEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _assertRaggedEqual(self, a, b, msg):$/;" m class:TensorFlowTestCase +_AssertRaisesContext adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ class _AssertRaisesContext(object):$/;" c class:TestCase +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_assertRaisesRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertRaisesRegex = "assertRaisesRegexp"$/;" v +_AssertRaisesRegexWithHighlightContext adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^class _AssertRaisesRegexWithHighlightContext(object):$/;" c +_assertRankAtLeast2 adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _assertRankAtLeast2(*arrays):$/;" f +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertRegex = "assertRegex"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertRegex adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _assertRegex = "assertRegexpMatches"$/;" v +_assertSameOps adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^ def _assertSameOps(self, op1, op2):$/;" m class:SerializedTestCase +_assertSummaryContains adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertSummaryContains(self, summary_str, tag):$/;" m class:StatsDatasetTestBase +_assertSummaryHasCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertSummaryHasCount(self,$/;" m class:StatsDatasetTestBase +_assertSummaryHasRange adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertSummaryHasRange(self, summary_str, tag, min_value, max_value):$/;" m class:StatsDatasetTestBase +_assertSummaryHasScalarValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertSummaryHasScalarValue(self, summary_str, tag, expected_value):$/;" m class:StatsDatasetTestBase +_assertSummaryHasSum adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^ def _assertSummaryHasSum(self, summary_str, tag, expected_value):$/;" m class:StatsDatasetTestBase +_assert_2d adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _assert_2d(*arrays):$/;" f +_assert_allclose_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def _assert_allclose_sparse(a, b, **kwargs):$/;" f +_assert_all_equal_and_return adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^def _assert_all_equal_and_return(tensors, name=None):$/;" f +_assert_all_equal_and_return adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^def _assert_all_equal_and_return(tensors, name=None):$/;" f +_assert_arrays_equal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^def _assert_arrays_equal(actual, ref, err_msg):$/;" f +_assert_at_most_n_true adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _assert_at_most_n_true(predicates, n, msg):$/;" f +_ASSERT_BROADCASTABLE_ERROR_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/weights_broadcast_ops.py /^_ASSERT_BROADCASTABLE_ERROR_PREFIX = "weights can not be broadcast to values."$/;" v +_assert_built_as_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _assert_built_as_v1(self):$/;" m class:Layer +_assert_can_mutate adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _assert_can_mutate(self):$/;" m class:ExecutionStep +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def _assert_checkpoint(testcase, global_step, input_units, hidden_units,$/;" f +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _assert_checkpoint(self,$/;" m class:BaseLinearClassifierTrainingTest +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _assert_checkpoint(self,$/;" m class:BaseLinearRegressorTrainingTest +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def _assert_checkpoint(testcase, global_step, input_units, hidden_units,$/;" f +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _assert_checkpoint(self,$/;" m class:BaseLinearClassifierTrainingTest +_assert_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _assert_checkpoint(self,$/;" m class:BaseLinearRegressorTrainingTest +_assert_close adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^def _assert_close(value1, value2, threshold, err_msg=''):$/;" f +_assert_close_in_norm adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^def _assert_close_in_norm(x, y, rtol, size, rdt):$/;" f +_assert_close_in_norm adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^def _assert_close_in_norm(x, y, rtol, size, rdt):$/;" f +_assert_compile_was_called adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _assert_compile_was_called(self):$/;" m class:Model +_assert_compile_was_called adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _assert_compile_was_called(self):$/;" m class:Model +_assert_dtypes_match adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def _assert_dtypes_match(x, y):$/;" f function:_check_dtypes_match file: +_assert_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def _assert_eager(self):$/;" m class:ParallelDevice +_assert_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def _assert_eager_fallback(condition, data, summarize, name, ctx):$/;" f +_assert_equal_on_sequences adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^def _assert_equal_on_sequences(actual, desired, err_msg=''):$/;" f +_assert_equal_param adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _assert_equal_param(self, param_gpu, param_DDP):$/;" m class:DistributedTest._DistTestBase +_assert_equal_type_and_value adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^def _assert_equal_type_and_value(result, expected, err_msg=None):$/;" f +_assert_eval_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _assert_eval_spec(eval_spec):$/;" f +_assert_fetchable adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _assert_fetchable(self, graph, op):$/;" m class:_FetchHandler +_assert_finite adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _assert_finite(*arrays):$/;" f +_assert_float_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def _assert_float_dtype(dtype):$/;" f +_assert_float_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^def _assert_float_dtype(dtype):$/;" f +_assert_format_exists adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _assert_format_exists(file_format: str, methods: typing.Mapping[str, typing.Callable]) \\$/;" m class:AbstractKey +_assert_hasattr adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def _assert_hasattr(a, b, msg=None):$/;" f +_assert_has_shape adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^ def _assert_has_shape(x, shape):$/;" f function:dynamic_rnn file: +_assert_has_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _assert_has_shape(x, shape):$/;" f function:dynamic_rnn file: +_assert_infeasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def _assert_infeasible(res):$/;" f +_assert_inverts adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _assert_inverts(*a, **kw):$/;" f +_assert_is_supported_ragged_values_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _assert_is_supported_ragged_values_type(value):$/;" f +_assert_iteration_limit_reached adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def _assert_iteration_limit_reached(res, maxiter):$/;" f +_assert_less_or_close_loglike adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^def _assert_less_or_close_loglike(dist, data, func, **kwds):$/;" f +_assert_list adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _assert_list(self, items, check_in):$/;" m class:_SDCAModel +_assert_live adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def _assert_live(self) -> None:$/;" m class:Tracer +_assert_live adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def _assert_live(self) -> None:$/;" m class:DynamicJaxprTracer +_assert_local adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _assert_local(filepath):$/;" m class:ConfigHandler +_assert_members_are_not_overridden adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _assert_members_are_not_overridden(self):$/;" m class:Estimator +_assert_members_are_not_overridden adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _assert_members_are_not_overridden(self):$/;" m class:EstimatorV2 +_assert_members_are_not_overridden adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _assert_members_are_not_overridden(cls, obj):$/;" f +_assert_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _assert_mode(self):$/;" m class:_InternalTPUContext +_assert_monotonic_increasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _assert_monotonic_increasing(tensor, message=None):$/;" f +_assert_monotonic_increasing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^def _assert_monotonic_increasing(tensor, message=None):$/;" f +_assert_mutable adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def _assert_mutable(self):$/;" m class:NetBuilder +_assert_nodes_are_present adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _assert_nodes_are_present(name_to_node, nodes):$/;" f +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _assert_non_singular(self):$/;" m class:LinearOperator +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorAdjoint +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorBlockDiag +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorBlockLowerTriangular +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _assert_non_singular(self):$/;" m class:_BaseLinearOperatorCirculant +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorDiag +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorHouseholder +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorIdentity +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorScaledIdentity +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorInversion +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorKronecker +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorLowerTriangular +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorPermutation +_assert_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _assert_non_singular(self):$/;" m class:LinearOperatorZeros +_assert_not_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def _assert_not_none(iterable):$/;" f member:LinearOperatorDerivedClassTest.check_tape_safe file: +_assert_not_shallow adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^def _assert_not_shallow(request):$/;" f +_assert_not_used adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def _assert_not_used(self):$/;" m class:Task +_assert_no_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _assert_no_error(error, exception_class=None):$/;" f +_assert_no_error adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _assert_no_error(error, exception_class=None):$/;" f +_assert_no_gc_cycles_context adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _assert_no_gc_cycles_context(name=None):$/;" f +_assert_no_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^def _assert_no_hooks(test_case, spec):$/;" f +_assert_no_warnings_context adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _assert_no_warnings_context(name=None):$/;" f +_assert_numpy_allclose adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _assert_numpy_allclose(a, b, atol=None, rtol=None):$/;" f +_assert_numpy_close adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _assert_numpy_close(a, b, atol=None, rtol=None):$/;" f +_assert_n_smooth adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_helper.py /^def _assert_n_smooth(x, n):$/;" f +_assert_poles_close adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^def _assert_poles_close(P1,P2, rtol=1e-8, atol=1e-8):$/;" f +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperator +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorAdjoint +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorBlockDiag +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _assert_positive_definite(self):$/;" m class:_BaseLinearOperatorCirculant +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorDiag +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorHouseholder +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorIdentity +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorScaledIdentity +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorInversion +_assert_positive_definite adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _assert_positive_definite(self):$/;" m class:LinearOperatorZeros +_assert_proto_container_unique_keys adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graph_util.py /^ def _assert_proto_container_unique_keys(proto_list, get_key):$/;" f function:_safe_copy_proto_list_values file: +_assert_range adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _assert_range(labels, n_classes, message=None):$/;" f +_assert_ranks_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _assert_ranks_condition($/;" f +_assert_rank_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _assert_rank_condition($/;" f +_assert_records_equal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^def _assert_records_equal(actual, ref):$/;" f +_assert_reproducible adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def _assert_reproducible(self, operation):$/;" m class:ConvolutionTest +_assert_same_base_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _assert_same_base_type(items, expected_type=None):$/;" f +_assert_same_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _assert_same_graph(original_item, item):$/;" f +_assert_same_non_optimizer_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _assert_same_non_optimizer_objects(model, model_graph, clone, clone_graph): # pylint: disab/;" f +_assert_same_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _assert_same_type(self, expected_type, values, value_name):$/;" m class:TextVectorization +_assert_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def _assert_scalar(value, name):$/;" f +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperator +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorAdjoint +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorBlockDiag +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _assert_self_adjoint(self):$/;" m class:_BaseLinearOperatorCirculant +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorDiag +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorHouseholder +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorIdentity +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorScaledIdentity +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorInversion +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorKronecker +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorToeplitz +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorTridiag +_assert_self_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _assert_self_adjoint(self):$/;" m class:LinearOperatorZeros +_assert_simple_summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^def _assert_simple_summaries(test_case,$/;" f +_assert_simple_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^def _assert_simple_summary(testcase, expected_values, actual_summary):$/;" f +_assert_simple_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^def _assert_simple_summary(testcase, expected_values, actual_summary):$/;" f +_assert_sparse_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _assert_sparse_compatible(sparse_tensors):$/;" f +_assert_sparse_indices_are_ragged_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _assert_sparse_indices_are_ragged_right(indices):$/;" f +_assert_specified adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _assert_specified(self, items, check_in):$/;" m class:_SDCAModel +_assert_stacked_2d adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _assert_stacked_2d(*arrays):$/;" f +_assert_stacked_square adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _assert_stacked_square(*arrays):$/;" f +_assert_static adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _assert_static(condition, data):$/;" f +_assert_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _assert_strategy(strategy):$/;" f +_assert_success adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def _assert_success(res, desired_fun=None, desired_x=None,$/;" f +_assert_success adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__remove_redundancy.py /^def _assert_success($/;" f +_assert_top_level_events adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _assert_top_level_events(self, process_global_events, expected_top_level_event_names):$/;" m class:RpcTest +_assert_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def _assert_trackable(obj):$/;" f +_assert_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _assert_type(self, other):$/;" m class:_ObjectIdentityWrapper +_assert_unable_to_find_basic_feasible_sol adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def _assert_unable_to_find_basic_feasible_sol(res):$/;" f +_assert_unbounded adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linprog.py /^def _assert_unbounded(res):$/;" f +_assert_validators adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _assert_validators(self, validators):$/;" m class:FlagValues +_assert_valid_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _assert_valid_dtypes(self, tensors):$/;" m class:OptimizerV2 +_assert_valid_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _assert_valid_dtypes(self, tensors):$/;" m class:Optimizer +_assert_valid_model adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _assert_valid_model(model, custom_objects=None):$/;" f +_assert_valid_refcount adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _assert_valid_refcount(op):$/;" f +_assert_warns_context adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _assert_warns_context(warning_class, name=None):$/;" f +_assert_weights_created adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _assert_weights_created(self):$/;" m class:Functional +_assert_weights_created adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def _assert_weights_created(self):$/;" m class:Sequential +_assert_weights_created adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _assert_weights_created(self):$/;" m class:Model +_assert_within_tol adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _assert_within_tol(a, b, atol=0, rtol=0, verbose_=False):$/;" f +_assert_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _assert_zero(tensor, message=None):$/;" f +_assert_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^def _assert_zero(tensor, message=None):$/;" f +_assess_candidate adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def _assess_candidate(k1, k2, i, j):$/;" f function:BranchBound.__call__._branch_iterate file: +_ASSETFILEDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_ASSETFILEDEF = _descriptor.Descriptor($/;" v +_ASSETFILEDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_ASSETFILEDEF = _descriptor.Descriptor($/;" v +_AssetInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^_AssetInfo = collections.namedtuple($/;" v +_assets_dir_to_logdir adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _assets_dir_to_logdir(assets_dir):$/;" f +_asset_path_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _asset_path_from_tensor(path_tensor):$/;" f +_Assign adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Assign(self, t):$/;" m class:Unparser +_assign_add_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _assign_add_flops(graph, node):$/;" f +_assign_attr adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def _assign_attr(from_obj: Any, to_module: torch.nn.Module, target: str):$/;" f +_assign_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _assign_dependencies(self):$/;" m class:BaseResourceVariable +_assign_fields_by_name_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _assign_fields_by_name_dispatcher(dst, src, zero_unassigned=None):$/;" f +_assign_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def _assign_func(self, *args, **kwargs):$/;" m class:AggregatingVariable +_assign_hms adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _assign_hms(self, res, value_repr, hms):$/;" m class:parser +_assign_if_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^def _assign_if_finite(var, value):$/;" f +_assign_if_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^def _assign_if_finite(var, value):$/;" f +_assign_lanes adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _assign_lanes(self):$/;" m class:Timeline +_assign_moving_average adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _assign_moving_average(self, variable, value, momentum, inputs_size):$/;" m class:BatchNormalizationBase +_assign_new_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _assign_new_value(self, variable, value):$/;" m class:BatchNormalizationBase +_assign_sub_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _assign_sub_flops(graph, node):$/;" f +_assign_tzname adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _assign_tzname(self, dt, tzname):$/;" m class:parser +_asStringList adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _asStringList(self, sep=''):$/;" m class:ParseResults +_asStringList adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _asStringList( self, sep='' ):$/;" m class:ParseResults +_asStringList adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _asStringList(self, sep=''):$/;" m class:ParseResults +_asStringList adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _asStringList( self, sep='' ):$/;" m class:ParseResults +_assume_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _assume_mirrored(grad):$/;" f member:OptimizerV2._distributed_apply file: +_ast adpepsenv/lib/python3.8/site-packages/gast/gast.py /^import ast as _ast$/;" I +_AsTensorList adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _AsTensorList(x, p):$/;" f +_astuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^def _astuple(attrs):$/;" f +_astype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _astype(arr, dtype):$/;" f +_AST_OP_NODES adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^_AST_OP_NODES = ($/;" v +_AsyncFor adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _AsyncFor(self, t):$/;" m class:Unparser +_AsyncFunctionDef adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _AsyncFunctionDef(self, t):$/;" m class:Unparser +_AsyncWith adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _AsyncWith(self, t):$/;" m class:Unparser +_AsyncWriter adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^class _AsyncWriter(object):$/;" c +_AsyncWriterThread adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^class _AsyncWriterThread(threading.Thread):$/;" c +_as_binary_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def _as_binary_function(self, func_name, arg1, arg2):$/;" m class:LogicalExpressionTransformer +_as_binary_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def _as_binary_operation(self, op, arg1, arg2):$/;" m class:LogicalExpressionTransformer +_as_cluster_device_filters adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def _as_cluster_device_filters(self):$/;" m class:ClusterDeviceFilters +_AS_COMPLETED adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^_AS_COMPLETED = '_AS_COMPLETED'$/;" v +_as_device_str_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^def _as_device_str_or_none(device_type):$/;" f +_as_dict adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarbuffer.py /^ def _as_dict(self, m):$/;" m class:TestScalarPEP3118 +_as_float_array adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _as_float_array(x, check_finite=False):$/;" f +_as_float_version adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _as_float_version(version):$/;" m class:SystemInfo +_as_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_graph_def(self, from_version=None, add_shapes=False):$/;" m class:Graph +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def _as_graph_element(self):$/;" m class:PackedVarAndDevice +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _as_graph_element(self):$/;" m class:TPUVariableMixin +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self):$/;" m class:DistributedVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self):$/;" m class:Mirrored +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self):$/;" m class:MirroredVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self):$/;" m class:SyncOnReadVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self, var):$/;" m class:AutoPolicy +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self, var):$/;" m class:OnReadPolicy +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _as_graph_element(self, _):$/;" m class:VariablePolicy +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _as_graph_element(obj):$/;" f +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _as_graph_element(self):$/;" m class:AutoCastVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _as_graph_element(self):$/;" m class:RaggedTensor +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _as_graph_element(self):$/;" m class:BaseResourceVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _as_graph_element(self):$/;" m class:RefVariable +_as_graph_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^def _as_graph_element(obj):$/;" f +_as_graph_element_locked adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_graph_element_locked(self, obj, allow_tensor, allow_operation):$/;" m class:Graph +_as_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _as_index(idx, need_scalar=True):$/;" f +_as_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _as_indexed_slices(x, optimize=True):$/;" f +_as_indexed_slices_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _as_indexed_slices_list(inputs, optimize=True):$/;" f +_as_inexact adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _as_inexact(x):$/;" f +_as_int_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^def _as_int_or_none(inp):$/;" f +_as_lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def _as_lambda(self, expr):$/;" m class:LogicalExpressionTransformer +_as_mpl_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def _as_mpl_axes(self):$/;" m class:test_as_mpl_axes_api.Polar +_as_mpl_axes adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def _as_mpl_axes(self):$/;" m class:test_iterability_axes_argument.MyClass +_as_mpl_transform adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def _as_mpl_transform(self, axes):$/;" m class:test_external_transform_api.ScaledBy +_as_name_attr_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _as_name_attr_list(self):$/;" m class:ConcreteFunction +_as_name_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^def _as_name_list(names, dtypes):$/;" f +_as_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _as_ndarray(value):$/;" f +_as_node_def_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_node_def_input(self):$/;" m class:Tensor +_as_node_def_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_node_def_input(self):$/;" m class:_EagerTensorBase +_as_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^def _as_operation(op_or_tensor):$/;" f +_as_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def _as_operation(op_or_tensor):$/;" f +_as_original_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _as_original_type(original_tensors, tensor_list):$/;" f +_as_pairs adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _as_pairs(x, ndim, as_index=False):$/;" f +_as_parameter_ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def _as_parameter_(self):$/;" m class:_ctypes +_as_parameter_ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def _as_parameter_(self):$/;" m class:Event +_as_parameter_ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def _as_parameter_(self):$/;" m class:Stream +_as_record_writer_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def _as_record_writer_options(self):$/;" m class:TFRecordOptions +_as_ref adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def _as_ref(self):$/;" m class:DType +_as_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def _as_ref(self):$/;" m class:DType +_as_serialized_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _as_serialized_graph($/;" m class:DatasetV2 +_as_shape_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^def _as_shape_list(shapes,$/;" f +_as_slice_indices adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _as_slice_indices(arr: xla.DeviceArrayProtocol, idx: Index) -> Tuple[$/;" f +_as_spec_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _as_spec_tuple(slice_spec):$/;" f +_as_ss adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _as_ss(self):$/;" m class:LinearTimeInvariant +_as_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def _as_string(tensor):$/;" f +_as_str_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^def _as_str_or_none(inp):$/;" f +_as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _as_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:_LazyEvalTensor +_as_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _as_tensor_list(tensors):$/;" f +_as_tensor_list_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _as_tensor_list_list(tensors_list):$/;" f +_as_text adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _as_text(s):$/;" f +_as_tf adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _as_tf(self):$/;" m class:LinearTimeInvariant +_as_tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_tf_output(self):$/;" m class:Tensor +_as_tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _as_tf_output(self):$/;" m class:_EagerTensorBase +_as_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def _as_tuple(value):$/;" f +_as_tuple adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _as_tuple(inp, arg_name, fn_name):$/;" f +_as_tuple adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def _as_tuple(x):$/;" f +_as_tuple adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _as_tuple(value: Any, num_elements: int, error_message_lambda: Callable[[], str]) -> Tuple:$/;" f +_as_type_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^def _as_type_list(dtypes):$/;" f +_as_unary_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def _as_unary_function(self, func_name, arg):$/;" m class:LogicalExpressionTransformer +_as_variant_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _as_variant_tensor(self):$/;" m class:DatasetV1 +_as_variant_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _as_variant_tensor(self):$/;" m class:DatasetV1Adapter +_as_zpk adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _as_zpk(self):$/;" m class:LinearTimeInvariant +_at adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _at = "@"$/;" v class:URL +_at adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _at = b"@"$/;" v class:BytesURL +_Atan2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _Atan2Grad(op, grad):$/;" f +_atan2_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _atan2_taylor(primals_in, series_in):$/;" f +_AtanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AtanGrad(op, grad):$/;" f +_AtanhGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _AtanhGrad(op, grad):$/;" f +_AtLeast3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _AtLeast3d(self, np_value):$/;" m class:BiasAddTestBase +_atleast_1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _atleast_1d_dispatcher(*arys):$/;" f +_atleast_2d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _atleast_2d_dispatcher(*arys):$/;" f +_atleast_2d_or_none adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _atleast_2d_or_none(arg):$/;" f +_atleast_2d_or_none adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def _atleast_2d_or_none(arg):$/;" f +_atleast_3d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _atleast_3d_dispatcher(*arys):$/;" f +_atleast_nd adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _atleast_nd(x, n):$/;" f +_atleast_nd adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _atleast_nd(a, ndim):$/;" f +_atleast_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _atleast_nd(n, new_shape, *arys):$/;" f +_atol adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ _atol = {$/;" v class:LinearOperatorDerivedClassTest +_AtomicCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _AtomicCounter(object):$/;" c +_AttachFieldHelpers adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _AttachFieldHelpers(cls, field_descriptor):$/;" f +_attach_argparser_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _attach_argparser_methods(self):$/;" m class:rv_generic +_attach_error_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def _attach_error_metadata(e, f):$/;" f +_attach_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _attach_methods(self):$/;" m class:rv_continuous +_attach_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _attach_methods(self):$/;" m class:rv_discrete +_attach_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _attach_methods(self):$/;" m class:rv_generic +_attach_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _attach_methods(self):$/;" m class:rv_sample +_attach_origin_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def _attach_origin_info(self, node):$/;" m class:OriginResolver +_attach_tzinfo adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _attach_tzinfo(self, dt, tzinfo):$/;" m class:rrulewrapper +_attempted_to_remove_stderr_stream_handlers adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_attempted_to_remove_stderr_stream_handlers = False$/;" v +_attempt_download adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _attempt_download(self, url, filename):$/;" m class:PackageIndex +_attempt_exact_2kssamp adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _attempt_exact_2kssamp(n1, n2, g, d, alternative):$/;" f +_attempt_to_pin_criterion adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _attempt_to_pin_criterion(self, name, criterion):$/;" m class:Resolution +_attr adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ class _attr(_resultbase):$/;" c class:_tzparser._result +_Attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _Attr(op_def, name):$/;" f +_ATTR2FIELD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_ATTR2FIELD = {$/;" v +_attrgetter adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_attrgetter = lambda name: lambda x, **kwargs: getattr(x, name)$/;" f +_Attribute adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Attribute(self,t):$/;" m class:Unparser +_ATTRIBUTEDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_ATTRIBUTEDEF = _descriptor.Descriptor($/;" v +_Attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^_Attributes = collections.namedtuple($/;" v +_attribute_sentinel adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _attribute_sentinel(self):$/;" m class:TrackableDataStructure +_AttrsFetchMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _AttrsFetchMapper(_FetchMapper):$/;" c +_ATTRVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_ATTRVALUE = _descriptor.Descriptor($/;" v +_ATTRVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_ATTRVALUE = _descriptor.Descriptor($/;" v +_AttrValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _AttrValue(attr_protos, name):$/;" f +_ATTRVALUE_LISTVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_ATTRVALUE_LISTVALUE = _descriptor.Descriptor($/;" v +_ATTRVALUE_LISTVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_ATTRVALUE_LISTVALUE = _descriptor.Descriptor($/;" v +_attr_filter adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def _attr_filter(tag, attr, value):$/;" f function:attribute_filter_factory file: +_attr_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/alphabeticalattributes.py /^def _attr_key(attr):$/;" f +_attr_key adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/utils.py /^def _attr_key(attr):$/;" f +_attr_key adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/alphabeticalattributes.py /^def _attr_key(attr):$/;" f +_attr_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _attr_scope(self, attr_map):$/;" m class:Graph +_attr_value_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.core.framework import attr_value_pb2 as _attr_value_pb2$/;" x +_at_k_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _at_k_name(name, k=None, class_id=None):$/;" f +_auc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _auc(labels, predictions, weights=None, curve='ROC', name=None):$/;" f +_AUDIOPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^_AUDIOPLUGINDATA = _descriptor.Descriptor($/;" v +_AUDIOPLUGINDATA_ENCODING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^_AUDIOPLUGINDATA_ENCODING = _descriptor.EnumDescriptor($/;" v +_audio_microfrontend_op adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/python/ops/audio_microfrontend_op.py /^_audio_microfrontend_op = load_library.load_op_library($/;" v +_audio_response_for_run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _audio_response_for_run(self, ctx, experiment, run, tag, sample):$/;" m class:AudioPlugin +_audio_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.audio import summary as _audio_summary$/;" x +_AugAssign adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _AugAssign(self, t):$/;" m class:Unparser +_augknt adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _augknt(x, k):$/;" f +_AugmentedGraphView adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^class _AugmentedGraphView(graph_view.ObjectGraphView):$/;" c +_augmented_orthonormal_cols adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def _augmented_orthonormal_cols(x, k):$/;" f +_augmented_orthonormal_rows adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def _augmented_orthonormal_rows(x, k):$/;" f +_augment_channel_arguments adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^def _augment_channel_arguments(base_options: ChannelArgumentType,$/;" f +_augment_channel_arguments adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^def _augment_channel_arguments(base_options: ChannelArgumentType,$/;" f +_augment_configs_with_checkpoint_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _augment_configs_with_checkpoint_info(self):$/;" m class:ProjectorPlugin +_augment_exception adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def _augment_exception(exc, version, arch=''):$/;" f +_augment_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _augment_model_fn(self, model_fn, batch_axis):$/;" m class:TPUEstimator +_augment_options adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _augment_options(base_options, compression):$/;" f +_augment_options adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _augment_options(base_options, compression):$/;" f +_augment_with_special_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^def _augment_with_special_arguments(test_method, test_combinations):$/;" f +_AuthMetadataContext adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^class _AuthMetadataContext($/;" c +_AuthMetadataPluginCallback adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^class _AuthMetadataPluginCallback(grpc.AuthMetadataPluginCallback):$/;" c +_AUTHORIZED_USER_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_AUTHORIZED_USER_TYPE = "authorized_user"$/;" v +_AuthRevokeIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _AuthRevokeIntent(_Intent):$/;" c +_auth_context_middleware adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def _auth_context_middleware(wsgi_app, auth_providers):$/;" f +_autocast_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^_autocast_dtype = threading.local()$/;" v +_AUTOCAST_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^_AUTOCAST_TYPES = (ops.Tensor, sparse_tensor.SparseTensor,$/;" v +_autograd_grad adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _autograd_grad(outputs, inputs, grad_outputs=None, create_graph=False, retain_graph=None):$/;" f +_autographed_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _autographed_call(self):$/;" m class:Layer +_autolev adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _autolev(self, N):$/;" m class:ContourSet +_autopacking_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _autopacking_conversion_function(v, dtype=None, name=None, as_ref=False):$/;" f +_autopacking_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _autopacking_helper(list_or_tuple, dtype, name):$/;" f +_AUTOPARALLELOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_AUTOPARALLELOPTIONS = _descriptor.Descriptor($/;" v +_AUTOPARALLELOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_AUTOPARALLELOPTIONS = _descriptor.Descriptor($/;" v +_autoscale adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _autoscale(A, b, c, x0):$/;" f +_AutoShardDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^class _AutoShardDataset(dataset_ops.UnaryDataset):$/;" c +_AutoShardDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^def _AutoShardDatasetV1(input_dataset, num_workers, index, num_replicas=None): # pylint: disabl/;" f +_AutotuneAlgorithm adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^class _AutotuneAlgorithm(enum.Enum):$/;" c +_autotune_buffers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _autotune_buffers(self):$/;" m class:OptimizationOptions +_autotune_settings adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _autotune_settings(self):$/;" m class:OptimizationOptions +_autotune_settings adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _autotune_settings(self):$/;" m class:Options +_auto_backend_sentinel adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_auto_backend_sentinel = object()$/;" v +_AUTO_CHOICES adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_AUTO_CHOICES = {}$/;" v +_auto_collation adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _auto_collation(self):$/;" m class:DataLoader +_auto_draw_if_interactive adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def _auto_draw_if_interactive(fig, val):$/;" f +_AUTO_HQ_CHOICES adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_AUTO_HQ_CHOICES = {}$/;" v +_auto_key_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _auto_key_in(self, command, erase_existing=False):$/;" m class:CursesUI +_auto_legend_data adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _auto_legend_data(self):$/;" m class:Legend +_auto_scale adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _auto_scale(self, delta_x, delta_grad):$/;" m class:FullHessianUpdateStrategy +_auto_set_column_width adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _auto_set_column_width(self, col, renderer):$/;" m class:Table +_auto_set_font_size adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _auto_set_font_size(self, renderer):$/;" m class:Table +_auto_sized_delimiter adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _auto_sized_delimiter(self, front, middle, back):$/;" m class:Parser +_autumn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_autumn_data = {'red': ((0., 1.0, 1.0), (1.0, 1.0, 1.0)),$/;" v +_AVAILABLEDEVICEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_AVAILABLEDEVICEINFO = _descriptor.Descriptor($/;" v +_AVAILABLE_GPUS adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_AVAILABLE_GPUS = queue.Queue[int]()$/;" v +_Avals_expon adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^_Avals_expon = array([0.922, 1.078, 1.341, 1.606, 1.957])$/;" v +_Avals_gumbel adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^_Avals_gumbel = array([0.474, 0.637, 0.757, 0.877, 1.038])$/;" v +_Avals_logistic adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^_Avals_logistic = array([0.426, 0.563, 0.660, 0.769, 0.906, 1.010])$/;" v +_Avals_norm adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^_Avals_norm = array([0.576, 0.656, 0.787, 0.918, 1.092])$/;" v +_avals_short adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _avals_short(avals):$/;" f +_avals_to_results_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _avals_to_results_handler(nrep, npart, partitions, out_avals):$/;" f +_aval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _aval_to_result_handler(npart, parts, aval):$/;" f +_aval_to_tf_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _aval_to_tf_shape(aval: core.AbstractValue) -> Tuple[Optional[int], ...]:$/;" f +_average_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _average_dispatcher(a, axis=None, weights=None, returned=None):$/;" f +_average_with_log_weights adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _average_with_log_weights(x, logweights):$/;" f +_AvgPool3DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _AvgPool3DGrad(op, grad):$/;" f +_AvgPool3DGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _AvgPool3DGradGrad(op, grad):$/;" f +_AvgPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _AvgPoolGrad(op, grad):$/;" f +_AvgPoolGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _AvgPoolGradGrad(op, grad):$/;" f +_AvgPoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _AvgPoolNd(Module):$/;" c +_avgpool_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _avgpool_helper(tuple_fn, padding, kernel_size, stride, divisor_override, name):$/;" f +_avg_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def _avg_pool(name, tuple_fn):$/;" f +_avg_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _avg_pool(name, tuple_fn):$/;" f +_avg_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _avg_pool(name, tuple_fn):$/;" f +_avg_pool_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _avg_pool_flops(graph, node):$/;" f +_avg_pool_grad_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _avg_pool_grad_flops(graph, node):$/;" f +_avoid_float64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _avoid_float64(x1, x2):$/;" f function:true_divide file: +_Await adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Await(self, t):$/;" m class:Unparser +_aware_return_wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _aware_return_wrapper(self, f, returns_list=False):$/;" m class:rrulewrapper +_AWS_ALGORITHM adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^_AWS_ALGORITHM = "AWS4-HMAC-SHA256"$/;" v +_AWS_DATE_HEADER adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^_AWS_DATE_HEADER = "x-amz-date"$/;" v +_AWS_REQUEST_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^_AWS_REQUEST_TYPE = "aws4_request"$/;" v +_AWS_SECURITY_TOKEN_HEADER adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^_AWS_SECURITY_TOKEN_HEADER = "x-amz-security-token"$/;" v +_AxesBase adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^class _AxesBase(martist.Artist):$/;" c +_AxesStack adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^class _AxesStack(cbook.Stack):$/;" c +_axes_all_finite_sized adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _axes_all_finite_sized(fig):$/;" f +_axes_class adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ _axes_class = Dummy$/;" v class:test_cartopy_backcompat.DummySubplot +_axes_pos adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _axes_pos(self, ax):$/;" m class:ToolViewsPositions +_AXINFO adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ _AXINFO = {$/;" v class:Axis +_AxisWrapper adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class _AxisWrapper:$/;" c +_axis_check_1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def _axis_check_1d(func_name, axis):$/;" f +_axis_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_axis_doc = ($/;" v +_axis_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _axis_gather(params, indices, axis):$/;" f +_axis_groups adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _axis_groups(mesh_spec, mesh_axes):$/;" f +_axis_index_bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def _axis_index_bind(*, axis_name):$/;" f function:omnistaging_disabler file: +_axis_index_bind adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _axis_index_bind(*, axis_name):$/;" f +_axis_index_soft_pmap_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _axis_index_soft_pmap_rule(vals, mapped, chunk_size, *, axis_name):$/;" f +_axis_index_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def _axis_index_translation_rule(c, nreps, sizes, axis_name):$/;" f function:omnistaging_disabler file: +_axis_index_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _axis_index_translation_rule(c, *, axis_name, axis_env, platform):$/;" f +_axis_method_wrapper adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^class _axis_method_wrapper:$/;" c +_AxLine adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^class _AxLine(Line2D):$/;" c +_azimuth2math adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def _azimuth2math(azimuth, elevation):$/;" f +_b adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ _b = ma.array([1.1, 2.2, 3.3], mask=[0, 0, 1], dtype=float)$/;" v class:TestMRecordsImport +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^_b=sys.version_info[0]<3 and (lambda x:x) or (lambda x:x.encode('latin1'))$/;" v +_b64_decode_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^def _b64_decode_bytes(b):$/;" f +_b64_decode_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^def _b64_decode_str(s):$/;" f +_BACKEND adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^_BACKEND = backends.default_backend()$/;" v +_BACKEND adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^_BACKEND = backends.default_backend()$/;" v +_Backend adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class _Backend:$/;" c +_BACKEND adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^_BACKEND = _BuildMetaBackend()$/;" v +_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_backend = Backend.UNDEFINED$/;" v +_BackendAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^class _BackendAgg(_Backend):$/;" c +_BackendAndDeviceInfo adpepsenv/lib/python3.8/site-packages/jax/api.py /^class _BackendAndDeviceInfo(NamedTuple):$/;" c +_BackendCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^class _BackendCairo(_Backend):$/;" c +_BackendGTK3 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^class _BackendGTK3(_Backend):$/;" c +_BackendGTK3Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^class _BackendGTK3Cairo(_BackendGTK3):$/;" c +_BackendGTK3Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^class _BackendGTK3Cairo(_BackendGTK3):$/;" c +_BackendMac adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^class _BackendMac(_Backend):$/;" c +_BackendNbAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^class _BackendNbAgg(_Backend):$/;" c +_BACKENDOPTIONS adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_BACKENDOPTIONS = _descriptor.Descriptor($/;" v +_BackendPdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^class _BackendPdf(_Backend):$/;" c +_BackendPgf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^class _BackendPgf(_Backend):$/;" c +_BackendPS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class _BackendPS(_Backend):$/;" c +_BackendQT4 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4.py /^class _BackendQT4(_BackendQT5):$/;" c +_BackendQT4Agg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4agg.py /^class _BackendQT4Agg(_BackendQT5Agg):$/;" c +_BackendQT4Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt4cairo.py /^class _BackendQT4Cairo(_BackendQT5Cairo):$/;" c +_BackendQT5 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^class _BackendQT5(_Backend):$/;" c +_BackendQT5Agg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^class _BackendQT5Agg(_BackendQT5):$/;" c +_BackendQT5Cairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^class _BackendQT5Cairo(_BackendQT5):$/;" c +_backends adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^_backends = {}$/;" v +_BackendSVG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^class _BackendSVG(_Backend):$/;" c +_BackendTk adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^class _BackendTk(_Backend):$/;" c +_BackendTkAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkagg.py /^class _BackendTkAgg(_BackendTk):$/;" c +_BackendTkCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkcairo.py /^class _BackendTkCairo(_BackendTk):$/;" c +_BackendWebAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^class _BackendWebAgg(_Backend):$/;" c +_BackendWebAggCoreAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^class _BackendWebAggCoreAgg(_Backend):$/;" c +_BackendWx adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class _BackendWx(_Backend):$/;" c +_BackendWxAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^class _BackendWxAgg(_BackendWx):$/;" c +_BackendWxCairo adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^class _BackendWxCairo(_BackendWx):$/;" c +_backend_from_arg adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^def _backend_from_arg(backend):$/;" f +_backend_lock adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^_backend_lock = threading.Lock()$/;" v +_backend_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _backend_mapping = {$/;" v class:MathTextParser +_backend_module_name adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _backend_module_name(name):$/;" f +_backend_type_doc adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^_backend_type_doc = """$/;" v +_backend_type_repr adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _backend_type_repr(self):$/;" f +_BACKGROUND_COLORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _BACKGROUND_COLORS = {$/;" v class:CursesUI +_backprop_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _backprop_function(*grad_ys):$/;" f member:_DelayedRewriteGradientFunctions._construct_forward_backward file: +_backtrack adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _backtrack(self):$/;" m class:Resolution +_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _backward(self, outputs):$/;" m class:_DelayedRewriteGradientFunctions +_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def _backward(accs, elems):$/;" f function:_forward_backward_log file: +_backward_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ _backward_done = False$/;" v class:DistAutogradTest +_backward_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _backward_function(*args):$/;" f member:_DelayedRewriteGradientFunctions._backward file: +_backward_function_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _backward_function_wrapper(*args):$/;" f member:_TapeGradientFunctions._wrap_backward_function file: +_backward_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _backward_name(n):$/;" f +_BACKWARD_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_BACKWARD_PREFIX = "__backward_"$/;" v +_bad_call adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ def _bad_call(self):$/;" m class:TestMultipleFields +_bad_control_character_codes adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^_bad_control_character_codes = set(range(0, 0x20)) - {0x9, 0xA, 0xD}$/;" v +_bad_tensor_splits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def _bad_tensor_splits(draw):$/;" f +_balanced_eq adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _balanced_eq(x, z, y):$/;" f +_BandedTriangularSolveGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _BandedTriangularSolveGrad(op, grad):$/;" f +_band_count adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _band_count(a):$/;" f +_barbs_doc adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^_barbs_doc = r"""$/;" v +_Barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^class _Barrier(object):$/;" c +_barrier adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_barrier = None$/;" v +_barrier adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _barrier(self, *args, **kwargs):$/;" m class:DistributedTest._DistTestBase +_BarrierTakeManyOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_BarrierTakeManyOutput = collections.namedtuple($/;" v +_Base adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ _Base = typing.Generic[_T]$/;" v +_Base adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class _Base:$/;" c class:ArrowStyle +_Base adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class _Base:$/;" c class:BoxStyle +_Base adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class _Base:$/;" c class:ConnectionStyle +_Base adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^class _Base:$/;" c +_Base adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ class _Base:$/;" c class:AxisArtistHelper +_Base adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ class _Base:$/;" c class:AxislineStyle +_base adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ _base = re.compile(r"""<base\\s+href\\s*=\\s*['"]?([^'">]+)""", re.I | re.S)$/;" v class:Page +_BaseAddress adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _BaseAddress(_IPAddressBase):$/;" c +_BaseBar adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^_BaseBar = _select_progress_class(IncrementalBar, Bar) # type: Any$/;" v +_baseclass adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _baseclass = ndarray$/;" v class:MaskedArray +_BaseDataLoaderIter adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class _BaseDataLoaderIter(object):$/;" c +_BaseDatasetFetcher adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^class _BaseDatasetFetcher(object):$/;" c +_BaseDistribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^class _BaseDistribution(object):$/;" c +_BaseFeaturesLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^class _BaseFeaturesLayer(Layer):$/;" c +_BaseFusedBatchNormGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BaseFusedBatchNormGrad(op, version, *grad):$/;" f +_BaseLinearOperatorCirculant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^class _BaseLinearOperatorCirculant(linear_operator.LinearOperator):$/;" c +_baseline_logit_fn_builder adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _baseline_logit_fn_builder(num_outputs, weight_column=None):$/;" f +_baseline_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _baseline_model_fn(features,$/;" f +_baseline_model_fn_builder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _baseline_model_fn_builder_v2(features, num_outputs, weight_column=None):$/;" f +_baseline_model_fn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _baseline_model_fn_v2($/;" f +_BaseMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^class _BaseMultiCallable:$/;" c +_basename adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _basename(path):$/;" f +_BaseNetwork adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _BaseNetwork(_IPAddressBase):$/;" c +_BaseSlice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^_BaseSlice = slice$/;" v +_basetuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^_basetuple = tuple$/;" v +_BaseV4 adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _BaseV4(object):$/;" c +_BaseV6 adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _BaseV6(object):$/;" c +_BaseVersion adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^class _BaseVersion(object):$/;" c +_BaseVersion adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^class _BaseVersion(object):$/;" c +_BaseVersion adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^class _BaseVersion(object):$/;" c +_BaseVersion adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^class _BaseVersion(object):$/;" c +_base_library adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^_base_library = load_base_library()$/;" v +_base_temp_name adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _base_temp_name(self):$/;" m class:FileMovieWriter +_base_test_net adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def _base_test_net(self):$/;" m class:TestTransformations +_base_url adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^def _base_url(opset_version):$/;" f +_basic_auth_charset adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_basic_auth_charset = "utf-8"$/;" v +_basic_auth_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^def _basic_auth_str(username, password):$/;" f +_basic_auth_str adpepsenv/lib/python3.8/site-packages/requests/auth.py /^def _basic_auth_str(username, password):$/;" f +_basic_simpson adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _basic_simpson(y, start, stop, x, dx, axis):$/;" f +_basic_stats adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def _basic_stats(self, data):$/;" m class:NumericAttribute +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _batch(self, batch_size):$/;" m class:DatasetSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _batch(self, batch_size):$/;" m class:NoneTensorSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _batch(self, batch_size):$/;" m class:SparseTensorSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _batch(self, batch_size):$/;" m class:TensorSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _batch(self, batch_size):$/;" m class:BatchableTypeSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _batch(self, batch_size):$/;" m class:NdarraySpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _batch(self, batch_size):$/;" m class:RaggedTensorSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _batch(self, batch_size):$/;" m class:StructuredTensorSpec +_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _batch(tensors, batch_size, keep_input, num_threads=1, capacity=32,$/;" f +_BatchedRequestSender adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _BatchedRequestSender(object):$/;" c +_batched_cond_while adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _batched_cond_while(*args: TfVal,$/;" f +_batched_reduction_collective adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _batched_reduction_collective($/;" f +_BatchGatherGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _BatchGatherGrad(params_shape, values, indices, batch_dims,$/;" f +_BatchMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BatchMatMul(op, grad):$/;" f +_BatchMatMulV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BatchMatMulV2(op, grad):$/;" f +_BatchNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class _BatchNorm(_NormBase):$/;" c +_BatchNormGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BatchNormGrad(grad_y,$/;" f +_BatchNormWithGlobalNormalizationGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BatchNormWithGlobalNormalizationGrad(op, grad):$/;" f +_BatchNormWithGlobalNormalizationGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_BatchNormWithGlobalNormalizationGradOutput = collections.namedtuple($/;" v +_BatchOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^_BatchOutput = collections.namedtuple($/;" v +_BatchSelfAdjointEigV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_BatchSelfAdjointEigV2Output = collections.namedtuple($/;" v +_BatchSvdOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_BatchSvdOutput = collections.namedtuple($/;" v +_BatchToSpaceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _BatchToSpaceGrad(op, grad):$/;" f +_BatchToSpaceNDGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _BatchToSpaceNDGrad(op, grad):$/;" f +_batch_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _batch_accumulator(cls, primals, tangents):$/;" m class:ForwardAccumulator +_batch_all_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _batch_all_gather(self, per_replica_values, axis, options):$/;" m class:CollectiveAllReduce +_batch_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _batch_all_reduce(self, reduce_op, per_replica_values):$/;" m class:AllReduceCrossDeviceOps +_batch_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _batch_all_reduce(self, reduce_op, per_replica_values, options):$/;" m class:CollectiveAllReduce +_batch_bucket_one_hot_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _batch_bucket_one_hot_ref(data, lengths, boundaries):$/;" f member:TorchIntegration.test_batch_bucket_one_hot_op file: +_batch_capacitance_tril adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^def _batch_capacitance_tril(W, D):$/;" f +_batch_dynamic_slice_indices adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _batch_dynamic_slice_indices(indices, bdims):$/;" f +_batch_fun2 adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _batch_fun2(in_dims, *in_vals, **params):$/;" f +_batch_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _batch_gather(params, indices, batch_dims, axis=None):$/;" f +_batch_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _batch_gather(params, indices, axis, batch_dims):$/;" f +_batch_gather_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _batch_gather_to(self, value_destination_pairs, axis, options=None):$/;" m class:StrategyExtendedV2 +_batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _batch_join(tensors_list, batch_size, keep_input, capacity=32,$/;" f +_batch_lowrank_logdet adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^def _batch_lowrank_logdet(W, D, capacitance_tril):$/;" f +_batch_lowrank_mahalanobis adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^def _batch_lowrank_mahalanobis(W, D, x, capacitance_tril):$/;" f +_batch_mahalanobis adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^def _batch_mahalanobis(bL, bx):$/;" f +_batch_mv adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^def _batch_mv(bmat, bvec):$/;" f +_batch_norm_with_global_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def _batch_norm_with_global_normalization(t, m, v, beta, gamma, variance_epsilon, scale_after_no/;" f +_batch_norm_with_global_normalization_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def _batch_norm_with_global_normalization_eager_fallback(t, m, v, beta, gamma, variance_epsilon,/;" f +_batch_reduce_destination adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _batch_reduce_destination(x):$/;" f +_batch_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _batch_reduce_to(self, reduce_op, value_destination_pairs, options):$/;" m class:StrategyExtendedV2 +_batch_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _batch_reduce_to(self, reduce_op, value_destination_pairs, options):$/;" m class:MirroredExtended +_batch_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _batch_reduce_to(self, reduce_op, value_destination_pairs, options):$/;" m class:ParameterServerStrategyExtended +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _batch_shape(self):$/;" m class:Bernoulli +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _batch_shape(self):$/;" m class:Beta +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _batch_shape(self):$/;" m class:Categorical +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _batch_shape(self):$/;" m class:Dirichlet +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _batch_shape(self):$/;" m class:DirichletMultinomial +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _batch_shape(self):$/;" m class:Distribution +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _batch_shape(self):$/;" m class:Gamma +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _batch_shape(self):$/;" m class:Laplace +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _batch_shape(self):$/;" m class:Multinomial +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _batch_shape(self):$/;" m class:Normal +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _batch_shape(self):$/;" m class:StudentT +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _batch_shape(self):$/;" m class:TransformedDistribution +_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _batch_shape(self):$/;" m class:Uniform +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _batch_shape_tensor(self):$/;" m class:Bernoulli +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _batch_shape_tensor(self):$/;" m class:Beta +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _batch_shape_tensor(self):$/;" m class:Categorical +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _batch_shape_tensor(self):$/;" m class:Dirichlet +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _batch_shape_tensor(self):$/;" m class:DirichletMultinomial +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _batch_shape_tensor(self):$/;" m class:Distribution +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _batch_shape_tensor(self):$/;" m class:Gamma +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _batch_shape_tensor(self):$/;" m class:Laplace +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _batch_shape_tensor(self):$/;" m class:Multinomial +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _batch_shape_tensor(self):$/;" m class:Normal +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _batch_shape_tensor(self):$/;" m class:StudentT +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _batch_shape_tensor(self):$/;" m class:TransformedDistribution +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _batch_shape_tensor(self):$/;" m class:Uniform +_batch_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _batch_shape_tensor(self, shape=None):$/;" m class:LinearOperator +_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^_BATCH_SIZE = 10$/;" v +_BATCH_SIZE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_BATCH_SIZE_KEY = 'batch_size'$/;" v +_batch_trace_process_xmap adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _batch_trace_process_xmap(self, primitive, f: lu.WrappedFun, tracers, params):$/;" f +_batch_trace_XXT adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _batch_trace_XXT(bmat):$/;" f +_batch_update_progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _batch_update_progbar(self, batch, logs=None):$/;" m class:ProgbarLogger +_bazel_selected_py3 adpepsenv/lib/python3.8/site-packages/absl/testing/_bazelize_command.py /^ _bazel_selected_py3 = None$/;" v +_bbox_overlap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _bbox_overlap(boxes_a, boxes_b):$/;" f +_bcast_lwls adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _bcast_lwls(linewidths, dashes):$/;" m class:Collection +_bdist_wheel_tag adpepsenv/lib/python3.8/site-packages/wheel/cli/convert.py /^class _bdist_wheel_tag(bdist_wheel):$/;" c +_BEFORE_SEQ adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_BEFORE_SEQ = ['000', '000', '000', '000', '000', '000', '000', '000',$/;" v +_begin_file adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_begin_file = "begin_file"$/;" v +_begin_form adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_begin_form = "begin_form"$/;" v +_begin_shutdown_once adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _begin_shutdown_once(state):$/;" f +_BENCHMARKENTRIES adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_BENCHMARKENTRIES = _descriptor.Descriptor($/;" v +_BENCHMARKENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_BENCHMARKENTRY = _descriptor.Descriptor($/;" v +_BENCHMARKENTRY_EXTRASENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_BENCHMARKENTRY_EXTRASENTRY = _descriptor.Descriptor($/;" v +_BenchmarkRegistrar adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^class _BenchmarkRegistrar(type):$/;" c +_bernoulli adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _bernoulli(key, p, shape) -> jnp.ndarray:$/;" f +_BERNOULLI_COEFS adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_BERNOULLI_COEFS = [$/;" v +_BesselI0eGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselI0eGrad(op, grad):$/;" f +_BesselI0Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselI0Grad(op, grad):$/;" f +_BesselI1eGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselI1eGrad(op, grad):$/;" f +_BesselI1Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselI1Grad(op, grad):$/;" f +_BesselJ0Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselJ0Grad(op, grad):$/;" f +_BesselJ1Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselJ1Grad(op, grad):$/;" f +_BesselK0eGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselK0eGrad(op, grad):$/;" f +_BesselK0Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselK0Grad(op, grad):$/;" f +_BesselK1eGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselK1eGrad(op, grad):$/;" f +_BesselK1Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselK1Grad(op, grad):$/;" f +_BesselY0Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselY0Grad(op, grad):$/;" f +_BesselY1Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BesselY1Grad(op, grad):$/;" f +_bessel_diff_formula adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def _bessel_diff_formula(v, z, n, L, phase):$/;" f +_bessel_i1e_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _bessel_i1e_jvp(g, y, x):$/;" f +_bessel_poly adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _bessel_poly(n, reverse=False):$/;" f +_bessel_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _bessel_zeros(N):$/;" f +_best1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _best1(self, samples):$/;" m class:DifferentialEvolutionSolver +_best2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _best2(self, samples):$/;" m class:DifferentialEvolutionSolver +_best_effort_input_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _best_effort_input_batch_size(flat_input):$/;" f +_best_single_match adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _best_single_match(self, match):$/;" m class:Accept +_best_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^def _best_version(fields):$/;" f +_beta adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _beta(key, a, b, shape, dtype):$/;" f +_betai adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _betai(a, b, x):$/;" f +_BetaincGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _BetaincGrad(op, grad):$/;" f +_beta_init adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ _beta_init = lambda rng, shape: beta_init(rng, shape) if center else ()$/;" f function:BatchNorm file: +_beta_metadatum adpepsenv/lib/python3.8/site-packages/grpc/beta/_metadata.py /^def _beta_metadatum(key, value):$/;" f +_beta_mle_a adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _beta_mle_a(a, b, n, s1):$/;" f +_beta_mle_ab adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _beta_mle_ab(theta, n, s1, s2):$/;" f +_beta_sample_note adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^_beta_sample_note = """Note: `x` must have dtype `self.dtype` and be in$/;" v +_BETTER_FNS adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_BETTER_FNS = {$/;" v +_BFGSResults adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^class _BFGSResults(NamedTuple):$/;" c +_bfloat16_dtype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^_bfloat16_dtype = np.dtype(bfloat16)$/;" v +_bfloat16_finfo adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^ def _bfloat16_finfo():$/;" m class:finfo +_bfs_for_reachable_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _bfs_for_reachable_nodes(target_nodes, name_to_input_name):$/;" f +_BiasAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BiasAddGrad(op, received_grad):$/;" f +_BiasAddGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BiasAddGradGrad(op, received_grad):$/;" f +_BiasAddGradV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BiasAddGradV1(unused_bias_op, received_grad):$/;" f +_BiasLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _BiasLayer(base.Layer):$/;" c +_bias_add_grad_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _bias_add_grad_flops(graph, node):$/;" f +_BIAS_ID adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^_BIAS_ID = 0$/;" v +_BIAS_VARIABLE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^_BIAS_VARIABLE_NAME = "bias"$/;" v +_BIAS_VARIABLE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^_BIAS_VARIABLE_NAME = rnn_cell_impl._BIAS_VARIABLE_NAME$/;" v +_biject_to_cat adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _biject_to_cat(constraint):$/;" f +_biject_to_simplex adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _biject_to_simplex(constraint):$/;" f +_biject_to_stack adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _biject_to_stack(constraint):$/;" f +_bilinear_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _bilinear_taylor_rule(prim, primals_in, series_in, **params):$/;" f +_BinaryLogisticHeadWithSigmoidCrossEntropyLoss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^class _BinaryLogisticHeadWithSigmoidCrossEntropyLoss(_Head):$/;" c +_binary_assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _binary_assert(sym, opname, op_func, static_func, x, y, data, summarize,$/;" f +_binary_assert_doc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _binary_assert_doc(sym):$/;" f +_binary_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_binary_data = {$/;" v +_BINARY_ELEMENTWISE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_BINARY_ELEMENTWISE_OPS = [$/;" v +_binary_erosion adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def _binary_erosion(input, structure, iterations, mask, output,$/;" f +_binary_logistic_head_with_sigmoid_cross_entropy_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _binary_logistic_head_with_sigmoid_cross_entropy_loss($/;" f +_binary_logistic_or_multi_class_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _binary_logistic_or_multi_class_head(n_classes, weight_column,$/;" f +_binary_method adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _binary_method(ufunc, name):$/;" f +_binary_op adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _binary_op(fn):$/;" f +_binary_operators adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _binary_operators = set('''$/;" v class:Parser +_binary_op_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _binary_op_dispatcher(x1, x2):$/;" f +_binary_op_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _binary_op_dispatcher(a1, a2):$/;" f +_binary_per_element_op_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _binary_per_element_op_flops(graph, node, ops_per_element=1):$/;" f +_binary_replace adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^def _binary_replace(replace_bit, original_dict, new_dict, keys=None):$/;" f +_BINARY_SIZE_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ _BINARY_SIZE_THRESHOLD = 2 ** 14$/;" v class:SliceAggregator +_BindValue adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1905.py /^class _BindValue(univ.Choice):$/;" c +_bind_draw_path_function adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _bind_draw_path_function(self, renderer):$/;" m class:Patch +_binomial adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ _binomial = {'best1bin': '_best1',$/;" v class:DifferentialEvolutionSolver +_binomial_cdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _binomial_cdf(k, n, p):$/;" f +_BinOp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _BinOp(self, t):$/;" m class:Unparser +_binopt adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _binopt(self, other, op, in_shape=None, out_shape=None):$/;" m class:bsr_matrix +_binopt adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _binopt(self, other, op):$/;" m class:_cs_matrix +_BINSUMMARY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_BINSUMMARY = _descriptor.Descriptor($/;" v +_bin_edges adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def _bin_edges(sample, bins=None, range=None):$/;" f +_bin_numbers adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def _bin_numbers(sample, nbin, edges, dedges):$/;" f +_bin_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _bin_op(tf_fun, a, b, promote=True):$/;" f +_bitcast_convert_type adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _bitcast_convert_type(operand, new_dtype):$/;" f +_bitcast_convert_type_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _bitcast_convert_type_dtype_rule(operand, *, new_dtype):$/;" f +_bitcast_convert_type_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _bitcast_convert_type_shape_rule(operand, *, new_dtype):$/;" f +_bitcast_convert_type_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _bitcast_convert_type_translation_rule(c, operand, *, new_dtype):$/;" f +_bitmap adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ def _bitmap(self, header=0, offset=0):$/;" m class:BmpImageFile +_bitmap_select adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _bitmap_select(s, seq):$/;" f +_bits adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^_bits = {'32bit': 32, '64bit': 64}$/;" v +_bits_of adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _bits_of(obj):$/;" f +_bitwise_binary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _bitwise_binary_op(tf_fn, x1, x2): # pylint: disable=missing-function-docstring$/;" f +_bit_list_to_bytes adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^def _bit_list_to_bytes(bit_list):$/;" f +_bit_stats adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _bit_stats(bits):$/;" f +_BivariateSplineBase adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^class _BivariateSplineBase(object):$/;" c +_blas_alias adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^_blas_alias = {'cnrm2': 'scnrm2', 'znrm2': 'dznrm2',$/;" v +_blas_ilp64_pre_build_hook adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def _blas_ilp64_pre_build_hook(cmd, ext, blas_info):$/;" f +_BlendedMixin adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^class _BlendedMixin:$/;" c +_blit_clear adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _blit_clear(self, artists):$/;" m class:Animation +_blit_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _blit_draw(self, artists):$/;" m class:Animation +_BLOB adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_BLOB = _descriptor.Descriptor($/;" v +_BlobDict adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^class _BlobDict(object):$/;" c +_BLOBPROFILE adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_BLOBPROFILE = _descriptor.Descriptor($/;" v +_BLOBPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_BLOBPROTO = _descriptor.Descriptor($/;" v +_BlobRequestSender adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _BlobRequestSender(object):$/;" c +_BLOBSEQUENCE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_BLOBSEQUENCE = _descriptor.Descriptor($/;" v +_BLOBSEQUENCEENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_BLOBSEQUENCEENTRY = _descriptor.Descriptor($/;" v +_BLOBSMAP adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_BLOBSMAP = _descriptor.Descriptor($/;" v +_BLOBSTATE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_BLOBSTATE = _descriptor.EnumDescriptor($/;" v +_BlobTracker adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^class _BlobTracker(object):$/;" c +_Blob_feed adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Blob_feed(blob, arg, device_option=None):$/;" f +_blob_to_node adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _blob_to_node(producing_ops, shapes, name):$/;" f +_blob_to_node adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _blob_to_node(producing_ops, shapes, name):$/;" f +_Blob_to_torch adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Blob_to_torch(blob):$/;" f +_block adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def _block(self, timeout):$/;" m class:_ChannelReadyFuture +_block adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def _block(self, timeout):$/;" m class:_ChannelReadyFuture +_block adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _block(xs):$/;" f +_block adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block(arrays, max_depth, result_ndim, depth=0):$/;" f +_block adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _block(self, count):$/;" m class:TarInfo +_Block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^class _Block(object):$/;" c +_Block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^class _Block(object):$/;" c +_blocked_elementwise adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def _blocked_elementwise(func):$/;" f +_blocking adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _blocking(self, request, timeout, metadata, credentials, wait_for_ready,$/;" m class:_UnaryUnaryMultiCallable +_blocking adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _blocking(self, request_iterator, timeout, metadata, credentials,$/;" m class:_StreamUnaryMultiCallable +_blocking_errnos adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^_blocking_errnos = {errno.EAGAIN, errno.EWOULDBLOCK}$/;" v +_blocking_errnos adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^_blocking_errnos = {errno.EAGAIN, errno.EWOULDBLOCK}$/;" v +_blocking_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _blocking_stream_unary(channel, group, method, timeout, with_call,$/;" f +_blocking_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _blocking_unary_unary(channel, group, method, timeout, with_call,$/;" f +_blocklist_caffe2_args adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _blocklist_caffe2_args = {$/;" v class:Caffe2Frontend +_BlockLSTMGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_BlockLSTMGradOutput = collections.namedtuple($/;" v +_BlockLSTMGradV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_BlockLSTMGradV2Output = collections.namedtuple($/;" v +_BlockLSTMOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_BlockLSTMOutput = collections.namedtuple($/;" v +_BlockLSTMV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_BlockLSTMV2Output = collections.namedtuple($/;" v +_block_check_depths_match adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_check_depths_match(arrays, parent_index=[]):$/;" f +_block_concatenate adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_concatenate(arrays, list_ndim, result_ndim):$/;" f +_block_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_dispatcher(arrays):$/;" f +_block_domain_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _block_domain_dimensions(self):$/;" m class:LinearOperatorBlockDiag +_block_domain_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _block_domain_dimensions(self):$/;" m class:LinearOperatorBlockLowerTriangular +_block_domain_dimension_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _block_domain_dimension_tensors(self):$/;" m class:LinearOperatorBlockDiag +_block_domain_dimension_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _block_domain_dimension_tensors(self):$/;" m class:LinearOperatorBlockLowerTriangular +_block_force_concatenate adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def _block_force_concatenate(arrays):$/;" f member:TestBlock.block file: +_block_force_slicing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_shape_base.py /^ def _block_force_slicing(arrays):$/;" f member:TestBlock.block file: +_block_format_index adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_format_index(index):$/;" f +_block_info_recursion adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_info_recursion(arrays, max_depth, result_ndim, depth=0):$/;" f +_block_list_in_opset adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _block_list_in_opset(name):$/;" f +_block_lstm_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_grad.py /^def _block_lstm_grad(op, *grads):$/;" f +_block_op adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _block_op(b, opname, *args, **kwargs):$/;" f +_block_orth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _block_orth(self, p1, p2):$/;" m class:ConvolutionOrthogonal2D +_block_orth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _block_orth(self, p1, p2, p3):$/;" m class:ConvolutionOrthogonal3D +_block_orth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _block_orth(self, projection_matrix):$/;" m class:ConvolutionOrthogonal1D +_block_range_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _block_range_dimensions(self):$/;" m class:LinearOperatorBlockDiag +_block_range_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _block_range_dimensions(self):$/;" m class:LinearOperatorBlockLowerTriangular +_block_range_dimension_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _block_range_dimension_tensors(self):$/;" m class:LinearOperatorBlockDiag +_block_range_dimension_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _block_range_dimension_tensors(self):$/;" m class:LinearOperatorBlockLowerTriangular +_block_setup adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_setup(arrays):$/;" f +_block_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _block_shape_tensor(self, spectrum_shape=None):$/;" m class:_BaseLinearOperatorCirculant +_block_slicing adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _block_slicing(arrays, list_ndim, result_ndim):$/;" f +_block_statement_live_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def _block_statement_live_in(self, node, entry_node):$/;" m class:TreeAnnotator +_block_statement_live_out adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def _block_statement_live_out(self, node):$/;" m class:TreeAnnotator +_block_y adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _block_y(self, screen_coord_sys=False):$/;" m class:ScrollBar +_BLPBaseDecoder adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^class _BLPBaseDecoder(ImageFile.PyDecoder):$/;" c +_Blues_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Blues_data = ($/;" v +_bmp adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _bmp():$/;" f function:decode_image file: +_bn_check_op_level adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _bn_check_op_level(self, device_type, seed, batch_size):$/;" m class:DataParallelModelTest +_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _body(i, _):$/;" f member:_InitializeClustersOpFactory._kmc2_multiple_centers file: +_BodyTracer adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class _BodyTracer(object):$/;" c +_body_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def _body_fn(kXVU):$/;" f function:_gamma_one file: +_body_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _body_fn(i, h):$/;" f function:_stack_tensor_list file: +_bone_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_bone_data = {'red': ((0., 0., 0.),$/;" v +_bool adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _bool = _nonzero = concretization_function_error(bool)$/;" v class:UnshapedArray +_bool adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _bool = _nonzero = partialmethod(_forward_to_value, bool)$/;" v class:ConcreteArray +_bool adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_bool = {np.bool_}$/;" v +_bool adpepsenv/lib/python3.8/site-packages/torch/types.py /^_bool = builtins.bool$/;" v +_BoolCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _BoolCodec(object):$/;" c +_Boolean adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Boolean(Constraint):$/;" c +_BooleanFlagAction adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^class _BooleanFlagAction(argparse.Action):$/;" c +_boolean_attributes adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _boolean_attributes = {$/;" v class:HTMLBuilder +_boolean_index_to_array adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _boolean_index_to_array(idx):$/;" f +_BoolOp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _BoolOp(self, t):$/;" m class:Unparser +_boolrelextrema adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _boolrelextrema(data, comparator, axis=0, order=1, mode='clip'):$/;" f +_BOOLVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_BOOLVALUE = _descriptor.Descriptor($/;" v +_bool_gauge_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_bool_gauge_methods = [$/;" v +_bool_or_int adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_bool_or_int = _int | _bool$/;" v +_BoostedTreesBase adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _BoostedTreesBase(estimator.Estimator):$/;" c +_BoostedTreesCalculateBestFeatureSplitOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesCalculateBestFeatureSplitOutput = collections.namedtuple($/;" v +_BoostedTreesCalculateBestFeatureSplitV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesCalculateBestFeatureSplitV2Output = collections.namedtuple($/;" v +_BoostedTreesCalculateBestGainsPerFeatureOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesCalculateBestGainsPerFeatureOutput = collections.namedtuple($/;" v +_BoostedTreesGetEnsembleStatesOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesGetEnsembleStatesOutput = collections.namedtuple($/;" v +_BoostedTreesSerializeEnsembleOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesSerializeEnsembleOutput = collections.namedtuple($/;" v +_BoostedTreesSparseAggregateStatsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesSparseAggregateStatsOutput = collections.namedtuple($/;" v +_BoostedTreesSparseCalculateBestFeatureSplitOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesSparseCalculateBestFeatureSplitOutput = collections.namedtuple($/;" v +_BoostedTreesTrainingPredictOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^_BoostedTreesTrainingPredictOutput = collections.namedtuple($/;" v +_bootstrap adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def _bootstrap(self):$/;" m class:MPIPoolExecutor +_bootstrap_median adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def _bootstrap_median(data, N=5000):$/;" f function:boxplot_stats file: +_bootstrap_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def _bootstrap_ops(self, net, copied_cur_layer, indices, iteration):$/;" m class:FCWithBootstrap +_bop adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _bop(self, c0, c1, c2, c3, c4, c5, c6, c7, c8, c9, p):$/;" m class:Dvi +_border adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def _border(border):$/;" f +_BOTTLENECKANALYSIS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_BOTTLENECKANALYSIS = _descriptor.Descriptor($/;" v +_BoundAdapterWrapper adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^class _BoundAdapterWrapper(BoundFunctionWrapper):$/;" c +_boundaries_to_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _boundaries_to_sizes(a, boundaries, axis):$/;" f +_boundarydict adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^_boundarydict = {'fill': 0, 'pad': 0, 'wrap': 2, 'circular': 2, 'symm': 1,$/;" v +_BOUNDEDADAGRADPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_BOUNDEDADAGRADPARAMETERS = _descriptor.Descriptor($/;" v +_BoundedLoopBuilder adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class _BoundedLoopBuilder(_LoopBuilder):$/;" c +_BoundedTensorSpecCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _BoundedTensorSpecCodec(object):$/;" c +_BOUNDEDTENSORSPECPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_BOUNDEDTENSORSPECPROTO = _descriptor.Descriptor($/;" v +_BOUNDEDTENSORSPECPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_BOUNDEDTENSORSPECPROTO = _descriptor.Descriptor($/;" v +_bounds_check adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _bounds_check(self, kind='linear'):$/;" m class:TestInterp1D +_bounds_check_int_nan_fill adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _bounds_check_int_nan_fill(self, kind='linear'):$/;" m class:TestInterp1D +_BOUND_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_BOUND_VALUE = object()$/;" v +_boxcox_conf_interval adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _boxcox_conf_interval(x, lmax, alpha):$/;" f +_boxcox_data adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^_boxcox_data = [$/;" v +_Bracket adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class _Bracket(_Base):$/;" c class:ArrowStyle +_BRACKETS_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^_BRACKETS_PATTERN = re.compile(r"\\[[^\\]]*\\]")$/;" v +_branch_iterate adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def _branch_iterate(path, inputs, remaining, flops, size):$/;" f member:BranchBound.__call__ file: +_BrBG_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_BrBG_data = ($/;" v +_brcast adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _brcast(x, *others):$/;" f +_brcast_to adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _brcast_to(x, shape):$/;" f +_breadth_first_traversal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def _breadth_first_traversal(self):$/;" m class:ObjectGraphView +_Break adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Break(self, t):$/;" m class:Unparser +_Break adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^class _Break(object):$/;" c +_break_share_link adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _break_share_link(ax, grouper):$/;" f member:Figure.delaxes file: +_brg_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_brg_data = ((0.0, 0.0, 1.0), (1.0, 0.0, 0.0), (0.0, 1.0, 0.0))$/;" v +_briggs_helper_function adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _briggs_helper_function(a, k):$/;" f +_Broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _Broadcast(devices, model, net, param, use_nccl=False):$/;" f +_broadcast adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _broadcast(operand, *, sizes):$/;" f +_broadcast adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def _broadcast(x):$/;" f function:_threefry2x32_gpu_translation_rule file: +_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _broadcast(v):$/;" f member:BatchNormalizationBase.call file: +_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _broadcast(v):$/;" f member:LayerNormalization.call file: +_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _broadcast(logits, event):$/;" f member:Bernoulli._log_prob file: +_BroadcastComputedParams adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _BroadcastComputedParams(devices, model, rendezvous, use_nccl=False):$/;" f +_BroadcastComputedParamsDistributed adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _BroadcastComputedParamsDistributed($/;" f +_BroadcastComputedParamsSingleHost adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _BroadcastComputedParamsSingleHost(devices, model, use_nccl=False):$/;" f +_broadcasted_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _broadcasted_translation_rule(c, *args, **kwargs):$/;" f function:_broadcast_translate file: +_BroadcastGradientArgsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_BroadcastGradientArgsOutput = collections.namedtuple($/;" v +_broadcasting_binary_op adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _broadcasting_binary_op(fn):$/;" f +_broadcasting_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def _broadcasting_gather(x, i):$/;" f +_broadcasting_select adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcasting_select(c, which, x, y):$/;" f +_broadcasting_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcasting_shape_rule(name, *avals):$/;" f +_BroadcastMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _BroadcastMul(vec, mat):$/;" f +_BroadcastToGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _BroadcastToGrad(op, grad):$/;" f +_broadcast_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _broadcast_array(array, array_shape, result_shape):$/;" f function:_broadcast_translate file: +_broadcast_arrays adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _broadcast_arrays(a, b):$/;" f +_broadcast_arrays_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def _broadcast_arrays_dispatcher(*args, subok=None):$/;" f +_broadcast_batch_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _broadcast_batch_dims(self, x, spectrum):$/;" m class:_BaseLinearOperatorCirculant +_broadcast_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_batch_rule(batched_args, batch_dims, *, sizes):$/;" f +_broadcast_cat_event_and_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^def _broadcast_cat_event_and_params(event, params, base_dtype):$/;" f +_broadcast_coalesced_reshape adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _broadcast_coalesced_reshape(tensors, devices, detach=False):$/;" f +_broadcast_color_arg adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _broadcast_color_arg(color, name):$/;" f member:Axes3D.voxels file: +_broadcast_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _broadcast_fn():$/;" f member:Container._maybe_broadcast_to_outputs file: +_broadcast_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _broadcast_grad(op, accumulated_grad):$/;" f +_broadcast_inner_dimension_to_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def _broadcast_inner_dimension_to_ragged(self, axis, lengths):$/;" m class:RaggedTensorDynamicShape +_broadcast_inner_dimension_to_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def _broadcast_inner_dimension_to_uniform(self, axis, length):$/;" m class:RaggedTensorDynamicShape +_broadcast_in_dim adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _broadcast_in_dim(operand, *, shape, broadcast_dimensions):$/;" f +_broadcast_in_dim_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_in_dim_batch_rule(batched_args, batch_dims, *, shape,$/;" f +_broadcast_in_dim_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_in_dim_impl(operand, *, shape, broadcast_dimensions):$/;" f +_broadcast_in_dim_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_in_dim_shape_rule(operand, *, shape, broadcast_dimensions):$/;" f +_broadcast_in_dim_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_in_dim_transpose_rule(ct, operand, *, shape, broadcast_dimensions):$/;" f +_broadcast_normalize_batch_in_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _broadcast_normalize_batch_in_training(x,$/;" f +_broadcast_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _broadcast_operators = {$/;" v class:Caffe2Backend +_broadcast_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _broadcast_output(self, destinations, output):$/;" m class:TPUExtended +_broadcast_shape adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def _broadcast_shape(*args):$/;" f +_broadcast_shapes adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _broadcast_shapes(shape1, shape2):$/;" f +_broadcast_shapes_with_dropped_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _broadcast_shapes_with_dropped_axis(a, b, axis):$/;" f +_broadcast_shape_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/common_shapes.py /^def _broadcast_shape_helper(shape_x, shape_y):$/;" f +_broadcast_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_shape_rule(operand, sizes):$/;" f +_broadcast_to adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def _broadcast_to(array, shape, subok, readonly):$/;" f +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:StrategyExtendedV1 +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:_DefaultDistributionExtended +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:MirroredExtended +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:OneDeviceExtended +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:ParameterServerStrategyExtended +_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _broadcast_to(self, tensor, destinations):$/;" m class:TPUExtended +_broadcast_to_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def _broadcast_to_dispatcher(array, shape, subok=None):$/;" f +_broadcast_to_followers adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _broadcast_to_followers(sequence_id, objects_map):$/;" f +_broadcast_to_pairs adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _broadcast_to_pairs(nvals, nd, name):$/;" f +_broadcast_to_ragged_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^def _broadcast_to_ragged_shape(rt_input, dst_shape, broadcast_inner_dimensions):$/;" f +_broadcast_to_uniform_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^def _broadcast_to_uniform_shape(rt_input, shape, broadcast_inner_dimensions):$/;" f +_broadcast_translate adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _broadcast_translate(translate: Callable):$/;" f +_broadcast_uniform_partitioned_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def _broadcast_uniform_partitioned_dimension(self, axis, lengths):$/;" m class:RaggedTensorDynamicShape +_broken_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _broken_operators = {$/;" v class:Caffe2Backend +_browser_version_re adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ _browser_version_re = r"(?:%s)[\/\\sa-z(]*(\\d+[.\\da-z]+)?"$/;" v class:UserAgentParser +_Brute_Wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class _Brute_Wrapper(object):$/;" c +_bslash adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_bslash = chr(92)$/;" v +_bslash adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_bslash = chr(92)$/;" v +_bslash adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_bslash = chr(92)$/;" v +_bslash adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_bslash = chr(92)$/;" v +_bspline_piecefunctions adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _bspline_piecefunctions(order):$/;" f +_bsr_ops adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ _bsr_ops = [pytest.param("matmat", marks=pytest.mark.xslow),$/;" v class:TestInt32Overflow +_bt_explanations_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _bt_explanations_fn(features,$/;" f +_bt_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _bt_model_fn(features,$/;" f +_bucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _bucketize(input, boundaries, name=None):$/;" f +_BucketizedColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _BucketizedColumn(_DenseColumn, _CategoricalColumn,$/;" c +_BUCKETIZEDSPLIT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_BUCKETIZEDSPLIT = _descriptor.Descriptor($/;" v +_bucketized_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _bucketized_column(source_column, boundaries):$/;" f +_bucketize_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def _bucketize_op(bins):$/;" f member:Discretization.call file: +_bucketize_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _bucketize_ref(X):$/;" f member:TorchIntegration.test_bucketize_op file: +_buckets adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary.py /^def _buckets(data, bucket_count=None):$/;" f +_buckets adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/summary_v2.py /^def _buckets(data, bucket_count=None):$/;" f +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = NbufferT$/;" v class:TestCreateValuesNestedMultiple +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = NbufferT$/;" v class:TestReadValuesNestedMultiple +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = NbufferT[0]$/;" v class:TestCreateValuesNestedSingle +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = NbufferT[0]$/;" v class:TestReadValuesNestedSingle +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = PbufferT$/;" v class:TestCreateValuesPlainMultiple +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = PbufferT$/;" v class:TestReadValuesPlainMultiple +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = PbufferT[0]$/;" v class:TestCreateValuesPlainSingle +_buffer adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _buffer = PbufferT[0]$/;" v class:TestReadValuesPlainSingle +_BUFFERALLOCATIONPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_BUFFERALLOCATIONPROTO = _descriptor.Descriptor($/;" v +_BUFFERALLOCATIONPROTO_ASSIGNED adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_BUFFERALLOCATIONPROTO_ASSIGNED = _descriptor.Descriptor($/;" v +_BUFFERASSIGNMENTPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_BUFFERASSIGNMENTPROTO = _descriptor.Descriptor($/;" v +_BUFFERASSIGNMENTPROTO_BUFFERALIAS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_BUFFERASSIGNMENTPROTO_BUFFERALIAS = _descriptor.Descriptor($/;" v +_bufferedBytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def _bufferedBytes(self):$/;" m class:BufferedStream +_bufferedBytes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def _bufferedBytes(self):$/;" m class:BufferedStream +_buffer_decode adpepsenv/lib/python3.8/site-packages/idna/codec.py /^ def _buffer_decode(self, data, errors, final):$/;" m class:IncrementalDecoder +_buffer_decode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^ def _buffer_decode(self, data, errors, final):$/;" m class:IncrementalDecoder +_buffer_encode adpepsenv/lib/python3.8/site-packages/idna/codec.py /^ def _buffer_encode(self, data, errors, final):$/;" m class:IncrementalEncoder +_buffer_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^ def _buffer_encode(self, data, errors, final):$/;" m class:IncrementalEncoder +_BuGn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_BuGn_data = ($/;" v +_build adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def _build($/;" f +_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def _build(self, shape):$/;" m class:AUC +_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def _build(self, shape):$/;" m class:MeanTensor +_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _build(self, checkpoint_path, build_save, build_restore):$/;" m class:Saver +_build adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _build(wrapped, wrapper, enabled=None, adapter=None):$/;" f function:decorator file: +_BuildCondTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _BuildCondTensor(self, v):$/;" m class:CondContext +_BUILDCONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_BUILDCONFIGURATION = _descriptor.Descriptor($/;" v +_BuildInputFn adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _BuildInputFn():$/;" f member:TfTrtIntegrationTestBase._GetInferGraph file: +_BuildLoop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _BuildLoop(self, pred, body, original_loop_vars, loop_vars,$/;" m class:WhileContext +_BuildMessageFromTypeName adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _BuildMessageFromTypeName(type_name, descriptor_pool):$/;" f +_BuildMetaBackend adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^class _BuildMetaBackend(object):$/;" c +_BuildMetaLegacyBackend adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^class _BuildMetaLegacyBackend(_BuildMetaBackend):$/;" c +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3281.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3852.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4211.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^def _buildOid(*components):$/;" f +_buildOid adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^def _buildOid(*components):$/;" f +_BuildTFItem adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def _BuildTFItem(self):$/;" m class:Item +_build_accumulator_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _build_accumulator_name(tensor):$/;" f +_build_advisor_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^def _build_advisor_options(options):$/;" f +_build_argument_parsers adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _build_argument_parsers(self, config):$/;" m class:DebugAnalyzer +_build_attention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def _build_attention(self, rank):$/;" m class:MultiHeadAttention +_build_attention_equation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^def _build_attention_equation(rank, attn_axes):$/;" f +_build_backend adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def _build_backend():$/;" f +_build_call_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _build_call_outputs(self, result):$/;" m class:ConcreteFunction +_build_case adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _build_case(branch_index,$/;" f +_build_chunks adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^def _build_chunks(chunksize, iterable):$/;" f +_build_cmdtuple adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^def _build_cmdtuple(path, cmdtuples):$/;" f +_build_combined_description adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _build_combined_description(descriptions, description_to_runs):$/;" f +_build_composite_tensor_info_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^def _build_composite_tensor_info_internal(tensor):$/;" f +_build_computation_for_inference adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _build_computation_for_inference(model_fn, labels, config, params):$/;" f +_build_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _build_cond(pred,$/;" f +_build_cond_placeholders_name_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _build_cond_placeholders_name_prefix(cond_graph):$/;" f +_build_debug_info_func adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import build_debug_info_func as _build_debug_info_func$/;" x +_build_decoder adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def _build_decoder($/;" m class:Seq2SeqModelCaffe2EnsembleDecoder +_build_dep_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _build_dep_map(self):$/;" m class:Distribution +_build_dep_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _build_dep_map(self):$/;" m class:Distribution +_build_distributed_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _build_distributed_network(model, strategy, mode, inputs=None,$/;" f +_build_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _build_eager(self, checkpoint_path, build_save, build_restore):$/;" m class:Saver +_build_element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _build_element_shape(shape):$/;" f +_build_embeddings adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _build_embeddings(self, model):$/;" m class:Seq2SeqModelCaffe2 +_build_empty_row adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def _build_empty_row(self, parent, align):$/;" m class:TableProcessor +_build_error_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _build_error_message(op, input_ops, common_prefix):$/;" f +_build_err_msg adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def _build_err_msg():$/;" f function:assert_almost_equal file: +_build_exe versioneer.py /^ from cx_Freeze.dist import build_exe as _build_exe$/;" x function:get_cmdclass file: +_build_ext adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ from Cython.Distutils.build_ext import build_ext as _build_ext$/;" x +_build_ext adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ _build_ext = _du_build_ext$/;" v +_build_extensions_parallel adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def _build_extensions_parallel(self):$/;" m class:build_ext +_build_extensions_serial adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def _build_extensions_serial(self):$/;" m class:build_ext +_build_filter adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def _build_filter(*patterns):$/;" m class:PackageFinder +_build_from_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _build_from_requirements(cls, req_spec):$/;" m class:WorkingSet +_build_from_requirements adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _build_from_requirements(cls, req_spec):$/;" m class:WorkingSet +_build_from_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def _build_from_signature(self, query, value, key=None):$/;" m class:MultiHeadAttention +_build_functions_for_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _build_functions_for_outputs($/;" m class:_TapeGradientFunctions +_build_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _build_graph(self, ds_fn, sparse_tensors=False):$/;" m class:DatasetSerializationTestBase +_build_graph_network_for_inferred_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def _build_graph_network_for_inferred_shape(self,$/;" m class:Sequential +_build_import_library_amd64 adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def _build_import_library_amd64():$/;" f +_build_import_library_x86 adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def _build_import_library_x86():$/;" f +_build_index adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def _build_index(self):$/;" m class:FancyGetopt +_build_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _build_internal(self,$/;" m class:BaseSaverBuilder +_build_latex_header adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _build_latex_header():$/;" m class:LatexManager +_build_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _build_map(outputs):$/;" f +_build_map_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _build_map_helper(tensor, finished_nodes, nodes_in_progress,$/;" f +_build_master adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _build_master(cls):$/;" m class:WorkingSet +_build_master adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _build_master(cls):$/;" m class:WorkingSet +_build_maximum_iterations_loop_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _build_maximum_iterations_loop_var(maximum_iterations):$/;" f +_build_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _build_meta_graph(obj,$/;" f +_build_meta_graph_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _build_meta_graph_impl(obj,$/;" f +_build_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _build_model($/;" m class:Seq2SeqModelCaffe2 +_build_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def _build_model(strategy, model, mode, inputs, targets=None):$/;" f +_build_model_with_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _build_model_with_inputs(self, inputs, targets):$/;" m class:Model +_build_multidim_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def _build_multidim_tensor(dim, dim_size, value=None):$/;" f +_build_multipart adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def _build_multipart(cls, data):$/;" m class:upload_docs +_build_naive adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _build_naive(self, res, default):$/;" m class:parser +_build_nccl_hybrid adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_nccl_hybrid(input_tensors, red_op, upper_level_f):$/;" f +_build_network_on_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _build_network_on_replica(model, mode, inputs=None, targets=None):$/;" f +_build_node_defs_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def _build_node_defs_list(self):$/;" m class:_ConverterData +_build_npy_pkg_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^ def _build_npy_pkg_config(self, info, gd):$/;" m class:build_src +_build_one adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _build_one($/;" f +_build_one_inside_env adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _build_one_inside_env($/;" f +_build_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def _build_op(self, _, padding):$/;" m class:Convolution +_build_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^def _build_options(options):$/;" f +_build_package_finder adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def _build_package_finder($/;" m class:RequirementCommand +_build_package_finder adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/list.py /^ def _build_package_finder(self, options, session):$/;" m class:ListCommand +_build_part adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^ def _build_part(item, sep_boundary):$/;" m class:upload_docs +_build_paths adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _build_paths(self, name, spec_path_lists, exists):$/;" m class:EnvironmentInfo +_build_proj_equation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^def _build_proj_equation(free_dims, bound_dims, output_dims):$/;" f +_build_py versioneer.py /^ from distutils.command.build_py import build_py as _build_py$/;" x function:get_cmdclass file: +_build_py versioneer.py /^ from setuptools.command.build_py import build_py as _build_py$/;" x function:get_cmdclass file: +_build_ragged_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^def _build_ragged_tensors(serialized_shape,$/;" f +_build_ragged_tensor_from_value_ranges adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _build_ragged_tensor_from_value_ranges(starts, limits, step, values):$/;" f +_build_recursive_hd_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_recursive_hd_gather(input_tensors, devices, red_op):$/;" f +_build_recursive_hd_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_recursive_hd_scatter(input_tensors, devices):$/;" f +_build_regex adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _build_regex(rule):$/;" f member:Rule.compile file: +_build_result adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^def _build_result(state):$/;" f +_build_ring_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_ring_gather(input_tensors, devices, num_subchunks,$/;" f +_build_ring_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_ring_scatter(pred_by_s_d, rank_by_s_d,$/;" f +_build_row adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def _build_row(self, row, parent, align):$/;" m class:TableProcessor +_build_rpc_profiling_key adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def _build_rpc_profiling_key($/;" f +_build_seq2seq_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^ def _build_seq2seq_model($/;" m class:Seq2SeqBeamSearchTest +_build_session adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def _build_session(self, options, retries=None, timeout=None):$/;" m class:SessionCommandMixin +_build_session adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _build_session(self, name, start_info, end_info, all_metric_evals):$/;" m class:Handler +_build_session_groups adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _build_session_groups(self):$/;" m class:Handler +_build_session_metric_values adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _build_session_metric_values(self, session_name, all_metric_evals):$/;" m class:Handler +_build_shared adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _build_shared(self, model):$/;" m class:Seq2SeqModelCaffe2 +_build_shebang adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _build_shebang(self, executable, post_interp):$/;" m class:ScriptMaker +_build_shuffle_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_shuffle_gather(input_tensors, gather_devices, red_op, un_op=None):$/;" f +_build_shuffle_hybrid adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_shuffle_hybrid(input_tensors, gather_devices, red_op, upper_level_f):$/;" f +_build_shuffle_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _build_shuffle_scatter(reduced_shards, dst_devices):$/;" f +_build_source_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _build_source_reader(ws, size):$/;" m class:TestDBFileReader +_build_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _build_tensor(self, int64_value, name):$/;" m class:RangeDataset +_build_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def _build_tensor(size, value=None, dtype=torch.float):$/;" f +_build_tensor_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def _build_tensor_data(self):$/;" m class:_FunctionConverterData +_build_tls_unknown_ca_alert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _build_tls_unknown_ca_alert(version):$/;" f +_build_tpu_computation_for_inference adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _build_tpu_computation_for_inference(model_fn, features, labels, config,$/;" f +_build_tree adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _build_tree(paths):$/;" f +_build_tzaware adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _build_tzaware(self, naive, res, tzinfos):$/;" m class:parser +_build_tzinfo adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _build_tzinfo(self, tzinfos, tzname, tzoffset):$/;" m class:parser +_build_while_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _build_while_op(loop_vars, cond_graph, body_graph, output_shapes,$/;" f +_build_with_temp_dir adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def _build_with_temp_dir(self, setup_command, result_extension,$/;" m class:_BuildMetaBackend +_builtincoeffs adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^_builtincoeffs = {$/;" v +_builtin_ops adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^_builtin_ops = [$/;" v +_builtin_safe_str_cmp adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^_builtin_safe_str_cmp = getattr(hmac, "compare_digest", None)$/;" v +_builtin_table adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^_builtin_table: Optional[Dict[int, str]] = None$/;" v +_BUILT_IN_FLAGS adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^_BUILT_IN_FLAGS = frozenset({$/;" v +_Bunch adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^class _Bunch(object):$/;" c +_BUNDLEENTRYPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^_BUNDLEENTRYPROTO = _descriptor.Descriptor($/;" v +_BUNDLEHEADERPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^_BUNDLEHEADERPROTO = _descriptor.Descriptor($/;" v +_BUNDLEHEADERPROTO_ENDIANNESS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^_BUNDLEHEADERPROTO_ENDIANNESS = _descriptor.EnumDescriptor($/;" v +_BuPu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_BuPu_data = ($/;" v +_burkardt_13_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_matfuncs.py /^def _burkardt_13_power(n, p):$/;" f +_butter_analog_poles adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def _butter_analog_poles(n):$/;" f +_Button adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _Button(self, text, image_file, toggle, command):$/;" m class:NavigationToolbar2Tk +_button_click adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _button_click(self, name):$/;" m class:ToolbarTk +_button_press adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _button_press(self, event):$/;" m class:Axes3D +_button_release adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _button_release(self, event):$/;" m class:Axes3D +_bvalfromboundary adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _bvalfromboundary(boundary):$/;" f +_bwr_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_bwr_data = ((0.0, 0.0, 1.0), (1.0, 1.0, 1.0), (1.0, 0.0, 0.0))$/;" v +_bxp_test_helper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def _bxp_test_helper($/;" f +_bypass_ensure_directory adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _bypass_ensure_directory(path):$/;" f +_bypass_ensure_directory adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _bypass_ensure_directory(path):$/;" f +_ByteBudgetManager adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _ByteBudgetManager(object):$/;" c +_bytecode_filenames adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def _bytecode_filenames(self, py_filenames):$/;" m class:install_lib +_byteorderconv adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^_byteorderconv = {'b':'>',$/;" v +_byteorderconv adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^_byteorderconv = np.core.records._byteorderconv$/;" v +_Bytes adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Bytes(self, t):$/;" m class:Unparser +_BytesForNonRepeatedElement adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _BytesForNonRepeatedElement(value, field_number, field_type):$/;" f +_BYTESLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_BYTESLIST = _descriptor.Descriptor($/;" v +_BYTESVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_BYTESVALUE = _descriptor.Descriptor($/;" v +_bytes_to_date adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test__iotools.py /^def _bytes_to_date(s):$/;" f +_bytetohex adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_bytetohex = [("%%%02X" % char).encode("ascii") for char in range(256)]$/;" v +_byte_order_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _byte_order_str(dtype):$/;" f +_by_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _by_version(name):$/;" f function:_by_version_descending file: +_by_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _by_version(name):$/;" f function:_by_version_descending file: +_by_version_descending adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _by_version_descending(names):$/;" f +_by_version_descending adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _by_version_descending(names):$/;" f +_BZ2Proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class _BZ2Proxy(object):$/;" c +_BZ2_SUPPORTED adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ _BZ2_SUPPORTED = False$/;" v +_BZ2_SUPPORTED adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ _BZ2_SUPPORTED = True$/;" v +_b_orthonormalize adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _b_orthonormalize(B, blockVectorV, blockVectorBV=None, retInvR=False):$/;" f +_c adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_mrecords.py /^ _c = ma.array([b'one', b'two', b'three'],$/;" v class:TestMRecordsImport +_C adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^import torch._C as _C$/;" I +_C adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^import torch._C as _C$/;" I +_C adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^import torch._C as _C$/;" I +_C adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ import torch._C as _C$/;" I +_CACERT_CTX adpepsenv/lib/python3.8/site-packages/certifi/core.py /^ _CACERT_CTX = None$/;" v +_CACERT_CTX adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^ _CACERT_CTX = None$/;" v +_CACERT_PATH adpepsenv/lib/python3.8/site-packages/certifi/core.py /^ _CACERT_PATH = None$/;" v +_CACERT_PATH adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/core.py /^ _CACERT_PATH = None$/;" v +_cache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^def _cache(cache, typed):$/;" f +_cache adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _cache = weakref.WeakKeyDictionary()$/;" v class:GraphicsContextWx +_CACHE adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^_CACHE = {}$/;" v +_cache adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _cache(self, path):$/;" m class:DataSource +_Cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^class _Cache(object):$/;" c +_cache adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^_cache = {}$/;" v +_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_registry.py /^_cache = {}$/;" v +_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _cache(self, mapping):$/;" m class:Bijector +_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer_cache.py /^ _cache = {}$/;" v class:FileWriterCache +_CacheControl adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class _CacheControl(UpdateDictMixin, dict):$/;" c +_cached adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ _cached = cbook.maxdict(50)$/;" v class:Text +_CachedForward adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^class _CachedForward(object):$/;" c +_cached_atlas_version adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^_cached_atlas_version = {}$/;" v +_cached_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ _cached_class_id_table = None$/;" v class:BinaryClassHead +_cached_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ _cached_class_id_table = None$/;" v class:MultiClassHead +_cached_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ _cached_class_id_table = None$/;" v class:MultiLabelHead +_cached_class_string_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ _cached_class_string_table = None$/;" v class:BinaryClassHead +_cached_class_string_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ _cached_class_string_table = None$/;" v class:MultiClassHead +_cached_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def _cached_factory(self, fn, cache_subkey):$/;" m class:PyToPy +_cached_funcs adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^_cached_funcs = {$/;" v +_cached_get_afm_from_fname adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^def _cached_get_afm_from_fname(fname):$/;" f +_CACHED_INDICES adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^_CACHED_INDICES: Dict[int, Sequence[int]] = {}$/;" v +_cached_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ _cached_json = (Ellipsis, Ellipsis)$/;" v class:JSONMixin +_cached_mergers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^_cached_mergers = {}$/;" v +_cached_np_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^_cached_np_dtypes = {}$/;" v +_cached_property adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class _cached_property:$/;" c +_cached_roots_legendre adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _cached_roots_legendre(n):$/;" f +_CACHED_TF_DEVICE adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^_CACHED_TF_DEVICE = None$/;" v +_CacheInfo adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^_CacheInfo = collections.namedtuple('CacheInfo', [$/;" v +_CacheLocalContext adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^class _CacheLocalContext(threading.local):$/;" c +_CacheTrainingStatesUsingHashTable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _CacheTrainingStatesUsingHashTable(object):$/;" c +_CacheTrainingStatesUsingVariables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _CacheTrainingStatesUsingVariables(object):$/;" c +_cache_features_and_return adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _cache_features_and_return():$/;" f function:_cache_transformed_features.get_features_with_cache file: +_cache_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _cache_key(self,$/;" m class:Function +_cache_key_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _cache_key_context(self):$/;" m class:Function +_cache_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^_cache_lock = threading.RLock()$/;" v +_cache_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_registry.py /^_cache_lock = threading.Lock()$/;" v +_cache_output_metric_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _cache_output_metric_attributes(self, metrics, weighted_metrics):$/;" m class:Model +_cache_transformed_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _cache_transformed_features(features, sorted_feature_columns, ind_columns,$/;" f +_caching_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _caching_device(rnn_cell):$/;" f +_CAFFEDATUM adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^_CAFFEDATUM = _descriptor.Descriptor($/;" v +_CairoRegion adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^class _CairoRegion:$/;" c +_cairo_font_args_from_font_prop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^def _cairo_font_args_from_font_prop(prop):$/;" f +_calculate_acceptance_probs_with_mixing adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _calculate_acceptance_probs_with_mixing(initial_probs, target_probs):$/;" f +_calculate_areas_2d adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def _calculate_areas_2d(self):$/;" m class:SphericalVoronoi +_calculate_areas_3d adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def _calculate_areas_3d(self):$/;" m class:SphericalVoronoi +_calculate_correct_fan adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _calculate_correct_fan(tensor, mode):$/;" f +_calculate_dynamic_per_channel_qparams adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _calculate_dynamic_per_channel_qparams(X, dtype):$/;" f +_calculate_dynamic_qparams adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _calculate_dynamic_qparams(X, dtype, reduce_range=False):$/;" f +_calculate_fan_in_and_fan_out adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _calculate_fan_in_and_fan_out(tensor):$/;" f +_calculate_mean_and_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _calculate_mean_and_var(self, inputs, reduction_axes, keep_dims):$/;" m class:BatchNormalizationBase +_calculate_mean_and_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^ def _calculate_mean_and_var(self, x, axes, keep_dims):$/;" m class:SyncBatchNormalization +_calculate_num_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _calculate_num_features(sorted_feature_columns):$/;" f +_calculate_population_energies adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _calculate_population_energies(self, population):$/;" m class:DifferentialEvolutionSolver +_calculate_population_feasibilities adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _calculate_population_feasibilities(self, population):$/;" m class:DifferentialEvolutionSolver +_calculate_qmin_qmax adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _calculate_qmin_qmax(self):$/;" m class:_ObserverBase +_calculate_qparams adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _calculate_qparams(self, min_val, max_val):$/;" m class:_ObserverBase +_calculate_rmsd adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _calculate_rmsd(P, Q):$/;" f +_calculate_scale_grad adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^def _calculate_scale_grad(dY, X, X_fq, X_q, scale, zero_point, q_min, q_max, device):$/;" f +_calculate_scores adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def _calculate_scores(self, query, key):$/;" m class:AdditiveAttention +_calculate_scores adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def _calculate_scores(self, query, key):$/;" m class:Attention +_calculate_scores adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def _calculate_scores(self, query, key):$/;" m class:BaseDenseAttention +_calculate_shapes adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _calculate_shapes(broadcast_shape, dim_sizes, list_of_core_dims):$/;" f +_calculate_t0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _calculate_t0(self):$/;" m class:DebugDumpDir +_calculate_weekdate adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _calculate_weekdate(self, year, week, day):$/;" m class:isoparser +_calculate_X_grad adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^def _calculate_X_grad(dY, Xq, q_min, q_max):$/;" f +_calculate_zero_point_grad adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^def _calculate_zero_point_grad(dY, X, X_fq, X_q, scale, zero_point, q_min, q_max, device):$/;" f +_calc_attention_logits_from_sum_match adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def _calc_attention_logits_from_sum_match($/;" f +_calc_attention_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def _calc_attention_weights($/;" f +_calc_batch_mat_mul_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _calc_batch_mat_mul_flops(graph, node):$/;" f +_calc_bias_add_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _calc_bias_add_flops(graph, node):$/;" f +_calc_binned_statistic adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def _calc_binned_statistic(Vdim, bin_numbers, result, values, stat_func,$/;" f +_calc_conv3d_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _calc_conv3d_flops(graph, node):$/;" f +_calc_conv_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _calc_conv_flops(graph, node):$/;" f +_calc_depend_dict adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _calc_depend_dict(vars):$/;" f +_calc_depthwise_conv_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _calc_depthwise_conv_flops(graph, node):$/;" f +_calc_dilation2d_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _calc_dilation2d_flops(graph, node):$/;" f +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self):$/;" m class:openblas_ilp64_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self):$/;" m class:openblas_ilp64_lapack_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self):$/;" m class:openblas_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self, name):$/;" m class:blas_ilp64_opt_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self, name):$/;" m class:blas_opt_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self, name):$/;" m class:lapack_ilp64_opt_info +_calc_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info(self, name):$/;" m class:lapack_opt_info +_calc_info_accelerate adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_accelerate(self):$/;" m class:blas_opt_info +_calc_info_accelerate adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_accelerate(self):$/;" m class:lapack_opt_info +_calc_info_atlas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_atlas(self):$/;" m class:blas_opt_info +_calc_info_atlas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_atlas(self):$/;" m class:lapack_opt_info +_calc_info_blas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_blas(self):$/;" m class:blas_opt_info +_calc_info_blis adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_blis(self):$/;" m class:blas_opt_info +_calc_info_flame adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_flame(self):$/;" m class:lapack_opt_info +_calc_info_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_lapack(self):$/;" m class:lapack_opt_info +_calc_info_mkl adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_mkl(self):$/;" m class:blas_opt_info +_calc_info_mkl adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_mkl(self):$/;" m class:lapack_opt_info +_calc_info_openblas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_openblas(self):$/;" m class:blas_opt_info +_calc_info_openblas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _calc_info_openblas(self):$/;" m class:lapack_opt_info +_calc_k adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _calc_k(l, total_size):$/;" m class:Divider +_calc_mat_mul_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _calc_mat_mul_flops(graph, node):$/;" f +_calc_norm_ratio adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _calc_norm_ratio(self, model, params, scope, ONE):$/;" m class:Seq2SeqModelCaffe2 +_calc_norm_ratio adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def _calc_norm_ratio(model, params, name_scope, param_to_device, max_gradient_norm):$/;" f +_calc_oa_lens adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _calc_oa_lens(s1, s2):$/;" f +_calc_offsets adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _calc_offsets(appended_sizes, karray):$/;" m class:HBoxDivider +_calc_offsets adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _calc_offsets(l, k):$/;" m class:Divider +_calc_P_Q adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _calc_P_Q(A):$/;" f +_calc_scale_factor adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def _calc_scale_factor(tensor):$/;" f +_calc_score adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _calc_score(A, B, perm):$/;" f +_calc_uniform_order_statistic_medians adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _calc_uniform_order_statistic_medians(n):$/;" f +_calc_vertices_regions adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def _calc_vertices_regions(self):$/;" m class:SphericalVoronoi +_calc_weighted_context adpepsenv/lib/python3.8/site-packages/caffe2/python/attention.py /^def _calc_weighted_context($/;" f +_calc___package__ adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^def _calc___package__(globals):$/;" f +_calibrate_quantize_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _calibrate_quantize_model(self, result, inference_input_type,$/;" m class:TFLiteConverterBase +_calibration_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^_calibration_wrapper = LazyLoader($/;" v +_calibrator adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.optimize import calibrator as _calibrator$/;" x +_Call adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Call(self, t):$/;" m class:Unparser +_call adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _call(callback_func: Callable, arg, *,$/;" f +_call adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def _call(f):$/;" f function:piecewise file: +_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _call(self, *args, **kwds):$/;" m class:Function +_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _call(sig, *inputs, **kwargs):$/;" f +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:AbsTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:AffineTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:CatTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:ExpTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:LowerCholeskyTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:PowerTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:SigmoidTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:SoftmaxTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:StackTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:StickBreakingTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:TanhTransform +_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _call(self, x):$/;" m class:Transform +_Callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ class _Callable(object):$/;" c class:BaseSession +_CALLABLEOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CALLABLEOPTIONS = _descriptor.Descriptor($/;" v +_CALLABLEOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CALLABLEOPTIONS = _descriptor.Descriptor($/;" v +_CALLABLEOPTIONS_FEEDDEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CALLABLEOPTIONS_FEEDDEVICESENTRY = _descriptor.Descriptor($/;" v +_CALLABLEOPTIONS_FEEDDEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CALLABLEOPTIONS_FEEDDEVICESENTRY = _descriptor.Descriptor($/;" v +_CALLABLEOPTIONS_FETCHDEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CALLABLEOPTIONS_FETCHDEVICESENTRY = _descriptor.Descriptor($/;" v +_CALLABLEOPTIONS_FETCHDEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CALLABLEOPTIONS_FETCHDEVICESENTRY = _descriptor.Descriptor($/;" v +_callable_template_with_options_and_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _callable_template_with_options_and_metadata(fetch_list,$/;" f member:BaseSession.make_callable file: +_Callback adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _Callback(stream.Consumer):$/;" c +_CallbackState adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^class _CallbackState(object):$/;" c +_callback_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def _callback_fun(callback, strip_calls, *in_vals, **params):$/;" f +_callback_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _callback_property(name): # noqa: B902$/;" m class:ContentRange +_CallCounter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^class _CallCounter(object):$/;" c +_called_from_setup adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ def _called_from_setup(run_frame):$/;" m class:install +_callf adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def _callf(self, x, error=True):$/;" m class:TOMS748Solver +_CallMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _CallMethod(self, srvc, method_descriptor,$/;" m class:_ServiceBuilder +_CALLTRACEBACK adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_CALLTRACEBACK = _descriptor.Descriptor($/;" v +_CALLTRACEBACK_CALLTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_CALLTRACEBACK_CALLTYPE = _descriptor.EnumDescriptor($/;" v +_CALLTRACEBACK_ORIGINIDTOSTRINGENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_CALLTRACEBACK_ORIGINIDTOSTRINGENTRY = _descriptor.Descriptor($/;" v +_call_accepts_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_accepts_kwargs(self):$/;" m class:Layer +_call_accepts_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _call_accepts_kwargs(self):$/;" m class:Layer +_call_and_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def _call_and_count(*args, **kwargs):$/;" f member:Counter.wrap_with_counts file: +_call_arg_was_passed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_arg_was_passed(self, arg_name, args, kwargs, inputs_in_args=False):$/;" m class:Layer +_call_arg_was_passed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _call_arg_was_passed(self, arg_name, args, kwargs, inputs_in_args=False):$/;" m class:Layer +_call_aside adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _call_aside(f, *args, **kwargs):$/;" f +_call_aside adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _call_aside(f, *args, **kwargs):$/;" f +_call_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^def _call_attribute(instance, *args, **kwargs):$/;" f +_call_batch_begin_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_batch_begin_hook(self, mode, batch, logs):$/;" m class:CallbackList +_call_batch_end_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_batch_end_hook(self, mode, batch, logs):$/;" m class:CallbackList +_call_batch_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_batch_hook(self, mode, hook, batch, logs=None):$/;" m class:CallbackList +_call_batch_hook_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_batch_hook_helper(self, hook_name, batch, logs):$/;" m class:CallbackList +_call_begin_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_begin_hook(self, mode):$/;" m class:CallbackList +_call_behavior adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _call_behavior(rpc_event,$/;" f +_call_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_cdf(self, value, name, **kwargs):$/;" m class:Distribution +_call_cleaner_on_success adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _call_cleaner_on_success(*args, **kwargs):$/;" f member:TestCase._internal_cleanup_on_success file: +_call_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _call_concrete_function(function, inputs):$/;" f +_call_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^_call_context = threading.local()$/;" v +_CALL_CONVERTER_CODE_FMT adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_CALL_CONVERTER_CODE_FMT = "self._converters[{elem!r}].to_url()"$/;" v +_call_end_callbacks_on_future adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def _call_end_callbacks_on_future(self, fut: Future[Any]) -> Future[Any]:$/;" m class:record_function +_call_end_hook adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _call_end_hook(self, mode):$/;" m class:CallbackList +_call_exception_handlers adpepsenv/lib/python3.8/site-packages/absl/app.py /^def _call_exception_handlers(exception):$/;" f +_call_external_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _call_external_zip(base_dir, zip_filename, verbose=False, dry_run=False):$/;" f +_call_fc_list adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def _call_fc_list():$/;" f +_call_fetch_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _call_fetch_callbacks(self, fetches_output):$/;" m class:GraphExecutionFunction +_call_flat adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _call_flat(self, args, captured_inputs, cancellation_manager=None):$/;" m class:ConcreteFunction +_call_flat adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _call_flat(self, args, captured_inputs, cancellation_manager=None):$/;" m class:_WrapperFunction +_call_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_fn_args(self):$/;" m class:Layer +_call_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _call_fn_args(self):$/;" m class:Layer +_call_fn_arg_defaults adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_fn_arg_defaults(self):$/;" m class:Layer +_call_fn_arg_positions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_fn_arg_positions(self):$/;" m class:Layer +_call_fn_arg_positions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _call_fn_arg_positions(self):$/;" m class:Layer +_call_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _call_forward(self, x, name, **kwargs):$/;" m class:Bijector +_call_forward_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _call_forward_log_det_jacobian(self, x, event_ndims, name, **kwargs):$/;" m class:Bijector +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:StrategyExtendedV1 +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:_DefaultDistributionExtended +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^def _call_for_each_replica(distribution, fn, args, kwargs):$/;" f +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:MirroredExtended +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:OneDeviceExtended +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:ParameterServerStrategyExtended +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:ParameterServerStrategyV2Extended +_call_for_each_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _call_for_each_replica(self, fn, args, kwargs):$/;" m class:TPUExtended +_call_full_argspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _call_full_argspec(self):$/;" m class:Layer +_call_full_argspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _call_full_argspec(self):$/;" m class:Layer +_call_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def _call_func(self, args, kwargs):$/;" m class:EagerTemplate +_call_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def _call_func(self, args, kwargs):$/;" m class:Template +_call_function_with_mapped_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _call_function_with_mapped_captures(function, args, resource_map):$/;" f +_call_hook adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def _call_hook(self, hook_name, kwargs):$/;" m class:Pep517HookCaller +_call_hook_before_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _call_hook_before_run(self, run_context, fetch_dict, user_feed_dict,$/;" m class:_HookedSession +_call_host_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _call_host_fn(fn, *args, **kw):$/;" f member:_OutfeedHostCall.create_tpu_hostcall file: +_call_if_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _call_if_callable(self, param):$/;" m class:OptimizerV2 +_call_if_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _call_if_callable(self, param):$/;" m class:Optimizer +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def _call_impl(self, t):$/;" m class:ConstantDenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def _call_impl(self, t):$/;" m class:DenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def _call_impl(self, t):$/;" m class:BdfDenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^ def _call_impl(self, t):$/;" m class:LsodaDenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def _call_impl(self, t):$/;" m class:RadauDenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _call_impl(self, t):$/;" m class:Dop853DenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _call_impl(self, t):$/;" m class:RkDenseOutput +_call_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _call_impl(self, args, kwargs, cancellation_manager=None):$/;" m class:ConcreteFunction +_call_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _call_impl(self, args, kwargs, cancellation_manager=None):$/;" m class:WrappedFunction +_call_impl adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _call_impl(self, *input, **kwargs):$/;" m class:Module +_call_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _call_input_fn(self, input_fn, mode, input_context=None):$/;" m class:Estimator +_call_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _call_input_fn(self, input_fn, mode, input_context=None):$/;" m class:TPUEstimator +_call_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _call_inverse(self, y, name, **kwargs):$/;" m class:Bijector +_call_inverse_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _call_inverse_log_det_jacobian(self, y, event_ndims, name, **kwargs):$/;" m class:Bijector +_CALL_IN_PROGRESS_SENTINEL adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^_CALL_IN_PROGRESS_SENTINEL = object()$/;" v +_call_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_linear(self, x_new):$/;" m class:interp1d +_call_linear_np adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_linear_np(self, x_new):$/;" m class:interp1d +_call_location adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _call_location(outer=False):$/;" f +_call_location adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^def _call_location():$/;" f +_call_logging_exceptions adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^def _call_logging_exceptions(behavior, message, *args, **kwargs):$/;" f +_call_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_log_cdf(self, value, name, **kwargs):$/;" m class:Distribution +_call_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_log_prob(self, value, name, **kwargs):$/;" m class:Distribution +_call_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_log_survival_function(self, value, name, **kwargs):$/;" m class:Distribution +_call_loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _call_loss_fn(loss_fn, labels, logits, features, expected_loss_dim=1):$/;" f +_call_merge_raises_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _call_merge_raises_fn(dist):$/;" f +_call_metadata_identity_endpoint adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def _call_metadata_identity_endpoint(self, request):$/;" m class:IDTokenCredentials +_call_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def _call_method(method, rref, *args, **kwargs):$/;" f +_call_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^def _call_method(method, obj_rref, *args, **kwargs):$/;" f +_call_method_on_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def _call_method_on_rref(method, rref, *args, **kwargs):$/;" f +_call_metric_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^def _call_metric_fn(metric_fn, features, labels, predictions, config):$/;" f +_call_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _call_model_fn(self, features, labels, mode, config):$/;" m class:Estimator +_call_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _call_model_fn(self, features, labels, is_export_mode=False):$/;" m class:_ModelFnWrapper +_call_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _call_model_fn(self, features, labels, mode, config):$/;" m class:TPUEstimator +_call_model_fn_eval adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _call_model_fn_eval(self, input_fn, config):$/;" m class:Estimator +_call_model_fn_eval_distributed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _call_model_fn_eval_distributed(self, input_fn, config):$/;" m class:Estimator +_call_model_fn_for_inference adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _call_model_fn_for_inference(self, features, labels, mode, config):$/;" m class:TPUEstimator +_call_nan_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_nan_spline(self, x_new):$/;" m class:interp1d +_call_nearest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_nearest(self, x_new):$/;" m class:interp1d +_call_next_creator_renaming_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _call_next_creator_renaming_initializer(initializer, **inner_kwargs):$/;" f function:capture_dependencies._trackable_custom_creator file: +_call_norm adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _call_norm(self, x1, x2):$/;" m class:Rbf +_call_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _call_partitioner(partitioner, shape, dtype):$/;" f +_call_previousnext adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_previousnext(self, x_new):$/;" m class:interp1d +_call_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_prob(self, value, name, **kwargs):$/;" m class:Distribution +_call_quantile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_quantile(self, value, name, **kwargs):$/;" m class:Distribution +_call_raises_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _call_raises_fn(dist):$/;" f +_call_remote_embedding adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _call_remote_embedding(cls, embedding_rref, input, offsets, per_sample_weights):$/;" m class:DistAutogradTest +_call_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_sample_n(self, sample_shape, seed, name, **kwargs):$/;" m class:Distribution +_call_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _call_sample_n(self, sample_shape, seed, name, **kwargs):$/;" m class:TransformedDistribution +_call_single adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^ def _call_single(self, t):$/;" m class:OdeSolution +_call_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _call_spline(self, x_new):$/;" m class:interp1d +_call_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _call_survival_function(self, value, name, **kwargs):$/;" m class:Distribution +_call_tf_sessionprun adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _call_tf_sessionprun(self, handle, feed_dict, fetch_list):$/;" m class:BaseSession +_call_tf_sessionrun adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _call_tf_sessionrun(self, options, feed_dict, fetch_list, target_list,$/;" m class:BaseSession +_call_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _call_tool(self, btn, name):$/;" m class:ToolbarGTK3 +_call_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _call_translation_rule(c, axis_env, in_nodes, name_stack, *, backend,$/;" f +_call_unconverted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def _call_unconverted(f, args, kwargs, options, update_cache=True):$/;" f +_call_with_flat_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _call_with_flat_signature(self, args, kwargs, cancellation_manager):$/;" m class:ConcreteFunction +_call_with_structured_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _call_with_structured_signature(self, args, kwargs, cancellation_manager):$/;" m class:ConcreteFunction +_call_wrapped_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def _call_wrapped_cell(self, inputs, state, cell_call_fn, **kwargs):$/;" m class:_RNNCellWrapperV1 +_call_wrapped_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _call_wrapped_cell(self, inputs, state, cell_call_fn, **kwargs):$/;" m class:DeviceWrapperBase +_call_wrapped_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _call_wrapped_cell(self, inputs, state, cell_call_fn, **kwargs):$/;" m class:DropoutWrapperBase +_call_wrapped_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _call_wrapped_cell(self, inputs, state, cell_call_fn, **kwargs):$/;" m class:ResidualWrapperBase +_call_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _call_wrapper(*args, **kwargs):$/;" f member:SlicingOpLambda.__init__ file: +_call_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _call_wrapper(*args, **kwargs):$/;" f member:TFOpLambda.__init__ file: +_call_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _call_wrapper(self, *args, **kwargs):$/;" m class:TFOpLambda +_cal_unpacked_data adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/pack_ops_test.py /^ def _cal_unpacked_data(data):$/;" f member:TestTensorPackOps.test_pack_with_max_length_ops file: +_CamelCaseToSnakeCase adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _CamelCaseToSnakeCase(path_name):$/;" f +_CAMEL_TO_SNAKE_R adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^_CAMEL_TO_SNAKE_R = re.compile(r"((?<=[a-z0-9])[A-Z]|(?!^)[A-Z](?=[a-z]))")$/;" v +_campos_zeros adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _campos_zeros(n):$/;" f +_cancel adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _cancel(self, details: str) -> bool:$/;" m class:Call +_CANCELLED adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_CANCELLED = 'cancelled'$/;" v +_cancel_action adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _cancel_action(self):$/;" m class:ToolPan +_cancel_action adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _cancel_action(self):$/;" m class:ToolZoom +_cancel_all_closures adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _cancel_all_closures(self):$/;" m class:_CoordinatedClosureQueue +_cancel_futures adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def _cancel_futures(self):$/;" m class:RandomOptimizer +_cancel_session adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def _cancel_session():$/;" f member:ErrorRendezvous.record_error file: +_candidates adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ _candidates = [(_setup_pyqt4, QT_API_PYQTv2),$/;" v +_canned_estimator_api_gauge adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^_canned_estimator_api_gauge = monitoring.StringGauge($/;" v +_Canonicalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _Canonicalize(self, value):$/;" m class:TfTrtIntegrationTestBase +_canonicalize_axes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _canonicalize_axes(axes, rank):$/;" f +_canonicalize_axis adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _canonicalize_axis(axis, num_dims):$/;" f +_canonicalize_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ canonicalize_axis as _canonicalize_axis)$/;" x +_canonicalize_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _canonicalize_axis(axis, rank):$/;" f +_canonicalize_dimension adpepsenv/lib/python3.8/site-packages/jax/core.py /^def _canonicalize_dimension(dim):$/;" f +_canonicalize_ndarray_dtype adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _canonicalize_ndarray_dtype(x):$/;" f +_canonicalize_numpy_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^def _canonicalize_numpy_data(data, require_single_batch):$/;" f +_canonicalize_precision adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _canonicalize_precision(precision):$/;" f +_canonicalize_python_scalar_dtype adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _canonicalize_python_scalar_dtype(typ, x):$/;" f +_canonicalize_receiver_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^def _canonicalize_receiver_tensors(receiver_tensors):$/;" f +_canonicalize_regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/utils.py /^_canonicalize_regex = re.compile(r"[-_.]+")$/;" v +_canonicalize_regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/utils.py /^_canonicalize_regex = re.compile(r"[-_.]+")$/;" v +_canonicalize_regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/utils.py /^_canonicalize_regex = re.compile(r"[-_.]+")$/;" v +_canonicalize_tuple_index adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _canonicalize_tuple_index(arr_ndim, idx):$/;" f +_canonicalize_variable_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^def _canonicalize_variable_name(name):$/;" f +_canonical_spec adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _canonical_spec(self):$/;" m class:_IndividualSpecifier +_canonical_tensor_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _canonical_tensor_name(self, tensor_name):$/;" m class:ProjectorPlugin +_canonical_to_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _canonical_to_params(weights, biases, shape, transpose_weights=False):$/;" f +_can_bitcast adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _can_bitcast(dtype, target_dtype):$/;" f +_can_call_numpy_array adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _can_call_numpy_array(x):$/;" f +_can_coerce_all adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def _can_coerce_all(dtypelist, start=0):$/;" f +_can_dot adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _can_dot(inputs, result, idx_removed):$/;" f +_can_implicitly_extern adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _can_implicitly_extern(self, module_name: str):$/;" m class:PackageExporter +_can_read_reg adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _can_read_reg = True$/;" v +_can_read_reg adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _can_read_reg = True$/;" v +_can_read_reg adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^_can_read_reg = False$/;" v +_can_set_rtld_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^_can_set_rtld_local = ($/;" v +_can_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _can_split(t):$/;" f function:train_validation_split file: +_can_target adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^def _can_target(cmd, arch):$/;" f +_can_use_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _can_use_cholesky(self):$/;" m class:LinearOperator +_capd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ _capd = {$/;" v class:GraphicsContextCairo +_capd adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _capd = {'butt': wx.CAP_BUTT,$/;" v class:GraphicsContextWx +_capstyle_d adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^_capstyle_d = {'projecting': 'square', 'butt': 'butt', 'round': 'round'}$/;" v +_capture adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _capture(target_wrapped):$/;" f function:decorator._wrapper file: +_CapturedConstant adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^_CapturedConstant = collections.namedtuple("_CapturedConstant",$/;" v +_CapturedObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^class _CapturedObject(object):$/;" c +_CapturedObject adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _CapturedObject(object):$/;" c +_captured_output adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def _captured_output():$/;" f +_Captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _Captures(func_graph):$/;" f +_capture_by_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def _capture_by_value($/;" m class:FuncGraph +_capture_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def _capture_helper(self, tensor, name, shape=None):$/;" m class:FuncGraph +_capture_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def _capture_helper(self, tensor, name):$/;" m class:_CondGradFuncGraph +_capture_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def _capture_helper(self, tensor, name):$/;" m class:_WhileBodyGradFuncGraph +_capture_tensor_as_extra_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _capture_tensor_as_extra_input(self, tensor, name=None):$/;" m class:_FuncGraph +_CapturingContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _CapturingContext(control_flow_ops.ControlFlowContext):$/;" c +_caret_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _caret_path = Path([[-1.0, 1.5], [0.0, 0.0], [1.0, 1.5]])$/;" v class:MarkerStyle +_caret_path_base adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _caret_path_base = Path([[-1.0, 0.0], [0.0, -1.5], [1.0, 0]])$/;" v class:MarkerStyle +_Case adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Case(_FunctionCaller):$/;" c +_CASE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^_CASE = 2$/;" v +_CaseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _CaseGrad(op, *grads): # pylint: disable=invalid-name$/;" f +_cases adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_rank.py /^_cases = ($/;" v +_case_create_default_action adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _case_create_default_action(predicates, actions):$/;" f +_case_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _case_helper(cond_fn,$/;" f +_case_verify_and_canonicalize_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _case_verify_and_canonicalize_args(pred_fn_pairs, exclusive, name,$/;" f +_cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def _cast(input_tensor, dtype):$/;" f +_cast adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^def _cast(value, dtype):$/;" f +_castCopy adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^def _castCopy(type, *arrays):$/;" f +_CastGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CastGrad(op, grad):$/;" f +_cast_dict adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^_cast_dict = {'BOOL': ['BOOL']}$/;" v +_cast_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _cast_dtype(self):$/;" m class:AutoCastVariable +_cast_func_template adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _cast_func_template(to_i, g, input, non_blocking):$/;" f +_cast_if_not_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^def _cast_if_not_none(tensor, dtype):$/;" f +_cast_if_not_none adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ def _cast_if_not_none(tensor, dtype):$/;" f function:clamp file: +_cast_indexed_slice_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _cast_indexed_slice_indices(a, b):$/;" f +_cast_nested_seqs_to_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _cast_nested_seqs_to_dtype(dtype):$/;" f +_cast_single_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _cast_single_input(self, x):$/;" m class:Layer +_cast_tensor_to_floatx adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _cast_tensor_to_floatx(x):$/;" f +_cast_to_array_dtype adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _cast_to_array_dtype(in1, in2):$/;" f +_cast_to_bool adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_cast_to_bool = partial(lax.convert_element_type, new_dtype=bool_)$/;" v +_cast_to_shape adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _cast_to_shape(value, shape, dtype):$/;" f +_cast_to_type adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def _cast_to_type(g, input, to_type):$/;" f +_cast_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _cast_transformer(parent, node, full_name, name, logs):$/;" f +_cast_unsupported_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _cast_unsupported_dtypes(tensor):$/;" f member:TensorTracer._trace_execution file: +_cast_variables_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _cast_variables_to_tensor(tensor):$/;" f function:cast_variables_to_tensor file: +_Cat adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Cat(Constraint):$/;" c +_CategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _CategoricalColumn(_FeatureColumn):$/;" c +_CATEGORICALSPLIT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_CATEGORICALSPLIT = _descriptor.Descriptor($/;" v +_categorical_column_with_hash_bucket adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _categorical_column_with_hash_bucket(key,$/;" f +_categorical_column_with_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _categorical_column_with_identity(key, num_buckets, default_value=None):$/;" f +_categorical_column_with_vocabulary_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _categorical_column_with_vocabulary_file(key,$/;" f +_categorical_column_with_vocabulary_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _categorical_column_with_vocabulary_list(key,$/;" f +_CATEGORY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_CATEGORY = _descriptor.Descriptor($/;" v +_CategoryEncodingAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^class _CategoryEncodingAccumulator($/;" c +_CategoryEncodingCombiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^class _CategoryEncodingCombiner(base_preprocessing_layer.Combiner):$/;" c +_cauchy adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _cauchy(key, shape, dtype):$/;" f +_cblas adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^ _cblas = None$/;" v +_cd adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^from .codata import value as _cd$/;" x +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _cdf(self, x, a):$/;" m class:_distr2_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _cdf(self, x, a):$/;" m class:_distr3_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _cdf(self, x, a, b):$/;" m class:_distr6_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, r):$/;" m class:rayleigh_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:anglit_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:arcsine_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:cauchy_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:cosine_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:expon_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:gilbrat_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:gumbel_l_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:gumbel_r_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:halfcauchy_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:halflogistic_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:halfnorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:hypsecant_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:kstwobign_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:laplace_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:levy_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:levy_l_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:logistic_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:maxwell_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:moyal_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:norm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:rv_histogram +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:semicircular_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:uniform_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x):$/;" m class:wald_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, *args):$/;" m class:geninvgauss_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:alpha_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:dgamma_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:gamma_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:invgamma_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:kappa3_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a):$/;" m class:powerlaw_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:betaprime_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:beta_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:johnsonsb_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:johnsonsu_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:reciprocal_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b):$/;" m class:truncnorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, b, c):$/;" m class:genexpon_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, c):$/;" m class:exponweib_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, a, c):$/;" m class:gengamma_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, alpha, beta):$/;" m class:levy_stable_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, b):$/;" m class:exponpow_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, b):$/;" m class:pareto_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, b):$/;" m class:rice_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, b):$/;" m class:truncexpon_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, beta):$/;" m class:gennorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, beta):$/;" m class:halfgennorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, beta, m):$/;" m class:crystalball_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:bradford_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:dweibull_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:fatiguelife_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:fisk_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:foldcauchy_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:foldnorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:genextreme_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:genhalflogistic_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:genlogistic_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:genpareto_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:gompertz_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:invweibull_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:loggamma_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:loglaplace_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:lomax_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:powernorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:rdist_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:triang_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:weibull_max_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:weibull_min_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c):$/;" m class:wrapcauchy_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c, d):$/;" m class:burr12_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c, d):$/;" m class:burr_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c, d):$/;" m class:trapezoid_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, c, s):$/;" m class:powerlognorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, chi):$/;" m class:argus_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, df):$/;" m class:chi2_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, df):$/;" m class:chi_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, df):$/;" m class:t_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, df, nc):$/;" m class:nct_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, df, nc):$/;" m class:ncx2_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, dfn, dfd):$/;" m class:f_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, dfn, dfd, nc):$/;" m class:ncf_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, h, k):$/;" m class:kappa4_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, K):$/;" m class:exponnorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, k, s):$/;" m class:mielke_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, kappa):$/;" m class:laplace_asymmetric_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, kappa):$/;" m class:vonmises_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, lam):$/;" m class:tukeylambda_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, mu):$/;" m class:invgauss_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, mu):$/;" m class:recipinvgauss_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, n):$/;" m class:ksone_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, n):$/;" m class:kstwo_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, nu):$/;" m class:nakagami_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, s):$/;" m class:lognorm_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf(self, x, skew):$/;" m class:pearson3_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, a):$/;" m class:dlaplace_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, alpha):$/;" m class:yulesimon_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, lambda_):$/;" m class:planck_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, lambda_, N):$/;" m class:boltzmann_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, low, high):$/;" m class:randint_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, mu):$/;" m class:poisson_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, mu1, mu2):$/;" m class:skellam_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, n, p):$/;" m class:binom_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, n, p):$/;" m class:nbinom_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, p):$/;" m class:bernoulli_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _cdf(self, x, p):$/;" m class:geom_gen +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _cdf(self, x):$/;" m class:rv_sample +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _cdf(self, x, *args):$/;" m class:rv_continuous +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _cdf(self, x, *args):$/;" m class:rv_discrete +_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _cdf(self, x, mean, cov, maxpts, abseps, releps):$/;" m class:multivariate_normal_gen +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _cdf(self, x):$/;" m class:Beta +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _cdf(self, k):$/;" m class:Categorical +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _cdf(self, value):$/;" m class:Distribution +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _cdf(self, x):$/;" m class:Gamma +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _cdf(self, x):$/;" m class:Laplace +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _cdf(self, x):$/;" m class:Normal +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _cdf(self, x):$/;" m class:StudentT +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _cdf(self, y):$/;" m class:TransformedDistribution +_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _cdf(self, x):$/;" m class:Uniform +_CDFData adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^class _CDFData(object):$/;" c +_cdf_cvm adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def _cdf_cvm(x, n=None):$/;" f +_cdf_cvm_inf adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def _cdf_cvm_inf(x):$/;" f +_cdf_distance adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _cdf_distance(p, u_values, v_values, u_weights=None, v_weights=None):$/;" f +_cdf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf_single(x, *args):$/;" f member:geninvgauss_gen._cdf file: +_cdf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf_single(self, x, *args):$/;" m class:skew_norm_gen +_cdf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _cdf_single(self, k, *args):$/;" m class:rv_discrete +_cdf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _cdf_single(self, x, *args):$/;" m class:rv_continuous +_cdf_single_value_zolotarev adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cdf_single_value_zolotarev(x, alpha, beta):$/;" m class:levy_stable_gen +_CeilGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CeilGrad(_, unused_grad):$/;" f +_ceil_divide adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _ceil_divide(x1, x2):$/;" f +_ceil_to_pow_of_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _ceil_to_pow_of_n(x, n):$/;" f +_CELL_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_CELL_TYPES = {$/;" v +_CELL_TYPE_TO_LAYER_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_CELL_TYPE_TO_LAYER_MAPPING = {$/;" v +_centered adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_procrustes.py /^def _centered(A):$/;" f +_centered adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _centered(arr, newshape):$/;" f +_CENTEREDRMSPROPPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_CENTEREDRMSPROPPARAMETERS = _descriptor.Descriptor($/;" v +_center_bias_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _center_bias_fn(self, center_bias_var, mean_gradients, mean_hessians):$/;" m class:_EnsembleGrower +_center_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _center_dispatcher(a, width, fillchar=None):$/;" f +_center_is_true adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^def _center_is_true(structure, origin):$/;" f +_central_N adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _central_N(self):$/;" m class:ColorbarBase +_cephes_vs_amos_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _cephes_vs_amos_points(self):$/;" m class:TestBessel +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5916.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5917.py /^_certificateAttributesMapUpdate = {$/;" v +_certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3770.py /^_certificateExtensionsMap = {$/;" v +_certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4334.py /^_certificateExtensionsMap = {$/;" v +_certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^_certificateExtensionsMap = {$/;" v +_certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^_certificateExtensionsMap = {$/;" v +_certificateExtensionsMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6010.py /^_certificateExtensionsMap = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2459.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3709.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3779.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5755.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5913.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6960.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7633.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7773.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8360.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^_certificateExtensionsMapUpdate = {$/;" v +_certificateExtensionsMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8649.py /^_certificateExtensionsMapUpdate = {$/;" v +_CERTIFICATE_MARKER adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^_CERTIFICATE_MARKER = b"-----BEGIN CERTIFICATE-----"$/;" v +_CERTIFICATE_MARKER adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^_CERTIFICATE_MARKER = b"-----BEGIN CERTIFICATE-----"$/;" v +_CERTIFICATE_MARKER adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^_CERTIFICATE_MARKER = b"-----BEGIN CERTIFICATE-----"$/;" v +_certifi_where adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^def _certifi_where():$/;" f +_cert_array_from_pem adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _cert_array_from_pem(pem_bundle):$/;" f +_cert_array_from_pem adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _cert_array_from_pem(pem_bundle):$/;" f +_CERT_PROVIDER_COMMAND adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^_CERT_PROVIDER_COMMAND = "cert_provider_command"$/;" v +_CERT_REGEX adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^_CERT_REGEX = re.compile($/;" v +_cescape_byte_to_str adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^_cescape_byte_to_str = ([r'\\%03o' % i for i in range(0, 32)] +$/;" v +_cescape_chr_to_symbol_map adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^_cescape_chr_to_symbol_map = {}$/;" v +_cescape_unicode_to_str adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^_cescape_unicode_to_str = [chr(i) for i in range(0, 256)]$/;" v +_cf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _cf(t, alpha, beta):$/;" m class:levy_stable_gen +_cfer_fn_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^_cfer_fn_cache = weakref.WeakKeyDictionary()$/;" v +_cfg_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_cfg_read = False$/;" v +_cfg_target adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/spawn.py /^ _cfg_target = None$/;" v +_cfg_target_split adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/spawn.py /^ _cfg_target_split = None$/;" v +_cfstr adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _cfstr(py_bstr):$/;" f +_cf_data_from_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _cf_data_from_bytes(bytestring):$/;" f +_cf_data_from_bytes adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _cf_data_from_bytes(bytestring):$/;" f +_cf_dictionary_from_tuples adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _cf_dictionary_from_tuples(tuples):$/;" f +_cf_dictionary_from_tuples adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _cf_dictionary_from_tuples(tuples):$/;" f +_cf_string_to_unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _cf_string_to_unicode(value):$/;" f +_cf_string_to_unicode adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _cf_string_to_unicode(value):$/;" f +_cg adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _cg(A, b, x0=None, tol=1.e-10, maxiter=1000):$/;" f +_cg_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _cg_solve(A, b, x0=None, *, maxiter, tol=1e-5, atol=0.0, M=_identity):$/;" f +_ChainAllNodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _ChainAllNodes(graph_def):$/;" f member:TfTrtIntegrationTestBase._VerifyMaxBatchSizeAnnotations file: +_chain_from_iterable_of_lists adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^def _chain_from_iterable_of_lists(iterable):$/;" f +_chain_future adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^def _chain_future(new_future, future):$/;" f +_chain_log adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^def _chain_log(new_future, future):$/;" f +_changed adpeps/utils/tlist.py /^ _changed = None$/;" v class:TList +_Channel adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _Channel(grpc.Channel):$/;" c +_ChannelCallState adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _ChannelCallState(object):$/;" c +_ChannelConnectivityState adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _ChannelConnectivityState(object):$/;" c +_CHANNELHANDLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_CHANNELHANDLE = _descriptor.Descriptor($/;" v +_CHANNELHANDLE_CHANNELTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_CHANNELHANDLE_CHANNELTYPE = _descriptor.EnumDescriptor($/;" v +_ChannelReadyFuture adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^class _ChannelReadyFuture(future.Future):$/;" c +_ChannelReadyFuture adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^class _ChannelReadyFuture(grpc.Future):$/;" c +_CHANNELS_LAST_FORMATS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^_CHANNELS_LAST_FORMATS = frozenset({$/;" v +_channel_flatten_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _channel_flatten_input(x, data_format):$/;" f +_channel_flatten_input_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^_channel_flatten_input_cache = {}$/;" v +_channel_managed_call_management adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _channel_managed_call_management(state):$/;" f +_channel_shuffle_nchw_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^ def _channel_shuffle_nchw_ref(self, X, group):$/;" m class:ChannelShuffleOpsTest +_channel_shuffle_nhwc_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/channel_shuffle_test.py /^ def _channel_shuffle_nhwc_ref(self, X, group):$/;" m class:ChannelShuffleOpsTest +_CHANNEL_SUBSCRIPTION_CALLBACK_ERROR_LOG_MESSAGE adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_CHANNEL_SUBSCRIPTION_CALLBACK_ERROR_LOG_MESSAGE = ($/;" v +_charRange adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_charRange = Group(_singleChar + Suppress("-") + _singleChar)$/;" v +_charRange adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_charRange = Group(_singleChar + Suppress("-") + _singleChar)$/;" v +_charRange adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_charRange = Group(_singleChar + Suppress("-") + _singleChar)$/;" v +_charRange adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_charRange = Group(_singleChar + Suppress("-") + _singleChar)$/;" v +_charset_mimetypes adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^_charset_mimetypes = {$/;" v +_CHART adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_CHART = _descriptor.Descriptor($/;" v +_char_over_chars adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _char_over_chars = {$/;" v class:Parser +_chbevl adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _chbevl(x, vals):$/;" f +_check adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _check(points):$/;" m class:BboxBase +_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def _check(self, val, expected):$/;" m class:StringConverterTestCase +_check adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _check(self, spec, wanted):$/;" m class:TestPEP3118Dtype +_check adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _check(self, mode=None):$/;" m class:TarFile +_check adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def _check(self, x, routine, fftsize, axis, overwrite_x, should_overwrite):$/;" m class:TestOverwrite +_check adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def _check(self, x, routine, fftsize, axis, overwrite_x):$/;" m class:TestOverwrite +_check adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def _check(self, x, routine, *args, **kwargs):$/;" m class:TestOverwrite +_check adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def _check(self, x, routine, type, fftsize, axis, norm, overwrite_x, **kw):$/;" m class:TestOverwrite +_check adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def _check(self):$/;" m class:ODR +_check adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check(self, jac, N, maxiter, complex=False, **kw):$/;" m class:TestLinear +_check adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^ def _check(self, A, B, P, **kwargs):$/;" m class:TestPlacePoles +_check adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _check(self):$/;" m class:coo_matrix +_check adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def _check(self, tri):$/;" m class:TestVertexNeighborVertices +_Check3DImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _Check3DImage(image, require_static=True):$/;" f +_CheckAgainstNumpy adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def _CheckAgainstNumpy(self, numpy_reference_op, lax_op, args_maker,$/;" m class:JaxTestCase +_CheckAtLeast3DImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _CheckAtLeast3DImage(image, require_static=True):$/;" f +_checkBeginEndIndices adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _checkBeginEndIndices(self, begin, end, total_count):$/;" m class:DebuggerV2EventMultiplexer +_CheckConflictRegister adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _CheckConflictRegister(self, desc, desc_name, file_name):$/;" m class:DescriptorPool +_CheckDurationValid adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _CheckDurationValid(seconds, nanos):$/;" f +_CheckedThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ class _CheckedThread(object):$/;" c class:TensorFlowTestCase +_checked_on_freetype_version adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _checked_on_freetype_version(required_freetype_version):$/;" f +_CheckFieldMaskMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _CheckFieldMaskMessage(message):$/;" f +_CheckForOutOfOrderStepAndMaybePurge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _CheckForOutOfOrderStepAndMaybePurge(self, event):$/;" m class:EventAccumulator +_CheckForOutOfOrderStepAndMaybePurge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _CheckForOutOfOrderStepAndMaybePurge(self, event):$/;" m class:EventAccumulator +_CheckForRestartAndMaybePurge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _CheckForRestartAndMaybePurge(self, event):$/;" m class:EventAccumulator +_CheckForRestartAndMaybePurge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _CheckForRestartAndMaybePurge(self, event):$/;" m class:EventAccumulator +_CheckForStoppingHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^class _CheckForStoppingHook(tf.compat.v1.train.SessionRunHook):$/;" c +_CheckGrayscaleImage adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _CheckGrayscaleImage(image, require_static=True):$/;" f +_CheckLookupTables adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _CheckLookupTables(self):$/;" m class:Net +_checkModuleCorrectnessAgainstOrig adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def _checkModuleCorrectnessAgainstOrig(self, orig_mod, test_mod, calib_data):$/;" m class:QuantizationTestCase +_checknames adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def _checknames(descr, names=None):$/;" f +_CheckNumericsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _CheckNumericsGrad(op, grad):$/;" f +_CheckNumericsV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _CheckNumericsV2Grad(op, grad):$/;" f +_CheckpointFilename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _CheckpointFilename(self, p):$/;" m class:Saver +_CheckpointRestoreCoordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _CheckpointRestoreCoordinator(object):$/;" c +_CheckpointRestoreCoordinatorDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _CheckpointRestoreCoordinatorDeleter(object):$/;" c +_CHECKPOINTSTATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^_CHECKPOINTSTATE = _descriptor.Descriptor($/;" v +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _checkpoint_dependencies(self):$/;" m class:Functional +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _checkpoint_dependencies(self):$/;" m class:_DelegatingTrackableMixin +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _checkpoint_dependencies(self):$/;" m class:_DynamicLossScaleState +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def _checkpoint_dependencies(self):$/;" m class:LossScale +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _checkpoint_dependencies(self):$/;" m class:Optimizer +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _checkpoint_dependencies(self):$/;" m class:Trackable +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _checkpoint_dependencies(self):$/;" m class:ListWrapper +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _checkpoint_dependencies(self):$/;" m class:_DictWrapper +_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _checkpoint_dependencies(self):$/;" m class:_TupleWrapper +_checkpoint_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _checkpoint_exists(self, filepath):$/;" m class:ModelCheckpoint +_checkresult adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _checkresult(self, result, cond, bad_value):$/;" m class:multinomial_gen +_checkresult adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _checkresult(self, result, cond, bad_value):$/;" m class:multivariate_hypergeom_gen +_CheckResults adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _CheckResults(a, b):$/;" f +_checkScriptable adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def _checkScriptable(self, orig_mod, script_mod, calib_data, check_save_load):$/;" m class:QuantizationTestCase +_CheckSumOpsConflict adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _CheckSumOpsConflict(self, out_base_name, g):$/;" m class:IR +_check_1d adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_1d(x):$/;" f +_check_1d adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def _check_1d(self, routine, dtype, shape, axis, overwritable_dtypes,$/;" m class:TestOverwrite +_check_1d adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def _check_1d(self, routine, dtype, shape, axis, overwritable_dtypes,$/;" m class:TestOverwrite +_check_1d adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^ def _check_1d(self, routine, dtype, shape, *args, **kwargs):$/;" m class:TestOverwrite +_check_1d adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^ def _check_1d(self, routine, dtype, shape, axis):$/;" m class:TestOverwrite +_check_abi adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def _check_abi(self):$/;" m class:BuildExtension +_check_accuracy adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpnd.py /^ def _check_accuracy(self, func, x=None, tol=1e-6, alternate=False, rescale=False, **kw):$/;" m class:TestCloughTocher2DInterpolator +_check_action adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^def _check_action(fun, args, action):$/;" f +_check_add_preemption_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _check_add_preemption_hook(cluster):$/;" f +_check_agreement adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _check_agreement(data, name, feature, enqueue_data):$/;" f member:TPUEmbedding._validate_generate_enqueue_ops_enqueue_datas_list file: +_check_alias_dict adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def _check_alias_dict(self, aliases, what):$/;" m class:FancyGetopt +_check_already_imported adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def _check_already_imported(self, symbol_id, api_name):$/;" m class:_ModuleInitCodeBuilder +_check_and_log_subprocess adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_and_log_subprocess(command, logger, **kwargs):$/;" f +_check_and_sync_module_buffers adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _check_and_sync_module_buffers(self):$/;" m class:DistributedDataParallel +_check_append_ext_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def _check_append_ext_library(libraries, lib_name, build_info):$/;" f +_check_append_library adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def _check_append_library(libraries, item):$/;" f +_check_arg adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_arg(arg):$/;" f +_check_arg adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^ def _check_arg(arg):$/;" f function:odeint file: +_check_args_against_first_call adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def _check_args_against_first_call(self, inputs, output, size_dict):$/;" m class:PathOptimizer +_check_args_can_be_mapped_with_in_dims adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _check_args_can_be_mapped_with_in_dims($/;" f +_check_arraylike adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _check_arraylike(fun_name, *args):$/;" f +_check_assignment adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def _check_assignment(srcidx, dstidx):$/;" f +_check_balance adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^def _check_balance(device_ids):$/;" f +_check_barycentric_transforms adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def _check_barycentric_transforms(self, tri, err_msg="",$/;" m class:TestUtilities +_check_batch_shape_possibly_add_asserts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _check_batch_shape_possibly_add_asserts(self):$/;" m class:LinearOperatorIdentity +_check_batch_shape_possibly_add_asserts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _check_batch_shape_possibly_add_asserts(self):$/;" m class:LinearOperatorZeros +_check_binop_other adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _check_binop_other(self, other):$/;" m class:StateSpace +_check_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_check_bool = _generate_isinstance_check(bool)$/;" v +_check_bounds adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _check_bounds(self, x_new):$/;" m class:interp1d +_check_branch_cut adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def _check_branch_cut(f, x0, dx, re_sign=1, im_sign=-1, sig_zero_ok=False,$/;" f +_check_broadcast_up_to adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^def _check_broadcast_up_to(arr_from, shape_to, name):$/;" f +_check_bsr_diagonal adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_diagonal(self, m):$/;" m class:TestInt32Overflow +_check_bsr_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_matmat(self, m):$/;" m class:TestInt32Overflow +_check_bsr_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_matvec(self, m):$/;" m class:TestInt32Overflow +_check_bsr_matvecs adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_matvecs(self, m):$/;" m class:TestInt32Overflow +_check_bsr_sort_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_sort_indices(self, m):$/;" m class:TestInt32Overflow +_check_bsr_transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_sparsetools.py /^ def _check_bsr_transpose(self, m):$/;" m class:TestInt32Overflow +_check_caffe2_blob adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def _check_caffe2_blob(self, item):$/;" m class:SummaryWriter +_check_callable adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_callable(fun):$/;" f +_check_callable adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def _check_callable(fun):$/;" f +_check_calling_conventions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _check_calling_conventions(self, X, metric, eps=1e-07, **kwargs):$/;" m class:TestPdist +_check_calling_conventions adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _check_calling_conventions(self, X1, X2, metric, eps=1e-07, **kwargs):$/;" m class:TestCdist +_check_call_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _check_call_args(self, method_name):$/;" m class:Model +_check_call_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _check_call_args(self, method_name):$/;" m class:Model +_check_capability adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _check_capability():$/;" f +_check_checkpoint_available adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _check_checkpoint_available(model_dir):$/;" f +_check_clip_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _check_clip_x(x, bounds):$/;" f +_check_comm_hook adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _check_comm_hook(self, hook):$/;" m class:DistributedDataParallel +_check_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _check_compatible(self, other):$/;" m class:Matcher +_check_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _check_compatible(self, other):$/;" m class:Version +_check_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def _check_compiler (self):$/;" m class:config +_check_compiler adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _check_compiler(self):$/;" m class:config +_check_complex adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _check_complex(self, dtype=np.complex_, kind='linear'):$/;" m class:TestInterp1D +_check_complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_check_complex = _generate_isinstance_check(compat.complex_types)$/;" v +_check_config_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _check_config_keys(config, expected_keys):$/;" f +_check_consistent_shapes adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^def _check_consistent_shapes(*arrays):$/;" f +_check_container_source adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def _check_container_source(container_type, source_file, original_source):$/;" f function:_legacy_load file: +_check_conversion_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _check_conversion_params(conversion_params, is_v2=False):$/;" f +_check_conv_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _check_conv_shapes(name, lhs_shape, rhs_shape, window_strides):$/;" f +_check_create_file_writer_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _check_create_file_writer_args(inside_function, **kwargs):$/;" f +_check_cryptography adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__init__.py /^def _check_cryptography(cryptography_version):$/;" f +_check_cryptography adpepsenv/lib/python3.8/site-packages/requests/__init__.py /^def _check_cryptography(cryptography_version):$/;" f +_check_csr_rowslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_csr.py /^def _check_csr_rowslice(i, sl, X, Xcsr):$/;" f +_check_cubins adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _check_cubins():$/;" f +_check_custom_transforms_type adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_custom_transforms_type(name, fun):$/;" f +_check_data_cardinality adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _check_data_cardinality(data):$/;" f +_check_dca_metadata_path adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def _check_dca_metadata_path(metadata_path):$/;" f +_check_debug_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def _check_debug_tensor_value(self,$/;" m class:InfNanMonitor +_check_default_group adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _check_default_group(self):$/;" m class:DistributedDataParallel +_check_default_pg adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _check_default_pg():$/;" f +_check_dense_labels_match_logits_and_reshape adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _check_dense_labels_match_logits_and_reshape(labels, logits,$/;" f +_check_dependencies adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _check_dependencies(m):$/;" f +_check_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _check_device(tensor, expected=None):$/;" f +_check_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _check_diag(self, diag):$/;" m class:LinearOperatorDiag +_check_dill_version adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _check_dill_version(pickle_module) -> None:$/;" f +_check_dims_and_partition_or_replicate_on_host adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _check_dims_and_partition_or_replicate_on_host(self, tensor, dims):$/;" m class:_PartitionedInfeedQueue +_check_directly_compile_overloaded adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _check_directly_compile_overloaded(obj):$/;" f +_check_distribution adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _check_distribution(self, distribution):$/;" m class:FuzzedParameter +_check_dist_requires_python adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^def _check_dist_requires_python($/;" f +_check_domain_range_possibly_add_asserts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _check_domain_range_possibly_add_asserts(self):$/;" m class:LinearOperatorZeros +_check_dot adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check_dot(self, jac_cls, complex=False, tol=1e-6, **kw):$/;" m class:TestJacobianDotSolve +_check_download_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def _check_download_dir(link, download_dir, hashes):$/;" f +_check_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^def _check_dtypes(value, dtype):$/;" f +_check_dtypes_match adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _check_dtypes_match(xs, ys):$/;" f +_check_eigen adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def _check_eigen(M, w, V, rtol=1e-8, atol=1e-14):$/;" f +_check_element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _check_element_shape(self, shape):$/;" m class:_GraphTensorArray +_check_element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _check_element_shape(self, shape):$/;" m class:_GraphTensorArrayV2 +_check_ellipsis adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _check_ellipsis(index):$/;" f +_check_enqueue_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _check_enqueue_dtypes(self, vals):$/;" m class:QueueBase +_check_external_modification adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _check_external_modification(self):$/;" m class:ListWrapper +_check_extra adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def _check_extra(extra, reqs):$/;" f +_check_failed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _check_failed(v):$/;" f +_check_fails adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _check_fails(cls, other):$/;" f +_check_feature_shapes_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^def _check_feature_shapes_compatible_with(features,$/;" f +_check_fiedler adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/tests/test_lobpcg.py /^def _check_fiedler(n, p):$/;" f +_check_fill_value adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^_check_fill_value = np.ma.core._check_fill_value$/;" v +_check_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _check_fill_value(fill_value, ndtype):$/;" f +_check_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^_check_fill_value = ma.core._check_fill_value$/;" v +_check_fill_value adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _check_fill_value(self, kind):$/;" m class:TestInterp1D +_check_finish adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def _check_finish(self):$/;" m class:profile +_check_fit_input_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _check_fit_input_parameters(dist, data, args, kwds):$/;" f +_check_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_check_float = _generate_isinstance_check(compat.real_types)$/;" v +_check_forward_method adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def _check_forward_method(model):$/;" f +_check_for_import_lib adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^def _check_for_import_lib():$/;" f +_check_for_tracers adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _check_for_tracers(x):$/;" f +_check_from adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _check_from(self, s, value, **kw):$/;" m class:TestIO +_check_full_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def _check_full_tensor_value(self,$/;" m class:InfNanMonitor +_check_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _check_func(checker, argname, thefunc, x0, args, numinputs,$/;" f +_check_func_fail adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check_func_fail(self, *a, **kw):$/;" m class:TestNonlin +_check_generic adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _check_generic(cls, parameters):$/;" f +_check_gen_eig adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def _check_gen_eig(self, A, B):$/;" m class:TestEig +_check_getitem adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_getitem(_mapping, **kwargs):$/;" f +_check_glibc_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _check_glibc_version(version_str, required_major, minimum_minor):$/;" f +_check_glibc_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _check_glibc_version(version_str, required_major, minimum_minor):$/;" f +_check_glibc_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _check_glibc_version(version_str, required_major, minimum_minor):$/;" f +_check_global_requires_backward_grad_sync adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _check_global_requires_backward_grad_sync(self, is_joined_rank):$/;" m class:DistributedDataParallel +_check_grads adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def _check_grads(f, args, order):$/;" f function:check_grads file: +_check_graph_initialized adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _check_graph_initialized(self):$/;" m class:TimeSeriesModel +_check_health adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _check_health(self):$/;" m class:CollectiveAllReduceExtended +_check_health_initial_timeout adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _check_health_initial_timeout = 0$/;" v class:CollectiveAllReduceExtended +_check_health_interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _check_health_interval = 30$/;" v class:CollectiveAllReduceExtended +_check_health_retry_limit adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _check_health_retry_limit = 3$/;" v class:CollectiveAllReduceExtended +_check_health_timeout adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _check_health_timeout = 10$/;" v class:CollectiveAllReduceExtended +_check_hierarchy_not_all_clusters_used adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _check_hierarchy_not_all_clusters_used(Z):$/;" f +_check_hierarchy_uses_cluster_before_formed adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _check_hierarchy_uses_cluster_before_formed(Z):$/;" f +_check_hierarchy_uses_cluster_more_than_once adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _check_hierarchy_uses_cluster_more_than_once(Z):$/;" f +_check_histogram adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def _check_histogram(self, arrays, num_bins, expected_values=None, expected_counts=None):$/;" m class:TestSelfBinningHistogramBase +_check_hooks_type adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _check_hooks_type(hooks):$/;" f +_check_if_cpu adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _check_if_cpu(blob):$/;" f +_check_if_deleted adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def _check_if_deleted(self):$/;" m class:ShardedDeviceArray +_check_if_deleted adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def _check_if_deleted(self):$/;" m class:_DeviceArray +_check_if_forward adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _check_if_forward(blob):$/;" f +_check_if_mixed_precision_graph_rewrite_is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def _check_if_mixed_precision_graph_rewrite_is_enabled(policy):$/;" f +_check_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _check_index(idx):$/;" f +_check_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _check_info(self, info):$/;" m class:_ilp64_opt_info_mixin +_check_info adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def _check_info(info, driver, positive='did not converge (LAPACK info=%d)'):$/;" f +_check_inf_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def _check_inf_inf(dummy):$/;" f member:TestCexp.test_special_values file: +_check_inf_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def _check_inf_nan(dummy):$/;" f member:TestCexp.test_special_values file: +_check_inf_per_device adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _check_inf_per_device(self, optimizer):$/;" m class:GradScaler +_check_inputs_outputs_types_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _check_inputs_outputs_types_match(body_graph, flattened_loop_vars):$/;" f +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _check_input_dim(self, input):$/;" m class:BatchNorm1d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _check_input_dim(self, input):$/;" m class:BatchNorm2d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _check_input_dim(self, input):$/;" m class:BatchNorm3d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _check_input_dim(self, input):$/;" m class:SyncBatchNorm +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _check_input_dim(self, input):$/;" m class:_NormBase +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def _check_input_dim(self, input):$/;" m class:InstanceNorm1d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def _check_input_dim(self, input):$/;" m class:InstanceNorm2d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def _check_input_dim(self, input):$/;" m class:InstanceNorm3d +_check_input_dim adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def _check_input_dim(self, input):$/;" m class:_InstanceNorm +_check_input_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _check_input_dtype(self, arg):$/;" m class:LinearOperator +_check_input_dtype_grad adpepsenv/lib/python3.8/site-packages/jax/api.py /^_check_input_dtype_grad = partial(_check_input_dtype_revderiv, "grad")$/;" v +_check_input_dtype_jacfwd adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_input_dtype_jacfwd(holomorphic, x):$/;" f +_check_input_dtype_jacrev adpepsenv/lib/python3.8/site-packages/jax/api.py /^_check_input_dtype_jacrev = partial(_check_input_dtype_revderiv, "jacrev")$/;" v +_check_input_dtype_revderiv adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_input_dtype_revderiv(name, holomorphic, allow_int, x):$/;" f +_check_input_partition_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _check_input_partition_dims(self, tensor, dims):$/;" m class:_PartitionedInfeedQueue +_check_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_check_int = _generate_isinstance_check($/;" v +_check_int_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _check_int_address(self, address):$/;" m class:_IPAddressBase +_check_invalid_cases adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^def _check_invalid_cases(embedding_lookup_device):$/;" f +_check_inverse_of_slicing adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def _check_inverse_of_slicing(self, indices):$/;" m class:TestDelete +_check_in_list adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_in_list(_values, **kwargs):$/;" f +_check_isinstance adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_isinstance(_types, **kwargs):$/;" f +_check_is_graph_module adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def _check_is_graph_module(model):$/;" f +_check_is_script_module adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def _check_is_script_module(model):$/;" f +_check_is_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _check_is_tensor(x, tensor_name):$/;" f +_check_is_tensor_or_operation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _check_is_tensor_or_operation(x, name):$/;" f +_check_itemfreq adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _check_itemfreq(dt):$/;" f member:TestItemfreq.test_numeric_types file: +_check_ive adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_ive(self):$/;" m class:TestCephes +_check_jaxlib_version adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^def _check_jaxlib_version():$/;" f +_check_jaxpr adpepsenv/lib/python3.8/site-packages/jax/core.py /^def _check_jaxpr(jaxpr: Jaxpr, in_avals: Sequence[AbstractValue]):$/;" f +_check_jve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_jve(self):$/;" m class:TestCephes +_check_kelvin adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_kelvin(self):$/;" m class:TestCephes +_check_keys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def _check_keys(self, keys):$/;" m class:_ShardedMutableDenseHashTable +_check_kv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_kv(self):$/;" m class:TestCephes +_check_kve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_kve(self):$/;" m class:TestCephes +_check_laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def _check_laplacian(A, desired_L, desired_d, normed, use_out_degree):$/;" f +_check_ldexp adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def _check_ldexp(self, tp):$/;" m class:TestLdexp +_check_level adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def _check_level(label, expected, actual):$/;" f +_check_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _check_libs(self, lib_dirs, libs, opt_libs, exts):$/;" m class:system_info +_check_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def _check_libs(self, lib_dirs, libs, opt_libs, exts):$/;" m class:_system_info +_check_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _check_linear(self, kind):$/;" m class:TestInterp1D +_check_link_requires_python adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^def _check_link_requires_python($/;" f +_check_listeners_type adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _check_listeners_type(saving_listeners):$/;" f +_check_list_size adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _check_list_size(out_len: int, get_infos: bool, out: _ListOrSeq) -> None:$/;" f +_check_loc_scale_mle_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_fit.py /^def _check_loc_scale_mle_fit(name, data, desired, atol=None):$/;" f +_check_logits_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def _check_logits_and_labels(self, logits, labels=None):$/;" m class:MultiHead +_check_logits_final_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _check_logits_final_dim(logits, expected_logits_dimension):$/;" f +_check_macro_definitions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _check_macro_definitions(self, definitions):$/;" m class:CCompiler +_check_mandatory_parameters adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def _check_mandatory_parameters(self, request):$/;" m class:BaseEndpoint +_check_mask_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _check_mask_axis(mask, axis, keepdims=np._NoValue):$/;" f +_check_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _check_matrix(self, matrix):$/;" m class:LinearOperatorFullMatrix +_check_metadata_consistency adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _check_metadata_consistency(self):$/;" m class:_InstallRequirementBackedCandidate +_check_methods adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^def _check_methods(C, *methods):$/;" f +_check_methods_in_mro adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _check_methods_in_mro(C, *methods):$/;" f +_check_methods_in_mro adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _check_methods_in_mro = _collections_abc._check_methods$/;" v +_check_method_name_conflicts adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _check_method_name_conflicts(self, name, flag):$/;" m class:FlagValues +_CHECK_MISMATCH_SET adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^_CHECK_MISMATCH_SET = re.compile(r'^[^{]*\\}|\\{[^}]*$')$/;" v +_check_mode adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^def _check_mode(mode, encoding, newline):$/;" f +_check_mode adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^def _check_mode(mode):$/;" f +_check_modification adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def _check_modification(filename):$/;" f member:WatchdogReloaderLoop.__init__ file: +_check_modstruve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_modstruve(self):$/;" m class:TestCephes +_check_module_exists adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _check_module_exists(name):$/;" f +_check_module_exists adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def _check_module_exists(name):$/;" f +_check_multigammaln_array_result adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_spfun_stats.py /^def _check_multigammaln_array_result(a, d):$/;" f +_check_multiple_access_to_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def _check_multiple_access_to_resources($/;" m class:CriticalSection +_check_multi_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def _check_multi_index(self, arr, index):$/;" m class:TestMultiIndexingAutomated +_check_nd_one adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def _check_nd_one(self, routine, dtype, shape, axes, overwritable_dtypes,$/;" m class:TestOverwrite +_check_nd_one adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def _check_nd_one(self, routine, dtype, shape, axes, overwritable_dtypes,$/;" m class:TestOverwrite +_check_ninf_inf adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def _check_ninf_inf(dummy):$/;" f member:TestCexp.test_special_values file: +_check_ninf_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def _check_ninf_nan(dummy):$/;" f member:TestCexp.test_special_values file: +_check_ninf_nan adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath_complex.py /^ def _check_ninf_nan(dummy):$/;" f member:TestCsqrt.test_special_values file: +_check_nonlin_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check_nonlin_func(self, f, func, f_tol=1e-2):$/;" m class:TestNonlin +_check_non_singular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def _check_non_singular(self):$/;" m class:TestFactorized +_check_not_finalized adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _check_not_finalized(self):$/;" m class:Graph +_check_not_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _check_not_tensor(values):$/;" f +_check_no_float0s adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _check_no_float0s(fun_name, *args):$/;" f +_check_no_input adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def _check_no_input(message):$/;" f +_check_no_padding adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _check_no_padding(axis_padding, mode):$/;" f +_check_no_signature adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def _check_no_signature(func):$/;" f +_check_no_sync_replicas_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _check_no_sync_replicas_optimizer(optimizer):$/;" f +_check_no_test_errors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _check_no_test_errors(self, elapsed_time):$/;" m class:MultiProcessTestCase +_check_numerics_callback_create_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^_check_numerics_callback_create_counter = monitoring.Counter($/;" v +_CHECK_NUMERICS_INPUT_LOOKUP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^_CHECK_NUMERICS_INPUT_LOOKUP = collections.defaultdict(dict)$/;" v +_CHECK_NUMERIC_OP_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^_CHECK_NUMERIC_OP_NAME = 'CheckNumerics'$/;" v +_check_num_inputs_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _check_num_inputs_outputs(cond_graph, body_graph, num_flattened_loop_vars):$/;" f +_check_num_rows_possibly_add_asserts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _check_num_rows_possibly_add_asserts(self):$/;" m class:BaseLinearOperatorIdentity +_check_num_rows_possibly_add_asserts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _check_num_rows_possibly_add_asserts(self):$/;" m class:LinearOperatorIdentity +_check_obl_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_obl_cv(self):$/;" m class:TestCephes +_check_obsolete adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^def _check_obsolete(key):$/;" f +_check_orth adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def _check_orth(n, dtype, skip_big=False):$/;" f +_check_output_dims adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def _check_output_dims($/;" f +_check_output_dtype_grad adpepsenv/lib/python3.8/site-packages/jax/api.py /^_check_output_dtype_grad = partial(_check_output_dtype_revderiv, "grad")$/;" v +_check_output_dtype_jacfwd adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_output_dtype_jacfwd(holomorphic, x):$/;" f +_check_output_dtype_jacrev adpepsenv/lib/python3.8/site-packages/jax/api.py /^_check_output_dtype_jacrev = partial(_check_output_dtype_revderiv, "jacrev")$/;" v +_check_output_dtype_revderiv adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_output_dtype_revderiv(name, holomorphic, x):$/;" f +_check_output_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _check_output_key(self, key, error_label):$/;" m class:ExportOutput +_check_output_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def _check_output_schema(self):$/;" m class:ModelLayer +_check_out_dims_is_int_or_int_tuple adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _check_out_dims_is_int_or_int_tuple(out_dims: out_dims_t, func: Callable) -> None:$/;" f +_check_overload_defaults adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _check_overload_defaults(impl_defaults, overload_defaults, loc):$/;" f +_check_packed_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _check_packed_address(self, address, expected_len):$/;" m class:_IPAddressBase +_check_params adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def _check_params(self, df, x_col, y_col, weight_col, classes):$/;" m class:DataFrameIterator +_check_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def _check_params(window_length, dtype):$/;" f +_check_param_device adpepsenv/lib/python3.8/site-packages/torch/nn/utils/convert_parameters.py /^def _check_param_device(param: torch.Tensor, old_param_device: Optional[int]) -> int:$/;" f +_check_penalty_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def _check_penalty_number(x):$/;" f +_check_perm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _check_perm(self, perm):$/;" m class:LinearOperatorPermutation +_check_predict_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _check_predict_features(self, features):$/;" m class:OneShotPredictionHead +_check_predict_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _check_predict_features(self, features):$/;" m class:TimeSeriesRegressionHead +_check_pro_cv adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_pro_cv(self):$/;" m class:TestCephes +_check_put_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _check_put_dtypes(self, vals, indices=None):$/;" m class:BaseStagingArea +_check_quantized adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _check_quantized(values):$/;" f +_check_range adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _check_range(self, x, cmin, cmax):$/;" m class:TestClip +_CHECK_RECURSIVE_GLOB adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^_CHECK_RECURSIVE_GLOB = re.compile(r'[^\/\\\\,{]\\*\\*|\\*\\*[^\/\\\\,}]')$/;" v +_check_reentrancy adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^def _check_reentrancy(solver, is_reentrant):$/;" f +_check_reflection_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _check_reflection_axis(self, reflection_axis):$/;" m class:LinearOperatorHouseholder +_check_requires_grad adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _check_requires_grad(inputs, input_type, strict):$/;" f +_check_resiliency adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _check_resiliency(self, cls, method_name, **kw):$/;" m class:Test64Bit +_check_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _check_result(x, fun, status, slack, con, bounds, tol, message):$/;" f +_check_return_codes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _check_return_codes(self, elapsed_time):$/;" m class:MultiProcessTestCase +_check_retval_ adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _check_retval_(self):$/;" m class:_concrete_ndptr +_check_rnn_cell_input_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def _check_rnn_cell_input_dtypes(inputs):$/;" f +_check_root adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check_root(self, f, method, f_tol=1e-2):$/;" m class:TestNonlin +_check_roundtrip adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _check_roundtrip(self, obj):$/;" m class:TestNewBufferProtocol +_check_roundtrip adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_wavfile.py /^def _check_roundtrip(realfile, rate, dtype, channels, tmpdir):$/;" f +_check_row_col adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _check_row_col(self, row, col):$/;" m class:LinearOperatorToeplitz +_check_rpc_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _check_rpc_done(self, rank_distance):$/;" m class:DistAutogradTest +_check_rpc_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _check_rpc_done(rank_distance):$/;" f +_check_rst_data adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def _check_rst_data(self, data):$/;" m class:check +_check_same_dtypes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _check_same_dtypes(name, ignore_fp_precision, *ttypes):$/;" f +_check_same_dtype_and_shape adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _check_same_dtype_and_shape(tensor, tensor_info, name):$/;" f +_check_same_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def _check_same_graph(self, tensor):$/;" m class:GradientsDebugger +_check_same_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _check_same_outputs(op_type, graphs):$/;" f +_check_sated adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def _check_sated(self, raise_error):$/;" m class:_TFShouldUseHelper +_check_savefig_extra_args adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def _check_savefig_extra_args(func=None, extra_kwargs=()):$/;" f +_check_saver_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _check_saver_def(self):$/;" m class:Saver +_check_save_and_load adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def _check_save_and_load(dense_matrix):$/;" f +_check_scalar adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _check_scalar(x):$/;" f +_check_scale_growth_tracker adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _check_scale_growth_tracker(self, funcname) -> Tuple[torch.Tensor, torch.Tensor]:$/;" m class:GradScaler +_check_secant adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _check_secant(self, jac_cls, npoints=1, **kw):$/;" m class:TestSecant +_check_seekable adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _check_seekable(f) -> bool:$/;" f +_check_select adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def _check_select(select, select_range, max_ev, max_len):$/;" f +_check_self_external_modification adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _check_self_external_modification(self):$/;" m class:_DictWrapper +_check_sequence_type adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^def _check_sequence_type(inputs: Union[torch.Tensor, Sequence[torch.Tensor]]) -> None:$/;" f +_check_set adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def _check_set(self, value):$/;" m class:StmtInferrer +_check_shape adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _check_shape(name, shape, *param_shapes):$/;" f +_check_shape adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _check_shape(_shape, **kwargs):$/;" f +_check_shape adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _check_shape(argshape, size):$/;" f +_check_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _check_shape(shape, key):$/;" f +_check_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _check_shape(shape, key):$/;" f +_check_shapelike adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _check_shapelike(fun_name, arg_name, obj, non_zero_shape=False):$/;" f +_check_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _check_shapes(func_name, expected_name, actual, expected):$/;" f +_check_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _check_shapes(self):$/;" m class:LinearOperatorLowRankUpdate +_check_shapes_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _check_shapes_compat(output_tensors, shape_invariants, input_tensors):$/;" f +_check_signature adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def _check_signature(self, request, is_token_request=False):$/;" m class:BaseEndpoint +_check_single_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def _check_single_index(self, arr, index):$/;" m class:TestMultiIndexingAutomated +_check_single_tensor adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _check_single_tensor(param, param_name):$/;" f +_check_singular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def _check_singular(self):$/;" m class:TestFactorized +_check_size adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _check_size(size):$/;" f +_check_size adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def _check_size(size):$/;" m class:Color3DLUT +_check_skip_installed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _check_skip_installed(self, req_to_install):$/;" m class:Resolver +_check_solver adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _check_solver(self, solver):$/;" m class:ODECheckParameterUse +_check_solve_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _check_solve_shapes(a, b):$/;" f +_check_sparse_inputs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _check_sparse_inputs(options, A_ub, A_eq):$/;" f +_check_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _check_spec(self, element_spec):$/;" m class:TPUExtended +_check_special adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _check_special(name, xla_shape, buf):$/;" f +_check_spectrum_and_return_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _check_spectrum_and_return_tensor(self, spectrum):$/;" m class:_BaseLinearOperatorCirculant +_check_state_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _check_state_shape(shape, alg):$/;" f +_check_still_parented adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def _check_still_parented(self):$/;" m class:DraggableBase +_check_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _check_stop(self):$/;" m class:_CoordinatedSession +_check_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _check_stop(self):$/;" m class:_HookedSession +_check_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _check_stop(self):$/;" m class:_RecoverableSession +_check_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _check_stop(self):$/;" m class:_WrappedSession +_check_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_check_str = _generate_isinstance_check(compat.bytes_or_text_types)$/;" v +_check_subgraph_closed adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _check_subgraph_closed(n, reachable_by_input, input_nodes_set,$/;" f +_check_supported_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def _check_supported_dtypes(dtype):$/;" f +_check_svds adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def _check_svds(A, k, U, s, VH):$/;" f +_check_symmetric_graph_laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def _check_symmetric_graph_laplacian(mat, normed):$/;" f +_check_table_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^def _check_table_dtypes(table, key_dtype, value_dtype):$/;" f +_check_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def _check_tensor(tensor, name, error_label='feature'):$/;" f +_check_tensor_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def _check_tensor_key(name, error_label='feature', allow_ints=False):$/;" f +_check_tensor_list adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _check_tensor_list(param, param_name):$/;" f +_check_tf1_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _check_tf1_flags(flags, unparsed):$/;" f +_check_tf2_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _check_tf2_flags(flags):$/;" f +_check_trace adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _check_trace($/;" f +_check_trace_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _check_trace_files(self):$/;" m class:TensorTracer +_check_trainable_weights_consistency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _check_trainable_weights_consistency(self):$/;" m class:Model +_check_train_eval_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^def _check_train_eval_features(features, model):$/;" f +_check_transport_security adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def _check_transport_security(self, request):$/;" m class:BaseEndpoint +_check_tree adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _check_tree(func_name, expected_name, actual_tree, expected_tree):$/;" f +_check_tree_and_avals adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _check_tree_and_avals(what, tree1, avals1, tree2, avals2):$/;" f +_check_tril adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _check_tril(self, tril):$/;" m class:LinearOperatorLowerTriangular +_check_trt_version_compatibility adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _check_trt_version_compatibility():$/;" f +_check_type adpepsenv/lib/python3.8/site-packages/h5py/tests/test_base.py /^ def _check_type(self, obj):$/;" m class:TestRepr +_check_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^def _check_type(obj, expected_types):$/;" f +_check_type_strict adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^def _check_type_strict(obj, t, type=type, tuple=tuple):$/;" f +_check_unknown_options adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _check_unknown_options(unknown_options):$/;" f +_check_unpack_options adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _check_unpack_options(extensions, function, extra_args):$/;" f +_check_unroll_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def _check_unroll_limits(self):$/;" m class:_PythonLoopChecker +_check_unroll_limits adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def _check_unroll_limits(self):$/;" m class:_PythonLoopChecker +_check_unsampled_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _check_unsampled_image(self):$/;" m class:AxesImage +_check_unsampled_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _check_unsampled_image(self):$/;" m class:NonUniformImage +_check_unsampled_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _check_unsampled_image(self):$/;" m class:PcolorImage +_check_unsampled_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _check_unsampled_image(self):$/;" m class:_ImageBase +_check_update adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def _check_update(self, checker):$/;" m class:ScalarMappable +_check_user_dtype_supported adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _check_user_dtype_supported(dtype, fun_name=None):$/;" f +_check_valid adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def _check_valid(self):$/;" m class:UnknownFieldRef +_check_valid_event_ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _check_valid_event_ndims(self, min_event_ndims, event_ndims):$/;" m class:Bijector +_check_value_error adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_conversion_utils.py /^ def _check_value_error(self, val):$/;" m class:StringConverterTestCase +_check_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _check_variables(self, created_variables, accessed_variables):$/;" m class:Lambda +_check_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _check_variables(self, created_variables, accessed_variables):$/;" m class:TFOpLambda +_check_variant_func adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_variant_func(self, func, other_func, rtol, atol=0):$/;" m class:TestErf +_check_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def _check_verbosity(verbosity):$/;" f +_check_version adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _check_version(version):$/;" f +_check_versions adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _check_versions():$/;" f +_check_vmin_vmax adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _check_vmin_vmax(self):$/;" m class:LogNorm +_check_warnings adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def _check_warnings(warn_list, expected_type, expected_len):$/;" f +_check_work_float adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^def _check_work_float(value, dtype, int_dtype):$/;" f +_check_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _check_xy(self, renderer):$/;" m class:ConnectionPatch +_check_xy adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _check_xy(self, renderer):$/;" m class:_AnnotationBase +_check_xyz adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _check_xyz(self, args, kwargs):$/;" m class:QuadContourSet +_check_yve adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _check_yve(self):$/;" m class:TestCephes +_check_zip adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def _check_zip(self):$/;" m class:LazyZipOverHTTP +_child_base_id adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _child_base_id(self, child_index=None):$/;" m class:Field +_chirp_phase adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def _chirp_phase(t, f0, t1, f1, method='linear', vertex_zero=True):$/;" f +_chk2_asarray adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _chk2_asarray(a, b, axis):$/;" f +_chk2_asarray adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _chk2_asarray(a, b, axis):$/;" f +_chk_asarray adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _chk_asarray(a, axis):$/;" f +_chk_asarray adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _chk_asarray(a, axis):$/;" f +_chk_asarrays adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _chk_asarrays(arrays, axis=None):$/;" f +_chk_size adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _chk_size(a, b):$/;" f +_chk_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _chk_weights(arrays, weights=None, axis=None,$/;" f +_chmod adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _chmod(*args):$/;" f +_chmod adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ from os import chmod as _chmod$/;" x +_choice adpepsenv/lib/python3.8/site-packages/cachetools/rr.py /^def _choice(seq):$/;" f +_choice_not_none adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def _choice_not_none(*args):$/;" f +_cholesky adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _cholesky(a, lower):$/;" f +_cholesky adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^def _cholesky(a, lower=False, overwrite_a=False, clean=True,$/;" f +_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _cholesky(input, name=None): # pylint:disable=redefined-builtin$/;" f +_CholeskyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _CholeskyGrad(op, grad):$/;" f +_CHOLESKYOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_CHOLESKYOPTIONS = _descriptor.Descriptor($/;" v +_cholesky_block_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_block_diag(block_diag_operator):$/;" f +_cholesky_cpu_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _cholesky_cpu_gpu_translation_rule(potrf_impl, c, operand):$/;" f +_CHOLESKY_DECOMPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^_CHOLESKY_DECOMPS = {}$/;" v +_cholesky_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_diag(diag_operator):$/;" f +_cholesky_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_identity(identity_operator):$/;" f +_cholesky_kronecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_kronecker(kronecker_operator):$/;" f +_cholesky_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_linear_operator(linop):$/;" f +_cholesky_logdet adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _cholesky_logdet(self, scale):$/;" m class:wishart_gen +_cholesky_registrations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import cholesky_registrations as _cholesky_registrations$/;" x +_cholesky_scaled_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/cholesky_registrations.py /^def _cholesky_scaled_identity(identity_operator):$/;" f +_chooseEncBase adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def _chooseEncBase(self, value):$/;" m class:RealEncoder +_chooseEncBase adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def _chooseEncBase(self, value):$/;" m class:RealEncoder +_ChooseFastestBranchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^class _ChooseFastestBranchDataset(dataset_ops.UnaryDataset):$/;" c +_ChooseFastestDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^class _ChooseFastestDataset(dataset_ops.DatasetV2):$/;" c +_choose_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _choose_dispatcher(a, choices, out=None, mode=None):$/;" f +_choose_initial_centers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _choose_initial_centers(self):$/;" m class:_InitializeClustersOpFactory +_choose_memory_arg adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _choose_memory_arg(memory_limit, size_list):$/;" f +_choose_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _choose_step(step):$/;" f +_cho_inv_batch adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _cho_inv_batch(a, check_finite=True):$/;" f +_cho_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _cho_solve(c, b, lower):$/;" f +_ChromeTraceFormatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^class _ChromeTraceFormatter(object):$/;" c +_CHR_IDX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^_CHR_IDX = string.ascii_lowercase$/;" v +_ch_helper adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _ch_helper(gamma, s, r, h, p0, p1, x):$/;" f +_cihs_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^ def _cihs_1D(data, alpha):$/;" f function:median_cihs file: +_circfuncs_common adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _circfuncs_common(samples, high, low, nan_policy='propagate'):$/;" f +_cividis_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_cividis_data = [[0.000000, 0.135112, 0.304751],$/;" v +_ckpt_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _ckpt_path(self):$/;" m class:DatasetSerializationTestBase +_clamp adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _clamp(minval, operand, maxval):$/;" f +_clamp_by_zero adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^def _clamp_by_zero(x):$/;" f +_clamp_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_clamp_dtype_rule = partial(naryop_dtype_rule, _input_dtype, [_any, _any, _any],$/;" v +_clamp_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _clamp_shape_rule(min, operand, max):$/;" f +_clapack adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^ _clapack = None$/;" v +_CLASS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_CLASS = _descriptor.Descriptor($/;" v +_ClassDef adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _ClassDef(self, t):$/;" m class:Unparser +_Classes adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^class _Classes(types.ModuleType):$/;" c +_classic_mro adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^def _classic_mro(C, result):$/;" f +_CLASSIFICATIONREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_CLASSIFICATIONREQUEST = _descriptor.Descriptor($/;" v +_CLASSIFICATIONRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_CLASSIFICATIONRESPONSE = _descriptor.Descriptor($/;" v +_CLASSIFICATIONRESULT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_CLASSIFICATIONRESULT = _descriptor.Descriptor($/;" v +_CLASSIFICATIONS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_CLASSIFICATIONS = _descriptor.Descriptor($/;" v +_classification_output adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _classification_output(scores, n_classes, label_vocabulary=None):$/;" f +_CLASSIFYLOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_CLASSIFYLOG = _descriptor.Descriptor($/;" v +_CLASSIFY_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^_CLASSIFY_SERVING_KEY = 'classification'$/;" v +_ClassNamespace adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^class _ClassNamespace(types.ModuleType):$/;" c +_classproperty adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class _classproperty:$/;" c +_ClassVar adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _ClassVar(typing._FinalTypingBase, _root=True):$/;" c +_ClassVarMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _ClassVarMeta(typing.TypingMeta):$/;" c +_class_and_config_for_serialized_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def _class_and_config_for_serialized_keras_object($/;" f +_CLASS_CONVERSION_MAP adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^_CLASS_CONVERSION_MAP = {$/;" v +_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _class_id_table(self):$/;" m class:BinaryClassHead +_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def _class_id_table(self):$/;" m class:MultiClassHead +_class_id_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def _class_id_table(self):$/;" m class:MultiLabelHead +_CLASS_OR_MODULE_LEVEL_TEST_DESC_REGEX adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^_CLASS_OR_MODULE_LEVEL_TEST_DESC_REGEX = re.compile(r'^(\\w+) \\((\\S+)\\)$')$/;" v +_class_string_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _class_string_table(self):$/;" m class:BinaryClassHead +_class_string_table adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def _class_string_table(self):$/;" m class:MultiClassHead +_class_weights_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _class_weights_map_fn(*data):$/;" f function:_make_class_weight_map_fn file: +_CLASS_ZONE_INSTANCE adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^_CLASS_ZONE_INSTANCE = []$/;" v +_clean adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _clean(self, *filenames):$/;" m class:config +_CleanerStore adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^class _CleanerStore(threading.local):$/;" c +_CLEANER_STORE adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^_CLEANER_STORE = _CleanerStore()$/;" v +_cleanse_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _cleanse_string(name, pattern, value):$/;" f +_cleanup adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def _cleanup():$/;" f +_cleanup_cm adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _cleanup_cm():$/;" f +_cleanup_unregistered_flag_from_module_dicts adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _cleanup_unregistered_flag_from_module_dicts(self, flag_obj):$/;" m class:FlagValues +_clean_accept_ranges adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^def _clean_accept_ranges(accept_ranges):$/;" f +_clean_args adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _clean_args(*args):$/;" f +_clean_event adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _clean_event(self, event):$/;" m class:_SelectorWidget +_clean_file_url_path adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _clean_file_url_path(part):$/;" f +_clean_function_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _clean_function_name(name):$/;" f +_CLEAN_HEADER_REGEX_BYTE adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^_CLEAN_HEADER_REGEX_BYTE = re.compile(b'^\\\\S[^\\\\r\\\\n]*$|^$')$/;" v +_CLEAN_HEADER_REGEX_BYTE adpepsenv/lib/python3.8/site-packages/requests/utils.py /^_CLEAN_HEADER_REGEX_BYTE = re.compile(b'^\\\\S[^\\\\r\\\\n]*$|^$')$/;" v +_CLEAN_HEADER_REGEX_STR adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^_CLEAN_HEADER_REGEX_STR = re.compile(r'^\\S[^\\r\\n]*$|^$')$/;" v +_CLEAN_HEADER_REGEX_STR adpepsenv/lib/python3.8/site-packages/requests/utils.py /^_CLEAN_HEADER_REGEX_STR = re.compile(r'^\\S[^\\r\\n]*$|^$')$/;" v +_clean_inputs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _clean_inputs(lp):$/;" f +_clean_labels_out_of_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def _clean_labels_out_of_range():$/;" f function:_clean_out_of_range_indices file: +_clean_link adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _clean_link(url):$/;" f +_clean_one_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _clean_one_legacy(req, global_options):$/;" f +_clean_out_of_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def _clean_out_of_range(values):$/;" f function:_clean_out_of_range_indices file: +_clean_out_of_range_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _clean_out_of_range_indices(labels, num_classes):$/;" f +_clean_path adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def _clean_path(path):$/;" f +_clean_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ _clean_re = re.compile(r'[^a-z0-9$&+,\/:;=?@.#%_\\\\|-]', re.I)$/;" v class:Page +_clean_repr adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _clean_repr(obj):$/;" f +_clean_req adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _clean_req(self, req):$/;" m class:Distribution +_clean_url_path adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _clean_url_path(path, is_local_path):$/;" f +_clean_url_path_part adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _clean_url_path_part(part):$/;" f +_clean_zip_name adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def _clean_zip_name(name, prefix):$/;" f member:InstallRequirement._get_archive_name file: +_clear adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def _clear(self):$/;" m class:UnknownFieldSet +_Clear adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _Clear(self):$/;" f +_ClearCachedSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _ClearCachedSession(self):$/;" m class:TensorFlowTestCase +_clear_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _clear_attr(self, attr_name):$/;" m class:Operation +_clear_caches adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _clear_caches(self):$/;" m class:Context +_clear_debug_info adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _clear_debug_info(ops, perform_clear):$/;" f +_clear_fn_overloads adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _clear_fn_overloads(qual_name):$/;" f +_clear_local_lr_multiplier adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _clear_local_lr_multiplier(self):$/;" m class:Optimizer +_clear_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _clear_losses(self):$/;" m class:Layer +_clear_modules adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def _clear_modules(module_names):$/;" f +_clear_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/keras/saving/saving_utils.py /^ def _clear_name(spec):$/;" f function:_enforce_names_consistency file: +_clear_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ def _clear_name(spec):$/;" f function:_enforce_names_consistency file: +_clear_registries adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def _clear_registries(self):$/;" m class:suppress_warnings +_clear_saved_model_collections adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _clear_saved_model_collections():$/;" f +_clear_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^def _clear_summary_writer():$/;" f +_click adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _click(self, event):$/;" m class:Button +_click adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _click(self, event):$/;" m class:TextBox +_clicked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _clicked(self, event):$/;" m class:CheckButtons +_clicked adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _clicked(self, event):$/;" m class:RadioButtons +_client adpepsenv/lib/python3.8/site-packages/google/oauth2/_credentials_async.py /^from google.oauth2 import _client_async as _client$/;" x +_ClientCallDetails adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _ClientCallDetails($/;" c +_clip adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _clip(self, path, patchA, patchB):$/;" m class:ConnectionStyle._Base +_clip adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _clip(a, min=None, max=None, out=None, *, casting=None, **kwargs):$/;" f +_clip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def _clip(params, ids, max_norm):$/;" f +_clipped_sigmoid adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^def _clipped_sigmoid(x):$/;" f +_CLIPPINGLIMITS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_CLIPPINGLIMITS = _descriptor.Descriptor($/;" v +_clip_by_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _clip_by_value(t, clip_value_min, clip_value_max, name=None):$/;" f +_clip_by_value_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _clip_by_value_eager_fallback(t, clip_value_min, clip_value_max, name, ctx):$/;" f +_clip_by_value_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clip_ops.py /^def _clip_by_value_grad(op, grad):$/;" f +_clip_dep_invoke_with_casting adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _clip_dep_invoke_with_casting(ufunc, *args, out=None, casting=None, **kwargs):$/;" f +_clip_dep_is_byte_swapped adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _clip_dep_is_byte_swapped(a):$/;" f +_clip_dep_is_scalar_nan adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _clip_dep_is_scalar_nan(a):$/;" f +_clip_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _clip_dispatcher(a, a_min, a_max, out=None, **kwargs):$/;" f +_clip_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _clip_gradients(self, grads):$/;" m class:TFOptimizer +_clip_grid_lines_and_find_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def _clip_grid_lines_and_find_ticks(self, lines, values, levs, bb):$/;" m class:GridFinder +_clip_prob adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _clip_prob(p):$/;" f +_clip_type adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _clip_type(self, type_group, array_max,$/;" m class:TestClip +_clip_x_for_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _clip_x_for_func(func, bounds):$/;" f +_clone adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _clone(self,$/;" m class:TFRecordDatasetV1 +_clone adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _clone(self,$/;" m class:TFRecordDatasetV2 +_clone adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _clone(self, python_function):$/;" m class:Function +_cloneComponentValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def _cloneComponentValues(self, myClone, cloneValueFlag):$/;" m class:ConstructedAsn1Type +_cloneComponentValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def _cloneComponentValues(self, myClone, cloneValueFlag):$/;" m class:Choice +_cloneComponentValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def _cloneComponentValues(self, myClone, cloneValueFlag):$/;" m class:SequenceAndSetBase +_cloneComponentValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def _cloneComponentValues(self, myClone, cloneValueFlag):$/;" m class:SequenceOfAndSetOfBase +_clone_and_build_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _clone_and_build_model(model, mode, inputs=None, targets=None):$/;" f +_clone_and_build_model adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _clone_and_build_model(mode,$/;" f +_clone_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_ops.py /^def _clone_dataset(dataset):$/;" f +_clone_export_output_with_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _clone_export_output_with_tensors(export_output, tensors):$/;" f +_clone_functional_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _clone_functional_model(model, input_tensors=None, layer_fn=_clone_layer):$/;" f +_clone_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_ops.py /^def _clone_helper(op_to_clone, variant_tensor_ops):$/;" f +_clone_inputs adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _clone_inputs(args):$/;" f +_clone_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _clone_layer(layer):$/;" f +_clone_layers_and_model_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _clone_layers_and_model_config(model, input_layers, layer_fn):$/;" f +_clone_param adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _clone_param(self):$/;" m class:LBFGS +_clone_sequential_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _clone_sequential_model(model, input_tensors=None, layer_fn=_clone_layer):$/;" f +_clone_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^def _clone_session(session, graph=None):$/;" f +_close adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def _close(self, grace): # pylint: disable=too-many-branches$/;" m class:Channel +_close adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _close(self):$/;" m class:Channel +_close adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def _close(self):$/;" m class:_Channel +_close adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def _close(self):$/;" m class:CallbackFileWrapper +_CLOSED adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_CLOSED = 'closed'$/;" v +_CloseHandle adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _CloseHandle = ctypes.windll.kernel32.CloseHandle$/;" v +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:DcxImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:FliImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:GifImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ _close_exclusive_fp_after_loading = True$/;" v class:Image +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:ImImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:MicImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:MpoImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:PsdImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:SpiderImageFile +_close_exclusive_fp_after_loading adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ _close_exclusive_fp_after_loading = False$/;" v class:TiffImageFile +_close_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _close_internal(self, exception_type=None):$/;" m class:_MonitoredSession +_close_on_fork adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _close_on_fork(self):$/;" m class:Channel +_close_on_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def _close_on_stop(self, sess, cancel_op, coord):$/;" m class:QueueRunner +_close_streaming adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _close_streaming(self):$/;" m class:_ProcFunc +_close_writers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _close_writers(self):$/;" m class:TensorBoard +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ def _close__fp(self):$/;" m class:DcxImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def _close__fp(self):$/;" m class:FliImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def _close__fp(self):$/;" m class:GifImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def _close__fp(self):$/;" m class:ImImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ def _close__fp(self):$/;" m class:MicImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def _close__fp(self):$/;" m class:MpoImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def _close__fp(self):$/;" m class:PngImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ def _close__fp(self):$/;" m class:PsdImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def _close__fp(self):$/;" m class:SpiderImageFile +_close__fp adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _close__fp(self):$/;" m class:TiffImageFile +_closure_convert_for_avals adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _closure_convert_for_avals(fun, in_tree, in_avals):$/;" f +_CLOSURE_QUEUE_MAX_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^_CLOSURE_QUEUE_MAX_SIZE = 256 * 1024$/;" v +_CLOUD_RESOURCE_MANAGER adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^_CLOUD_RESOURCE_MANAGER = "https:\/\/cloudresourcemanager.googleapis.com\/v1\/projects\/"$/;" v +_CLOUD_SDK_CONFIG_COMMAND adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CLOUD_SDK_CONFIG_COMMAND = ("config", "config-helper", "--format", "json")$/;" v +_CLOUD_SDK_CREDENTIALS_WARNING adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_CLOUD_SDK_CREDENTIALS_WARNING = """\\$/;" v +_CLOUD_SDK_POSIX_COMMAND adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CLOUD_SDK_POSIX_COMMAND = "gcloud"$/;" v +_CLOUD_SDK_USER_ACCESS_TOKEN_COMMAND adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CLOUD_SDK_USER_ACCESS_TOKEN_COMMAND = ("auth", "print-access-token")$/;" v +_CLOUD_SDK_WINDOWS_COMMAND adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CLOUD_SDK_WINDOWS_COMMAND = "gcloud.cmd"$/;" v +_CLUSTERDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^_CLUSTERDEF = _descriptor.Descriptor($/;" v +_CLUSTERDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^_CLUSTERDEF = _descriptor.Descriptor($/;" v +_CLUSTERDEVICEFILTERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_CLUSTERDEVICEFILTERS = _descriptor.Descriptor($/;" v +_clusters_l2_normalized adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _clusters_l2_normalized(self):$/;" m class:KMeans +_CLUSTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_CLUSTER_KEY = 'cluster'$/;" v +_CLUSTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_CLUSTER_KEY = 'cluster'$/;" v +_cluster_spec_to_device_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def _cluster_spec_to_device_list(cluster_spec, num_gpus_per_worker):$/;" f +_cmap_registry adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^_cmap_registry = _gen_cmap_registry()$/;" v +_cmcControlAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^_cmcControlAttributesMapUpdate = {$/;" v +_cmcControlAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^_cmcControlAttributesMapUpdate = {$/;" v +_cmcControlAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894-1.py /^_cmcControlAttributesMapUpdate = {$/;" v +_cmcControlAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7894.py /^_cmcControlAttributesMapUpdate = {$/;" v +_cmp adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def _cmp(self, rhs, op):$/;" m class:Duration +_cmp adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def _cmp(self, rhs, op):$/;" m class:Epoch +_cmp adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def _cmp(self, rhs, op):$/;" m class:UnitDbl +_cmp adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def _cmp (self, other):$/;" m class:LooseVersion +_cmp adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def _cmp (self, other):$/;" m class:StrictVersion +_cmpkey adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def _cmpkey($/;" f +_cmpkey adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def _cmpkey(epoch, release, pre, post, dev, local):$/;" f +_cmpkey adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def _cmpkey(epoch, release, pre, post, dev, local):$/;" f +_cmpkey adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def _cmpkey(epoch, release, pre, post, dev, local):$/;" f +_CMRmap_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_CMRmap_data = {'red': ((0.000, 0.00, 0.00),$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6019.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6211.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7030.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7508.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7906.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsAttributesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8479.py /^_cmsAttributesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2634.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3274.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4073.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4108.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5035.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5083.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5652.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5958.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6032.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402-1.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6402.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6482.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6486.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8358.py /^_cmsContentTypesMapUpdate = {$/;" v +_cmsContentTypesMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8520.py /^_cmsContentTypesMapUpdate = {$/;" v +_cnode_bare adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_cnode_bare = ClusterNode(0)$/;" v +_cnode_type adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_cnode_type = type(ClusterNode)$/;" v +_CODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^_CODE = _descriptor.EnumDescriptor($/;" v +_codecs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ _codecs = []$/;" v class:StructureCoder +_codec_error_url_quote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _codec_error_url_quote(e):$/;" f +_CODEDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_CODEDEF = _descriptor.Descriptor($/;" v +_CODEDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_CODEDEF = _descriptor.Descriptor($/;" v +_CODEDEF_TRACE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_CODEDEF_TRACE = _descriptor.Descriptor($/;" v +_CODEDEF_TRACE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_CODEDEF_TRACE = _descriptor.Descriptor($/;" v +_CODEGENERATORREQUEST adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_CODEGENERATORREQUEST = _descriptor.Descriptor($/;" v +_CODEGENERATORRESPONSE adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_CODEGENERATORRESPONSE = _descriptor.Descriptor($/;" v +_CODEGENERATORRESPONSE_FEATURE adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_CODEGENERATORRESPONSE_FEATURE = _descriptor.EnumDescriptor($/;" v +_CODEGENERATORRESPONSE_FILE adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_CODEGENERATORRESPONSE_FILE = _descriptor.Descriptor($/;" v +_CODELOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_CODELOCATION = _descriptor.Descriptor($/;" v +_codes adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/status_codes.py /^_codes = {$/;" v +_codes adpepsenv/lib/python3.8/site-packages/requests/status_codes.py /^_codes = {$/;" v +_code_challenge_methods adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/authorization_code.py /^ _code_challenge_methods = {$/;" v class:AuthorizationCodeGrant +_code_def_to_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _code_def_to_traceback(self, code_def, id_to_string):$/;" m class:EventListenerTestServicer +_code_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _code_dispatcher(a, encoding=None, errors=None):$/;" f +_code_objs adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^_code_objs = {$/;" v +_CODE_TO_EXCEPTION_CLASS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^_CODE_TO_EXCEPTION_CLASS = {$/;" v +_CODE_TO_EXCEPTION_CLASS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^_CODE_TO_EXCEPTION_CLASS = {$/;" v +_CODING_PATTERN adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^_CODING_PATTERN = re.compile('^[ \\t\\v]*#.*?coding[:=][ \\t]*([-_.a-zA-Z0-9]+)')$/;" v +_coding_re adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^_coding_re = re.compile(br"coding[:=]\\s*([-\\w.]+)")$/;" v +_coeffs adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def _coeffs(self):$/;" m class:poly1d +_coeffs adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def _coeffs(self, coeffs):$/;" m class:poly1d +_coeff_smooth adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _coeff_smooth(lam):$/;" f +_COEF_LARGE adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_COEF_LARGE = [_I0_COEF_LARGE, _I1_COEF_LARGE]$/;" v +_COEF_SMALL adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_COEF_SMALL = [_I0_COEF_SMALL, _I1_COEF_SMALL]$/;" v +_coerceKey adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _coerceKey(self, key):$/;" m class:TreeBuilder.__init__.Attributes +_coerce_parse_result adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def _coerce_parse_result(results):$/;" f +_coerce_parse_result adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def _coerce_parse_result(results):$/;" f +_coerce_parse_result adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def _coerce_parse_result(results):$/;" f +_coerce_rules adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^_coerce_rules = {('f','f'):'f', ('f','d'):'d', ('f','F'):'F',$/;" v +_coerce_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:LegacySpecifier +_coerce_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:_IndividualSpecifier +_coerce_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:LegacySpecifier +_coerce_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:_IndividualSpecifier +_coerce_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:LegacySpecifier +_coerce_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _coerce_version(self, version):$/;" m class:_IndividualSpecifier +_cofactor_solve adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _cofactor_solve(a, b):$/;" f +_colate_features_to_feeds_and_fetches adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^def _colate_features_to_feeds_and_fetches(signature,$/;" f +_collapse adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def _collapse(self, axis):$/;" m class:matrix +_collapse_addresses_internal adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _collapse_addresses_internal(addresses):$/;" f +_COLLAPSE_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^_COLLAPSE_PATTERN = re.compile('\\\\\\\\w*\\n', re.M)$/;" v +_collate_fn_t adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^_collate_fn_t = Callable[[List[T]], Any]$/;" v +_Collect adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def _Collect(val, collections, default_collections):$/;" f +_COLLECTIONDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF = _descriptor.Descriptor($/;" v +_COLLECTIONDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_ANYLIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF_ANYLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_ANYLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF_ANYLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_BYTESLIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF_BYTESLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_BYTESLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF_BYTESLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_FLOATLIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF_FLOATLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_FLOATLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF_FLOATLIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_INT64LIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF_INT64LIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_INT64LIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF_INT64LIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_NODELIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_COLLECTIONDEF_NODELIST = _descriptor.Descriptor($/;" v +_COLLECTIONDEF_NODELIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_COLLECTIONDEF_NODELIST = _descriptor.Descriptor($/;" v +_collections adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^import collections as _collections$/;" I +_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^import collections as _collections$/;" I +_collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^from tensorflow.python.util.compat import collections_abc as _collections_abc$/;" x +_collections_abc adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^from tensorflow.python.util.compat import collections_abc as _collections_abc$/;" x +_collections_to_keep adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _collections_to_keep(self, collection_keys):$/;" m class:TrtGraphConverter +_CollectionWithSizes adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^class _CollectionWithSizes(Collection):$/;" c +_CollectiveAllReduceStrategyExperimental adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^class _CollectiveAllReduceStrategyExperimental($/;" c +_CollectiveAllReduceStrategyExperimentalMeta adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^class _CollectiveAllReduceStrategyExperimentalMeta(type):$/;" c +_collective_all_reduce_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _collective_all_reduce_multi_worker(strategy):$/;" f +_collective_batcher adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _collective_batcher(prim, args, dims, **params):$/;" f +_collective_communication adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^def _collective_communication(all_reduce_alg):$/;" f +_collective_key_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _collective_key_base = 0$/;" v class:CollectiveAllReduceStrategy +_collective_key_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _collective_key_base = 0$/;" v class:CollectiveAllReduceStrategyV1 +_collective_permute_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def _collective_permute_grad(op, grad):$/;" f +_collect_input_masks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _collect_input_masks(self, inputs, args, kwargs):$/;" m class:Layer +_collect_leaf_level_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _collect_leaf_level_keys(cross):$/;" f +_collect_leaf_level_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _collect_leaf_level_keys(cross):$/;" f +_collect_learning_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _collect_learning_rate(self, logs):$/;" m class:TensorBoard +_collect_node_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _collect_node_devices(self, debug_graph):$/;" m class:DebugDumpDir +_collect_partitioned_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _collect_partitioned_variable(name, all_vars):$/;" f +_collect_previous_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def _collect_previous_mask(x):$/;" f function:collect_previous_mask file: +_collect_resource_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _collect_resource_inputs(op):$/;" f +_collect_results adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^_collect_results = {}$/;" v +_collect_tensor_events adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _collect_tensor_events(self, request, step=None):$/;" m class:MeshPlugin +_collect_zipimporter_cache_entries adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _collect_zipimporter_cache_entries(normalized_path, cache):$/;" f +_colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _colocate_with(op, ignore_existing=False):$/;" f +_colocate_with_for_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _colocate_with_for_gradient(self, op, gradient_uid,$/;" m class:Graph +_colocate_with_for_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _colocate_with_for_gradient(op, gradient_uid, ignore_existing=False):$/;" f +_colocation_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _colocation_dict(self):$/;" m class:Operation +_colocation_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _colocation_stack(self):$/;" m class:Graph +_colocation_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _colocation_stack(self, colocation_stack):$/;" m class:Graph +_colon adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _colon = ":"$/;" v class:URL +_colon adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _colon = b":"$/;" v class:BytesURL +_color adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def _color(color, mode):$/;" f +_color adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _color(self, string, color, attrs=None):$/;" m class:PrettyPrinter +_colorama adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ from pip._vendor import colorama as _colorama$/;" x +_ColorbarAutoLocator adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class _ColorbarAutoLocator(ticker.MaxNLocator):$/;" c +_ColorbarAutoMinorLocator adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class _ColorbarAutoMinorLocator(ticker.AutoMinorLocator):$/;" c +_ColorbarLogLocator adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^class _ColorbarLogLocator(ticker.LogLocator):$/;" c +_colorbar_extension_length adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def _colorbar_extension_length(spacing):$/;" f +_colorbar_extension_shape adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def _colorbar_extension_shape(spacing):$/;" f +_ColorMapping adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^class _ColorMapping(dict):$/;" c +_colors_full_map adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^_colors_full_map = _ColorMapping(_colors_full_map)$/;" v +_colors_full_map adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^_colors_full_map = {}$/;" v +_color_diff adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^def _color_diff(color1, color2):$/;" f +_COLOR_ENABLED_TERM adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_COLOR_ENABLED_TERM = "xterm-256color"$/;" v +_COLOR_READY_COLORTERMS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_COLOR_READY_COLORTERMS = ["gnome-terminal", "xfce4-terminal"]$/;" v +_color_wrap adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^def _color_wrap(*colors):$/;" f +_colour_codes adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ _colour_codes = dict(black=0, red=1, green=2, yellow=3,$/;" v +_COLPARAMS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_COLPARAMS = _descriptor.Descriptor($/;" v +_Column adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^class _Column(object):$/;" c +_column_name_with_class_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def _column_name_with_class_name(fc):$/;" f +_column_stack_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _column_stack_dispatcher(tup):$/;" f +_comb adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def _comb(n, k):$/;" f +_CombinedNonMaxSuppressionOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_CombinedNonMaxSuppressionOutput = collections.namedtuple($/;" v +_CombinedResponse adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^class _CombinedResponse(transport.Response):$/;" c +_COMBINEDTFDATASTATS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_COMBINEDTFDATASTATS = _descriptor.Descriptor($/;" v +_COMBINEDTFDATASTATS_TFDATASTATSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_COMBINEDTFDATASTATS_TFDATASTATSENTRY = _descriptor.Descriptor($/;" v +_combine_distributed_scaffold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _combine_distributed_scaffold(grouped_scaffold, distribution):$/;" f +_combine_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _combine_handle_data(handle, initial_value):$/;" f +_combine_histograms adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _combine_histograms(self, orig_hist, new_hist, upsample_rate, downsample_rate, start_idx/;" m class:HistogramObserver +_combine_leading adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _combine_leading(sz0, sz1, aval, x):$/;" f +_combine_masks adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _combine_masks(*args):$/;" f +_combine_masks adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def _combine_masks(method, t, mask):$/;" f member:PruningContainer.compute_mask file: +_combine_named_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _combine_named_parameters(**kwargs):$/;" f +_combining_class adpepsenv/lib/python3.8/site-packages/idna/core.py /^def _combining_class(cp):$/;" f +_combining_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def _combining_class(cp):$/;" f +_Command adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^_Command = monkey.get_unpatched(distutils.core.Command)$/;" v +_commandline_dep_string adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def _commandline_dep_string(cc_args, extra_postargs, pp_opts):$/;" f +_command_line_ok adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def _command_line_ok(_cache=None):$/;" f +_command_property adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def _command_property(key):$/;" m class:FCompiler +_commasepitem adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _commasepitem = Combine(OneOrMore(~Literal(",")$/;" v class:pyparsing_common +_commasepitem adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_commasepitem = Combine(OneOrMore(Word(printables, excludeChars=',')$/;" v +_commasepitem adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _commasepitem = Combine(OneOrMore(~Literal(",") + ~LineEnd() + Word(printables, excludeChars/;" v class:pyparsing_common +_commasepitem adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_commasepitem = Combine(OneOrMore(Word(printables, excludeChars=',') +$/;" v +_commasepitem adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _commasepitem = Combine(OneOrMore(~Literal(",")$/;" v class:pyparsing_common +_commasepitem adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_commasepitem = Combine(OneOrMore(Word(printables, excludeChars=',')$/;" v +_commasepitem adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _commasepitem = Combine(OneOrMore(~Literal(",") + ~LineEnd() + Word(printables, excludeChars/;" v class:pyparsing_common +_commasepitem adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_commasepitem = Combine(OneOrMore(Word(printables, excludeChars=',') +$/;" v +_commastring adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _commastring(astr):$/;" f +_COMMENT adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _COMMENT = re.compile(r'(\\s*#.*$)', re.MULTILINE)$/;" v class:Tokenizer +_COMMENTED_LINE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^_COMMENTED_LINE = re.compile('#.*?(?=\\n)|\\n(?=$)', re.M | re.S)$/;" v +_comment_re adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ _comment_re = re.compile(br'%[^\\r\\n\\v]*')$/;" v class:Type1Font +_COMMITID adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_COMMITID = _descriptor.Descriptor($/;" v +_CommitTransaction adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _CommitTransaction = ctypes.windll.ktmw32.CommitTransaction$/;" v +_commonType adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _commonType(*arrays):$/;" f +_commonType adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^def _commonType(*arrays):$/;" f +_common_caffe2_arg_to_onnx_attr adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _common_caffe2_arg_to_onnx_attr(cls, op_def, arg):$/;" m class:Caffe2Frontend +_common_caffe2_op_to_onnx_node adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _common_caffe2_op_to_onnx_node(cls, op_def, shapes):$/;" m class:Caffe2Frontend +_common_input_validation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _common_input_validation(A, B, partial_match):$/;" f +_common_onnx_node_to_caffe2_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _common_onnx_node_to_caffe2_op(cls, init_model, pred_model, onnx_node, opset_version):$/;" m class:Caffe2Backend +_common_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _common_prefix(self, m):$/;" m class:TabCompletionRegistry +_common_reduce_window adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _common_reduce_window(operand, init_val, reducer, window_dimensions,$/;" f +_common_reduce_window_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _common_reduce_window_shape_rule(operand, window_dimensions,$/;" f +_common_type_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _common_type_dispatcher(*arrays):$/;" f +_COMPACT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^_COMPACT = 'compact'$/;" v +_compact_stack_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^def _compact_stack_trace(op):$/;" f +_COMPACT_TRACE_ENTRY_INIT_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_COMPACT_TRACE_ENTRY_INIT_VALUE = -1.0$/;" v +_COMPACT_TRACE_FILE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_COMPACT_TRACE_FILE_PREFIX = 'compact_trace.'$/;" v +_Compare adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Compare(self, t):$/;" m class:Unparser +_compare adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_net_executor_test.py /^ def _compare(self, model, forward_only):$/;" m class:TestRNNExecutor +_compare adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def _compare(self, other):$/;" m class:NumpyVersion +_compare adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def _compare(self, other, method):$/;" m class:KeyBasedCompareMixin +_compare adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def _compare(self, other, method):$/;" m class:_BaseVersion +_compare adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def _compare(self, other, method):$/;" m class:_BaseVersion +_compare adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def _compare(self, other, method):$/;" m class:_BaseVersion +_compare adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def _compare(self, other, method):$/;" m class:_BaseVersion +_compared_saved_loaded adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def _compared_saved_loaded(self, m):$/;" m class:JitTestCase +_compareOutputToExpected adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def _compareOutputToExpected(self, result_values, expected_values,$/;" m class:DatasetTestBase +_compareScalars adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def _compareScalars(self, a, b, *,$/;" m class:TestCase +_compareTensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def _compareTensors(self, a, b, *, rtol: Optional[float] = None, atol=None, equal_nan=True,$/;" m class:TestCase +_compare_arbitrary adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_arbitrary(self, prospective, spec):$/;" m class:Specifier +_compare_arbitrary adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_arbitrary(self, prospective, spec):$/;" m class:Specifier +_compare_arbitrary adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_arbitrary(self, prospective, spec):$/;" m class:Specifier +_compare_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_compatible(self, prospective, spec):$/;" m class:Specifier +_compare_compatible adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_compatible(self, prospective, spec):$/;" m class:Specifier +_compare_compatible adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_compatible(self, prospective, spec):$/;" m class:Specifier +_compare_docs adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_utils.py /^def _compare_docs(old_func, new_func):$/;" f +_compare_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:Specifier +_compare_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:Specifier +_compare_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_equal(self, prospective, spec):$/;" m class:Specifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec_str):$/;" m class:Specifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec):$/;" m class:Specifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_greater_than(self, prospective, spec):$/;" m class:Specifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_greater_than_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_greater_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_index_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _compare_index_dtype(self, m, dtype):$/;" m class:Test64Bit +_compare_index_result adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def _compare_index_result(self, arr, index, mimic_get, no_copy):$/;" m class:TestMultiIndexingAutomated +_compare_large_trilu_indices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def _compare_large_trilu_indices($/;" f +_compare_less_than adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec_str):$/;" m class:Specifier +_compare_less_than adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec):$/;" m class:Specifier +_compare_less_than adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_less_than(self, prospective, spec):$/;" m class:Specifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_less_than_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_less_than_equal(self, prospective, spec):$/;" m class:Specifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:Specifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:Specifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:LegacySpecifier +_compare_not_equal adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _compare_not_equal(self, prospective, spec):$/;" m class:Specifier +_compare_owner_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _compare_owner_value(context_id, rref, grad):$/;" f +_compare_pre_release adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def _compare_pre_release(self, other):$/;" m class:NumpyVersion +_compare_qvoronoi adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_qhull.py /^ def _compare_qvoronoi(self, points, output, **kw):$/;" m class:TestVoronoi +_compare_return_type adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_compare_return_type = Tuple[bool, Optional[str]]$/;" v +_compare_scalars_internal adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def _compare_scalars_internal(a, b, *, rtol: float, atol: float, equal_nan: bool) -> _compare_re/;" f +_compare_tensors_internal adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def _compare_tensors_internal(a: torch.Tensor, b: torch.Tensor, *, rtol, atol, equal_nan: bool) /;" f +_compare_trilu_indices adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^def _compare_trilu_indices($/;" f +_compare_version adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def _compare_version(self, other):$/;" m class:NumpyVersion +_compare_with_saved_model adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/savedmodel_test.py /^ def _compare_with_saved_model(self, f_jax, *args):$/;" m class:SavedModelTest +_comparison adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _comparison(self, other, compare):$/;" m class:MaskedArray +_comparison adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _comparison(tf_fun, x1, x2, cast_bool_to_int=False):$/;" f +_comparison_op adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _comparison_op(numpy_fn, lax_fn):$/;" f +_comparison_operator adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def _comparison_operator(g, input, other, op_name):$/;" f +_compat adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.util import compat as _compat$/;" x +_compat adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.util import compat as _compat$/;" x +_compat adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.compat import v2_compat as _compat # pylint: disable=g-import-not-at-top$/;" x +_compat adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.compat import v2_compat as _compat # pylint: disable=g-import-not-at-top$/;" x +_COMPATIBILITY adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_COMPATIBILITY = _descriptor.Descriptor($/;" v +_COMPATIBILITYVERDICT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_COMPATIBILITYVERDICT = _descriptor.EnumDescriptor($/;" v +_compatible_boolean_index adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _compatible_boolean_index(idx):$/;" f +_compat_bit_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _compat_bit_length(i):$/;" f +_compat_bytes_to_byte_vals adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _compat_bytes_to_byte_vals(byt):$/;" f +_COMPAT_CHECK_OK_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^_COMPAT_CHECK_OK_PREFIX = _COMPAT_CHECK_PREFIX + 'OK'$/;" v +_COMPAT_CHECK_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^_COMPAT_CHECK_PREFIX = 'Mixed precision compatibility check (mixed_float16): '$/;" v +_COMPAT_CHECK_WARNING_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^_COMPAT_CHECK_WARNING_PREFIX = _COMPAT_CHECK_PREFIX + 'WARNING'$/;" v +_COMPAT_CHECK_WARNING_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^_COMPAT_CHECK_WARNING_SUFFIX = ($/;" v +_COMPAT_COLLECTION_LIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^_COMPAT_COLLECTION_LIST = [ops.GraphKeys.LOCAL_VARIABLES,$/;" v +_compat_int_from_byte_vals adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _compat_int_from_byte_vals(bytvals, endianess):$/;" f +_compat_int_types adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^_compat_int_types = (int,)$/;" v +_COMPAT_MODULE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_COMPAT_MODULE_PREFIX = 'compat.v'$/;" v +_COMPAT_MODULE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_COMPAT_MODULE_TEMPLATE = 'compat.v%d'$/;" v +_compat_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _compat_range(start, end, step=1):$/;" f +_compat_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _compat_str = str$/;" v +_compat_to_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _compat_to_bytes(intval, length, endianess):$/;" f +_COMPILATIONRESULTPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^_COMPILATIONRESULTPROTO = _descriptor.Descriptor($/;" v +_compile adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def _compile (self, body, headers, include_dirs, lang):$/;" m class:config +_compile adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def _compile(self, obj, src, ext, cc_args, extra_postargs, pp_opts):$/;" m class:FCompiler +_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _compile(self, obj, src, ext, cc_args, extra_postargs, pp_opts):$/;" m class:CCompiler +_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _compile(self, body, headers, include_dirs, lang):$/;" m class:config +_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ def _compile(self, obj, src, ext, cc_args, extra_postargs, pp_opts):$/;" m class:CygwinCCompiler +_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def _compile(self, obj, src, ext, cc_args, extra_postargs, pp_opts):$/;" m class:UnixCCompiler +_CompileAndCheck adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def _CompileAndCheck(self, fun, args_maker, *, check_dtypes=True,$/;" m class:JaxTestCase +_compiled_cache adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ _compiled_cache = {}$/;" v class:Session +_compiled_methods_allowlist adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ _compiled_methods_allowlist = {$/;" v +_compiler_status adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^_compiler_status = None$/;" v +_compiler_to_string adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def _compiler_to_string(compiler):$/;" f +_compile_and_register_class adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _compile_and_register_class(obj, rcb, qualified_name):$/;" f +_compile_builder adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _compile_builder(self, append_unknown=True):$/;" m class:Rule +_compile_count adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ _compile_count = itertools.count()$/;" v class:FunctionMaker +_compile_eagerly adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _compile_eagerly(self, metrics, weighted_metrics, sample_weight_mode):$/;" m class:Model +_compile_from_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _compile_from_inputs(self, all_inputs, target, orig_inputs, orig_target):$/;" m class:Model +_compile_function_with_overload adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _compile_function_with_overload(overload_fn, qual_name, impl_fn):$/;" f +_compile_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def _compile_internal(computation, inputs=None):$/;" f +_compile_source adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _compile_source(self, fullpath):$/;" m class:PackageImporter +_compile_task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _compile_task_group(cls, task_group, setup_net_list=None):$/;" m class:LocalSession +_compile_task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _compile_task_group(cls, task_group, setup_net_list=None):$/;" m class:Session +_compile_ui_status_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _compile_ui_status_summary(self):$/;" m class:CursesUI +_compile_was_called adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _compile_was_called(self):$/;" m class:Model +_compile_was_called adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _compile_was_called(self):$/;" m class:Model +_compile_weights_loss_and_weighted_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _compile_weights_loss_and_weighted_metrics(self, sample_weights=None):$/;" m class:Model +_Completion adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/utilities.py /^class _Completion(base.Completion,$/;" c +_completion_code adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _completion_code(state):$/;" f +_complex adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _complex = concretization_function_error(complex, True)$/;" v class:ConcreteArray +_complex adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _complex = concretization_function_error(complex, True)$/;" v class:UnshapedArray +_complex adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_complex = {np.complexfloating}$/;" v +_complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _complex(real, imag, Tout=_dtypes.complex64, name=None):$/;" f +_complex2real adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^def _complex2real(z):$/;" f +_ComplexAbsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ComplexAbsGrad(op, grad):$/;" f +_ComplexGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ComplexGrad(op, grad):$/;" f +_ComplexStructOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_ComplexStructOutput = collections.namedtuple($/;" v +_complexType adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _complexType(t, default=cdouble):$/;" f +_complex_basetype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_complex_basetype = lambda dtype: np.abs(np.zeros((), dtype)).dtype$/;" f +_complex_correlate adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^def _complex_correlate(array, kernel, real_dtype, convolve=False,$/;" f +_complex_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^_complex_dtype = lambda dtype: (np.zeros((), dtype) + np.zeros((), np.complex64)).dtype$/;" f +_complex_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_complex_dtype = lambda dtype, *args: (np.zeros((), dtype) + np.zeros((), np.complex64)).dtype$/;" f +_complex_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _complex_eager_fallback(real, imag, Tout, name, ctx):$/;" f +_complex_elem_type adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _complex_elem_type(dtype):$/;" f +_complex_elem_types adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_complex_elem_types = {np.float32, np.float64}$/;" v +_complex_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _complex_python_udf(t1, t2):$/;" m class:DistAutogradTest +_complex_symrand adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def _complex_symrand(dim, dtype):$/;" f +_COMPLEX_TESTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_COMPLEX_TESTS = [$/;" v +_COMPLEX_TESTS_DICTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_COMPLEX_TESTS_DICTS = [dict(zip(_COMPLEX_TESTS_KEYS, testcase)) for testcase in _COMPLEX_TESTS]$/;" v +_COMPLEX_TESTS_KEYS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_COMPLEX_TESTS_KEYS = ["f", "fprime", "fprime2", "args", "smoothness", "x0", "x1", "root", "ID"]$/;" v +_complex_to_float adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^_complex_to_float = {$/;" v +_complex_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_complex_types = (torch.cfloat, torch.cdouble)$/;" v +_complex_types_map adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^_complex_types_map = {single : csingle,$/;" v +_complex_via_real_components adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _complex_via_real_components(func, input, weights, output, cval, **kwargs):$/;" f +_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/facebook.py /^ def _compliance_fix(r):$/;" f function:facebook_compliance_fix file: +_compliance_fix adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/plentymarkets.py /^ def _compliance_fix(r):$/;" f function:plentymarkets_compliance_fix file: +_componentSortKey adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^ def _componentSortKey(componentAndType):$/;" m class:SetEncoder +_componentSortKey adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^ def _componentSortKey(componentAndType):$/;" m class:SetEncoder +_components_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def _components_to_string(job, replica, task, device_type, device_index):$/;" m class:DeviceSpecV2 +_COMPONENTS_TO_STRING_CACHE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^_COMPONENTS_TO_STRING_CACHE = {}$/;" v +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _component_specs(self):$/;" m class:DatasetSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _component_specs(self):$/;" m class:IteratorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _component_specs(self):$/;" m class:MultiDeviceIteratorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _component_specs(self):$/;" m class:OptionalSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _component_specs(self):$/;" m class:NoneTensorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _component_specs(self):$/;" m class:DistributedIteratorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _component_specs(self):$/;" m class:_SingleWorkerDatasetIteratorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _component_specs(self):$/;" m class:ShardedVariableSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _component_specs(self):$/;" m class:PerReplicaSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _component_specs(self):$/;" m class:IndexedSlicesSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _component_specs(self):$/;" m class:SparseTensorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ _component_specs = property(lambda self: self)$/;" v class:DenseSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _component_specs(self):$/;" m class:TypeSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _component_specs(self):$/;" m class:UserRegisteredSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _component_specs(self):$/;" m class:NdarraySpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _component_specs(self):$/;" m class:RaggedTensorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _component_specs(self):$/;" m class:RowPartitionSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _component_specs(self):$/;" m class:GeneratorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _component_specs(self):$/;" m class:StructuredTensorSpec +_component_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _component_specs(self):$/;" m class:TensorArraySpec +_compose adpepsenv/lib/python3.8/site-packages/cycler.py /^ def _compose(self):$/;" m class:Cycler +_compose_transforms adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _compose_transforms(scale, offset, then_scale, then_offset):$/;" f member:BatchNormalizationBase.call file: +_composite_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^ def _composite_impl(matrix, rhs, l2_regularizer):$/;" f function:matrix_solve_ls file: +_comprehension adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _comprehension(self, t):$/;" m class:Unparser +_Comprehension adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^class _Comprehension(object):$/;" c +_compress adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def _compress(self, histogram):$/;" m class:DistributionsPlugin +_compressed_sparse_stack adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^def _compressed_sparse_stack(blocks, axis):$/;" f +_CompressHistogram adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _CompressHistogram(self, histo_ev):$/;" m class:EventAccumulator +_compression_algorithm_to_metadata_value adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^def _compression_algorithm_to_metadata_value(compression):$/;" f +_COMPRESSION_TYPES adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^_COMPRESSION_TYPES = {"none": 0xFF, "rle": 0x01, "scanline": 0x00}$/;" v +_compress_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _compress_dispatcher(condition, a, axis=None, out=None):$/;" f +_compress_hextets adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _compress_hextets(cls, hextets):$/;" m class:_BaseV6 +_compress_method adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _compress_method(a, condition, axis=None, out=None):$/;" f +_compress_uniform_simplified adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fused_nbit_rowwise_test_helper.py /^def _compress_uniform_simplified(X, bit_rate, xmin, xmax, fp16_scale_bias=True):$/;" f +_COMPUTATIONSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_COMPUTATIONSTATS = _descriptor.Descriptor($/;" v +_compute adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^ def _compute(args):$/;" f function:_expm file: +_ComputeAccidentalHitsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_ComputeAccidentalHitsOutput = collections.namedtuple($/;" v +_ComputeBlobsToSync adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _ComputeBlobsToSync(model):$/;" f +_computeGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _computeGradient(self, np_input, bias, dtype, data_format):$/;" m class:BiasAddTestBase +_compute_absolute_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _compute_absolute_step(rel_step, x0, f0, method):$/;" f +_compute_angular_acceleration adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _compute_angular_acceleration(rotvecs, rotvecs_dot, rotvecs_dot_dot):$/;" f +_compute_angular_rate adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _compute_angular_rate(rotvecs, rotvecs_dot):$/;" f +_compute_attention adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def _compute_attention(self,$/;" m class:MultiHeadAttention +_compute_carry_and_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _compute_carry_and_output(self, x, h_tm1, c_tm1):$/;" m class:LSTMCell +_compute_carry_and_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _compute_carry_and_output(self, x, h_tm1, c_tm1):$/;" m class:PeepholeLSTMCell +_compute_carry_and_output_fused adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _compute_carry_and_output_fused(self, z, c_tm1):$/;" m class:LSTMCell +_compute_carry_and_output_fused adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _compute_carry_and_output_fused(self, z, c_tm1):$/;" m class:PeepholeLSTMCell +_compute_causal_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _compute_causal_padding(self, inputs):$/;" m class:Conv +_compute_colocation_summary_from_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_colocation_summary_from_dict(name, colocation_dict, prefix=""):$/;" f +_compute_colocation_summary_from_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_colocation_summary_from_op(op, prefix=""):$/;" f +_compute_conf_interval adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def _compute_conf_interval(data, med, iqr, bootstrap):$/;" f function:boxplot_stats file: +_compute_constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def _compute_constr(self, c_ineq, c_eq, s):$/;" m class:BarrierSubproblem +_compute_coordinate_deltas adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def _compute_coordinate_deltas(self, x):$/;" m class:Elec +_compute_cosine_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _compute_cosine_distance(cls, inputs, clusters, inputs_normalized=True):$/;" m class:KMeans +_compute_cost adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_sharing.py /^def _compute_cost(cache):$/;" f +_compute_cost_div_m adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _compute_cost_div_m(m, p, norm_info):$/;" f +_compute_covariance adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def _compute_covariance(self):$/;" m class:gaussian_kde +_compute_covariance adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def _compute_covariance(self):$/;" m class:_kde_subclass3 +_compute_dense_output adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def _compute_dense_output(self):$/;" m class:Radau +_compute_dependencies adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _compute_dependencies(self):$/;" m class:DistInfoDistribution +_compute_dependencies adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _compute_dependencies(self):$/;" m class:DistInfoDistribution +_compute_device_assignment_summary_from_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_device_assignment_summary_from_op(op, prefix=""):$/;" f +_compute_device_summary_from_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_device_summary_from_list(name, device_assignment_list, prefix=""):$/;" f +_compute_directional_feature_contributions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _compute_directional_feature_contributions(example_feature_ids,$/;" f +_compute_divided_differences adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _compute_divided_differences(xvals, fvals, N=None, full=True,$/;" f +_compute_dminus adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _compute_dminus(cdfvals):$/;" f +_compute_dof adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _compute_dof(self, kind, dz=None):$/;" m class:CubicTriInterpolator +_compute_dplus adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _compute_dplus(cdfvals):$/;" f +_compute_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _compute_dtype(self):$/;" m class:Layer +_compute_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _compute_dtype(self):$/;" m class:Layer +_compute_dx_and_dy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_dx_and_dy(x, y, y_shape):$/;" f +_compute_elemwise_op_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _compute_elemwise_op_output_shape(self, shape1, shape2):$/;" m class:_Merge +_compute_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_error(grad):$/;" f +_compute_euclidean_distance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _compute_euclidean_distance(cls, inputs, clusters):$/;" m class:KMeans +_compute_ev_red_basis adpeps/simulation/run_ipeps_exci.py /^ def _compute_ev_red_basis(H, N, P, n):$/;" f function:evaluate_single file: +_compute_experiment_from_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _compute_experiment_from_runs($/;" m class:Context +_compute_factors adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _compute_factors(roots, multiplicity, include_powers=False):$/;" f +_compute_fans adpepsenv/lib/python3.8/site-packages/jax/_src/nn/initializers.py /^def _compute_fans(shape, in_axis=-2, out_axis=-1):$/;" f +_compute_fans adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^def _compute_fans(shape):$/;" f +_compute_feature_importances adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _compute_feature_importances(tree_ensemble, num_features, normalize):$/;" f +_compute_feature_importances_per_tree adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _compute_feature_importances_per_tree(tree, num_features):$/;" f +_compute_field_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_field_dict(op, strip_file_prefix=""):$/;" f +_compute_fraction_of_zero adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _compute_fraction_of_zero(variables):$/;" f +_compute_full_hessian adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _compute_full_hessian(grads, logits, logits_dimension):$/;" f +_compute_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def _compute_function(self, f, c_ineq, s):$/;" m class:BarrierSubproblem +_compute_gpu_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _compute_gpu_options(self):$/;" m class:Context +_compute_gradient adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def _compute_gradient(self, g):$/;" m class:BarrierSubproblem +_compute_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_gradient(x,$/;" f +_compute_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _compute_gradient(f, y_shape, y_dtype, xs, param, delta):$/;" f +_compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _compute_gradients(self, loss, var_list, grad_loss=None, tape=None):$/;" m class:LossScaleOptimizer +_compute_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _compute_gradients(self, loss, var_list, grad_loss=None, tape=None):$/;" m class:OptimizerV2 +_compute_gradients_until_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^def _compute_gradients_until_finite($/;" f +_compute_gradient_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_gradient_list(x,$/;" f +_compute_gradient_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _compute_gradient_list(f, xs, delta):$/;" f +_compute_hparam_infos adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _compute_hparam_infos(self, hparams_run_to_tag_to_content):$/;" m class:Context +_compute_hparam_info_from_values adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _compute_hparam_info_from_values(self, name, values):$/;" m class:Context +_compute_if_needed adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def _compute_if_needed(self, x, *args):$/;" m class:MemoizeJac +_compute_in_out adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _compute_in_out(ops):$/;" f +_compute_jacobian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def _compute_jacobian(self, J_eq, J_ineq, s):$/;" m class:BarrierSubproblem +_compute_loss_manual adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/crf_test.py /^ def _compute_loss_manual(self, predictions, num_tags, labels, transitions):$/;" m class:TestCRFOp +_compute_lwork adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^def _compute_lwork(routine, *args, **kwargs):$/;" f +_compute_metric_infos adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _compute_metric_infos($/;" m class:Context +_compute_metric_names adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _compute_metric_names($/;" m class:Context +_compute_newshape adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _compute_newshape(a, newshape):$/;" f +_compute_norm adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _compute_norm(t, n, dim):$/;" f +_compute_nparams_toprune adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _compute_nparams_toprune(amount, tensor_size):$/;" f +_compute_numeric_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_numeric_jacobian(x, x_shape, x_data, y, y_shape, delta,$/;" f +_compute_numeric_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _compute_numeric_jacobian(f, y_size, y_dtype, xs, param, delta):$/;" f +_compute_offset adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _compute_offset(self):$/;" m class:ScalarFormatter +_compute_one_site_exci_norm adpeps/ipeps/evaluation.py /^def _compute_one_site_exci_norm(ts):$/;" f +_compute_oversize_flops adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _compute_oversize_flops(inputs, remaining, output, size_dict):$/;" f +_compute_per_table_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _compute_per_table_gradients($/;" m class:TPUEmbedding +_compute_polygon_vertex adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _compute_polygon_vertex(centroid, polygon_radius, angle):$/;" f function:_compute_regular_polygon_vertices file: +_compute_prob_inside_method adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _compute_prob_inside_method(m, n, g, h):$/;" f +_compute_prob_outside_square adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _compute_prob_outside_square(n, h):$/;" f +_compute_p_max adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _compute_p_max(m_max):$/;" f +_compute_qth_percentile adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _compute_qth_percentile(sorted_, per, interpolation_method, axis):$/;" f +_compute_quantization_error adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _compute_quantization_error(next_start_bin, next_end_bin, norm_type):$/;" f member:HistogramObserver._non_linear_param_search file: +_compute_regular_polygon_vertices adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^def _compute_regular_polygon_vertices(bounding_circle, n_sides, rotation):$/;" f +_compute_residues adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _compute_residues(poles, multiplicity, numerator):$/;" f +_compute_sampled_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def _compute_sampled_logits(weights,$/;" f +_compute_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _compute_signature(tensor, tf_op, cast_to_f32=True):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_compute_size_by_dict adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _compute_size_by_dict(indices, idx_dict):$/;" f +_compute_size_of_strided_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _compute_size_of_strided_dim(shrink, spec, size):$/;" f +_compute_squeeze_shape adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _compute_squeeze_shape(shape, dimensions):$/;" f +_compute_static_batch_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def _compute_static_batch_dim(self):$/;" m class:_RebatchDataset +_compute_task_and_cores_to_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^def _compute_task_and_cores_to_replicas(core_assignment, topology):$/;" f +_compute_tauk adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _compute_tauk(n, k, maxit=5):$/;" f +_compute_tensor_usage_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _compute_tensor_usage_count(self):$/;" m class:Functional +_compute_theoretical_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _compute_theoretical_jacobian(x, x_shape, x_data, dy, dy_shape, dx,$/;" f +_compute_theoretical_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _compute_theoretical_jacobian(f, y_shape, y_dtype, xs, param):$/;" f +_compute_time_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _compute_time_features(self, time):$/;" m class:ARModel +_compute_tree_height adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _compute_tree_height(g, root):$/;" f +_compute_tri_eccentricities adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _compute_tri_eccentricities(tris_pts):$/;" m class:CubicTriInterpolator +_compute_useful_frames adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _compute_useful_frames(traceback, num):$/;" f +_comp_exp adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def _comp_exp(self, node, open_brace=None, close_brace=None):$/;" m class:BaseVisitor +_COMP_FILTERS adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^_COMP_FILTERS = {'gzip': h5z.FILTER_DEFLATE,$/;" v +_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def _concat(prefix, suffix, static=False):$/;" f +_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^_concat = rnn_cell_impl._concat$/;" v +_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^_concat = rnn_cell_impl._concat$/;" v +_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _concat(self):$/;" m class:PartitionedVariable +_ConcatAndSplitPacker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^class _ConcatAndSplitPacker(object):$/;" c +_concatenate adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _concatenate(*operands, dimension):$/;" f +_concatenate adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _concatenate(aval, x1, x2):$/;" f +_concatenate adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^_concatenate = getattr(_from_nx.concatenate, '__wrapped__', _from_nx.concatenate)$/;" v +_concatenate_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _concatenate_batch_rule(batched_args, batch_dims, *, dimension):$/;" f +_concatenate_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _concatenate_dtype_rule(*operands, **kwargs):$/;" f +_concatenate_shapes adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _concatenate_shapes(shapes, axis):$/;" f +_concatenate_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _concatenate_shape_rule(*operands, **kwargs):$/;" f +_concatenate_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _concatenate_translation_rule(c, *operands, **kwargs):$/;" f +_concatenate_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _concatenate_transpose_rule(t, *operands, dimension):$/;" f +_ConcatGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ConcatGrad(op, grad):$/;" f +_ConcatGradHelper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ConcatGradHelper(op, grad, start_value_index, end_value_index, dim_index):$/;" f +_ConcatGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ConcatGradV2(op, grad):$/;" f +_concat_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _concat_masking_rule(padded_vals, logical_shapes, dimension):$/;" f +_concat_ragged_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _concat_ragged_splits(splits_list):$/;" f +_concat_vectors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _concat_vectors(*args):$/;" f +_concrete_function_callable_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _concrete_function_callable_with(function, inputs, allow_conversion):$/;" f +_concrete_ndptr adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^class _concrete_ndptr(_ndptr):$/;" c +_concrete_tensor_to_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def _concrete_tensor_to_proto(tensor):$/;" f +_concrete_typeinfo adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_concrete_typeinfo = {}$/;" v +_concrete_types adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_concrete_types = {v.type for k, v in _concrete_typeinfo.items()}$/;" v +_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _cond(index: TfVal, *operands: TfVal,$/;" f +_cond adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond(pred, true_fun: Callable, false_fun: Callable, operand):$/;" f +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _cond(i, _):$/;" f member:_InitializeClustersOpFactory._kmc2_multiple_centers file: +_COND adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^_COND = 1$/;" v +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _cond(self):$/;" m class:LinearOperator +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _cond(self):$/;" m class:LinearOperatorAdjoint +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _cond(self):$/;" m class:LinearOperatorDiag +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _cond(self):$/;" m class:LinearOperatorHouseholder +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _cond(self):$/;" m class:LinearOperatorIdentity +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _cond(self):$/;" m class:LinearOperatorScaledIdentity +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _cond(self):$/;" m class:LinearOperatorInversion +_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _cond(self):$/;" m class:LinearOperatorPermutation +_cond adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _cond(var, true_branch, false_branch, name=None):$/;" f +_CondBuilder adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class _CondBuilder(_LoopBuilder):$/;" c +_CONDCONTEXTDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_CONDCONTEXTDEF = _descriptor.Descriptor($/;" v +_CondGradFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^class _CondGradFuncGraph(util.CondBranchFuncGraph):$/;" c +_condition adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _condition: threading.Condition = threading.Condition(lock=_lock)$/;" v class:ChannelCache +_CONDITIONAL_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^_CONDITIONAL_OPS = set(["If", "StatelessIf"])$/;" v +_condition_3_13 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _condition_3_13(A_1_norm, n0, m_max, ell):$/;" f +_conduct_rpc adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _conduct_rpc(self) -> ResponseType:$/;" m class:StreamUnaryCall +_cond_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_abstract_eval(*args, **kwargs):$/;" f +_cond_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_batching_rule(args, dims, axis_name, branches, linear):$/;" f +_cond_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _cond_dispatcher(x, p=None):$/;" f +_cond_fn adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def _cond_fn(kXVU):$/;" f function:_gamma_one file: +_cond_index_bcast_and_select_tree adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_index_bcast_and_select_tree(indices, branch_vals):$/;" f +_cond_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_jvp(primals, tangents, branches, linear):$/;" f +_cond_partial_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_partial_eval(trace, *tracers, branches, linear):$/;" f +_cond_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_translation_rule(c, axis_env, name_stack, avals, backend,$/;" f +_cond_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_transpose(cts, *args, branches, linear):$/;" f +_cond_typecheck adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_typecheck(*avals, branches, linear):$/;" f +_cond_with_per_branch_args adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cond_with_per_branch_args(pred,$/;" f +_config adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^_config = threading.local()$/;" v +_CONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_CONFIG = _descriptor.Descriptor($/;" v +_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _config = json.load(fh)$/;" v +_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _config = {}$/;" v +_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _config = {$/;" v +_CONFIGPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CONFIGPROTO = _descriptor.Descriptor($/;" v +_CONFIGPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CONFIGPROTO = _descriptor.Descriptor($/;" v +_CONFIGPROTO_DEVICECOUNTENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CONFIGPROTO_DEVICECOUNTENTRY = _descriptor.Descriptor($/;" v +_CONFIGPROTO_DEVICECOUNTENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CONFIGPROTO_DEVICECOUNTENTRY = _descriptor.Descriptor($/;" v +_CONFIGPROTO_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CONFIGPROTO_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_CONFIGPROTO_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CONFIGPROTO_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_CONFIGPROTO_EXPERIMENTAL_MLIRBRIDGEROLLOUT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_CONFIGPROTO_EXPERIMENTAL_MLIRBRIDGEROLLOUT = _descriptor.EnumDescriptor($/;" v +_CONFIGPROTO_EXPERIMENTAL_MLIRBRIDGEROLLOUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_CONFIGPROTO_EXPERIMENTAL_MLIRBRIDGEROLLOUT = _descriptor.EnumDescriptor($/;" v +_configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _configure(self,$/;" m class:CollectiveAllReduceExtended +_configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _configure(self,$/;" m class:StrategyExtendedV2 +_configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _configure(self,$/;" m class:MirroredExtended +_configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _configure(self,$/;" m class:ParameterServerStrategyExtended +_configure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _configure(self,$/;" m class:TPUExtended +_configure_embeddings adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _configure_embeddings(self):$/;" m class:TensorBoard +_configure_session_config_for_std_servers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _configure_session_config_for_std_servers($/;" f +_configure_steps_per_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _configure_steps_per_execution(self, steps_per_execution):$/;" m class:Model +_config_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def _config_axes(self):$/;" m class:CbarAxesBase +_config_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _config_axes(self):$/;" m class:ColorbarBase +_config_axis adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _config_axis(self):$/;" m class:ColorbarBase +_config_command_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def _config_command_handler(self, args, screen_info=None):$/;" m class:BaseUI +_CONFIG_DIRECTORY adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CONFIG_DIRECTORY = "gcloud"$/;" v +_CONFIG_FILE_CHECK_THROTTLE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^_CONFIG_FILE_CHECK_THROTTLE = 60$/;" v +_CONFIG_FILE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ _CONFIG_FILE_NAME = ".tfdbg_config"$/;" v class:CLIConfig +_config_for_enable_caching_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _config_for_enable_caching_device(rnn_cell):$/;" f +_config_node_setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _config_node_setter(self, setter):$/;" m class:KerasObjectLoader +_config_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_config_path = os.path.expanduser(os.path.join(_keras_dir, 'keras.json'))$/;" v +_config_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.core.protobuf import config_pb2 as _config_pb2$/;" x +_CONFIG_VARS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_CONFIG_VARS = None$/;" v +_CONFIG_VARS adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^from distutils.sysconfig import _config_vars as _CONFIG_VARS # noqa$/;" x +_config_vars adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^_config_vars = None$/;" v +_conform_to_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _conform_to_outputs(self, outputs, struct):$/;" m class:Container +_conform_to_reference_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _conform_to_reference_input(self, tensor, ref_input):$/;" m class:Functional +_ConfusionMatrixConditionCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^class _ConfusionMatrixConditionCount(Metric):$/;" c +_confusion_matrix_at_thresholds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _confusion_matrix_at_thresholds(labels,$/;" f +_conj adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _conj(x, **kwargs):$/;" f +_ConjGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ConjGrad(_, grad):$/;" f +_ConjugateTransposeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ConjugateTransposeGrad(op, grad):$/;" f +_conj_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conj_transpose_rule(t, x, *, input_dtype):$/;" f +_ConnectionError adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ _ConnectionError = socket.error$/;" v +_connection_refs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^_connection_refs = weakref.WeakValueDictionary()$/;" v +_connection_refs adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^_connection_refs = weakref.WeakValueDictionary()$/;" v +_connection_ref_lock adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^_connection_ref_lock = threading.Lock()$/;" v +_connection_ref_lock adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^_connection_ref_lock = threading.Lock()$/;" v +_connect_jump_to_finally_sections adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _connect_jump_to_finally_sections(self, node):$/;" m class:GraphBuilder +_connect_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _connect_nodes(self, first, second):$/;" m class:GraphBuilder +_connect_tls_proxy adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def _connect_tls_proxy(self, hostname, conn):$/;" m class:HTTPSConnection +_connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _connect_to_cluster(self, coordinator_name):$/;" m class:ParameterServerStrategyV2 +_conn_maker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def _conn_maker(self, *args, **kwargs):$/;" m class:HTTPSHandler +_consecutive_return_counts adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _consecutive_return_counts(input, return_inverse=False, return_counts=False, dim=None):$/;" f +_consecutive_return_inverse adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _consecutive_return_inverse(input, return_inverse=False, return_counts=False, dim=None):$/;" f +_consecutive_return_inverse_false adpepsenv/lib/python3.8/site-packages/torch/functional.py /^_consecutive_return_inverse_false = boolean_dispatch($/;" v +_consecutive_return_inverse_true adpepsenv/lib/python3.8/site-packages/torch/functional.py /^_consecutive_return_inverse_true = boolean_dispatch($/;" v +_consecutive_return_output adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _consecutive_return_output(input, return_inverse=False, return_counts=False, dim=None):$/;" f +_ConsoleFrame adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^class _ConsoleFrame(object):$/;" c +_ConsoleLoader adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^class _ConsoleLoader(object):$/;" c +_const adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _const(example, val):$/;" f +_const adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def _const(v):$/;" f function:piecewise file: +_Constant adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Constant(self, t):$/;" m class:Unparser +_ConstantPadNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class _ConstantPadNd(Module):$/;" c +_constants adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ _constants = {$/;" v class:FontconfigPatternParser +_Constants adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _Constants(object):$/;" c +_Constants adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _Constants(object):$/;" c +_ConstantValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _ConstantValue(tensor, partial):$/;" f +_constant_eager_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _constant_eager_impl(ctx, value, dtype, shape, verify_shape):$/;" f +_constant_fill adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def _constant_fill(g, sizes, dtype, const_value):$/;" f +_constant_handlers adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^_constant_handlers: Dict[type, Callable] = {}$/;" v +_constant_if_small adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _constant_if_small(value, shape, dtype, name):$/;" f +_constant_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _constant_impl($/;" f +_constant_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^def _constant_inputs(op_or_tensor):$/;" f +_constant_like adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _constant_like(x, const):$/;" f +_constant_names adpepsenv/lib/python3.8/site-packages/scipy/constants/__init__.py /^_constant_names = "\\n".join(["``%s``%s %s %s" % (_x[1], " "*(66-len(_x[1])),$/;" v +_constant_names adpepsenv/lib/python3.8/site-packages/scipy/constants/__init__.py /^_constant_names = [(_k.lower(), _k, _v)$/;" v +_constant_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.framework import constant_op as _constant_op$/;" x +_constant_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _constant_state():$/;" f member:PythonStringStateSaveable.freeze file: +_constant_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _constant_tensor_conversion_function(v, dtype=None, name=None,$/;" f +_constant_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _constant_to_tensor(x, dtype):$/;" f +_constant_types adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^_constant_types = (bool, float, int, str, type(None), torch.device, torch.layout, torch.dtype)$/;" v +_CONSTANT_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^_CONSTANT_VALUE = '_CONSTANT_VALUE'$/;" v +_constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def _constant_value(ragged_factory, inner_factory, pylist, dtype, ragged_rank,$/;" f +_ConstraintWrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^class _ConstraintWrapper(object):$/;" c +_constraint_violation_fn adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _constraint_violation_fn(self, x):$/;" m class:DifferentialEvolutionSolver +_constrain_devices_and_set_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _constrain_devices_and_set_default(self, sess, use_gpu, force_gpu):$/;" m class:TensorFlowTestCase +_construct adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _construct(cpp_module, init_fn):$/;" m class:RecursiveScriptModule +_construction_repr adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _construction_repr(dtype, include_align=False, short=False):$/;" f +_construct_adjoint_diagonals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _construct_adjoint_diagonals(self, diagonals):$/;" m class:LinearOperatorTridiag +_construct_argparser adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _construct_argparser($/;" m class:rv_generic +_construct_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def _construct_concrete_function(func, output_graph_def,$/;" f +_construct_default_doc adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _construct_default_doc(self, longname=None, extradoc=None,$/;" m class:rv_generic +_construct_doc adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _construct_doc(self, docdict, shapes_vals=None):$/;" m class:rv_generic +_construct_docstrings adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _construct_docstrings(self, name, longname, extradoc):$/;" m class:rv_discrete +_construct_forward_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _construct_forward_backward(self, num_doutputs):$/;" m class:_DelayedRewriteGradientFunctions +_construct_from_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _construct_from_derivatives(xa, xb, ya, yb):$/;" m class:BPoly +_construct_lookups adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def _construct_lookups():$/;" f +_construct_parser adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _construct_parser(self, fname):$/;" m class:Configuration +_construct_script adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def _construct_script($/;" m class:_ValgrindWrapper +_construct_sparse_tensors_for_sparse_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^_construct_sparse_tensors_for_sparse_features = \\$/;" v +_construct_tensors_for_composite_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^def _construct_tensors_for_composite_features(features, tensor_dict):$/;" f +_construct_tensors_for_composite_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^_construct_tensors_for_composite_features = ($/;" v +_construct_test_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def _construct_test_name(test_name, op, device_type, dtype):$/;" f +_const_compare_digest adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^_const_compare_digest = getattr(hmac, "compare_digest", _const_compare_digest_backport)$/;" v +_const_compare_digest adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^_const_compare_digest = getattr(hmac, "compare_digest", _const_compare_digest_backport)$/;" v +_const_compare_digest_backport adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def _const_compare_digest_backport(a, b):$/;" f +_const_compare_digest_backport adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def _const_compare_digest_backport(a, b):$/;" f +_consume adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _consume(self):$/;" m class:Unpacker +_ConsumeAnyTypeUrl adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _ConsumeAnyTypeUrl(self, tokenizer):$/;" m class:_Parser +_ConsumeInt32 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ConsumeInt32(tokenizer):$/;" f +_ConsumeInt64 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ConsumeInt64(tokenizer):$/;" f +_ConsumeInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ConsumeInteger(tokenizer, is_signed=False, is_long=False):$/;" f +_consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor.py /^ def _consumers(self):$/;" m class:CompositeTensor +_Consumers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _Consumers(t, func_graphs):$/;" f +_ConsumeSingleByteString adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _ConsumeSingleByteString(self):$/;" m class:Tokenizer +_ConsumeUint32 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ConsumeUint32(tokenizer):$/;" f +_ConsumeUint64 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ConsumeUint64(tokenizer):$/;" f +_consume_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def _consume_args(self):$/;" m class:_ArgTemplateBuilder +_consume_next_event adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _consume_next_event(self):$/;" m class:_SingleThreadedRendezvous +_consume_pending_statements adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _consume_pending_statements(self):$/;" m class:AnfTransformer +_consume_request_iterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _consume_request_iterator(self,$/;" m class:_StreamRequestMixin +_consume_request_iterator adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _consume_request_iterator(request_iterator, state, call, request_serializer,$/;" f +_cont adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_cont = "cont"$/;" v +_container_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _container_strategy(self):$/;" m class:StrategyExtendedV2 +_CONTAINS_CONTROL_CHAR_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^_CONTAINS_CONTROL_CHAR_RE = re.compile(r"[^-!#$%&'*+.^_`|~0-9a-zA-Z]")$/;" v +_CONTAINS_CONTROL_CHAR_RE adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^_CONTAINS_CONTROL_CHAR_RE = re.compile(r"[^-!#$%&'*+.^_`|~0-9a-zA-Z]")$/;" v +_contains_egg_info adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _contains_egg_info(s):$/;" f +_contains_function_with_implements_attr adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _contains_function_with_implements_attr(self, saved_model_proto):$/;" m class:TFLiteConverterBase +_contains_nan adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _contains_nan(a, nan_policy='propagate'):$/;" f +_contains_query adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^def _contains_query(vals, query):$/;" f +_contains_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _contains_type_spec(value):$/;" f +_contents adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def _contents(self):$/;" m class:Tracer +_contents adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def _contents(self):$/;" m class:DynamicJaxprTracer +_contentTypeMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2315.py /^_contentTypeMapUpdate = {$/;" v +_Context adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _Context(grpc.ServicerContext):$/;" c +_context adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^from tensorboard import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_context = None$/;" v +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.eager import context as _context$/;" x +_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.eager import context as _context$/;" x +_Context adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ class _Context(object):$/;" c class:GroupLock +_ContextInfo adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^class _ContextInfo(object):$/;" c +_contextlib adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_contextlib.py /^import contextlib as _contextlib$/;" I +_contextlib adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_contextlib.py /^import contextlib as _contextlib$/;" I +_ContextMethodMixin adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class _ContextMethodMixin(object):$/;" c +_ContextRegistry adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^class _ContextRegistry(object):$/;" c +_ContextSwitchStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _ContextSwitchStack(threading.local):$/;" c +_context_class adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def _context_class(self):$/;" m class:UseModifierBase +_context_class adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer_context.py /^ def _context_class(self):$/;" m class:UseNormalizer +_context_class adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_context.py /^ def _context_class(self):$/;" m class:UseOptimizer +_context_class adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer_context.py /^ def _context_class(self):$/;" m class:UseRegularizer +_CONTEXT_COLAB adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^_CONTEXT_COLAB = "_CONTEXT_COLAB"$/;" v +_context_id_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_context_id_counter = _AtomicCounter()$/;" v +_CONTEXT_IPYTHON adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^_CONTEXT_IPYTHON = "_CONTEXT_IPYTHON"$/;" v +_context_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_context_lock = threading.Lock()$/;" v +_CONTEXT_NONE adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^_CONTEXT_NONE = "_CONTEXT_NONE"$/;" v +_context_registry adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def _context_registry():$/;" f +_CONTEXT_REGISTRY adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^_CONTEXT_REGISTRY = _ContextRegistry()$/;" v +_continuation adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def _continuation(self, thunk, index):$/;" m class:_ServicePipeline +_Continue adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Continue(self, t):$/;" m class:Unparser +_Continue adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^class _Continue(object):$/;" c +_ContinuousEvalListener adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _ContinuousEvalListener(object):$/;" c +_continuously_readline_from_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _continuously_readline_from_sub(self, pipe_r, task_type, task_id):$/;" m class:MultiProcessRunner +_contour adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ import matplotlib._contour as _contour$/;" I member:QuadContourSet._process_args file: +_contour adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _contour(self, super_contour, *XYCL, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +_contour_args adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _contour_args(self, args, kwargs):$/;" m class:QuadContourSet +_contour_args adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^ def _contour_args(self, args, kwargs):$/;" m class:TriContourSet +_contour_doc adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ _contour_doc = """$/;" v class:QuadContourSet +_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def _contract(self, arrays, out=None, backend='auto', evaluate_constants=False):$/;" m class:ContractExpression +_contract_with_conversion adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def _contract_with_conversion(self, arrays, out, backend, evaluate_constants=False):$/;" m class:ContractExpression +_CONTRIB_CUDNN_RNN_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_CONTRIB_CUDNN_RNN_WARNING = ($/;" v +_CONTRIB_DIST_STRAT_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_CONTRIB_DIST_STRAT_WARNING = ($/;" v +_contrib_layers_l1_regularizer_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _contrib_layers_l1_regularizer_transformer($/;" f +_contrib_layers_l2_regularizer_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _contrib_layers_l2_regularizer_transformer($/;" f +_contrib_layers_variance_scaling_initializer_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _contrib_layers_variance_scaling_initializer_transformer($/;" f +_contrib_layers_xavier_initializer_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _contrib_layers_xavier_initializer_transformer($/;" f +_CONTRIB_RNN_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_CONTRIB_RNN_WARNING = ($/;" v +_CONTRIB_SEQ2SEQ_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_CONTRIB_SEQ2SEQ_WARNING = ($/;" v +_CONTRIB_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_CONTRIB_WARNING = ($/;" v +_ControlDependenciesController adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ class _ControlDependenciesController(object):$/;" c class:Graph +_CONTROLFLOWCONTEXTDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_CONTROLFLOWCONTEXTDEF = _descriptor.Descriptor($/;" v +_ControlFlowState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^class _ControlFlowState(object):$/;" c +_ControlMutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^_ControlMutation = collections.namedtuple($/;" v +_ControlOutputCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^class _ControlOutputCache(object):$/;" c +_control_character_conversions adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^_control_character_conversions = {$/;" v +_control_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^def _control_ctx():$/;" f +_control_dependencies_for_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _control_dependencies_for_inputs(self, input_ops):$/;" m class:Graph +_control_dependencies_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _control_dependencies_stack(self):$/;" m class:Graph +_control_dependencies_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _control_dependencies_stack(self, control_dependencies):$/;" m class:Graph +_control_flow_api_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_control_flow_api_gauge = monitoring.BoolGauge($/;" v +_CONTROL_FLOW_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^_CONTROL_FLOW_OPS = _CONDITIONAL_OPS.union(_LOOP_OPS)$/;" v +_CONTROL_FLOW_OP_NAMES_OR_IDENTITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^_CONTROL_FLOW_OP_NAMES_OR_IDENTITY = [$/;" v +_control_flow_post_processing adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _control_flow_post_processing(self, input_tensors=None):$/;" m class:Operation +_control_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def _control_input(self, control_input):$/;" m class:CollectiveReplicaLauncher +_control_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _control_outputs(self):$/;" m class:Operation +_cont_bern_log_norm adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _cont_bern_log_norm(self):$/;" m class:ContinuousBernoulli +_conv adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _conv(lhs, rhs, window_strides, pads):$/;" f +_conv adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _conv(x, y, mode, op, precision):$/;" f +_conv adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^def _conv(obj, dtype=None):$/;" f +_conv1d adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def _conv1d(self, input, filter, strides, padding, data_format, name):$/;" m class:_NonAtrousConvolution +_Conv2DBackpropFilterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv2DBackpropFilterGrad(op, grad):$/;" f +_Conv2DBackpropInputGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv2DBackpropInputGrad(op, grad):$/;" f +_Conv2DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv2DGrad(op, grad):$/;" f +_conv2d_expanded_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _conv2d_expanded_batch($/;" f +_Conv3DBackpropFilterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv3DBackpropFilterGrad(op, grad):$/;" f +_Conv3DBackpropInputGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv3DBackpropInputGrad(op, grad):$/;" f +_Conv3DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Conv3DGrad(op, grad):$/;" f +_conv3d_expanded_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _conv3d_expanded_batch($/;" f +_ConvBase adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/conv.py /^def _ConvBase($/;" f +_ConvBnNd adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^class _ConvBnNd(nn.modules.conv._ConvNd):$/;" c +_CONVERSIONOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_CONVERSIONOPTIONS = _descriptor.Descriptor($/;" v +_conversion_registered adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^_conversion_registered = False$/;" v +_conversion_registered_lock adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^_conversion_registered_lock = threading.Lock()$/;" v +_convert adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _convert(self, lst):$/;" m class:parserinfo +_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/device_lib.py /^ def _convert(pb_str):$/;" f function:list_local_devices file: +_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def _convert(self, value, dtype):$/;" m class:EagerFunc +_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def _convert(value, dtype=None):$/;" m class:FuncRegistry +_convert adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _convert(self, model, inplace=False, debug=False, is_dynamic_quant=False):$/;" m class:Quantizer +_convert adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def _convert(module, mapping=None, inplace=False):$/;" f +_convert adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^def _convert(ret, cls):$/;" f +_convert adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _convert(elem):$/;" f member:Rule._compile_builder file: +_convert2ma adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _convert2ma:$/;" c +_ConvertAnyMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertAnyMessage(self, value, message):$/;" m class:_Parser +_convertarray adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _convertarray(a):$/;" f +_ConvertBool adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _ConvertBool(value, require_str):$/;" f +_ConvertEnumDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _ConvertEnumDescriptor(self, enum_proto, package=None, file_desc=None,$/;" m class:DescriptorPool +_Converter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^class _Converter:$/;" c +_ConverterData adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _ConverterData(object):$/;" c +_ConverterError adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^class _ConverterError(Exception):$/;" c +_converter_args_re adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_converter_args_re = re.compile($/;" v +_ConvertFieldValuePair adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertFieldValuePair(self, js, message):$/;" m class:_Parser +_ConvertFileProtoToFileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _ConvertFileProtoToFileDescriptor(self, file_proto):$/;" m class:DescriptorPool +_ConvertFloat adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _ConvertFloat(value, field):$/;" f +_ConvertGenericMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertGenericMessage(self, value, message):$/;" m class:_Parser +_ConvertHistogramProtoToTuple adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ConvertHistogramProtoToTuple(self, histo):$/;" m class:EventAccumulator +_Convertible adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Convertible(object):$/;" c +_ConvertInputMapValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ConvertInputMapValues(name, input_map):$/;" f +_ConvertInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _ConvertInteger(value):$/;" f +_ConvertListValueMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertListValueMessage(self, value, message):$/;" m class:_Parser +_ConvertMapFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertMapFieldValue(self, value, message, field):$/;" m class:_Parser +_ConvertMessageDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _ConvertMessageDescriptor(self, desc_proto, package=None, file_desc=None,$/;" m class:DescriptorPool +_ConvertScalarFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _ConvertScalarFieldValue(value, field, require_str=False):$/;" f +_ConvertStructMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertStructMessage(self, value, message):$/;" m class:_Parser +_ConvertToUnicode adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ def _ConvertToUnicode(memview):$/;" f function:StringDecoder file: +_ConvertValueMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertValueMessage(self, value, message):$/;" m class:_Parser +_ConvertWrapperMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ConvertWrapperMessage(self, value, message):$/;" m class:_Parser +_convert_actual adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def _convert_actual(entity, program_ctx):$/;" f +_convert_addn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_addn(pfor_input):$/;" f +_convert_adjust_contrastv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_adjust_contrastv2(pfor_input):$/;" f +_convert_adjust_hue adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_adjust_hue(pfor_input):$/;" f +_convert_adjust_saturation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_adjust_saturation(pfor_input):$/;" f +_convert_agg_to_wx_bitmap adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^def _convert_agg_to_wx_bitmap(agg, bbox):$/;" f +_convert_alg_to_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _convert_alg_to_int(alg):$/;" f +_convert_all adpeps/utils/ctmtensors.py /^ def _convert_all(f):$/;" f member:CTMTensors.hold file: +_convert_annotated_args_to_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _convert_annotated_args_to_tensors(self, args, kwargs):$/;" m class:FunctionSpec +_convert_appengine_app_assertion_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^def _convert_appengine_app_assertion_credentials(credentials):$/;" f +_convert_approximate_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_approximate_equal(pfor_input):$/;" f +_convert_argmax_argmin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_argmax_argmin(pfor_input, _, op_func):$/;" f +_convert_assert adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_assert(pfor_input):$/;" f +_convert_as_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _convert_as_saved_model(self):$/;" m class:TFLiteKerasModelConverter +_convert_as_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _convert_as_saved_model(self):$/;" m class:TFLiteKerasModelConverterV2 +_convert_batch_mat_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_batch_mat_mul(pfor_input):$/;" f +_convert_batch_mat_mul_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_batch_mat_mul_v2(pfor_input):$/;" f +_convert_batch_to_space_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_batch_to_space_nd(pfor_input):$/;" f +_convert_biasadd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_biasadd(pfor_input):$/;" f +_convert_biasaddgrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_biasaddgrad(pfor_input):$/;" f +_convert_binary_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _convert_binary_labels():$/;" f function:_maybe_convert_labels file: +_convert_blob_sequence_event adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _convert_blob_sequence_event(experiment_id, plugin_name, run, tag, event):$/;" f +_convert_broadcast_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_broadcast_to(pfor_input):$/;" f +_convert_bucketize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_bucketize(pfor_input):$/;" f +_convert_cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_cast(pfor_input):$/;" f +_convert_check_numerics adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_check_numerics(pfor_input):$/;" f +_convert_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_cholesky(pfor_input):$/;" f +_convert_clip_by_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_clip_by_value(pfor_input):$/;" f +_convert_codecs adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^def _convert_codecs(template, byte_order):$/;" f +_convert_concatv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_concatv2(pfor_input):$/;" f +_convert_conv2d_backprop_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_conv2d_backprop_filter(pfor_input):$/;" f +_convert_cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_cross(pfor_input):$/;" f +_convert_cumfoo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_cumfoo(pfor_input, _, op_func):$/;" f +_convert_cwise adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_cwise(pfor_input, op_type, op_func):$/;" f +_convert_debug_info_func adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import convert_debug_info_func as _convert_debug_info_func$/;" x +_convert_decode_csv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_decode_csv(pfor_input):$/;" f +_convert_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_diag(pfor_input):$/;" f +_convert_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_diag_part(pfor_input):$/;" f +_convert_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _convert_dtype(t):$/;" f member:GeneratorDataAdapter._standardize_batch file: +_convert_dx adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _convert_dx(dx, x0, xconv, convert):$/;" m class:Axes +_convert_einsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_einsum(pfor_input, op_type):$/;" f +_convert_element_type adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _convert_element_type(operand, new_dtype):$/;" f +_convert_element_type adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _convert_element_type(operand, *, new_dtype):$/;" f +_convert_element_type_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _convert_element_type_dtype_rule(operand, *, new_dtype):$/;" f +_convert_element_type_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _convert_element_type_jvp_rule(tangent, operand , *, new_dtype):$/;" f +_convert_element_type_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _convert_element_type_shape_rule(operand, *, new_dtype):$/;" f +_convert_element_type_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _convert_element_type_translation_rule(c, operand, *, new_dtype):$/;" f +_convert_element_type_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _convert_element_type_transpose_rule(ct, operand, *, new_dtype):$/;" f +_convert_enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _convert_enter(self, parent_pfor, enter):$/;" m class:WhileOp +_convert_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_equal(pfor_input):$/;" f +_CONVERT_ERROR_TMPL adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^_CONVERT_ERROR_TMPL = "Unable to convert {} to a google-auth credentials class."$/;" v +_convert_estimator_io_to_keras adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _convert_estimator_io_to_keras(keras_model, features, labels):$/;" f +_convert_eval_steps_to_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _convert_eval_steps_to_hooks(self, steps):$/;" m class:Estimator +_convert_eval_steps_to_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _convert_eval_steps_to_hooks(self, steps):$/;" m class:TPUEstimator +_convert_expanddims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_expanddims(pfor_input):$/;" f +_convert_external_state_policy_to_enum adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^def _convert_external_state_policy_to_enum(external_state_policy):$/;" f +_convert_extras_requirements adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _convert_extras_requirements(self):$/;" m class:Distribution +_convert_feature_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _convert_feature_to_tensor(self, name, value):$/;" m class:TimeSeriesRegressionHead +_convert_fetches_to_input_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _convert_fetches_to_input_format(self, input_fetches, current_fetches):$/;" m class:TensorTracer +_convert_fft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_fft(pfor_input, _, op_func):$/;" f +_convert_fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_fill(pfor_input):$/;" f +_convert_flatten_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_flatten_batch(pfor_input, op_type, dims):$/;" f +_convert_flatten_batch_shape_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_flatten_batch_shape_input(pfor_input, op_type, flatten_dims,$/;" f +_convert_flows_to_tensorarrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _convert_flows_to_tensorarrays(tensors_or_tensorarrays, tensors_or_flows):$/;" f +_convert_from_string adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^def _convert_from_string(data):$/;" f +_convert_function_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_function_call(func, converter, inputs):$/;" f +_convert_fused_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_fused_batch_norm(pfor_input):$/;" f +_convert_fused_batch_norm_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_fused_batch_norm_grad(pfor_input):$/;" f +_convert_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def _convert_fx(graph_module, inplace, debug, is_dynamic_quant):$/;" f +_convert_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_gather(pfor_input):$/;" f +_convert_gather_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_gather_nd(pfor_input):$/;" f +_convert_gce_app_assertion_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^def _convert_gce_app_assertion_credentials(credentials):$/;" f +_convert_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_grads(pfor_input, op_type, *args, **kw_args):$/;" f +_convert_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _convert_graph_def(self):$/;" m class:TrtGraphConverter +_convert_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _convert_helper(self, op_or_tensor):$/;" m class:PFor +_convert_hyper_params_in_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def _convert_hyper_params_in_graph(graph_from_path, opt_name_v1, var_map,$/;" f +_convert_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_identity(pfor_input, op_type, op_func):$/;" f +_convert_identity_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_identity_n(pfor_input):$/;" f +_convert_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_if(pfor_input):$/;" f +_convert_inputs_to_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _convert_inputs_to_signature(inputs, input_signature, flat_input_signature):$/;" f +_convert_jax_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _convert_jax_impl(jax_impl: Callable, *, multiple_results=True) -> Callable:$/;" f +_convert_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def _convert_jit(model, inplace=False, debug=False, quant_type=QuantType.STATIC,$/;" f +_convert_keras_metrics_to_estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _convert_keras_metrics_to_estimator(model, metric_names_map=None):$/;" f +_convert_leaky_relu adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_leaky_relu(pfor_input):$/;" f +_convert_log_matrix_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_log_matrix_determinant(pfor_input):$/;" f +_convert_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matmul(pfor_input):$/;" f +_convert_matrix_band_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_band_part(pfor_input):$/;" f +_convert_matrix_diag_part_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_diag_part_v2(pfor_input):$/;" f +_convert_matrix_diag_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_diag_v2(pfor_input):$/;" f +_convert_matrix_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_inverse(pfor_input):$/;" f +_convert_matrix_set_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_set_diag(pfor_input):$/;" f +_convert_matrix_set_diag_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_set_diag_v2(pfor_input):$/;" f +_convert_matrix_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_solve(pfor_input):$/;" f +_convert_matrix_triangular_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_matrix_triangular_solve(pfor_input):$/;" f +_convert_maybe_argspec_to_fullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def _convert_maybe_argspec_to_fullargspec(argspec):$/;" f +_convert_maybe_argspec_to_fullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def _convert_maybe_argspec_to_fullargspec(argspec):$/;" f +_convert_metadata adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def _convert_metadata(zf, destination_eggdir, dist_info, egg_info):$/;" m class:Wheel +_convert_model_from_bytearray_to_object adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _convert_model_from_bytearray_to_object(model_bytearray):$/;" f +_convert_model_from_object_to_bytearray adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _convert_model_from_object_to_bytearray(model_object):$/;" f +_convert_multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_multinomial(pfor_input):$/;" f +_convert_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _convert_name(self, name):$/;" m class:LegacyMetadata +_convert_node_paths_to_ints adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _convert_node_paths_to_ints(self):$/;" m class:Loader +_convert_non_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _convert_non_tensor(x):$/;" f member:Layer._functional_construction_call file: +_convert_non_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _convert_non_tensor(x):$/;" f member:Layer.__call__ file: +_convert_not_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_not_equal(pfor_input):$/;" f +_convert_numpy_and_scipy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _convert_numpy_and_scipy(x):$/;" f function:_process_tensorlike file: +_convert_numpy_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _convert_numpy_inputs(inputs):$/;" f +_convert_numpy_or_python_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^def _convert_numpy_or_python_types(x):$/;" f +_convert_n_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _convert_n_to_tensor(self, input_list, as_ref=False):$/;" m class:_SDCAModel +_convert_oauth2_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^def _convert_oauth2_credentials(credentials):$/;" f +_convert_object_or_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _convert_object_or_list(nested):$/;" f function:convert_inner_node_data file: +_convert_one_hot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_one_hot(pfor_input):$/;" f +_convert_op_hints_if_present adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _convert_op_hints_if_present(sess, graph_def, output_tensors,$/;" f +_convert_op_hints_to_stubs_helper adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _convert_op_hints_to_stubs_helper($/;" f +_convert_pack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_pack(pfor_input):$/;" f +_convert_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_pad(pfor_input):$/;" f +_convert_padding_node adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _convert_padding_node(padding):$/;" f +_convert_parse_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_parse_example_v2(pfor_input):$/;" f +_convert_parse_single_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_parse_single_example(pfor_input):$/;" f +_convert_partitioned_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_partitioned_call(pfor_input):$/;" f +_convert_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _convert_path(self, path, transform, clip=False, simplify=None):$/;" m class:RendererPS +_convert_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _convert_path(self, path, transform=None, clip=None, simplify=None,$/;" m class:RendererSVG +_convert_plugin_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _convert_plugin_metadata(self, data_provider_output):$/;" m class:Context +_convert_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_print(pfor_input):$/;" f +_convert_python_version adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _convert_python_version(value):$/;" f +_convert_pyx_sources_to_lang adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^ def _convert_pyx_sources_to_lang(self):$/;" m class:Extension +_convert_ragged_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _convert_ragged_input(inputs):$/;" f function:convert_inputs_if_ragged file: +_convert_random adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_random(pfor_input, op_type, *args, **kw_args):$/;" f +_convert_random_with_param adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_random_with_param(pfor_input):$/;" f +_convert_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_rank(pfor_input):$/;" f +_convert_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _convert_reduction(self, y):$/;" m class:PFor +_convert_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_reduction(pfor_input, _, op_func):$/;" f +_convert_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_reshape(pfor_input):$/;" f +_convert_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_reverse(pfor_input):$/;" f +_convert_rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_rfft(pfor_input, _, op_func, attr_name):$/;" f +_convert_rnn_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def _convert_rnn_weights(layer, weights):$/;" f +_convert_row_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^_convert_row_partition = RowPartition._convert_row_partition$/;" v +_convert_row_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _convert_row_partition(cls, partition, name, preferred_dtype):$/;" m class:RowPartition +_convert_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert import convert_saved_model as _convert_saved_model$/;" x +_convert_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _convert_saved_model(self):$/;" m class:TrtGraphConverter +_convert_scalar_event adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _convert_scalar_event(event):$/;" f +_convert_scipy_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^def _convert_scipy_sparse_tensor(value, expected_input):$/;" f +_convert_searchsorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_searchsorted(pfor_input, _, op_func):$/;" f +_convert_select adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_select(pfor_input):$/;" f +_convert_selectv2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_selectv2(pfor_input):$/;" f +_convert_self_adjoint_eig adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_self_adjoint_eig(pfor_input):$/;" f +_convert_service_account_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^def _convert_service_account_credentials(credentials):$/;" f +_convert_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _convert_shape(input_shape):$/;" f function:convert_shapes file: +_convert_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_shape(pfor_input):$/;" f +_convert_shape_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_shape_n(pfor_input):$/;" f +_convert_single_op_hint_to_stub adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _convert_single_op_hint_to_stub(call,$/;" f +_convert_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_size(pfor_input):$/;" f +_convert_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_slice(pfor_input):$/;" f +_convert_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_softmax(pfor_input, op_type, op_func):$/;" f +_convert_space_to_batch_nd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_space_to_batch_nd(pfor_input):$/;" f +_convert_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _convert_sparse(self, y):$/;" m class:PFor +_convert_sparse_segment adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_sparse_segment(pfor_input, _, op_func):$/;" f +_convert_sparse_segment_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_sparse_segment_grad(pfor_input, _, op_func):$/;" f +_convert_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_split(pfor_input):$/;" f +_convert_split_v adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_split_v(pfor_input):$/;" f +_convert_squeeze adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_squeeze(pfor_input):$/;" f +_convert_stack_pop_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_stack_pop_v2(pfor_input):$/;" f +_convert_stack_push_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_stack_push_v2(pfor_input):$/;" f +_convert_stateless_multinomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_stateless_multinomial(pfor_input):$/;" f +_convert_strided_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_strided_slice(pfor_input):$/;" f +_convert_strided_slice_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_strided_slice_grad(pfor_input):$/;" f +_convert_string_aliases adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _convert_string_aliases(deriv, target_shape):$/;" f +_convert_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _convert_tensor(x):$/;" f +_convert_tensorarray_to_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _convert_tensorarray_to_flow(tensor_or_tensor_array):$/;" f +_convert_tensor_array_gather_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_gather_v3(pfor_input):$/;" f +_convert_tensor_array_grad_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_grad_v3(pfor_input):$/;" f +_convert_tensor_array_push_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_push_back(pfor_input):$/;" f +_convert_tensor_array_read_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_read_v3(pfor_input):$/;" f +_convert_tensor_array_scatter_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_scatter_v3(pfor_input):$/;" f +_convert_tensor_array_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_set_item(pfor_input):$/;" f +_convert_tensor_array_size_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_size_v3(pfor_input):$/;" f +_convert_tensor_array_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_v3(pfor_input):$/;" f +_convert_tensor_array_write_v3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_array_write_v3(pfor_input):$/;" f +_convert_tensor_event adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _convert_tensor_event(event):$/;" f +_convert_tensor_list_concat_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_concat_v2(pfor_input):$/;" f +_convert_tensor_list_element_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_element_shape(pfor_input):$/;" f +_convert_tensor_list_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_from_tensor(pfor_input):$/;" f +_convert_tensor_list_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_gather(pfor_input):$/;" f +_convert_tensor_list_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_get_item(pfor_input):$/;" f +_convert_tensor_list_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_length(pfor_input):$/;" f +_convert_tensor_list_push_back adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_push_back(pfor_input):$/;" f +_convert_tensor_list_reserve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_reserve(pfor_input):$/;" f +_convert_tensor_list_scatter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_scatter(pfor_input):$/;" f +_convert_tensor_list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tensor_list_stack(pfor_input):$/;" f +_convert_tf1_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _convert_tf1_model(flags):$/;" f +_convert_tf2_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _convert_tf2_model(flags):$/;" f +_convert_tflite_enum_type_to_tf_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _convert_tflite_enum_type_to_tf_type(tflite_enum_type):$/;" f +_convert_tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_tile(pfor_input):$/;" f +_convert_to_ast adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^def _convert_to_ast(n):$/;" f +_convert_to_bool adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _convert_to_bool(X):$/;" f +_convert_to_bool adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_convert_to_bool = partial(_convert_to_type, out_type=bool)$/;" v +_convert_to_constants adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.framework import convert_to_constants as _convert_to_constants$/;" x +_convert_to_constants adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.python.framework import convert_to_constants as _convert_to_constants$/;" x +_convert_to_double adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _convert_to_double(X):$/;" f +_convert_to_double adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_convert_to_double = partial(_convert_to_type, out_type=np.double)$/;" v +_convert_to_float adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^_convert_to_float = {$/;" v +_convert_to_highs_enum adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_highs.py /^def _convert_to_highs_enum(option, option_str, choices):$/;" f +_convert_to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/training_loop.py /^ def _convert_to_list(xs):$/;" f function:repeat file: +_convert_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def _convert_to_ndarray(self, x):$/;" m class:CategoryEncoding +_convert_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _convert_to_ndarray(self, x):$/;" m class:TextVectorization +_convert_to_numpy_obj adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _convert_to_numpy_obj(numpy_dtype, obj):$/;" f +_convert_to_ordered_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _convert_to_ordered_dict(key, qconfig_dict):$/;" f function:convert_dict_to_ordered_dict file: +_convert_to_per_replicas adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^def _convert_to_per_replicas(distribution, values):$/;" f +_convert_to_ragged_tensor_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _convert_to_ragged_tensor_values(value):$/;" f +_convert_to_sparse_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def _convert_to_sparse_inputs(self, inputs):$/;" m class:CategoryEncoding +_convert_to_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _convert_to_sparse_tensor(sp_input):$/;" f +_convert_to_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _convert_to_sparse_tensors(sp_inputs):$/;" f +_convert_to_ssa adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _convert_to_ssa(shapes, track_blob_names, ops):$/;" f +_convert_to_ssa adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _convert_to_ssa(shapes, blob_name_tracker, ops):$/;" f +_convert_to_state_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _convert_to_state_tensor(t):$/;" f +_convert_to_structured_field_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _convert_to_structured_field_value(value):$/;" f +_convert_to_tensor adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def _convert_to_tensor(v):$/;" f function:_make_tf_args file: +_convert_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^def _convert_to_tensor(value, name=None, preferred_dtype=None):$/;" f +_convert_to_tensors_or_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def _convert_to_tensors_or_sparse_tensors(a, b):$/;" f +_convert_to_tensor_or_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def _convert_to_tensor_or_ndarray(x):$/;" f function:vectorized_map file: +_convert_to_type adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _convert_to_type(X, out_type):$/;" f +_convert_train_steps_to_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _convert_train_steps_to_hooks(self, steps, max_steps):$/;" m class:Estimator +_convert_train_steps_to_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _convert_train_steps_to_hooks(self, steps, max_steps):$/;" m class:TPUEstimator +_convert_transpose adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_transpose(pfor_input, _, op_func):$/;" f +_convert_tuple_to_list adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def _convert_tuple_to_list(t):$/;" f +_convert_units adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _convert_units(self):$/;" m class:Rectangle +_convert_unpack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_unpack(pfor_input):$/;" f +_convert_unsortedsegmentsum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_unsortedsegmentsum(pfor_input, _, op_func):$/;" f +_convert_validator_spec adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _convert_validator_spec(key, conv):$/;" f +_convert_values_and_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _convert_values_and_partition(cls, values, row_partition, name):$/;" m class:RaggedTensor +_convert_variables_in_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tools/checkpoint_converter.py /^def _convert_variables_in_ckpt(opt_name_v1, reader, variable_names, var_map,$/;" f +_convert_variables_to_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _convert_variables_to_tensors(self, args, kwargs):$/;" m class:FunctionSpec +_convert_watch_key_to_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def _convert_watch_key_to_tensor_name(watch_key):$/;" f +_convert_when adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _convert_when(when):$/;" f +_convert_while adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_while(pfor_input):$/;" f +_convert_xy_units adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _convert_xy_units(self, xy):$/;" m class:Patch +_convert_zeroslike adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _convert_zeroslike(pfor_input):$/;" f +_ConvNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class _ConvNd(Module):$/;" c +_ConvNd adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class _ConvNd(nn.Module):$/;" c +_convolution adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _convolution(g, input, weight, bias, stride, padding, dilation,$/;" f +_CONVOLUTIONDIMENSIONNUMBERS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_CONVOLUTIONDIMENSIONNUMBERS = _descriptor.Descriptor($/;" v +_convolution_1d adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/recurrent_network_test.py /^ def _convolution_1d($/;" m class:RecurrentNetworkTest +_convolve_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _convolve_dispatcher(a, v, mode=None):$/;" f +_convolve_nd adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/signal.py /^def _convolve_nd(in1, in2, mode, *, precision):$/;" f +_convolve_or_correlate adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _convolve_or_correlate(f, a, v, mode, propagate_mask):$/;" f +_convorder adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_convorder = {'=': _nbo}$/;" v +_ConvTransposeMixin adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class _ConvTransposeMixin(_ConvTransposeNd):$/;" c +_ConvTransposeNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^class _ConvTransposeNd(_ConvNd):$/;" c +_ConvTransposeNd adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^class _ConvTransposeNd(_ConvNd):$/;" c +_conv_1d_output_size adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _conv_1d_output_size(size, kernel, pad, dilation, stride):$/;" f +_conv_2d_backprop_filter_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _conv_2d_backprop_filter_flops(graph, node):$/;" f +_conv_2d_backprop_input_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _conv_2d_backprop_input_flops(graph, node):$/;" f +_conv_2d_offsets_dims adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _conv_2d_offsets_dims($/;" f +_conv_2d_output_size adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _conv_2d_output_size(size, kernel, pad_h, pad_w, dilation, stride_h, stride_w):$/;" f +_conv_2d_random_offsets adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _conv_2d_random_offsets(batch_size, kernel, dims, num_deformable_group):$/;" f +_conv_2d_shuffle_offsets adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _conv_2d_shuffle_offsets($/;" f +_conv_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^def _conv_block(inputs, filters, alpha, kernel=(3, 3), strides=(1, 1)):$/;" f +_conv_dict adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^_conv_dict = {0: 0, 1: 1, 2: 2,$/;" v +_conv_forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def _conv_forward(self, input, weight):$/;" m class:Conv2d +_conv_general_dilated adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _conv_general_dilated(lhs, rhs, window_strides, padding, lhs_dilation,$/;" f +_conv_general_dilated_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_batch_rule($/;" f +_conv_general_dilated_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_dtype_rule($/;" f +_conv_general_dilated_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_masking_rule($/;" f +_conv_general_dilated_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_shape_rule($/;" f +_conv_general_dilated_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_translation_rule($/;" f +_conv_general_dilated_transpose_lhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_transpose_lhs($/;" f +_conv_general_dilated_transpose_rhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_dilated_transpose_rhs($/;" f +_conv_general_dimension_numbers_proto adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _conv_general_dimension_numbers_proto(dimension_numbers):$/;" f +_conv_general_permutations adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _conv_general_permutations(dimension_numbers):$/;" f +_conv_general_precision_config_proto adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _conv_general_precision_config_proto(precision):$/;" f +_conv_general_proto adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_proto(dimension_numbers):$/;" f +_conv_general_vjp_lhs_padding adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_vjp_lhs_padding($/;" f +_conv_general_vjp_rhs_padding adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_general_vjp_rhs_padding($/;" f +_conv_ix adpeps/utils/tlist.py /^ def _conv_ix(self, ix):$/;" m class:TList +_conv_ops adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _conv_ops(x_shape, h_shape, mode):$/;" f +_conv_output_shape adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _conv_output_shape(input_size, kernel_size, padding, stride, dilation,$/;" f +_conv_sdims adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_conv_sdims = lambda spec: spec[2:]$/;" f +_conv_spec_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_conv_spec_transpose = lambda spec: (spec[1], spec[0]) + spec[2:]$/;" f +_conv_transpose_padding adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _conv_transpose_padding(k, s, padding):$/;" f +_conv_type_shape adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _conv_type_shape(im):$/;" f +_conv_view adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _conv_view(lhs, rhs_shape, window_strides, pads, pad_value):$/;" f +_cookie_charset adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_cookie_charset = "latin1"$/;" v +_cookie_parse_impl adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _cookie_parse_impl(b):$/;" f +_cookie_quote adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _cookie_quote(b):$/;" f +_cookie_quoting_map adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_cookie_quoting_map = {b",": b"\\\\054", b";": b"\\\\073", b'"': b'\\\\"', b"\\\\": b"\\\\\\\\"}$/;" v +_cookie_re adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_cookie_re = re.compile($/;" v +_cookie_unquote adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _cookie_unquote(b):$/;" f +_cook_nd_args adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _cook_nd_args(a, s=None, axes=None, invreal=0):$/;" f +_coolwarm_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_coolwarm_data = {$/;" v +_cool_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_cool_data = {'red': ((0., 0., 0.), (1.0, 1.0, 1.0)),$/;" v +_CoordinatedClosureQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class _CoordinatedClosureQueue(object):$/;" c +_CoordinatedSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class _CoordinatedSession(_WrappedSession):$/;" c +_CoordinatedSessionCreator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ class _CoordinatedSessionCreator(SessionCreator):$/;" c class:_MonitoredSession +_coordinate_where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def _coordinate_where(condition):$/;" f +_copper_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_copper_data = {'red': ((0., 0., 0.),$/;" v +_copy adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _copy(self):$/;" m class:Image +_copy adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _copy(self, system):$/;" m class:StateSpace +_copy adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _copy(self, system):$/;" m class:TransferFunction +_copy adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _copy(self, system):$/;" m class:ZerosPolesGain +_copy adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _copy(self, source):$/;" m class:AbstractSandbox +_copy adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^import copy as _copy$/;" I +_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _copy(self, ctx=None, device_name=None):$/;" m class:_EagerTensorBase +_copy2_ignoring_special_files adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def _copy2_ignoring_special_files(src, dest):$/;" f +_CopyConditionBlobNet adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _CopyConditionBlobNet(condition_blob):$/;" f +_copyto adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _copyto(a, val, mask):$/;" f +_CopyToDeviceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^class _CopyToDeviceDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_copy_action adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/file_util.py /^_copy_action = { None: 'copying',$/;" v +_copy_arrays_if_base_present adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _copy_arrays_if_base_present(T):$/;" f +_copy_array_if_base_present adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _copy_array_if_base_present(a):$/;" f +_copy_array_if_base_present adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _copy_array_if_base_present(a):$/;" f +_copy_attr adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def _copy_attr(from_module: torch.nn.Module, to_module: torch.nn.Module, target: str):$/;" f +_copy_collection_props adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _copy_collection_props(self, legend_handle, orig_handle):$/;" m class:HandlerStem +_copy_cookie_jar adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^def _copy_cookie_jar(jar):$/;" f +_copy_cookie_jar adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^def _copy_cookie_jar(jar):$/;" f +_copy_device_array_to_device adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _copy_device_array_to_device(x: Union[DeviceArrayProtocol, _DeviceArray], device: Optional[x/;" f +_copy_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _copy_dispatcher(a, order=None, subok=None):$/;" f +_copy_docstring_and_deprecators adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def _copy_docstring_and_deprecators(method, func=None):$/;" f +_copy_fields adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _copy_fields(ary):$/;" f +_copy_file_contents adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/file_util.py /^def _copy_file_contents(src, dst, buffer_size=16*1024):$/;" f +_copy_flag_dict adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^def _copy_flag_dict(flag):$/;" f +_copy_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^def _copy_fn(fn):$/;" f +_copy_from adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def _copy_from(self, other):$/;" m class:HTTPHeaderDict +_copy_from adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def _copy_from(self, other):$/;" m class:HTTPHeaderDict +_copy_func adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _copy_func(f):$/;" f +_copy_functions_to_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _copy_functions_to_graph_def(self, graph_def, starting_bytesize):$/;" m class:Graph +_copy_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _copy_handle_data(external_tensors, *branch_graph_outputs):$/;" f +_copy_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _copy_handle_data(src_tensors, tgt_tensors):$/;" f +_copy_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^ def _copy_layer(layer):$/;" f function:_clone_layers_and_model_config file: +_copy_main_traces adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^def _copy_main_traces(x):$/;" f +_copy_nograd adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _copy_nograd(self, ctx=None, device_name=None):$/;" m class:_EagerTensorBase +_copy_non_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^def _copy_non_source(op, graph, op_map, base_graph):$/;" f +_copy_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _copy_object(self, obj):$/;" m class:Container +_copy_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _copy_object(self, obj):$/;" m class:LossesContainer +_copy_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _copy_object(self, obj):$/;" m class:MetricsContainer +_copy_one_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _copy_one_through(output, new_output):$/;" f function:_rnn_step file: +_COPY_POOL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^_COPY_POOL = None$/;" v +_copy_row_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _copy_row_shape(rt_inputs, splits):$/;" f +_copy_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _copy_script(self, script, filenames):$/;" m class:ScriptMaker +_copy_some_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _copy_some_through(current, candidate):$/;" f function:raw_rnn.body file: +_copy_some_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _copy_some_through(flat_new_output, flat_new_state):$/;" f function:_rnn_step file: +_copy_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^def _copy_source(s, graph, op_map, handle_captures, inverse_captures,$/;" f +_copy_source_tree adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def _copy_source_tree(source, target):$/;" f +_COPY_THREADS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^_COPY_THREADS = 4$/;" v +_copy_tick_props adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _copy_tick_props(self, src, dest):$/;" m class:Axis +_copy_tick_props adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _copy_tick_props(self, src, dest):$/;" m class:ThetaAxis +_copy_to_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _copy_to_device(self, device_name): # pylint: disable=redefined-outer-name$/;" m class:_EagerTensorBase +_copy_to_script_wrapper adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _copy_to_script_wrapper(fn):$/;" f +_copy_weights_to_distributed_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _copy_weights_to_distributed_model(original_model, mode):$/;" f +_copy_weights_to_original_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _copy_weights_to_original_model(model, mode):$/;" f +_core adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_core adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.eager import core as _core$/;" x +_CoreMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^_CoreMetadata = collections.namedtuple("CoreMetadata", [$/;" v +_core_contract adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _core_contract(operands, contraction_list, backend='auto', evaluate_constants=False, **einsu/;" f +_CORE_DIMENSION_LIST adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^_CORE_DIMENSION_LIST = '(?:{0:}(?:,{0:})*)?'.format(_DIMENSION_NAME)$/;" v +_CORE_DIMENSION_LIST adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_CORE_DIMENSION_LIST = '(?:{0:}(?:,{0:})*)?'.format(_DIMENSION_NAME)$/;" v +_corrcoef_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _corrcoef_dispatcher(x, y=None, rowvar=None, bias=None, ddof=None):$/;" f +_correct_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _correct_axis(axis, rank):$/;" f function:moveaxis file: +_correlate_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _correlate_dispatcher(a, v, mode=None):$/;" f +_correlate_or_convolve adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _correlate_or_convolve(input, weights, output, mode, cval, origin,$/;" f +_correlation_cdist_wrap adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _correlation_cdist_wrap(XA, XB, dm, **kwargs):$/;" f +_correlation_pdist_wrap adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _correlation_pdist_wrap(X, dm, **kwargs):$/;" f +_cos adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _cos(a, b):$/;" f function:cosineembeddingloss_reference file: +_CosGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CosGrad(op, grad):$/;" f +_CoshGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CoshGrad(op, grad):$/;" f +_cosine_anneal adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def _cosine_anneal(t):$/;" m class:SWALR +_COSTGRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_COSTGRAPHDEF = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_COSTGRAPHDEF = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_AGGREGATEDCOST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_COSTGRAPHDEF_AGGREGATEDCOST = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_AGGREGATEDCOST adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_COSTGRAPHDEF_AGGREGATEDCOST = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE_INPUTINFO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE_INPUTINFO = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE_INPUTINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE_INPUTINFO = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE_OUTPUTINFO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE_OUTPUTINFO = _descriptor.Descriptor($/;" v +_COSTGRAPHDEF_NODE_OUTPUTINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_COSTGRAPHDEF_NODE_OUTPUTINFO = _descriptor.Descriptor($/;" v +_COST_FNS adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_COST_FNS = {$/;" v +_could_be_tzname adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _could_be_tzname(self, hour, tzname, tzoffset, token):$/;" m class:parser +_count adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _count(a, axis=None):$/;" f +_CountDownTimer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^class _CountDownTimer(object):$/;" c +_CounterMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _CounterMeta(typing.GenericMeta):$/;" c +_counter_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_counter_methods = [$/;" v +_counts adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def _counts(stats: Tuple[FunctionCount, ...], include_lookdict_unicode: bool) -> int:$/;" m class:CallgrindStats +_counts_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^ def _counts_summary(counts, skip_zeros=True, total_count=None):$/;" f function:numeric_summary file: +_count_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _count_condition(values,$/;" f +_count_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _count_dispatcher(a, sub, start=None, end=None):$/;" f +_count_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ _count_lock = threading.Lock()$/;" v class:InteractiveSession +_COUNT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^_COUNT_NAME = 'count'$/;" v +_count_nonzero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def _count_nonzero(input_tensor, dtype=dtypes.int64):$/;" f +_count_nonzero_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _count_nonzero_dispatcher(a, axis=None, *, keepdims=None):$/;" f +_count_paths_outside_method adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _count_paths_outside_method(m, n, g, h):$/;" f +_count_ps adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def _count_ps(cluster_spec):$/;" f +_count_ps adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _count_ps(cluster_spec):$/;" f +_count_reduce_items adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _count_reduce_items(arr, axis):$/;" f +_count_righthand_zero_bits adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _count_righthand_zero_bits(number, bits):$/;" f +_count_total_params adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/inspect_checkpoint.py /^def _count_total_params(reader, count_exclude_pattern=""):$/;" f +_count_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def _count_worker(cluster_spec, chief_task_type):$/;" f +_count_worker adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _count_worker(cluster_spec, chief_task_type):$/;" f +_cov2wt adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def _cov2wt(self, cov):$/;" m class:RealData +_covariance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _covariance(self):$/;" m class:Dirichlet +_covariance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _covariance(self):$/;" m class:DirichletMultinomial +_covariance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _covariance(self):$/;" m class:Distribution +_covariance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _covariance(self):$/;" m class:Multinomial +_covhelper adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def _covhelper(x, y=None, rowvar=True, allow_masked=True):$/;" f +_cov_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _cov_dispatcher(m, y=None, rowvar=None, bias=None, ddof=None,$/;" f +_cplxpair adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _cplxpair(z, tol=None):$/;" f +_cplxreal adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _cplxreal(z, tol=None):$/;" f +_CppDeviceArray adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_CppDeviceArray: DeviceArrayProtocol = xc.Buffer$/;" v +_CPPSHAPEINFERENCEINPUTSNEEDED adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCEINPUTSNEEDED = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCEINPUTSNEEDED adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCEINPUTSNEEDED = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT_HANDLEDATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT_HANDLEDATA = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT_HANDLEDATA adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT_HANDLEDATA = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT_HANDLESHAPEANDTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT_HANDLESHAPEANDTYPE = _descriptor.Descriptor($/;" v +_CPPSHAPEINFERENCERESULT_HANDLESHAPEANDTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_CPPSHAPEINFERENCERESULT_HANDLESHAPEANDTYPE = _descriptor.Descriptor($/;" v +_cpp_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ _cpp_extensions = ['.cc', '.cpp', '.cxx']$/;" v class:BCPPCompiler +_cpp_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ _cpp_extensions = ['.cc', '.cpp', '.cxx']$/;" v class:MSVCCompiler +_cpp_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _cpp_extensions = ['.cc', '.cpp', '.cxx']$/;" v class:MSVCCompiler +_cpp_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ _cpp_extensions = ['.cc', '.cpp', '.cxx']$/;" v class:MSVCCompiler +_cpp_jit adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _cpp_jit($/;" f +_CPP_LEVEL_TO_NAMES adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_CPP_LEVEL_TO_NAMES = {$/;" v +_CPP_NAME_TO_LEVELS adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_CPP_NAME_TO_LEVELS = {$/;" v +_CPU adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_CPU, _GPU = "cpu", "gpu"$/;" v +_CPUINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_CPUINFO = _descriptor.Descriptor($/;" v +_CPUINFO_CACHESIZEENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_CPUINFO_CACHESIZEENTRY = _descriptor.Descriptor($/;" v +_CPUInterDeviceBatchNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _CPUInterDeviceBatchNormalization(model):$/;" f +_cpuReduce adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _cpuReduce(param, input_blobs, destination_blobs):$/;" f function:_CPUInterDeviceBatchNormalization file: +_cpu_backend_factory adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _cpu_backend_factory():$/;" f +_cpu_count adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^_cpu_count = os.cpu_count()$/;" v +_cpu_deserialize adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _cpu_deserialize(obj, location):$/;" f +_cpu_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^def _cpu_device(device):$/;" f +_CPU_DEVICE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_CPU_DEVICE_NAME = 'CPU'$/;" v +_CPU_DEVICE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^_CPU_DEVICE_NAME = "CPU"$/;" v +_cpu_geev adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^_cpu_geev = lapack.geev$/;" v +_cpu_lapack_types adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^_cpu_lapack_types = {np.dtype(np.float32), np.dtype(np.float64),$/;" v +_cpu_syevd adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^_cpu_syevd = lapack.syevd$/;" v +_cpu_tag adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _cpu_tag(obj):$/;" f +_cpython_abis adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _cpython_abis(py_version, warn=False):$/;" f +_cpython_abis adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _cpython_abis(py_version):$/;" f +_cpython_abis adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _cpython_abis(py_version):$/;" f +_cpython_abis adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _cpython_abis(py_version, warn=False):$/;" f +_cpython_interpreter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _cpython_interpreter(py_version):$/;" f +_cpython_interpreter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _cpython_interpreter(py_version):$/;" f +_cpython_tags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _cpython_tags(py_version, interpreter, abis, platforms):$/;" f +_cpython_tags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _cpython_tags(py_version, interpreter, abis, platforms):$/;" f +_crc32 adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _crc32(data, seed=0):$/;" f +_create adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _create(cls, base_path, file_path, content, mode, encoding, errors):$/;" m class:_TempFile +_create adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def _create(self, kind):$/;" m class:AdjacentTempDirectory +_create adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def _create(self, kind):$/;" m class:TempDirectory +_create adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def _create(ckdtree_node=None):$/;" m class:KDTree.node +_CreateAndAddToNet adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _CreateAndAddToNet(self, op_type, inputs=None, *args, **kwargs):$/;" m class:BlobReference +_CreateAndAddToSelf adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _CreateAndAddToSelf(self, op_type, inputs, outputs=None, **kwargs):$/;" m class:Net +_CreateBarrierNet adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _CreateBarrierNet(model, init_net, name_prefix, timeout_sec):$/;" f +_createComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def _createComponent(self, asn1Spec, tagSet, value, **options):$/;" m class:AbstractSimpleDecoder +_createComponent adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def _createComponent(self, asn1Spec, tagSet, value, **options):$/;" m class:BooleanDecoder +_CreateConverter adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _CreateConverter(self, run_params, saved_model_dir, session_config,$/;" m class:TfTrtIntegrationTestBase +_createDense adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def _createDense(self, dtype=core.DataType.FLOAT):$/;" m class:OptimizerTestBase +_CreateDenseMaskAndBegin adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^ def _CreateDenseMaskAndBegin(sizes, concat_dim):$/;" f function:_ConcatGradHelper file: +_createdtype adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def _createdtype(self, byteorder):$/;" m class:format_parser +_CREATEEXPERIMENTREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_CREATEEXPERIMENTREQUEST = _descriptor.Descriptor($/;" v +_CREATEEXPERIMENTRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_CREATEEXPERIMENTRESPONSE = _descriptor.Descriptor($/;" v +_createFeedModule adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def _createFeedModule(self):$/;" m class:TestScriptModule +_createFeedModule adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def _createFeedModule(self):$/;" m class:TestScriptModuleFromString +_createFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _createFiles(self):$/;" m class:FixedLengthRecordDatasetTestBase +_createFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _createFiles(self):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_createFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _createFiles(self):$/;" m class:TFRecordDatasetTestBase +_createFiles adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _createFiles(self,$/;" m class:TextLineDatasetTestBase +_CreateMessageFromTypeUrl adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _CreateMessageFromTypeUrl(type_url, descriptor_pool):$/;" f +_CreateOrCloneCommonWorld adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _CreateOrCloneCommonWorld($/;" f +_CreateShapeFromNumpy adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^def _CreateShapeFromNumpy(ndarray): # pylint: disable=invalid-name$/;" f +_CreateTransaction adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _CreateTransaction = ctypes.windll.ktmw32.CreateTransaction$/;" v +_create_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def _create_accumulator(self):$/;" m class:_CategoryEncodingCombiner +_create_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def _create_accumulator(self):$/;" m class:_IndexLookupCombiner +_create_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def _create_accumulator(self, count, mean, variance):$/;" m class:_NormalizingCombiner +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _create_all_weights(self, var_list):$/;" m class:LossScaleOptimizer +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Adadelta +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Adagrad +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Adam +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Adamax +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Nadam +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:Optimizer +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:RMSprop +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def _create_all_weights(self, params):$/;" m class:SGD +_create_all_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _create_all_weights(self, var_list):$/;" m class:OptimizerV2 +_create_and_assert_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _create_and_assert_global_step(self, graph):$/;" m class:SavedModelEstimator +_create_and_assert_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _create_and_assert_global_step(self, graph):$/;" m class:Estimator +_create_and_install_waiters adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^def _create_and_install_waiters(fs, return_when):$/;" f +_create_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _create_arrays(broadcast_shape, dim_sizes, list_of_core_dims, dtypes):$/;" f +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2):$/;" f function:test_auto_date_locator file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2):$/;" f function:test_auto_date_locator_intmult file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2):$/;" f function:test_concise_formatter file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2):$/;" f function:test_concise_formatter_formats file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2):$/;" f function:test_concise_formatter_zformats file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2, tz):$/;" f function:test_auto_date_locator_intmult_tz file: +_create_auto_date_locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _create_auto_date_locator(date1, date2, tz):$/;" f function:test_concise_formatter_tz file: +_create_base_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _create_base_response(self, series_request):$/;" m class:MetricsPlugin +_create_batched_inputs adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _create_batched_inputs($/;" f +_create_binned_data adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^def _create_binned_data(bin_numbers, unique_bin_numbers, values, vv):$/;" f +_create_block_3_diagonal_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _create_block_3_diagonal_matrix(A, B, d):$/;" f +_create_caffe2_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _create_caffe2_ops(self, net):$/;" m class:BatchFeeder +_create_caffe2_queues adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _create_caffe2_queues(self, net):$/;" m class:BatchFeeder +_create_call_fn_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _create_call_fn_decorator(layer, wrapped_call):$/;" f +_create_categorical_column_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _create_categorical_column_weighted_sum(column,$/;" f +_create_categorical_column_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _create_categorical_column_weighted_sum($/;" f +_create_cfstring_array adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _create_cfstring_array(lst):$/;" f +_create_channel adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^def _create_channel(target: str, options: Sequence[Tuple[str, str]],$/;" f +_create_classification_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _create_classification_head(n_classes,$/;" f +_create_classification_head_and_closed_form adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _create_classification_head_and_closed_form(n_classes, weight_column,$/;" f +_create_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^def _create_cluster(num_workers,$/;" f +_create_combiners adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _create_combiners(table_to_config_dict, table_to_features_dict):$/;" f +_create_comm adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def _create_comm(self):$/;" m class:FigureManagerNbAgg +_create_cond_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _create_cond_branch(self, body_name, aliased_orig_names,$/;" m class:ControlFlowTransformer +_create_cond_expr adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _create_cond_expr(self, results, test, body_name, orelse_name,$/;" m class:ControlFlowTransformer +_create_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _create_config(self, config):$/;" m class:MultiWorkerTestBase +_create_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _create_config_proto(self):$/;" m class:TPUEmbedding +_create_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _create_config_proto($/;" m class:TPUEmbedding +_create_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def _create_context():$/;" f +_create_control_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _create_control_op(cls, init_model, pred_model, n, opset_version):$/;" m class:Caffe2Backend +_create_csp_string adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^def _create_csp_string(*csp_fragments):$/;" f +_create_c_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _create_c_op(graph, node_def, inputs, control_inputs, op_def=None):$/;" f +_create_datasets_from_function_with_input_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _create_datasets_from_function_with_input_context(input_contexts,$/;" f +_create_dataset_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^def _create_dataset_reader(dataset_creator, filenames, num_parallel_reads=None):$/;" f +_create_default_group_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def _create_default_group_assignment():$/;" f +_create_definition_if_needed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _create_definition_if_needed(self):$/;" m class:_DefinedFunction +_create_definition_if_needed_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _create_definition_if_needed_impl(self):$/;" m class:_DefinedFunction +_create_dense_column_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _create_dense_column_weighted_sum(column,$/;" f +_create_dense_column_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _create_dense_column_weighted_sum(column, transformation_cache,$/;" f +_create_device_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _create_device_dataset(self, i):$/;" m class:MultiDeviceIterator +_create_device_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^def _create_device_dataset(prototype_ds, incarnation_id, prefetch_buffer_size,$/;" f +_create_device_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _create_device_fn(hosts):$/;" f +_create_device_transfers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _create_device_transfers(self, tensors):$/;" m class:BaseStagingArea +_create_discrete_set_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_discrete_set_filter(discrete_set):$/;" f +_create_distributed_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _create_distributed_tensor_spec(strategy, tensor_spec):$/;" f +_create_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _create_dropout_mask(self, inputs, training, count=1):$/;" m class:DropoutRNNCellMixin +_create_dummy_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _create_dummy_input(func_graph, template_tensor):$/;" f +_create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _create_estimator_spec(features,$/;" f function:mock_head file: +_create_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _create_estimator_spec(features,$/;" f function:mock_head file: +_create_eval_metrics_tuple adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _create_eval_metrics_tuple(fn, kwargs):$/;" f +_create_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _create_event(self, ph, category, name, pid, tid, timestamp):$/;" m class:_ChromeTraceFormatter +_create_example_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _create_example_string(example_dict):$/;" f +_create_extractor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_extractor(col_param):$/;" f +_create_extractors adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_extractors(col_params):$/;" f +_create_fakeparams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _create_fakeparams(func_graph, template_tensors):$/;" f +_create_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_filter(col_param, extractor):$/;" f +_create_filters adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_filters(col_params, extractors):$/;" f +_create_f_oneway_nan_result adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _create_f_oneway_nan_result(shape, axis):$/;" f +_create_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _create_global_step(self, graph):$/;" m class:Estimator +_create_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _create_global_step(self, graph):$/;" m class:TPUEstimator +_create_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _create_global_step(graph):$/;" f +_create_gnu_long_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _create_gnu_long_header(cls, name, type, encoding, errors):$/;" m class:TarInfo +_create_grad_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _create_grad_func(func_graph, grads, name):$/;" f +_create_grad_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _create_grad_func(ys, xs, grads, cond_graph, body_graph, name, while_op,$/;" f +_create_grad_indexed_slices_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _create_grad_indexed_slices_init(grad_output_slices, forward_input):$/;" f +_create_graph_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _create_graph_function(self, args, kwargs, override_flat_arg_shapes=None):$/;" m class:Function +_create_graph_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def _create_graph_function(self, args, kwargs, **other_kwargs):$/;" m class:_EstimatorSpecFunction +_create_handle_data_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def _create_handle_data_proto(shape_proto, dtype_enum):$/;" f +_create_hash_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _create_hash_str(self, input_arg, output_arg, node_def):$/;" m class:_DefinedFunction +_create_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _create_header(info, format, encoding, errors):$/;" m class:TarInfo +_create_host_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _create_host_call(self):$/;" m class:TensorTracer +_create_hparam_extractor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_hparam_extractor(hparam_name):$/;" f +_create_hypers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _create_hypers(self):$/;" m class:OptimizerV2 +_create_if adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _create_if(cls, init_model, pred_model, n, opset_version):$/;" m class:Caffe2Backend +_create_implements_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _create_implements_attribute(self):$/;" m class:Function +_create_import_hook_from_entrypoint adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^def _create_import_hook_from_entrypoint(entrypoint):$/;" f +_create_import_hook_from_string adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^def _create_import_hook_from_string(name):$/;" f +_create_infeed_controller adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _create_infeed_controller(self, name, target, args):$/;" m class:TPUInfeedOutfeedSessionHook +_create_infeed_controller adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _create_infeed_controller(self, name, target, args):$/;" m class:TPUInfeedOutfeedSessionHookForPrediction +_create_init_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _create_init_values(self, pfor_input):$/;" m class:WhileOp +_create_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/collect_and_distribute_fpn_rpn_proposals_op_test.py /^ def _create_input(proposal_count, rpn_min_level, rpn_num_levels, roi_canonical_scale):$/;" m class:TestCollectAndDistributeFpnRpnProposals +_create_input_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _create_input_dict(function_graph,$/;" f +_create_interpreter_name_lookup_fn adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _create_interpreter_name_lookup_fn(frames_up=1):$/;" f +_create_interval_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_interval_filter(interval):$/;" f +_create_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _create_iterator(self, dataset):$/;" m class:OwnedIterator +_create_iterators_per_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _create_iterators_per_worker(worker_datasets,$/;" f +_create_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _create_jaxpr(init):$/;" f function:scan file: +_create_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _create_jaxpr(init_val):$/;" f function:while_loop file: +_create_jit_graph adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _create_jit_graph(model, args, _retain_param_name, use_new_jit_passes):$/;" f +_create_keras_history_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^def _create_keras_history_helper(tensors, processed_ops, created_layers):$/;" f +_create_keras_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _create_keras_model_fn(keras_model,$/;" f +_create_key_func adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_key_func(extractor, none_is_largest):$/;" f +_create_link_from_element adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _create_link_from_element($/;" f +_create_lookup_table adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _create_lookup_table(N, data, gamma=1.0):$/;" f +_create_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _create_loop(cls, init_model, pred_model, n, opset_version):$/;" m class:Caffe2Backend +_create_loop_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _create_loop_options(self, node):$/;" m class:ControlFlowTransformer +_create_loop_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _create_loop_options(self, node):$/;" m class:ControlFlowTransformer +_create_mac adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def _create_mac(key, msg, method):$/;" f +_create_method adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _create_method(op):$/;" f +_create_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _create_metrics(self):$/;" m class:LossesContainer +_create_metric_extractor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_metric_extractor(metric_name):$/;" f +_create_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _create_model(multiple_devices):$/;" f member:DataParallelModelTest._test_multi_device_bn_net_lvl file: +_create_model adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter_test.py /^ def _create_model(self):$/;" m class:PredictorExporterTest +_create_model adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def _create_model(self):$/;" m class:TestPredictor +_create_model_input_output_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _create_model_input_output_tensors():$/;" f member:TestDistributionStrategyWithDatasets.test_match_model_input_matches_with_dataset_tensors file: +_create_module adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^def _create_module(module_cls, args, kwargs, device="cpu", module_interface_cls=None):$/;" f +_create_monitored_session_with_worker_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^def _create_monitored_session_with_worker_context($/;" f +_create_multi_worker_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^ def _create_multi_worker_mirrored():$/;" f function:_get_multi_worker_mirrored_creator file: +_create_named_tuple adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _create_named_tuple(t, unqual_name: str, field_names: List[str]):$/;" f +_create_none_optionals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _create_none_optionals(func_graph, n):$/;" f +_create_nonlocal_declarations adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _create_nonlocal_declarations(self, vars_):$/;" m class:ControlFlowTransformer +_create_non_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _create_non_slot_variable(self, initial_value, name, colocate_with):$/;" m class:Optimizer +_create_non_trackable_mask_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _create_non_trackable_mask_cache(self):$/;" m class:DropoutRNNCellMixin +_create_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _create_op(op_type, inputs, op_dtypes, attrs=None):$/;" f +_create_op_from_tf_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _create_op_from_tf_operation(self, c_op, compute_device=True):$/;" m class:Graph +_create_op_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _create_op_helper(self, op, compute_device=True):$/;" m class:Graph +_create_op_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _create_op_internal($/;" m class:_FuncGraph +_create_op_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def _create_op_internal($/;" m class:FuncGraph +_create_op_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _create_op_internal($/;" m class:Graph +_create_op_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def _create_op_internal($/;" m class:_WhileBodyGradFuncGraph +_create_ordered_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _create_ordered_dict(d):$/;" f +_create_ordered_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _create_ordered_metrics(self):$/;" m class:MetricsContainer +_create_or_get_iterations_per_loop adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _create_or_get_iterations_per_loop():$/;" f +_create_or_get_tensor_values_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _create_or_get_tensor_values_cache(self, cache_name, graph=None,$/;" m class:TensorTracer +_create_or_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _create_or_restore_slot_variable(self, slot_variable_position, slot_name,$/;" m class:FakeOptimizerForRestoration +_create_or_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _create_or_restore_slot_variable(self, slot_variable_position, slot_name,$/;" m class:LossScaleOptimizer +_create_or_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _create_or_restore_slot_variable($/;" m class:OptimizerV2 +_create_or_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _create_or_restore_slot_variable($/;" m class:Optimizer +_create_or_validate_filenames_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^def _create_or_validate_filenames_dataset(filenames):$/;" f +_create_partitioned_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _create_partitioned_variables(name,$/;" f +_create_patch adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _create_patch(self, legend, orig_handle,$/;" m class:HandlerPatch +_create_pax_generic_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _create_pax_generic_header(cls, pax_headers, type, encoding):$/;" m class:TarInfo +_create_payload adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _create_payload(payload):$/;" m class:TarInfo +_create_pdf_info_dict adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def _create_pdf_info_dict(backend, metadata):$/;" f +_create_per_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _create_per_replica(value_list, strategy, get_next_as_optional):$/;" f +_create_per_worker_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _create_per_worker_iterator():$/;" f member:_PerWorkerDistributedDataset.__iter__ file: +_create_per_worker_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _create_per_worker_resources(self, fn, args=None, kwargs=None):$/;" m class:ClusterCoordinator +_create_pickler adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _create_pickler(self, data_buf):$/;" m class:PackageExporter +_create_point adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_point(self, tag_proto, event, value):$/;" m class:_ScalarBatchedRequestSender +_create_point adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_point(self, tag_proto, event, value):$/;" m class:_TensorBatchedRequestSender +_create_polynomial adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^ def _create_polynomial(var, coeffs):$/;" f function:_ndtri file: +_create_polynomial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^ def _create_polynomial(var, coeffs):$/;" f function:_ndtri file: +_create_proxy adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^def _create_proxy(tracer: 'TracerBase', op: str, target: Target, args_: Tuple[Any, ...], kwargs_/;" f +_create_pseudo_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^def _create_pseudo_names(tensors, prefix):$/;" f +_create_python_object_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^def _create_python_object_snapshot():$/;" f +_create_qApp adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^def _create_qApp():$/;" f +_create_recurrent_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _create_recurrent_dropout_mask(self, inputs, training, count=1):$/;" m class:DropoutRNNCellMixin +_create_regexp_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _create_regexp_filter(regex):$/;" f +_create_regression_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _create_regression_head(label_dimension, weight_column=None):$/;" f +_create_remote_module_iter adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def _create_remote_module_iter(dst_worker_name, device="cpu", modes=None):$/;" m class:RemoteModuleTest +_create_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def _create_request(self, uri, http_method, body, headers):$/;" m class:BaseEndpoint +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _create_resource(self):$/;" m class:_TRTEngineResource +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _create_resource(self, function, args=None, kwargs=None):$/;" m class:Worker +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _create_resource(self):$/;" m class:QuantileAccumulator +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _create_resource(self):$/;" m class:TreeEnsemble +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:DenseHashTable +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:IdTableWithHashBuckets +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:LookupInterface +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:MutableHashTable +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:StaticHashTable +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _create_resource(self):$/;" m class:StaticVocabularyTable +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _create_resource(self):$/;" m class:_RestoredResource +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def _create_resource(self):$/;" m class:_Initializer +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _create_resource(self):$/;" m class:CapturableResource +_create_resource adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def _create_resource(self):$/;" m class:_MutableDenseHashTable +_create_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _create_response(self, session_groups):$/;" m class:Handler +_create_rnn_variant adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _create_rnn_variant(cls, init_model, pred_model, n, opset_version):$/;" m class:Caffe2Backend +_create_rpc_error adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^def _create_rpc_error(initial_metadata: Metadata,$/;" f +_create_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def _create_rref(self):$/;" m class:RRefAPITest +_create_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _create_rref(self):$/;" m class:RpcTest +_create_run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_run(self, run_name):$/;" m class:_ScalarBatchedRequestSender +_create_run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_run(self, run_name):$/;" m class:_TensorBatchedRequestSender +_create_saveable_object_factories adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _create_saveable_object_factories(self):$/;" m class:Loader +_create_saver_from_imported_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def _create_saver_from_imported_meta_graph(meta_graph_def, import_scope,$/;" f +_create_self_signed_jwt adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def _create_self_signed_jwt(self, audience):$/;" m class:Credentials +_create_servicer_context adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^def _create_servicer_context(rpc_event, state, request_deserializer):$/;" f +_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _create_session(self, graph, config, force_gpu):$/;" m class:TensorFlowTestCase +_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _create_session(distribution_strategy):$/;" f function:configure_and_create_distributed_session file: +_create_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _create_session(self):$/;" m class:_RecoverableSession +_create_signature_def_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _create_signature_def_map(model, mode):$/;" f +_create_skew_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _create_skew_matrix(x):$/;" f +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def _create_slots(self, var_list):$/;" m class:Adadelta +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def _create_slots(self, var_list):$/;" m class:Adagrad +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _create_slots(self, var_list):$/;" m class:Adam +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _create_slots(self, var_list):$/;" m class:NonFusedAdam +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def _create_slots(self, var_list):$/;" m class:Adamax +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def _create_slots(self, var_list):$/;" m class:Ftrl +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def _create_slots(self, var_list):$/;" m class:SGD +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def _create_slots(self, var_list):$/;" m class:Nadam +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _create_slots(self, var_list):$/;" m class:OptimizerV2 +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def _create_slots(self, var_list):$/;" m class:RMSprop +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _create_slots($/;" m class:_Optimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _create_slots(self, var_list):$/;" m class:AdadeltaOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _create_slots(self, var_list):$/;" m class:AdagradOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _create_slots(self, var_list):$/;" m class:AdagradDAOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _create_slots(self, var_list):$/;" m class:AdamOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _create_slots(self, var_list):$/;" m class:FtrlOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _create_slots(self, var_list):$/;" m class:MomentumOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _create_slots(self, var_list):$/;" m class:Optimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _create_slots(self, var_list):$/;" m class:ProximalAdagradOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _create_slots(self, var_list):$/;" m class:RMSPropOptimizer +_create_slots adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _create_slots(self):$/;" m class:_SDCAModel +_create_slot_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/slot_creator.py /^def _create_slot_var(primary, val, scope, validate_shape, shape, dtype):$/;" f +_create_some_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _create_some_matrix(self, mat_cls, m, n):$/;" m class:Test64Bit +_create_state_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _create_state_functions($/;" m class:ControlFlowTransformer +_create_state_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _create_state_functions(self, composites, state_getter_name,$/;" m class:ControlFlowTransformer +_create_substitute_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def _create_substitute_placeholder(value, name=None, dtype=None, shape=None):$/;" f +_create_ta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _create_ta(name, element_shape, dtype):$/;" f function:_dynamic_rnn_loop file: +_create_table_to_features_and_num_features_dicts adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _create_table_to_features_and_num_features_dicts(feature_to_config_dict):$/;" f +_create_tag adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_tag(self, run_proto, tag_name, metadata):$/;" m class:_ScalarBatchedRequestSender +_create_tag adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _create_tag(self, run_proto, tag_name, metadata):$/;" m class:_TensorBatchedRequestSender +_create_temp_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _create_temp_cache(self, num_traced_tensors, num_signatures):$/;" m class:TensorTracer +_create_tensor_filling_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _create_tensor_filling_op(cls, onnx_tensor, name=None):$/;" m class:Caffe2Backend +_create_tensor_maps adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _create_tensor_maps(self):$/;" m class:TensorTraceOrder +_create_tensor_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^def _create_tensor_summary($/;" f +_create_tensor_watch_maps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _create_tensor_watch_maps(self, device_name):$/;" m class:DebugDumpDir +_create_test_tensor_protos adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def _create_test_tensor_protos(self, idx):$/;" m class:BlobsQueueDBTest +_create_test_tensor_protos adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def _create_test_tensor_protos(self, idx):$/;" m class:BlobsQueueDBTest +_create_tpu_embedding adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def _create_tpu_embedding(self, mode):$/;" m class:EmbeddingConfig +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _create_tpu_estimator_spec(features,$/;" f function:mock_head file: +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:_Head +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _create_tpu_estimator_spec(features,$/;" f function:mock_head file: +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def _create_tpu_estimator_spec($/;" m class:Head +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:BinaryClassHead +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:MultiClassHead +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:MultiLabelHead +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:RegressionHead +_create_tpu_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def _create_tpu_estimator_spec(self,$/;" m class:SequentialHeadWrapper +_create_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^ def _create_tpu_strategy():$/;" f function:_get_tpu_strategy_creator file: +_create_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def _create_tuple(shape, value):$/;" f +_create_tuple_proto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def _create_tuple_proto(self, op):$/;" m class:Sharding +_create_undefined_assigns adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _create_undefined_assigns(self, undefined_symbols):$/;" m class:ControlFlowTransformer +_create_undefined_assigns adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _create_undefined_assigns(self, undefined_symbols):$/;" m class:ControlFlowTransformer +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:StrategyExtendedV2 +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:MirroredExtended +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:OneDeviceExtended +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:ParameterServerStrategyExtended +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:ParameterServerStrategyV2Extended +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _create_variable(self, next_creator, **kwargs):$/;" m class:TPUExtended +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def _create_variable(self, *args, **kwargs):$/;" m class:_RandomGenerator +_create_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _create_variable(self, *args, **kwargs):$/;" m class:Generator +_create_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _create_variables(self, num_clusters):$/;" m class:KMeans +_create_variables_and_slots adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _create_variables_and_slots($/;" m class:TPUEmbedding +_create_variable_round_robin adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _create_variable_round_robin(self, next_creator, **kwargs):$/;" m class:ParameterServerStrategyV2Extended +_create_variable_statistics_object adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def _create_variable_statistics_object(self):$/;" m class:InputStatisticsFromMiniBatch +_create_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _create_weighted_sum(column,$/;" f +_create_weighted_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _create_weighted_sum(column, transformation_cache, state_manager,$/;" f +_create_whitelist adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^def _create_whitelist(would_be_installed, package_set):$/;" f +_create_with_tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _create_with_tf_output(op, value_index, dtype, tf_output):$/;" m class:Tensor +_create_wsgi_app adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def _create_wsgi_app(self):$/;" m class:TensorBoardWSGI +_create_xml_dom_element adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _create_xml_dom_element(self, doc, module_name, is_key=False):$/;" m class:Flag +_create_zeros_for_none_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _create_zeros_for_none_grads(forward_graphs, grad_graphs):$/;" f +_create_zero_arrays adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _create_zero_arrays(size):$/;" f function:_dynamic_rnn_loop file: +_create_zero_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _create_zero_output(output_size):$/;" f function:static_rnn file: +_creating_default_strategy_singleton adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_creating_default_strategy_singleton = False$/;" v +_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _creator(weight_collections, scope):$/;" f function:_embedding_column file: +_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def _creator(weight_collections, scope):$/;" f function:embedding_column file: +_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _creator():$/;" f member:CapturableResource._list_functions_for_serialization file: +_credentials adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _credentials(grpc_call_options):$/;" f +_CREDENTIALS_FILENAME adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_CREDENTIALS_FILENAME = "application_default_credentials.json"$/;" v +_crf_forward adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def _crf_forward($/;" m class:CRFWithLoss +_CRITICAL_PREFIX adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_CRITICAL_PREFIX = 'CRITICAL - '$/;" v +_CRITICAL_SECTION_STACK adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^_CRITICAL_SECTION_STACK = threading.local()$/;" v +_crop adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _crop(self, im, box):$/;" m class:Image +_CropAndResizeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _CropAndResizeGrad(op, grad):$/;" f +_cross adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _cross(a, b, axisa, axisb, axisc):$/;" f +_CrossedColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _CrossedColumn($/;" c +_crossed_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _crossed_column(keys, hash_bucket_size, hash_key=None):$/;" f +_CrossGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CrossGrad(op, grad):$/;" f +_CROSSPROGRAMPREFETCH adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_CROSSPROGRAMPREFETCH = _descriptor.Descriptor($/;" v +_CrossReplicaThreadMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^class _CrossReplicaThreadMode(_ThreadMode):$/;" c +_cross_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _cross_dispatcher(a, b, axisa=None, axisb=None, axisc=None, axis=None):$/;" f +_cross_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _cross_entropy(self, other):$/;" m class:Distribution +_cross_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def _cross_internal(inputs,$/;" f +_cross_map_lrn2d adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^from ._functions import CrossMapLRN2d as _cross_map_lrn2d$/;" x +_cross_replica_sum_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def _cross_replica_sum_grad(op, grad):$/;" f +_CROSS_REPLICA_SUM_OP adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_CROSS_REPLICA_SUM_OP = 'CrossReplicaSum'$/;" v +_cross_suppression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _cross_suppression(boxes, box_slice, iou_threshold, inner_idx, tile_size):$/;" f +_CRYPTOGRAPHY_BASED_ALGORITHMS adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^_CRYPTOGRAPHY_BASED_ALGORITHMS = frozenset(["ES256"])$/;" v +_cseries_to_zseries adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _cseries_to_zseries(c):$/;" f +_CSP_CONNECT_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_CONNECT_DOMAINS_WHITELIST = []$/;" v +_CSP_DEFAULT_SRC adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^_CSP_DEFAULT_SRC = "default-src"$/;" v +_CSP_FONT_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_FONT_DOMAINS_WHITELIST = ["data:"]$/;" v +_CSP_FRAME_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_FRAME_DOMAINS_WHITELIST = []$/;" v +_CSP_IGNORE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^_CSP_IGNORE = {$/;" v +_CSP_IMG_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_IMG_DOMAINS_WHITELIST = []$/;" v +_CSP_SCRIPT_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_SCRIPT_DOMAINS_WHITELIST = []$/;" v +_CSP_SCRIPT_SELF adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_SCRIPT_SELF = True$/;" v +_CSP_SCRIPT_UNSAFE_EVAL adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_SCRIPT_UNSAFE_EVAL = True$/;" v +_CSP_STYLE_DOMAINS_WHITELIST adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_CSP_STYLE_DOMAINS_WHITELIST = []$/;" v +_CSRSparseMatrixComponentsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^_CSRSparseMatrixComponentsOutput = collections.namedtuple($/;" v +_CSRSparseMatrixToDenseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _CSRSparseMatrixToDenseGrad(op, grad):$/;" f +_CSRSparseMatrixToSparseTensorOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^_CSRSparseMatrixToSparseTensorOutput = collections.namedtuple($/;" v +_csv_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def _csv_open(fn, mode, **kwargs):$/;" f +_cs_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^class _cs_matrix(_data_matrix, _minmax_mixin, IndexMixin):$/;" c +_cs_path_exists adpepsenv/lib/python3.8/site-packages/setuptools/command/py36compat.py /^ def _cs_path_exists(fspath):$/;" m class:sdist_add_defaults +_cs_path_exists adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _cs_path_exists(fspath):$/;" m class:sdist +_CTCBeamSearchDecoderOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^_CTCBeamSearchDecoderOutput = collections.namedtuple($/;" v +_CTCGreedyDecoderOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^_CTCGreedyDecoderOutput = collections.namedtuple($/;" v +_CTCLossGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _CTCLossGrad(op, grad_loss, _):$/;" f +_CTCLossGradImpl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _CTCLossGradImpl(op, grad_loss, _):$/;" f +_CTCLossOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^_CTCLossOutput = collections.namedtuple($/;" v +_CTCLossV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _CTCLossV2Grad(op, grad_loss, _):$/;" f +_CTCLossV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^_CTCLossV2Output = collections.namedtuple($/;" v +_ctc_loss_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_loss_grad(op, grad_loss, _):$/;" f +_ctc_loss_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_loss_impl(labels,$/;" f +_ctc_loss_op_cudnn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_loss_op_cudnn(labels, logits, logit_length, logits_time_major,$/;" f +_ctc_loss_op_standard adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_loss_op_standard(labels, logits, logit_length, logits_time_major,$/;" f +_ctc_loss_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_loss_shape(op):$/;" f +_ctc_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn.py /^from tensorflow.python.ops import ctc_ops as _ctc_ops$/;" x +_ctc_state_trans adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ctc_state_trans(label_seq):$/;" f +_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def _ctx(self):$/;" m class:FuncRegistry +_CTX_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_CTX_KEY = 'context'$/;" v +_ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^class _ctypes:$/;" c +_ctype_from_dtype adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _ctype_from_dtype(dtype):$/;" f +_ctype_from_dtype_scalar adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _ctype_from_dtype_scalar(dtype):$/;" f +_ctype_from_dtype_structured adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _ctype_from_dtype_structured(dtype):$/;" f +_ctype_from_dtype_subarray adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _ctype_from_dtype_subarray(dtype):$/;" f +_ctype_ndarray adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _ctype_ndarray(element_type, shape):$/;" f +_cubehelix_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_cubehelix_data = cubehelix()$/;" v +_cubicmin adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^def _cubicmin(a, fa, fpa, b, fb, c, fc):$/;" f +_cubicmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def _cubicmin(a, fa, fpa, b, fb, c, fc):$/;" f +_cubic_coeff adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _cubic_coeff(signal):$/;" f +_cubic_interpolate adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^def _cubic_interpolate(x1, f1, g1, x2, f2, g2, bounds=None):$/;" f +_cubic_smooth_coeff adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _cubic_smooth_coeff(signal, lamb):$/;" f +_cuda adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _cuda(self, device=None, non_blocking=False, **kwargs):$/;" f +_CudaBase adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^class _CudaBase(object):$/;" c +_CudaDeviceProperties adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ _CudaDeviceProperties = torch._C._CudaDeviceProperties$/;" v +_cudart adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ _cudart = None$/;" v +_cuda_deserialize adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _cuda_deserialize(obj, location):$/;" f +_cuda_do_list adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^_cuda_do_list = ([cuda_do] if workspace.has_cuda_support else [])$/;" v +_cuda_tag adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _cuda_tag(obj):$/;" f +_cudnn adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^ _cudnn = None # type: ignore$/;" v +_cudnn adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ _cudnn = None # type: ignore$/;" v +_CuDNNRNN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^class _CuDNNRNN(RNN):$/;" c +_CudnnRNNBackpropOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNBackpropOutput = collections.namedtuple($/;" v +_CudnnRNNBackpropV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNBackpropV2Output = collections.namedtuple($/;" v +_CudnnRNNBackpropV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNBackpropV3Output = collections.namedtuple($/;" v +_CudnnRNNOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNOutput = collections.namedtuple($/;" v +_CudnnRNNParamsToCanonicalOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNParamsToCanonicalOutput = collections.namedtuple($/;" v +_CudnnRNNParamsToCanonicalV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNParamsToCanonicalV2Output = collections.namedtuple($/;" v +_CudnnRNNV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNV2Output = collections.namedtuple($/;" v +_CudnnRNNV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^_CudnnRNNV3Output = collections.namedtuple($/;" v +_CUDNN_AVAILABLE_MSG adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_CUDNN_AVAILABLE_MSG = 'Layer %s will use cuDNN kernel when run on GPU.'$/;" v +_cudnn_convolution_algo_count adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^def _cudnn_convolution_algo_count(direction):$/;" f +_CUDNN_NOT_AVAILABLE_MSG adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_CUDNN_NOT_AVAILABLE_MSG = ('Layer %s will not use cuDNN kernel since it '$/;" v +_cudnn_rnn_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cudnn_rnn_grad.py /^def _cudnn_rnn_backward(op, *grads):$/;" f +_cudnn_rnn_backwardv3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cudnn_rnn_grad.py /^def _cudnn_rnn_backwardv3(op, *grads):$/;" f +_cudnn_rnn_backward_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cudnn_rnn_grad.py /^def _cudnn_rnn_backward_v2(op, *grad):$/;" f +_cudnn_supports adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^def _cudnn_supports(dilation=False, nhwc=False, backward=False):$/;" f +_cudnn_supports adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/deform_conv_test.py /^def _cudnn_supports(dilation=False, nhwc=False):$/;" f +_CumprodGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CumprodGrad(op, grad):$/;" f +_cumprod_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _cumprod_dispatcher(a, axis=None, dtype=None, out=None):$/;" f +_cumred_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumred_batch_rule(prim, batched_args, batch_dims, *, axis: int,$/;" f +_cumred_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumred_dtype_rule(name, operand, *args, **kw):$/;" f +_cumred_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumred_shape_rule(x, *, axis: int, reverse: bool):$/;" f +_cumred_tpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumred_tpu_translation_rule(window_reduce: Callable, x, *,$/;" f +_CumsumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CumsumGrad(op, grad):$/;" f +_cumsum_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _cumsum_dispatcher(a, axis=None, dtype=None, out=None):$/;" f +_cumsum_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumsum_transpose_rule(t, operand, *, axis: int, reverse: bool):$/;" f +_CumulativeLogsumexpGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _CumulativeLogsumexpGrad(op, grad):$/;" f +_cumulative_jet_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _cumulative_jet_rule(primals_in, series_in, *, axis: int, reverse: bool,$/;" f +_cumulative_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumulative_jvp_rule(primals, tangents, *, axis: int, reverse: bool,$/;" f +_cumulative_reduction adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def _cumulative_reduction(a, axis, dtype):$/;" f function:_make_cumulative_reduction file: +_cumulative_reduction_primitive adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _cumulative_reduction_primitive(name, reduce_window_fn):$/;" f +_CUNESCAPE_HEX adpepsenv/lib/python3.8/site-packages/google/protobuf/text_encoding.py /^_CUNESCAPE_HEX = re.compile(r'(\\\\+)x([0-9a-fA-F])(?![0-9a-fA-F])')$/;" v +_cupy adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^from . import cupy as _cupy$/;" x +_curfit_cache adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^_curfit_cache = {'t': array([], float), 'wrk': array([], float),$/;" v +_curfit_messages adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_curfit_messages = {1: """$/;" v +_current adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def _current(cls, value=None, required=True):$/;" f +_CurrentDistributionContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _CurrentDistributionContext(object):$/;" c +_currentIdx adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ _currentIdx = None$/;" v class:Choice +_currenttobest1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _currenttobest1(self, candidate, samples):$/;" m class:DifferentialEvolutionSolver +_current_codata adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_current_codata = "CODATA 2018"$/;" v +_current_constants adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_current_constants = _physical_constants_2018$/;" v +_current_control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _current_control_dependencies(self):$/;" m class:Graph +_current_file_location adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_current_file_location = _inspect.getfile(_inspect.currentframe())$/;" v +_current_file_location adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_current_file_location = _inspect.getfile(_inspect.currentframe())$/;" v +_current_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _current_graph(op_input_list):$/;" f +_current_idx adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^_current_idx = 1$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_current_module = _sys.modules[__name__]$/;" v +_current_module adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_current_module = _sys.modules[__name__]$/;" v +_CURRENT_SCRATCH_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_CURRENT_SCRATCH_GRAPH = threading.local()$/;" v +_current_test_name adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _current_test_name(self):$/;" m class:MultiProcessTestCase +_current_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^_current_tpu_context = TpuContext()$/;" v +_current_trace_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_current_trace_context = None$/;" v +_current_trace_context_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_current_trace_context_lock = threading.Lock()$/;" v +_CURRENT_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_CURRENT_VERSION = 'use-outside-compilation'$/;" v +_Curve adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ class _Curve(_Base):$/;" c class:ArrowStyle +_CUSTOMCALLOUTPUTOPERANDALIASING adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_CUSTOMCALLOUTPUTOPERANDALIASING = _descriptor.Descriptor($/;" v +_CustomHandler adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ class _CustomHandler(FileSystemEventHandler):$/;" c member:WatchdogReloaderLoop.__init__ file: +_customized_ccompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^from numpy.distutils import customized_ccompiler as _customized_ccompiler$/;" x +_customize_compiler_for_shlib adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^def _customize_compiler_for_shlib(compiler):$/;" f +_CustomLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _CustomLinearOperator(LinearOperator):$/;" c +_CustomReciprocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _CustomReciprocal(x):$/;" f +_CustomSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^class _CustomSaver(saver_lib.Saver):$/;" c +_custom_compile_for_predict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _custom_compile_for_predict(model):$/;" f +_custom_distribution adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _custom_distribution(self, state):$/;" m class:FuzzedParameter +_custom_ivjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def _custom_ivjp(fun, ivjp, args):$/;" f +_custom_ivjp_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def _custom_ivjp_impl(*args, fun_jaxpr, **_):$/;" f +_custom_ivjp_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def _custom_ivjp_jvp(primals, tangents, *, fun_jaxpr, ivjp_jaxpr):$/;" f +_custom_jvp_call_jaxpr adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _custom_jvp_call_jaxpr(*args: TfVal,$/;" f +_custom_jvp_call_jaxpr_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_jvp_call_jaxpr_abstract_eval(*args, fun_jaxpr: core.ClosedJaxpr, **params):$/;" f +_custom_jvp_call_jaxpr_impl adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_jvp_call_jaxpr_impl(*args, fun_jaxpr: core.ClosedJaxpr, **params):$/;" f +_custom_jvp_call_jaxpr_jvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_jvp_call_jaxpr_jvp($/;" f +_custom_jvp_call_jaxpr_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _custom_jvp_call_jaxpr_rule(primals_in, series_in, *, fun_jaxpr,$/;" f +_custom_jvp_call_jaxpr_transpose adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_jvp_call_jaxpr_transpose(cts, *args, fun_jaxpr, jvp_jaxpr_thunk,$/;" f +_custom_jvp_call_jaxpr_vmap adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_jvp_call_jaxpr_vmap($/;" f +_custom_lin adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _custom_lin(*args: TfVal, **_) -> Sequence[TfVal]:$/;" f +_custom_linear_solve_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _custom_linear_solve_impl(*args, const_lengths, jaxprs):$/;" f +_custom_linear_solve_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _custom_linear_solve_jvp(primals, tangents, const_lengths, jaxprs):$/;" f +_custom_lin_transpose adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def _custom_lin_transpose(cts_out, *invals, num_res, bwd, avals_out):$/;" f +_custom_manylinux_platforms adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def _custom_manylinux_platforms(arch):$/;" f +_custom_root adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _custom_root(const_lengths, jaxprs, *args):$/;" f +_custom_scalar_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.custom_scalar import summary as _custom_scalar_summary$/;" x +_custom_validate adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _custom_validate(self, verify, trust_bundle):$/;" m class:WrappedSocket +_custom_validate adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _custom_validate(self, verify, trust_bundle):$/;" m class:WrappedSocket +_custom_vjp_call_jaxpr adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _custom_vjp_call_jaxpr(*args: TfVal,$/;" f +_custom_vjp_call_jaxpr_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_vjp_call_jaxpr_abstract_eval(*_, fun_jaxpr, **__):$/;" f +_custom_vjp_call_jaxpr_impl adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_vjp_call_jaxpr_impl(*args, fun_jaxpr, **_):$/;" f +_custom_vjp_call_jaxpr_jvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_vjp_call_jaxpr_jvp($/;" f +_custom_vjp_call_jaxpr_vmap adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _custom_vjp_call_jaxpr_vmap($/;" f +_custom_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def _custom_xml_dom_elements(self, doc):$/;" m class:ArgumentParser +_custom_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def _custom_xml_dom_elements(self, doc):$/;" m class:ListParser +_custom_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def _custom_xml_dom_elements(self, doc):$/;" m class:NumericParser +_custom_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def _custom_xml_dom_elements(self, doc):$/;" m class:WhitespaceSeparatedListParser +_cutoff_matrices adpeps/tensor/ops.py /^def _cutoff_matrices(u,s,v,cutoff,n):$/;" f +_cut_probs adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _cut_probs(self):$/;" m class:ContinuousBernoulli +_cval_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_cval_doc = ($/;" v +_CXX11_ABI_FLAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as _CXX11_ABI_FLAG$/;" x +_cycler adpepsenv/lib/python3.8/site-packages/cycler.py /^def _cycler(label, itr):$/;" f +_cygrpc adpepsenv/lib/python3.8/site-packages/grpc/experimental/gevent.py /^from grpc._cython import cygrpc as _cygrpc$/;" x +_cygrpc adpepsenv/lib/python3.8/site-packages/grpc/experimental/session_cache.py /^from grpc._cython import cygrpc as _cygrpc$/;" x +_cygrpc adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^from grpc._cython import cygrpc as _cygrpc$/;" x +_cygwin_patch adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _cygwin_patch(filename): # pragma: nocover$/;" f +_cygwin_patch adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _cygwin_patch(filename): # pragma: nocover$/;" f +_c_api_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _c_api_shape(self):$/;" m class:Tensor +_c_arch_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def _c_arch_flags(self):$/;" m class:GnuFCompiler +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = ()$/;" v class:DescriptorBase +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.Descriptor$/;" v class:Descriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.EnumDescriptor$/;" v class:EnumDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.EnumValueDescriptor$/;" v class:EnumValueDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.FieldDescriptor$/;" v class:FieldDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.FileDescriptor$/;" v class:FileDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.MethodDescriptor$/;" v class:MethodDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.OneofDescriptor$/;" v class:OneofDescriptor +_C_DESCRIPTOR_CLASS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _C_DESCRIPTOR_CLASS = _message.ServiceDescriptor$/;" v class:ServiceDescriptor +_c_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ _c_extensions = ['.c']$/;" v class:BCPPCompiler +_c_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ _c_extensions = ['.c']$/;" v class:MSVCCompiler +_c_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _c_extensions = ['.c']$/;" v class:MSVCCompiler +_c_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ _c_extensions = ['.c']$/;" v class:MSVCCompiler +_C_for_compiled_check adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ import torch._C as _C_for_compiled_check$/;" I +_c_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _c_graph(self):$/;" m class:Graph +_c_like_cdata adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _c_like_cdata = {"script", "style"}$/;" v class:HTMLBuilder +_c_string_literal adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^def _c_string_literal(s):$/;" f +_C_WEIGHTED_METRICS adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_C_WEIGHTED_METRICS = {$/;" v +_d adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def _d(self, name):$/;" m class:CommonStateObject +_d adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^_d = _Dummy('nop')$/;" v +_damerau_levenshtein adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^def _damerau_levenshtein(a, b):$/;" f +_Dark2_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Dark2_data = ($/;" v +_data adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mod_op_test.py /^def _data(draw):$/;" f +_data adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _data(self):$/;" m class:mvoid +_data adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _data = property(fget=_get_data)$/;" v class:MaskedArray +_data adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def _data(self):$/;" m class:MaskedRecords +_DATACLASS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_DATACLASS = _descriptor.EnumDescriptor($/;" v +_DATACLASS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_DATACLASS = _descriptor.EnumDescriptor($/;" v +_datacopied adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _datacopied(arr, original):$/;" f +_datacopied adpepsenv/lib/python3.8/site-packages/scipy/linalg/misc.py /^def _datacopied(arr, original):$/;" f +_DataServiceDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ _DataServiceDataset = _DataServiceDatasetV2$/;" v +_DataServiceDatasetV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^class _DataServiceDatasetV1(dataset_ops.DatasetV1Adapter):$/;" c +_DataServiceDatasetV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^class _DataServiceDatasetV2(dataset_ops.DatasetSource):$/;" c +_dataset adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^def _dataset(draw, min_elements=3, max_elements=10, **kwargs):$/;" f +_DatasetInitializerHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^class _DatasetInitializerHook(tf.compat.v1.train.SessionRunHook):$/;" c +_DatasetKind adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class _DatasetKind(object):$/;" c +_DatasetRandomReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^class _DatasetRandomReader(Reader):$/;" c +_DatasetReader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^class _DatasetReader(Reader):$/;" c +_DATASETTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_DATASETTYPE = _descriptor.EnumDescriptor($/;" v +_DatasetWriter adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^class _DatasetWriter(Writer):$/;" c +_dataset_for_stmt_no_extra_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _dataset_for_stmt_no_extra_test(ds, body, get_state, set_state, init_vars,$/;" f +_dataset_for_stmt_with_extra_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _dataset_for_stmt_with_extra_test(ds, extra_test, body, get_state,$/;" f +_DATATYPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^_DATATYPE = _descriptor.EnumDescriptor($/;" v +_DATATYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_DATATYPE = _descriptor.EnumDescriptor($/;" v +_DATATYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^_DATATYPE = _descriptor.EnumDescriptor($/;" v +_datatype_enum adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _datatype_enum(self):$/;" m class:_EagerTensorBase +_data_and_scale adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/square_root_divide_op_test.py /^def _data_and_scale($/;" f +_DATA_DOC_APPENDIX adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_DATA_DOC_APPENDIX = """$/;" v +_DATA_DOC_TITLE adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_DATA_DOC_TITLE = """$/;" v +_data_mask adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def _data_mask(self):$/;" m class:dia_matrix +_data_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^class _data_matrix(spmatrix):$/;" c +_DATA_POOLS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_DATA_POOLS = weakref.WeakSet()$/;" v +_data_provider_query adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _data_provider_query(self, blob_reference):$/;" m class:ImagesPlugin +_DATA_TYPE_FOR_DTYPE adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^_DATA_TYPE_FOR_DTYPE = [$/;" v +_DateTime adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^from datetime import datetime as _DateTime$/;" x +_DatetimeWithFold adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ class _DatetimeWithFold(datetime):$/;" c +_datetime_metadata_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _datetime_metadata_str(dtype):$/;" f +_datetime_to_pdf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def _datetime_to_pdf(d):$/;" f +_datetime_to_timestamp adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^def _datetime_to_timestamp(dt):$/;" f +_dateutil_parser_parse_np_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^_dateutil_parser_parse_np_vectorized = np.vectorize(dateutil.parser.parse)$/;" v +_DATE_SEP adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ _DATE_SEP = b'-'$/;" v class:isoparser +_date_to_date_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^def _date_to_date_number(year, month, day):$/;" f +_date_to_unix adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _date_to_unix(arg):$/;" f +_DawsnGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _DawsnGrad(op, grad):$/;" f +_dbg adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _dbg(self, level, msg):$/;" m class:TarFile +_DBREADERPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_DBREADERPROTO = _descriptor.Descriptor($/;" v +_dce_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _dce_jaxpr(closed_jaxpr: ClosedJaxpr, outputs: Sequence[bool], drop_outputs=False) -> Closed/;" f +_dce_open_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _dce_open_jaxpr(jaxpr: Jaxpr, outputs: Tuple[bool, ...], drop_outputs=False) -> Jaxpr:$/;" f +_ddp_init_helper adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _ddp_init_helper(self):$/;" m class:DistributedDataParallel +_deadline adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _deadline(timeout):$/;" f +_DEAD_HANDLES_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ _DEAD_HANDLES_THRESHOLD = 10$/;" v class:BaseSession +_DEALLOCATED_SERVER_CHECK_PERIOD_S adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_DEALLOCATED_SERVER_CHECK_PERIOD_S = 1.0$/;" v +_DEBUG adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^_DEBUG = 5$/;" v +_DEBUG adpepsenv/lib/python3.8/site-packages/oauthlib/__init__.py /^_DEBUG = False$/;" v +_DEBUGEVENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_DEBUGEVENT = _descriptor.Descriptor($/;" v +_DEBUGGEDDEVICE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_DEBUGGEDDEVICE = _descriptor.Descriptor($/;" v +_DEBUGGEDGRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_DEBUGGEDGRAPH = _descriptor.Descriptor($/;" v +_DEBUGGEDSOURCEFILE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_DEBUGGEDSOURCEFILE = _descriptor.Descriptor($/;" v +_DEBUGGEDSOURCEFILE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_DEBUGGEDSOURCEFILE = _descriptor.Descriptor($/;" v +_DEBUGGEDSOURCEFILES adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_DEBUGGEDSOURCEFILES = _descriptor.Descriptor($/;" v +_DEBUGGEDSOURCEFILES adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_DEBUGGEDSOURCEFILES = _descriptor.Descriptor($/;" v +_DEBUGGEREVENTMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^_DEBUGGEREVENTMETADATA = _descriptor.Descriptor($/;" v +_DEBUGMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_DEBUGMETADATA = _descriptor.Descriptor($/;" v +_DEBUGOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_DEBUGOPTIONS = _descriptor.Descriptor($/;" v +_DEBUGOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_DEBUGOPTIONS = _descriptor.Descriptor($/;" v +_DEBUGOUTPUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_DEBUGOUTPUT = _descriptor.Descriptor($/;" v +_DEBUGTENSORWATCH adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_DEBUGTENSORWATCH = _descriptor.Descriptor($/;" v +_DEBUGTENSORWATCH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_DEBUGTENSORWATCH = _descriptor.Descriptor($/;" v +_debug_dump_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _debug_dump_dir(self, run_number=None):$/;" m class:SessionDebugTestBase +_debug_identity_v2_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def _debug_identity_v2_grad(op, dy):$/;" f +_DEBUG_lvls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^_DEBUG_lvls = {1: 'Low ', 2: 'Med ', 3: 'High', 4: 'Error'}$/;" v +_debug_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def _debug_message(self):$/;" m class:_WorkerContext +_debug_print adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_interpolative.py /^def _debug_print(s):$/;" f +_DEBUG_PROTO_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^_DEBUG_PROTO_KEY = '_serialized_debug_outputs_proto'$/;" v +_debug_run_and_get_dump adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _debug_run_and_get_dump(self,$/;" m class:SessionDebugTestBase +_debug_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def _debug_summary(x):$/;" f +_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _debug_urls(self, run_number=None):$/;" m class:SessionDebugTestBase +_decade_greater adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def _decade_greater(x, base):$/;" f +_decade_greater_equal adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def _decade_greater_equal(x, base):$/;" f +_decade_less adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def _decade_less(x, base):$/;" f +_decade_less_equal adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def _decade_less_equal(x, base):$/;" f +_decayed_lr adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _decayed_lr(self, var_dtype):$/;" m class:OptimizerV2 +_decide_add_node_names adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _decide_add_node_names(add_node_names, operator_export_type):$/;" f +_decide_constant_folding adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _decide_constant_folding(do_constant_folding, operator_export_type, training):$/;" f +_decide_external_data_format adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _decide_external_data_format(use_external_data_format, operator_export_type, f):$/;" f +_decide_keep_init_as_input adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _decide_keep_init_as_input(keep_initializers_as_inputs, operator_export_type,$/;" f +_DECIMAL_DIGITS adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _DECIMAL_DIGITS = frozenset('0123456789')$/;" v class:_BaseV4 +_declare_state adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _declare_state(vartype, **kw):$/;" f +_declare_state adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _declare_state(vartype, **kw):$/;" f +_decode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _decode(self, data, decode_content, flush_decoder):$/;" m class:HTTPResponse +_decode adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _decode(self, data, decode_content, flush_decoder):$/;" m class:HTTPResponse +_decodeComponents adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def _decodeComponents(self, substrate, tagSet=None, decodeFun=None, **options):$/;" m class:UniversalConstructedTypeDecoder +_DecodeError adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_DecodeError = message.DecodeError$/;" v +_DecodeFixed32 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _DecodeFixed32(buffer, pos):$/;" f +_DecodeFixed64 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _DecodeFixed64(buffer, pos):$/;" f +_DecodeProtoV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^_DecodeProtoV2Output = collections.namedtuple($/;" v +_DecodeSignedVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_DecodeSignedVarint = _SignedVarintDecoder(64, long)$/;" v +_DecodeSignedVarint32 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_DecodeSignedVarint32 = _SignedVarintDecoder(32, int)$/;" v +_DecodeUnknownField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _DecodeUnknownField(buffer, pos, wire_type):$/;" f +_DecodeUnknownFieldSet adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _DecodeUnknownFieldSet(buffer, pos, end_pos=None):$/;" f +_DecodeVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_DecodeVarint = _VarintDecoder((1 << 64) - 1, long)$/;" v +_DecodeVarint32 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_DecodeVarint32 = _VarintDecoder((1 << 32) - 1, int)$/;" v +_DecodeWavOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^_DecodeWavOutput = collections.namedtuple($/;" v +_decode_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _decode_blob_key(key):$/;" f +_decode_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^def _decode_helper(obj):$/;" f +_decode_idna adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _decode_idna(domain):$/;" f +_decode_jpeg_stream adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _decode_jpeg_stream(self):$/;" m class:BLP1Decoder +_decode_jwt_segment adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^def _decode_jwt_segment(encoded_section):$/;" f +_decode_line adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def _decode_line(line, encoding=None):$/;" f +_decode_pax_field adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _decode_pax_field(self, value, encoding, fallback_encoding, fallback_errors):$/;" m class:TarInfo +_decode_range adpepsenv/lib/python3.8/site-packages/idna/intranges.py /^def _decode_range(r):$/;" f +_decode_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/intranges.py /^def _decode_range(r):$/;" f +_decompression_bomb_check adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _decompression_bomb_check(size):$/;" f +_decomp_update adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp_update.py /^import scipy.linalg._decomp_update as _decomp_update$/;" I +_decorate adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _decorate(self, decorator):$/;" m class:Function +_decorate_run_options_for_debug adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _decorate_run_options_for_debug($/;" m class:BaseDebugWrapperSession +_decorate_run_options_for_profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _decorate_run_options_for_profile(self, run_options):$/;" m class:BaseDebugWrapperSession +_decorate_test_or_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^ def _decorate_test_or_class(obj):$/;" f function:_test_or_class_decorator file: +_decorator adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^from scipy._lib.decorator import decorator as _decorator$/;" x +_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ def _decorator(func):$/;" f function:_binary_assert_doc file: +_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^ def _decorator(func):$/;" f function:_unary_assert_doc file: +_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def _decorator(func):$/;" f function:_set_doc file: +_decorator adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def _decorator(wrapper):$/;" f function:transient_function_wrapper file: +_DecoratorContextManager adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^class _DecoratorContextManager:$/;" c +_decref_socketios adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def _decref_socketios(self):$/;" m class:WrappedSocket +_decref_socketios adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _decref_socketios(self):$/;" m class:WrappedSocket +_decref_socketios adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def _decref_socketios(self):$/;" m class:WrappedSocket +_decref_socketios adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _decref_socketios(self):$/;" m class:WrappedSocket +_decref_socketios adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def _decref_socketios(self):$/;" m class:SSLTransport +_decrement_pending_calls adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def _decrement_pending_calls(self):$/;" m class:_AllCompletedWaiter +_dedent adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^from textwrap import dedent as _dedent$/;" x +_dedent_regex adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^_dedent_regex = {}$/;" v +_deduped_data adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _deduped_data(self):$/;" m class:_data_matrix +_deduplicate_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^def _deduplicate_indexed_slices(values, indices):$/;" f +_deduplicate_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^def _deduplicate_indexed_slices(values, indices):$/;" f +_dedup_strings adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^def _dedup_strings(device_strs):$/;" f +_dedup_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _dedup_weights(self, weights):$/;" m class:Layer +_dedup_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _dedup_weights(self, weights):$/;" m class:Layer +_deep_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _deep_tuple(self, x):$/;" m class:_Mapping +_def adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^ _def = {'dtypes': convert_dtypes(mdtypes_template, _bytecode),$/;" v +_DEFAULT adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ _DEFAULT = descriptor._message.default_pool$/;" v +_DEFAULT adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^_DEFAULT = SymbolDatabase(pool=descriptor_pool.Default())$/;" v +_Default adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^class _Default(object):$/;" c +_default adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^_default = _Default()$/;" v +_Default adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^_Default = object()$/;" v +_Default adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^_Default = object()$/;" v +_Default adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^_Default = object()$/;" v +_Default adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^_Default = object()$/;" v +_Default adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^_Default = object()$/;" v +_default adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def _default(o):$/;" m class:_JSONModule +_defaultAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ _defaultAxesClass = Axes$/;" v class:Grid +_defaultAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^ _defaultAxesClass = Axes$/;" v class:RGBAxes +_defaultAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^ _defaultAxesClass = Axes$/;" v class:Grid +_defaultAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^ _defaultAxesClass = Axes$/;" v class:ImageGrid +_defaultAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_rgb.py /^ _defaultAxesClass = Axes$/;" v class:RGBAxes +_defaultCbarAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ _defaultCbarAxesClass = CbarAxes$/;" v class:ImageGrid +_defaultCbarAxesClass adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_grid.py /^ _defaultCbarAxesClass = CbarAxes$/;" v class:ImageGrid +_defaultChunkSize adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ _defaultChunkSize = 10240$/;" v class:HTMLUnicodeInputStream +_defaultChunkSize adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ _defaultChunkSize = 10240$/;" v class:HTMLUnicodeInputStream +_DEFAULTDIRECTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_DEFAULTDIRECTION = _descriptor.EnumDescriptor($/;" v +_DefaultDistributionContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _DefaultDistributionContext(object):$/;" c +_DefaultDistributionExtended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _DefaultDistributionExtended(StrategyExtendedV1):$/;" c +_DefaultDistributionStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _DefaultDistributionStrategy(Strategy):$/;" c +_DefaultDistributionStrategyV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _DefaultDistributionStrategyV1(StrategyV1):$/;" c +_defaultExceptionDebugAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _defaultExceptionDebugAction(instring, loc, expr, exc):$/;" f +_defaultExceptionDebugAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _defaultExceptionDebugAction( instring, loc, expr, exc ):$/;" f +_defaultExceptionDebugAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _defaultExceptionDebugAction(instring, loc, expr, exc):$/;" f +_defaultExceptionDebugAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _defaultExceptionDebugAction( instring, loc, expr, exc ):$/;" f +_DefaultGradYs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _DefaultGradYs(grad_ys,$/;" f +_DefaultGraphStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class _DefaultGraphStack(_DefaultStack): # pylint: disable=protected-access$/;" c +_defaulthardmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _defaulthardmask = False$/;" v class:MaskedArray +_defaultmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _defaultmask = nomask$/;" v class:MaskedArray +_DefaultReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^class _DefaultReplicaContext(ReplicaContext):$/;" c +_DefaultReplicaThreadMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^class _DefaultReplicaThreadMode(_ThreadMode):$/;" c +_defaults adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^_defaults = {$/;" v +_defaults adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/combinations.py /^_defaults = combinations.generate.keywords['test_combinations']$/;" v +_DefaultSize adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^class _DefaultSize(object):$/;" c +_DefaultStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class _DefaultStack(threading.local):$/;" c +_defaultStartDebugAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _defaultStartDebugAction(instring, loc, expr):$/;" f +_defaultStartDebugAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _defaultStartDebugAction( instring, loc, expr ):$/;" f +_defaultStartDebugAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _defaultStartDebugAction(instring, loc, expr):$/;" f +_defaultStartDebugAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _defaultStartDebugAction( instring, loc, expr ):$/;" f +_defaultSuccessDebugAction adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _defaultSuccessDebugAction(instring, startloc, endloc, expr, toks):$/;" f +_defaultSuccessDebugAction adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _defaultSuccessDebugAction( instring, startloc, endloc, expr, toks ):$/;" f +_defaultSuccessDebugAction adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _defaultSuccessDebugAction(instring, startloc, endloc, expr, toks):$/;" f +_defaultSuccessDebugAction adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _defaultSuccessDebugAction( instring, startloc, endloc, expr, toks ):$/;" f +_DefaultValueConstructorForField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _DefaultValueConstructorForField(field):$/;" f +_DEFAULT_ADDITION_TIERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_DEFAULT_ADDITION_TIERS = [$/;" v +_DEFAULT_ADVISE_OPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^_DEFAULT_ADVISE_OPTIONS = 0$/;" v +_default_algorithm adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ _default_algorithm = hashlib.sha256$/;" v class:WheelFile +_default_alignments adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _default_alignments = dict(left=("bottom", "center"),$/;" v class:AxisLabel +_default_alignments adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _default_alignments = dict(left=("center", "right"),$/;" v class:TickLabels +_default_angles adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _default_angles = dict(left=180,$/;" v class:AxisLabel +_default_angles adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _default_angles = dict(left=90,$/;" v class:TickLabels +_default_array_repr adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^_default_array_repr = functools.partial(_array_repr_implementation,$/;" v +_default_array_str adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^_default_array_str = functools.partial(_array_str_implementation,$/;" v +_DEFAULT_AUTH_CODE_MESSAGE adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ _DEFAULT_AUTH_CODE_MESSAGE = "Enter the authorization code: "$/;" v class:InstalledAppFlow +_DEFAULT_AUTH_PROMPT_MESSAGE adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ _DEFAULT_AUTH_PROMPT_MESSAGE = ($/;" v class:InstalledAppFlow +_default_backends adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^_default_backends = {$/;" v +_DEFAULT_BARRIER_NET_TIMEOUT_SEC adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^_DEFAULT_BARRIER_NET_TIMEOUT_SEC = 300$/;" v +_DEFAULT_BLOCK_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^_DEFAULT_BLOCK_SIZE = 16 * 1024 * 1024$/;" v +_DEFAULT_BLOCK_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^_DEFAULT_BLOCK_SIZE = 16 * 1024 * 1024$/;" v +_DEFAULT_CLIP_NORM adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_DEFAULT_CLIP_NORM = 5.0$/;" v +_default_compilers adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_default_compilers = ($/;" v +_default_compilers adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^_default_compilers = ($/;" v +_DEFAULT_CONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ _DEFAULT_CONFIG = [$/;" v class:CLIConfig +_DEFAULT_CONFIG_DIRECTORY adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ _DEFAULT_CONFIG_DIRECTORY = object() # Sentinel value.$/;" v class:CredentialsStore +_default_config_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def _default_config_file_path(self):$/;" m class:CLIConfig +_default_contains adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def _default_contains(self, mouseevent, figure=None):$/;" m class:Artist +_default_control_status_ctx adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^def _default_control_status_ctx():$/;" f +_default_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^def _default_conversion_function(value, dtype, name, as_ref):$/;" f +_DEFAULT_COORDINATOR_JOB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_DEFAULT_COORDINATOR_JOB_NAME = 'coordinator'$/;" v +_DEFAULT_COORDINATOR_JOB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^_DEFAULT_COORDINATOR_JOB_NAME = 'coordinator'$/;" v +_DEFAULT_CROSS_HASH_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^_DEFAULT_CROSS_HASH_KEY = 0xDECAFCAFFE$/;" v +_DEFAULT_DEBUG_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^_DEFAULT_DEBUG_OP = "DebugIdentity"$/;" v +_default_dim_dict adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^_default_dim_dict = {c: s for c, s in zip(_valid_chars, _sizes)}$/;" v +_default_dlopen_flags adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^ _default_dlopen_flags = sys.getdlopenflags()$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^_DEFAULT_DOWNSAMPLING = 10 # audio clips per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^_DEFAULT_DOWNSAMPLING = 500 # histograms per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^_DEFAULT_DOWNSAMPLING = 10 # images per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^_DEFAULT_DOWNSAMPLING = 1 # nPMI tensors per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^_DEFAULT_DOWNSAMPLING = 100 # PR curves per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^_DEFAULT_DOWNSAMPLING = 1000 # scalars per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^_DEFAULT_DOWNSAMPLING = 100 # text tensors per time series$/;" v +_DEFAULT_DOWNSAMPLING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^_DEFAULT_DOWNSAMPLING = 100 # text tensors per time series$/;" v +_default_dropout_state_filter_visitor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^def _default_dropout_state_filter_visitor(substate):$/;" f +_default_encoded_fill_value adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _default_encoded_fill_value(self):$/;" m class:netcdf_variable +_DEFAULT_ENDPOINT_PORT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_DEFAULT_ENDPOINT_PORT = '8470'$/;" v +_DEFAULT_ENV_VARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_DEFAULT_ENV_VARIABLE = 'TPU_NAME'$/;" v +_default_export_output adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^def _default_export_output(export_outputs, head_name):$/;" f +_default_filetypes adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^_default_filetypes = {$/;" v +_DEFAULT_FUNCTION_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^_DEFAULT_FUNCTION_KEY = 'serving_default'$/;" v +_default_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def _default_getter(name,$/;" f +_default_global_step_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _default_global_step_tensor(self):$/;" m class:Supervisor +_default_graph_error_message_template adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^_default_graph_error_message_template = ($/;" v +_default_graph_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_default_graph_stack = _DefaultGraphStack()$/;" v +_DEFAULT_GROWTH_STEPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^_DEFAULT_GROWTH_STEPS = 2000$/;" v +_default_handler_map adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ _default_handler_map = {$/;" v class:Legend +_DEFAULT_HASH_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^_DEFAULT_HASH_KEY = 0xDECAFCAFFE$/;" v +_DEFAULT_HELP_WIDTH adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^_DEFAULT_HELP_WIDTH = 80 # Default width of help output.$/;" v +_DEFAULT_IMAGE_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^_DEFAULT_IMAGE_MIMETYPE = "application\/octet-stream"$/;" v +_DEFAULT_IMAGE_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^_DEFAULT_IMAGE_MIMETYPE = "application\/octet-stream"$/;" v +_DEFAULT_IMAGE_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^_DEFAULT_IMAGE_MIMETYPE = "application\/octet-stream"$/;" v +_default_implementation_type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^_default_implementation_type = ($/;" v +_DEFAULT_INITIAL_SCALE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^_DEFAULT_INITIAL_SCALE = 2 ** 15$/;" v +_default_inner_shape_for_pylist adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def _default_inner_shape_for_pylist(pylist, ragged_rank):$/;" f +_DEFAULT_JOB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_DEFAULT_JOB_NAME = 'tpu_worker'$/;" v +_DEFAULT_JOB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^_DEFAULT_JOB_NAME = 'tpu_worker'$/;" v +_default_key_normalizer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^def _default_key_normalizer(key_class, request_context):$/;" f +_default_key_normalizer adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^def _default_key_normalizer(key_class, request_context):$/;" f +_default_learning_phase adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _default_learning_phase():$/;" f +_DEFAULT_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_DEFAULT_LEARNING_RATE = 0.05$/;" v +_default_loss_fn adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^_default_loss_fn = torch.nn.CrossEntropyLoss()$/;" v +_DEFAULT_MANIFEST adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^_DEFAULT_MANIFEST = '''$/;" v +_DEFAULT_MAX_BLOB_REQUEST_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MAX_BLOB_REQUEST_SIZE = 4 * (2 ** 20) - 256 * (2 ** 10) # 4MiB-256KiB$/;" v +_DEFAULT_MAX_BLOB_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MAX_BLOB_SIZE = 10 * (2 ** 20) # 10MiB$/;" v +_DEFAULT_MAX_CACHE_SIZE adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^_DEFAULT_MAX_CACHE_SIZE = 10$/;" v +_DEFAULT_MAX_QUEUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^_DEFAULT_MAX_QUEUE = 10$/;" v +_DEFAULT_MAX_SCALAR_REQUEST_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MAX_SCALAR_REQUEST_SIZE = 128 * (2 ** 10) # 128KiB$/;" v +_DEFAULT_MAX_TENSOR_POINT_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MAX_TENSOR_POINT_SIZE = 16 * (2 ** 10) # 16KiB$/;" v +_DEFAULT_MAX_TENSOR_REQUEST_SIZE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MAX_TENSOR_REQUEST_SIZE = 512 * (2 ** 10) # 512KiB$/;" v +_DEFAULT_MIME_TYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^_DEFAULT_MIME_TYPE = "application\/octet-stream"$/;" v +_DEFAULT_MIN_BLOB_REQUEST_INTERVAL adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MIN_BLOB_REQUEST_INTERVAL = 1000$/;" v +_DEFAULT_MIN_SCALAR_REQUEST_INTERVAL adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MIN_SCALAR_REQUEST_INTERVAL = 5000$/;" v +_DEFAULT_MIN_TENSOR_REQUEST_INTERVAL adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_DEFAULT_MIN_TENSOR_REQUEST_INTERVAL = 1000$/;" v +_DEFAULT_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_main.py /^_DEFAULT_MODE = "DEFAULT"$/;" v +_DEFAULT_NUMBER_OF_SHARDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^_DEFAULT_NUMBER_OF_SHARDS = 1$/;" v +_DEFAULT_NUM_THRESHOLDS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^_DEFAULT_NUM_THRESHOLDS = 201$/;" v +_default_onnx_opset_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_default_onnx_opset_version = 9$/;" v +_default_ordinal_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _default_ordinal_function(self, index):$/;" m class:InfeedQueue +_DEFAULT_PACKAGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_DEFAULT_PACKAGE = 'tensorflow.python'$/;" v +_default_passthru_pt adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ _default_passthru_pt = dict(left=(0, 0),$/;" v class:AxisArtistHelper.Fixed +_default_pattern adpeps/utils/tlist.py /^ _default_pattern = None$/;" v class:TList +_default_pg adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_default_pg = None$/;" v +_default_pg_init_method adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_default_pg_init_method = None$/;" v +_default_pickler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_default_pickler = _internal_rpc_pickler$/;" v +_default_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _default_placement_function(self, index):$/;" m class:InfeedQueue +_DEFAULT_POOL_SIZE adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^_DEFAULT_POOL_SIZE = 8$/;" v +_DEFAULT_PROFILE_OPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^_DEFAULT_PROFILE_OPTIONS = 0$/;" v +_DEFAULT_READER_BUFFER_SIZE_BYTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^_DEFAULT_READER_BUFFER_SIZE_BYTES = 4 * 1024 * 1024 # 4 MB$/;" v +_DEFAULT_READER_BUFFER_SIZE_BYTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^_DEFAULT_READER_BUFFER_SIZE_BYTES = 256 * 1024 # 256 KB$/;" v +_DEFAULT_REPLACEABLE_LIST adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_DEFAULT_REPLACEABLE_LIST = [$/;" v +_default_replica_context_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^_default_replica_context_lock = threading.Lock()$/;" v +_default_replica_mode_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^_default_replica_mode_lock = threading.Lock()$/;" v +_default_response_times adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _default_response_times(A, n):$/;" f +_default_revctrl adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^_default_revctrl = list$/;" v +_DEFAULT_SALT_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^_DEFAULT_SALT_KEY = [0xDECAFCAFFE, 0xDECAFCAFFE]$/;" v +_DEFAULT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^_DEFAULT_SERVING_KEY = tf.saved_model.DEFAULT_SERVING_SIGNATURE_DEF_KEY$/;" v +_DEFAULT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^_DEFAULT_SERVING_KEY = tf.saved_model.DEFAULT_SERVING_SIGNATURE_DEF_KEY$/;" v +_DEFAULT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^_DEFAULT_SERVING_KEY = tf.saved_model.DEFAULT_SERVING_SIGNATURE_DEF_KEY$/;" v +_default_session_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_default_session_stack = _DefaultStack() # pylint: disable=protected-access$/;" v +_DEFAULT_SHARD_DIMENSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^_DEFAULT_SHARD_DIMENSION = 0$/;" v +_DEFAULT_SINGLE_THREADED_UNARY_STREAM adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_DEFAULT_SINGLE_THREADED_UNARY_STREAM = os.getenv($/;" v +_default_strategy_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^_default_strategy_lock = threading.Lock()$/;" v +_DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^_DEFAULT_TIMEOUT = 120 # in seconds$/;" v +_DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^_DEFAULT_TIMEOUT = 180 # in seconds$/;" v +_DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _DEFAULT_TIMEOUT = float(os.environ[_DEFAULT_TIMEOUT_KEY])$/;" v +_DEFAULT_TIMEOUT_KEY adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^_DEFAULT_TIMEOUT_KEY = "GRPC_PYTHON_DEFAULT_TIMEOUT_SECONDS"$/;" v +_DEFAULT_TIMEOUT_SEC adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^_DEFAULT_TIMEOUT_SEC = 30$/;" v +_DEFAULT_TIMEOUT_SEC adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_DEFAULT_TIMEOUT_SEC = 200$/;" v +_DEFAULT_TOKEN_LIFETIME_SECS adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^_DEFAULT_TOKEN_LIFETIME_SECS = 3600 # 1 hour in seconds$/;" v +_DEFAULT_TOKEN_LIFETIME_SECS adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_DEFAULT_TOKEN_LIFETIME_SECS = 3600 # 1 hour in seconds$/;" v +_DEFAULT_TOKEN_LIFETIME_SECS adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^_DEFAULT_TOKEN_LIFETIME_SECS = 3600 # 1 hour in seconds$/;" v +_DEFAULT_TOKEN_LIFETIME_SECS adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^_DEFAULT_TOKEN_LIFETIME_SECS = 3600 # 1 hour in seconds$/;" v +_DEFAULT_TOKEN_URI adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^_DEFAULT_TOKEN_URI = "https:\/\/www.googleapis.com\/oauth2\/v4\/token"$/;" v +_DEFAULT_TOKEN_URI adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_DEFAULT_TOKEN_URI = "https:\/\/oauth2.googleapis.com\/token"$/;" v +_default_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^_default_tolerance = {$/;" v +_default_tolerances adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_default_tolerances = {$/;" v +_default_to_gztar adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _default_to_gztar(self):$/;" m class:sdist +_default_transpose adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _default_transpose(x, axes):$/;" f +_DEFAULT_TYPEMAP adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_DEFAULT_TYPEMAP = {$/;" v +_default_update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _default_update_prop(self, legend_handle, orig_handle):$/;" m class:HandlerBase +_default_update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _default_update_prop(self, legend_handle, orig_handle):$/;" m class:HandlerLineCollection +_DEFAULT_USE_RESOURCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_DEFAULT_USE_RESOURCE = tf2.enabled()$/;" v +_DEFAULT_VALID_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^_DEFAULT_VALID_DTYPES = frozenset([$/;" v +_default_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _default_value(self, name):$/;" m class:LegacyMetadata +_default_visualizer adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^_default_visualizer = PatchVisualizer()$/;" v +_default_vspace adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^_default_vspace = imperative_grad.VSpace($/;" v +_DEFAULT_WEB_SUCCESS_MESSAGE adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ _DEFAULT_WEB_SUCCESS_MESSAGE = ($/;" v class:InstalledAppFlow +_DeferredSlotVariableRestoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^_DeferredSlotVariableRestoration = collections.namedtuple($/;" v +_deferred_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _deferred_dependencies(self):$/;" m class:_DelegatingTrackableMixin +_deferred_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _deferred_dependencies(self):$/;" m class:Trackable +_defer_to_unrecognized_arg adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _defer_to_unrecognized_arg(binary_op):$/;" f +_DefinedFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^class _DefinedFunction(object):$/;" c +_DefinedFunctionDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^class _DefinedFunctionDeleter(object):$/;" c +_define_absl_flag adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def _define_absl_flag(self, flag_instance, suppress):$/;" m class:ArgumentParser +_define_absl_flags adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def _define_absl_flags(self, absl_flags):$/;" m class:ArgumentParser +_define_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _define_aliases(alias_d, cls=None):$/;" f +_define_function_with_shape_relaxation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _define_function_with_shape_relaxation(self, args, kwargs, flat_args,$/;" m class:Function +_define_guard adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _define_guard(type_name):$/;" f +_define_help_flags adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^def _define_help_flags():$/;" f +_define_help_flags_called adpepsenv/lib/python3.8/site-packages/absl/app.py /^_define_help_flags_called = False$/;" v +_define_help_flags_called adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^_define_help_flags_called = False$/;" v +_define_loss_with_saved_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def _define_loss_with_saved_state(self, model, features, mode):$/;" m class:FilteringOnlyStateManager +_define_loss_with_saved_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def _define_loss_with_saved_state(self, model, features, mode):$/;" m class:_OverridableStateManager +_define_reflectable adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^def _define_reflectable(orig_method_name):$/;" f +_define_torch_extension_name adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def _define_torch_extension_name(self, extension):$/;" m class:BuildExtension +_defun adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _defun(self, fn):$/;" m class:Function +_defun adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def _defun(self, fn):$/;" m class:EstimatorSpecFunction +_DefunWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^class _DefunWrapper(object):$/;" c +_DEFUN_API_NAME_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^_DEFUN_API_NAME_ATTRIBUTE = "api_implements"$/;" v +_defun_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _defun_call(self, inputs):$/;" m class:TensorFlowOpLayer +_DEFUN_DEVICE_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^_DEFUN_DEVICE_ATTRIBUTE = "api_preferred_device"$/;" v +_defun_gru_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def _defun_gru_call(self, inputs, initial_state, training, mask,$/;" m class:GRU +_defun_with_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _defun_with_scope(self, scope):$/;" m class:Function +_defun_with_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^ def _defun_with_scope(self, scope):$/;" m class:RestoredFunction +_def_function adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.eager import def_function as _def_function$/;" x +_def_inequality adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _def_inequality(prim, op):$/;" f +_def_passthrough adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _def_passthrough(prim, argnums=(0,)):$/;" f +_del2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _del2(p0, p1, d):$/;" f +_DelayedRewriteGradientFunctions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _DelayedRewriteGradientFunctions(object):$/;" c +_DELAY_SECS_PER_WORKER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_DELAY_SECS_PER_WORKER = 5$/;" v +_delegate adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _delegate(op):$/;" m class:IFDRational +_delegate_binop adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _delegate_binop(self, other):$/;" m class:MaskedArray +_delegate_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^def _delegate_method(keras_tensor_cls, method_name): # pylint: disable=invalid-name$/;" f +_delegate_property adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^def _delegate_property(keras_tensor_cls, property_name): # pylint: disable=invalid-name$/;" f +_DelegatingTrackableMixin adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class _DelegatingTrackableMixin(object):$/;" c +_Delete adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Delete(self, t):$/;" m class:Unparser +_DeleteExperimentIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _DeleteExperimentIntent(_Intent):$/;" c +_DELETEEXPERIMENTREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_DELETEEXPERIMENTREQUEST = _descriptor.Descriptor($/;" v +_DELETEEXPERIMENTRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_DELETEEXPERIMENTRESPONSE = _descriptor.Descriptor($/;" v +_DELETEOWNUSERREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_DELETEOWNUSERREQUEST = _descriptor.Descriptor($/;" v +_DELETEOWNUSERRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_DELETEOWNUSERRESPONSE = _descriptor.Descriptor($/;" v +_delete_aval_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _delete_aval_axes(aval, axes: AxisNamePos):$/;" f +_delete_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _delete_ckpt(self):$/;" m class:DatasetSerializationTestBase +_delete_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _delete_dispatcher(arr, obj, axis=None):$/;" f +_delete_example adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _delete_example(self, request):$/;" m class:WhatIfToolPlugin +_delete_file_if_exists adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def _delete_file_if_exists(filespec):$/;" f +_delete_parameter adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _delete_parameter(since, name, func=None, **kwargs):$/;" f +_delete_path adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _delete_path(path):$/;" m class:TestDBFileReader +_delete_path adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _delete_path(self, path):$/;" m class:easy_install +_delete_tmp_write_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _delete_tmp_write_dir(self):$/;" m class:TensorBoard +_delimited_splitter adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _delimited_splitter(self, line):$/;" m class:LineSplitter +_deliver adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _deliver(state, initial_connectivity, initial_callbacks):$/;" f +_deliveries adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _deliveries(state):$/;" f +_delta adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _delta(self, x, isend=0):$/;" m class:tzstr +_delta adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _delta(dtype: DType, shape: Shape, axes: Sequence[int]) -> Array:$/;" f +_del_cache_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _del_cache_value(self, key):$/;" m class:_CacheControl +_del_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _del_value(self, key):$/;" m class:ContentSecurityPolicy +_dendrogram_calculate_info adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _dendrogram_calculate_info(Z, p, truncate_mode,$/;" f +_DenseColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _DenseColumn(_FeatureColumn):$/;" c +_DenseCountSparseOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^_DenseCountSparseOutputOutput = collections.namedtuple($/;" v +_DenseReadResourceVariableProcessor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class _DenseReadResourceVariableProcessor(_OptimizableVariable):$/;" c +_DenseResourceVariableProcessor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class _DenseResourceVariableProcessor(_OptimizableVariable):$/;" c +_DENSESPLIT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_DENSESPLIT = _descriptor.Descriptor($/;" v +_DenseToCSRSparseMatrixGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _DenseToCSRSparseMatrixGrad(op, grad):$/;" f +_DenseToDenseSetOperationOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^_DenseToDenseSetOperationOutput = collections.namedtuple($/;" v +_DenseToRaggedDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^class _DenseToRaggedDataset(dataset_ops.UnaryDataset):$/;" c +_DenseToSparseBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^class _DenseToSparseBatchDataset(dataset_ops.UnaryDataset):$/;" c +_DenseToSparseSetOperationOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^_DenseToSparseSetOperationOutput = collections.namedtuple($/;" v +_dense_apply_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _dense_apply_args(self):$/;" m class:OptimizerV2 +_dense_difference adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _dense_difference(fun, x0, f0, h, use_one_sided, method):$/;" f +_dense_features_map adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^def _dense_features_map(draw, num_records, **kwargs):$/;" f +_dense_ftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def _dense_ftrl(alpha, beta, lambda1, lambda2, w, nz, g):$/;" m class:TestOperators +_dense_ftrl_send_alpha_by_input adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def _dense_ftrl_send_alpha_by_input(beta, lambda1, lambda2, w, nz, g, alpha):$/;" m class:TestOperators +_dense_gftrl adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def _dense_gftrl(alpha, beta, lambda1, lambda2, w, nz, g):$/;" m class:TestOperators +_dense_num_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def _dense_num_jac(fun, t, y, f, h, factor, y_scale):$/;" f +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def _dense_output_impl(self):$/;" m class:OdeSolver +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def _dense_output_impl(self):$/;" m class:BDF +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^ def _dense_output_impl(self):$/;" m class:LSODA +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def _dense_output_impl(self):$/;" m class:Radau +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _dense_output_impl(self):$/;" m class:DOP853 +_dense_output_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _dense_output_impl(self):$/;" m class:RungeKutta +_dense_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _dense_solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperator +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:PackedDistributedVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:PackedVarAndDevice +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:AggregatingVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:TPUVariableMixin +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:DistributedVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:MirroredVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:AutoCastVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _dense_var_to_tensor(self, dtype=None, name=None, as_ref=False):$/;" m class:BaseResourceVariable +_dense_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _dense_var_to_tensor(var, dtype=None, name=None, as_ref=False):$/;" f +_DENYLISTED_CATEGORICAL_COLUMNS_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_DENYLISTED_CATEGORICAL_COLUMNS_V2 = (fc_lib.HashedCategoricalColumn,$/;" v +_DENYLISTED_INFERENCE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_DENYLISTED_INFERENCE_OPS = set([$/;" v +_DENYLISTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^_DENYLISTED_OPS = set([$/;" v +_DENYLISTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_DENYLISTED_OPS = set([$/;" v +_Dependent adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Dependent(Constraint):$/;" c +_DependentProperty adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _DependentProperty(property, _Dependent):$/;" c +_depmsg adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^_depmsg = ("numpy.{name} is deprecated and will be removed from NumPy 1.20. "$/;" v +_Deprecate adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^class _Deprecate:$/;" c +_Deprecated adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def _Deprecated(name):$/;" f +_Deprecated adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def _Deprecated(func):$/;" f +_deprecated adpepsenv/lib/python3.8/site-packages/scipy/sparse/sparsetools.py /^def _deprecated():$/;" f +_deprecated adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^def _deprecated(msg, stacklevel=2):$/;" f +_DEPRECATED adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^_DEPRECATED = "_tf_docs_deprecated"$/;" v +_DeprecatedCmapDictWrapper adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^class _DeprecatedCmapDictWrapper(MutableMapping):$/;" c +_DeprecatedImport adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^from scipy._lib._util import DeprecatedImport as _DeprecatedImport$/;" x +_deprecated_config_handler adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _deprecated_config_handler(self, func, msg, warning_class):$/;" m class:ConfigHandler +_deprecated_ignore_map adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_deprecated_ignore_map = {$/;" v +_deprecated_imp adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^ def _deprecated_imp(*args, **kwargs):$/;" f function:deprecated.deprecate_decorator file: +_deprecated_map adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_deprecated_map = {}$/;" v +_deprecated_parameter adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^_deprecated_parameter = _deprecated_parameter_class()$/;" v +_deprecated_parameter_class adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^class _deprecated_parameter_class:$/;" c +_deprecated_property adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ class _deprecated_property(property):$/;" c function:deprecated.deprecate file: +_deprecated_remain_as_none adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_deprecated_remain_as_none = {$/;" v +_DEPRECATED_RESTORE_INSTRUCTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^_DEPRECATED_RESTORE_INSTRUCTIONS = ($/;" v +_Deprecated_Version adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ class _Deprecated_Version(str):$/;" c +_deprecate_argsort_axis adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _deprecate_argsort_axis(arr):$/;" f +_deprecate_as_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _deprecate_as_int(x, desc):$/;" f +_deprecate_case_insensitive_join_cap adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _deprecate_case_insensitive_join_cap(s):$/;" f +_deprecate_factor_none adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^def _deprecate_factor_none(factor):$/;" f +_deprecate_method_override adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _deprecate_method_override(method, obj, *, allow_empty=False, **kwargs):$/;" f +_deprecate_out_named_y adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def _deprecate_out_named_y(f):$/;" f +_deprecate_privatize_attribute adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^class _deprecate_privatize_attribute:$/;" c +_deprecate_shape_0_as_None adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^def _deprecate_shape_0_as_None(shape):$/;" f +_deprecation adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.util import deprecation as _deprecation$/;" x +_DeprecationHelperStr adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^class _DeprecationHelperStr(object):$/;" c +_DeprecationTestCase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class _DeprecationTestCase:$/;" c +_DEPRECATION_FOOTER adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_DEPRECATION_FOOTER = """$/;" v +_DEPRECATION_INSTRUCTION adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^_DEPRECATION_INSTRUCTION = ($/;" v +_deprecation_msg adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^_deprecation_msg = ($/;" v +_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def _depth(v, divisor=8, min_value=None):$/;" f +_DepthToSpaceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _DepthToSpaceGrad(op, grad):$/;" f +_DepthwiseConv2dNativeBackpropFilterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _DepthwiseConv2dNativeBackpropFilterGrad(op, grad):$/;" f +_DepthwiseConv2dNativeBackpropInputGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _DepthwiseConv2dNativeBackpropInputGrad(op, grad):$/;" f +_DepthwiseConv2dNativeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _DepthwiseConv2dNativeGrad(op, grad):$/;" f +_depthwise_conv_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet.py /^def _depthwise_conv_block(inputs,$/;" f +_dep_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _dep_map(self):$/;" m class:DistInfoDistribution +_dep_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _dep_map(self):$/;" m class:Distribution +_dep_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _dep_map(self):$/;" m class:DistInfoDistribution +_dep_map adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _dep_map(self):$/;" m class:Distribution +_dep_message adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^_dep_message = """The `*gegv` family of routines has been deprecated in$/;" v +_dequantize adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _dequantize(qx, scale, zero_point):$/;" f +_dequantize_tensor_list adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def _dequantize_tensor_list(t):$/;" f +_dequeue_return_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _dequeue_return_value(self, tensors):$/;" m class:QueueBase +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _der = staticmethod(chebder)$/;" v class:Chebyshev +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _der = staticmethod(hermder)$/;" v class:Hermite +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _der = staticmethod(hermeder)$/;" v class:HermiteE +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _der = staticmethod(lagder)$/;" v class:Laguerre +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _der = staticmethod(legder)$/;" v class:Legendre +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _der = staticmethod(polyder)$/;" v class:Polynomial +_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _der(c, m, scl):$/;" m class:ABCPolyBase +_derivative_inplace adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _derivative_inplace(self, nu, axis):$/;" m class:NdPPoly +_derive_abi adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def _derive_abi():$/;" f +_derive_session_group_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def _derive_session_group_name(trial_id, hparams):$/;" f +_descending_sort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^def _descending_sort(values, axis, return_argsort=False):$/;" f +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Ndescr$/;" v class:TestCreateValuesNestedMultiple +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Ndescr$/;" v class:TestCreateValuesNestedSingle +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Ndescr$/;" v class:TestCreateZerosNested +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Ndescr$/;" v class:TestReadValuesNestedMultiple +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Ndescr$/;" v class:TestReadValuesNestedSingle +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Pdescr$/;" v class:TestCreateValuesPlainMultiple +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Pdescr$/;" v class:TestCreateValuesPlainSingle +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Pdescr$/;" v class:TestCreateZerosPlain +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Pdescr$/;" v class:TestReadValuesPlainMultiple +_descr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numerictypes.py /^ _descr = Pdescr$/;" v class:TestReadValuesPlainSingle +_description adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ _description = cls.description$/;" v class:HTTPException.wrap.newcls +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_descriptor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^from google.protobuf import descriptor as _descriptor$/;" x +_DESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_DESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_DESCRIPTORPROTO_EXTENSIONRANGE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_DESCRIPTORPROTO_EXTENSIONRANGE = _descriptor.Descriptor($/;" v +_DESCRIPTORPROTO_RESERVEDRANGE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_DESCRIPTORPROTO_RESERVEDRANGE = _descriptor.Descriptor($/;" v +_DESCRIPTOR_KEY adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ _DESCRIPTOR_KEY = 'DESCRIPTOR'$/;" v class:GeneratedProtocolMessageType +_DESCRIPTOR_KEY adpepsenv/lib/python3.8/site-packages/google/protobuf/pyext/cpp_message.py /^ _DESCRIPTOR_KEY = 'DESCRIPTOR'$/;" v class:GeneratedProtocolMessageType +_DESCRIPTOR_KEY adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ _DESCRIPTOR_KEY = 'DESCRIPTOR'$/;" v class:GeneratedServiceStubType +_DESCRIPTOR_KEY adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ _DESCRIPTOR_KEY = 'DESCRIPTOR'$/;" v class:GeneratedServiceType +_desc_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^def _desc_stats(x1, x2, axis=0):$/;" f +_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _deserialize(self):$/;" m class:DistributedIteratorSpec +_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _deserialize(cls, serialization):$/;" m class:TypeSpec +_deserialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _deserialize(cls, serialization):$/;" m class:RowPartitionSpec +_DeserializeManySparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_DeserializeManySparseOutput = collections.namedtuple($/;" v +_DeserializeSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_DeserializeSparseOutput = collections.namedtuple($/;" v +_deserialize_function_spec_as_nonmethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _deserialize_function_spec_as_nonmethod(function_spec_proto, coder):$/;" f +_deserialize_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def _deserialize_keras_object(identifier,$/;" f +_deserialize_keras_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _deserialize_keras_tensor(t):$/;" f function:reconstruct_from_config._deserialize_keras_tensors file: +_deserialize_keras_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _deserialize_keras_tensors(kwargs, layer_map):$/;" f function:reconstruct_from_config file: +_deserialize_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def _deserialize_metric(metric_config):$/;" f +_deserialize_nested_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def _deserialize_nested_config(deserialize_fn, config):$/;" f +_design_notch_peak_filter adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _design_notch_peak_filter(w0, Q, ftype, fs=2.0):$/;" f +_destinsrc adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _destinsrc(src, dst):$/;" f +_destroyer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _destroyer():$/;" f member:CapturableResource._list_functions_for_serialization file: +_destroy_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _destroy_resource(self):$/;" m class:_RestoredResource +_destroy_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _destroy_resource(self, destroy_resource_fn):$/;" m class:_RestoredResource +_det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _det(input, name=None): # pylint:disable=redefined-builtin$/;" f +_details adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _details(state):$/;" f +_DetectStaticBatchSize adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _DetectStaticBatchSize(node_def):$/;" f member:TfTrtIntegrationTestBase._VerifyMaxBatchSizeAnnotations file: +_detect_bom adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def _detect_bom(input):$/;" f +_detect_bom adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def _detect_bom(input):$/;" f +_detect_nan_inf adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _detect_nan_inf(tensor):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_detect_pathlib_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _detect_pathlib_path(p):$/;" f +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _determinant(self):$/;" m class:LinearOperator +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _determinant(self):$/;" m class:LinearOperatorAdjoint +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _determinant(self):$/;" m class:LinearOperatorBlockDiag +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _determinant(self):$/;" m class:LinearOperatorBlockLowerTriangular +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _determinant(self):$/;" m class:_BaseLinearOperatorCirculant +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _determinant(self):$/;" m class:LinearOperatorComposition +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _determinant(self):$/;" m class:LinearOperatorDiag +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _determinant(self):$/;" m class:LinearOperatorHouseholder +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _determinant(self):$/;" m class:LinearOperatorIdentity +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _determinant(self):$/;" m class:LinearOperatorScaledIdentity +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _determinant(self):$/;" m class:LinearOperatorInversion +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _determinant(self):$/;" m class:LinearOperatorKronecker +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _determinant(self):$/;" m class:LinearOperatorLowerTriangular +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _determinant(self):$/;" m class:LinearOperatorLowRankUpdate +_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _determinant(self):$/;" m class:LinearOperatorZeros +_determine_aliased_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _determine_aliased_symbols(self, scope, node_defined_in):$/;" m class:ControlFlowTransformer +_determine_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _determine_anchor(self, mode, angle, start):$/;" m class:RadialTick +_determine_and_instrument_traced_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _determine_and_instrument_traced_tensors(self, graph_order,$/;" m class:TensorTracer +_determine_base_url adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _determine_base_url(document, page_url):$/;" f +_determine_conflicts adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def _determine_conflicts(self, to_install):$/;" m class:InstallCommand +_determine_deadline adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _determine_deadline(user_deadline):$/;" f +_determine_editor adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def _determine_editor(self, options):$/;" m class:ConfigurationCommand +_determine_error_states adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _determine_error_states():$/;" f +_determine_file adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def _determine_file(self, options, need_value):$/;" m class:ConfigurationCommand +_determine_is_active adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _determine_is_active(self):$/;" m class:ProjectorPlugin +_determine_karray adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _determine_karray(equivalent_sizes, appended_sizes,$/;" m class:HBoxDivider +_determine_mf_and_set_bands adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def _determine_mf_and_set_bands(self, has_jac):$/;" m class:vode +_determine_order adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _determine_order(totals):$/;" m class:List +_determine_trace_and_create_report adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _determine_trace_and_create_report(self, graph, ops_in_exec_path):$/;" m class:TensorTracer +_deterministic_dict_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _deterministic_dict_values(dictionary):$/;" f +_det_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _det_jvp(primals, tangents):$/;" f +_DEVICE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_DEVICE = _descriptor.Descriptor($/;" v +_DEVICE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_DEVICE = _descriptor.Descriptor($/;" v +_device adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^from .. import device as _device$/;" x +_device adpepsenv/lib/python3.8/site-packages/torch/types.py /^_device = torch.device$/;" v +_DeviceArray adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^class _DeviceArray(DeviceArray): # type: ignore$/;" c +_DEVICEASSIGNMENTPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_DEVICEASSIGNMENTPROTO = _descriptor.Descriptor($/;" v +_DEVICEASSIGNMENTPROTO_COMPUTATIONDEVICE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_DEVICEASSIGNMENTPROTO_COMPUTATIONDEVICE = _descriptor.Descriptor($/;" v +_DEVICEATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_DEVICEATTRIBUTES = _descriptor.Descriptor($/;" v +_DeviceAttributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _DeviceAttributes(object):$/;" c +_DEVICEHANDLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_DEVICEHANDLE = _descriptor.Descriptor($/;" v +_DEVICELOCALITY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_DEVICELOCALITY = _descriptor.Descriptor($/;" v +_DEVICEOPTION adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_DEVICEOPTION = _descriptor.Descriptor($/;" v +_devicePixelRatioF adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^def _devicePixelRatioF(obj):$/;" f +_DEVICEPROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^_DEVICEPROPERTIES = _descriptor.Descriptor($/;" v +_DEVICEPROPERTIES_ENVIRONMENTENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^_DEVICEPROPERTIES_ENVIRONMENTENTRY = _descriptor.Descriptor($/;" v +_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _devices(self):$/;" m class:DistributedValues +_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _devices(self):$/;" m class:Context +_DEVICESTEPSTATS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_DEVICESTEPSTATS = _descriptor.Descriptor($/;" v +_DEVICESTEPSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_DEVICESTEPSTATS = _descriptor.Descriptor($/;" v +_DEVICESTEPSTATS_THREADNAMESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_DEVICESTEPSTATS_THREADNAMESENTRY = _descriptor.Descriptor($/;" v +_DEVICESTEPSTATS_THREADNAMESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_DEVICESTEPSTATS_THREADNAMESENTRY = _descriptor.Descriptor($/;" v +_devices_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _devices_match(left, right):$/;" f +_DEVICES_TO_TEST adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_DEVICES_TO_TEST = {$/;" v +_DEVICETYPEPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_DEVICETYPEPROTO = _descriptor.EnumDescriptor($/;" v +_device_array_constant_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_array_constant_handler(c, val, canonicalize_types=True):$/;" f +_device_assignments adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _device_assignments(self):$/;" m class:Operation +_device_fn adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ _device_fn = lambda: jax.devices(device)[0] if device is not None else None$/;" f function:_make_device_put_harness file: +_device_from_arg_devices adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_from_arg_devices(devices: Sequence[Optional[Device]]) -> Optional[Device]:$/;" f +_device_functions_outer_to_inner adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _device_functions_outer_to_inner(self):$/;" m class:Graph +_device_function_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _device_function_stack(self):$/;" m class:Graph +_device_function_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _device_function_stack(self, device_function_stack):$/;" m class:Graph +_device_get adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _device_get(x):$/;" f +_DEVICE_NAME_FILTER_FLAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^_DEVICE_NAME_FILTER_FLAG = "device_name_filter"$/;" v +_DEVICE_NAME_PREFIX_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^_DEVICE_NAME_PREFIX_PATTERN = re.compile($/;" v +_device_options_no_hip adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^_device_options_no_hip = [cpu_do] + _cuda_do_list$/;" v +_device_parsing_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_device_parsing_cache = {}$/;" v +_device_pid adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _device_pid(device, pid):$/;" f function:bmuf_process file: +_device_put_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_put_array(x, device: Optional[Device]):$/;" f +_device_put_device_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_put_device_array(x: Union[DeviceArrayProtocol, _DeviceArray], device: Optional[Devic/;" f +_device_put_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_put_impl(x, device: Optional[Device] = None):$/;" f +_device_put_raw adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _device_put_raw(x):$/;" f +_device_put_replicated adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def _device_put_replicated(x) -> pxla.ShardedDeviceArray:$/;" f function:device_put_replicated file: +_device_put_scalar adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_put_scalar(x, device):$/;" f +_device_put_sharded adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def _device_put_sharded(*xs) -> pxla.ShardedDeviceArray:$/;" f function:device_put_sharded file: +_device_put_unit adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _device_put_unit(_, device):$/;" f +_DEVICE_RESOURCESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_DEVICE_RESOURCESENTRY = _descriptor.Descriptor($/;" v +_DEVICE_RESOURCESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_DEVICE_RESOURCESENTRY = _descriptor.Descriptor($/;" v +_device_stack_is_empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^def _device_stack_is_empty():$/;" f +_device_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _device_string(dev_spec):$/;" f +_device_t adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_device_t = Union[_device, str, int]$/;" v +_DEVICE_TYPE_CPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_DEVICE_TYPE_CPU = 'cpu'$/;" v +_DEVICE_TYPE_TPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_DEVICE_TYPE_TPU = 'tpu'$/;" v +_dev_options adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/ensure_cpu_output_op_test.py /^def _dev_options(draw):$/;" f +_de_casteljau1 adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^def _de_casteljau1(beta, t):$/;" f +_dfs_from_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _dfs_from_node(self,$/;" m class:DebugAnalyzer +_dhtm adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def _dhtm(mag):$/;" f +_DIAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_DIAG = "diag"$/;" v +_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _diag(v, k):$/;" f function:diag file: +_DiagGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _DiagGrad(_, grad):$/;" f +_DIAGNOSTICS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^_DIAGNOSTICS = _descriptor.Descriptor($/;" v +_diagonal_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _diagonal_dispatcher(a, offset=None, axis1=None, axis2=None):$/;" f +_DIAGONAL_FORMATS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^_DIAGONAL_FORMATS = frozenset({_COMPACT, _MATRIX, _SEQUENCE})$/;" v +_DiagPartGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _DiagPartGrad(_, grad):$/;" f +_diag_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _diag_dispatcher(v, k=None):$/;" f +_diag_indices_from adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def _diag_indices_from(arr):$/;" f +_DIAG_LIKE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_DIAG_LIKE = {_DIAG, _IDENTITY, _SCALED_IDENTITY}$/;" v +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _diag_part(self):$/;" m class:LinearOperator +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _diag_part($/;" f +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _diag_part(self):$/;" m class:LinearOperatorBlockDiag +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _diag_part(self):$/;" m class:LinearOperatorBlockLowerTriangular +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _diag_part(self):$/;" m class:_BaseLinearOperatorCirculant +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _diag_part(self):$/;" m class:LinearOperatorDiag +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _diag_part(self):$/;" m class:LinearOperatorHouseholder +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _diag_part(self):$/;" m class:LinearOperatorIdentity +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _diag_part(self):$/;" m class:LinearOperatorScaledIdentity +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _diag_part(self):$/;" m class:LinearOperatorKronecker +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _diag_part(self):$/;" m class:LinearOperatorPermutation +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _diag_part(self):$/;" m class:LinearOperatorToeplitz +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _diag_part(self):$/;" m class:LinearOperatorTridiag +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _diag_part(self):$/;" m class:LinearOperatorZeros +_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _diag_part(v, k):$/;" f function:diag file: +_dib_accept adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^def _dib_accept(prefix):$/;" f +_dib_save adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^def _dib_save(im, fp, filename):$/;" f +_Dict adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Dict(self, t):$/;" m class:Unparser +_DictAccessorProperty adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^class _DictAccessorProperty(object):$/;" c +_DictCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _DictCodec(object):$/;" c +_DictComp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _DictComp(self, t):$/;" m class:Unparser +_DictFetchMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _DictFetchMapper(_FetchMapper):$/;" c +_dictionary adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _dictionary(self):$/;" m class:Configuration +_dictlist adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^_dictlist = None$/;" v +_DICTVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_DICTVALUE = _descriptor.Descriptor($/;" v +_DICTVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_DICTVALUE = _descriptor.Descriptor($/;" v +_DICTVALUE_FIELDSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_DICTVALUE_FIELDSENTRY = _descriptor.Descriptor($/;" v +_DICTVALUE_FIELDSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_DICTVALUE_FIELDSENTRY = _descriptor.Descriptor($/;" v +_DictWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class _DictWrapper(TrackableDataStructure, wrapt.ObjectProxy):$/;" c +_dict_append adpepsenv/lib/python3.8/site-packages/numpy/distutils/core.py /^def _dict_append(d, **kws):$/;" f +_dict_keys adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ _dict_keys = ['package_dir', 'installed_pkg_config']$/;" v class:Configuration +_dict_new adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _dict_new(*args, **kwargs):$/;" f +_dict_to_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^def _dict_to_slice(x):$/;" f +_dict_to_str adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _dict_to_str(dictionary):$/;" f +_dict_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _dict_to_tensor(self, x, k):$/;" m class:ConvolutionOrthogonal1D +_dict_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _dict_to_tensor(self, x, k1, k2):$/;" m class:ConvolutionOrthogonal2D +_dict_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _dict_to_tensor(self, x, k1, k2, k3):$/;" m class:ConvolutionOrthogonal3D +_did_connect_to_cluster adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^_did_connect_to_cluster = False$/;" v +_die adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _die(message):$/;" f +_dierckx adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^from . import _fitpack as _dierckx$/;" x +_die_if_bad_experiment_description adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _die_if_bad_experiment_description(description):$/;" f +_die_if_bad_experiment_name adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _die_if_bad_experiment_name(name):$/;" f +_diff adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def _diff(rx, ry, vdt):$/;" f function:nulp_diff file: +_diff adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def _diff(first: Tuple[FunctionCount, ...], second: Tuple[FunctionCount, ...]) -> Tuple[Func/;" m class:CallgrindStats +_differentiable_outputs adpepsenv/lib/python3.8/site-packages/torch/autograd/gradcheck.py /^def _differentiable_outputs(x):$/;" f +_difftrap adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _difftrap(function, interval, numtraps):$/;" f +_diff_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _diff_dispatcher(a, n=None, axis=None, prepend=None, append=None):$/;" f +_diff_less_than_percentage adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _diff_less_than_percentage(self, actual, target, percentage):$/;" m class:IterationCountEstimator +_diff_methods adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_diff_methods = ["clip", "conj", "conjugate", "cumprod", "cumsum",$/;" v +_diff_pade3 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def _diff_pade3(A, E, ident):$/;" f +_diff_pade5 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def _diff_pade5(A, E, ident):$/;" f +_diff_pade7 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def _diff_pade7(A, E, ident):$/;" f +_diff_pade9 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^def _diff_pade9(A, E, ident):$/;" f +_DigammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _DigammaGrad(op, grad):$/;" f +_digammainv adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _digammainv(y):$/;" f +_digitize_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _digitize_dispatcher(x, bins, right=None):$/;" f +_dilate adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _dilate(operand, factors, fill_value=0):$/;" f +_dilate_shape adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dilate_shape(shape, dilation):$/;" f +_Dilation2DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Dilation2DGrad(op, grad):$/;" f +_dimensions_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _dimensions_compatible(nrows, nvals, uniform_row_length):$/;" m class:RowPartitionSpec +_DIMENSION_NAME adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^_DIMENSION_NAME = r'\\w+'$/;" v +_DIMENSION_NAME adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_DIMENSION_NAME = r'\\w+'$/;" v +_dimension_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _dimension_sizes(x):$/;" f +_dimension_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _dimension_tensor_conversion_function(d,$/;" f +_DIMENSION_TYPES adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^_DIMENSION_TYPES = core._DIMENSION_TYPES$/;" v +_DIMENSION_TYPES adpepsenv/lib/python3.8/site-packages/jax/core.py /^_DIMENSION_TYPES: Set[type] = {int}$/;" v +_dims_of_shape adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _dims_of_shape(shape):$/;" f +_dim_arange adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _dim_arange(g, like, dim):$/;" f +_dim_arange adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _dim_arange(g, like, dim):$/;" f +_dim_values_to_list adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def _dim_values_to_list(dim_values):$/;" f +_DirectedInterleaveDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^class _DirectedInterleaveDataset(dataset_ops.DatasetV2):$/;" c +_directional_evaluate adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _directional_evaluate(self, closure, x, t, d):$/;" m class:LBFGS +_direct_initialize_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _direct_initialize_inputs(cls, inputs, initialized, ws, device_option):$/;" m class:Caffe2Backend +_direct_initialize_parameters adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _direct_initialize_parameters(cls, initializer, ws, device_option):$/;" m class:Caffe2Backend +_dirichlet adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _dirichlet(key, alpha, shape, dtype):$/;" f +_Dirichlet adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^class _Dirichlet(Function):$/;" c +_Dirichlet_backward adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^def _Dirichlet_backward(x, concentration, grad_output):$/;" f +_dirichlet_check_input adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _dirichlet_check_input(alpha, x):$/;" f +_dirichlet_check_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _dirichlet_check_parameters(alpha):$/;" f +_dirichlet_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_dirichlet_doc_default_callparams = """\\$/;" v +_dirichlet_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_dirichlet_doc_frozen_callparams = ""$/;" v +_dirichlet_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_dirichlet_doc_frozen_callparams_note = \\$/;" v +_dirichlet_multinomial_sample_note adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^_dirichlet_multinomial_sample_note = """For each batch of counts,$/;" v +_dirichlet_sample_note adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^_dirichlet_sample_note = """Note: `value` must be a non-negative tensor with$/;" v +_DIRNAME_BLOBS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_DIRNAME_BLOBS = "blobs"$/;" v +_DIRNAME_TENSORS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_DIRNAME_TENSORS = "tensors"$/;" v +_dirty adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _dirty(self):$/;" m class:_DictWrapper +_disables_array_ufunc adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _disables_array_ufunc(obj):$/;" f +_disable_emit_hooks adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _disable_emit_hooks():$/;" f +_disable_emit_hooks_decorator adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _disable_emit_hooks_decorator(_DecoratorContextManager): # noqa: F811$/;" f +_DISABLE_LOWER_USING_SWITCH_MERGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^_DISABLE_LOWER_USING_SWITCH_MERGE = False$/;" v +_disable_script_meta adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ _disable_script_meta = True$/;" v class:RecursiveScriptModule +_disable_script_meta adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ _disable_script_meta = True$/;" v class:TracedModule +_disable_summary_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def _disable_summary_context():$/;" f +_disable_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _disable_test(execute_func):$/;" f +_DISALLOWED_CHAR_IN_DOMAIN adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_DISALLOWED_CHAR_IN_DOMAIN = re.compile(r"\\s")$/;" v +_DISALLOWED_MODULES adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^_DISALLOWED_MODULES = ['sys', 'io']$/;" v +_disallow_bool_casting adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _disallow_bool_casting(self):$/;" m class:Tensor +_disallow_inside_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _disallow_inside_tf_function(method_name):$/;" f +_disallow_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _disallow_in_graph_mode(self, task):$/;" m class:Tensor +_disallow_iteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _disallow_iteration(self):$/;" m class:Tensor +_disallow_remote_value_as_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _disallow_remote_value_as_input(structured):$/;" f +_disallow_undefs_into_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _disallow_undefs_into_loop(*values):$/;" f +_disallow_when_autograph_disabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _disallow_when_autograph_disabled(self, task):$/;" m class:Tensor +_disallow_when_autograph_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _disallow_when_autograph_enabled(self, task):$/;" m class:Tensor +_DisambiguateGradOpOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _DisambiguateGradOpOutput(self, grad_op, idx, cnt):$/;" m class:IR +_disassemble_key adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^def _disassemble_key(name):$/;" f +_DiscardUnknownFields adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _DiscardUnknownFields(self):$/;" f +_discovered_machar adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _discovered_machar(ftype):$/;" f +_DISCOVERY_SERVICE_URL_ENV_VARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_DISCOVERY_SERVICE_URL_ENV_VARIABLE = 'TPU_API_DISCOVERY_URL'$/;" v +_dispatch adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def _dispatch(self, net, record, lengths_blob, output_record):$/;" m class:GatherRecord +_dispatch adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ _dispatch = partial(_dispatch, _dtable)$/;" v class:Dvi +_dispatch adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _dispatch(table, min, max=None, state=None, args=('raw',)):$/;" f +_dispatch adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def _dispatch(func):$/;" f +_dispatch adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def _dispatch(dispatch_args, *args, **kw):$/;" f function:dispatch_on.gen_func_dec file: +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.util import dispatch as _dispatch$/;" x +_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def _dispatcher(x, out=None):$/;" f +_DISPATCHERCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^_DISPATCHERCONFIG = _descriptor.Descriptor($/;" v +_dispatch_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _dispatch_command(self, command):$/;" m class:CursesUI +_dispatch_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _dispatch_command(self, command):$/;" m class:ReadlineUI +_dispatch_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^class _dispatch_dtypes(tuple):$/;" c +_dispatch_kl adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _dispatch_kl(type_p, type_q):$/;" f +_display adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def _display(msg, paths):$/;" f member:UninstallPathSet._allowed_to_proceed file: +_display adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _display(port=None, height=None, print_message=False, display_handle=None):$/;" f +_displayhook adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^_displayhook = sys.displayhook$/;" v +_display_and_callback adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _display_and_callback(phase_one_n, x, postsolve_args, status,$/;" f +_display_as_base adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^def _display_as_base(cls):$/;" f +_display_candidates adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _display_candidates(self, candidates):$/;" m class:CursesUI +_display_cli adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _display_cli(port, height, display_handle):$/;" f +_display_colab adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _display_colab(port, height, display_handle):$/;" f +_display_ipython adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _display_ipython(port, height, display_handle):$/;" f +_display_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _display_iter(rho_p, rho_d, rho_g, alpha, rho_mu, obj, header=False):$/;" f +_display_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _display_iter(phase, iteration, slack, con, fun):$/;" f +_display_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _display_lines(self, output, min_num_rows):$/;" m class:CursesUI +_display_main_menu adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _display_main_menu(self, output):$/;" m class:CursesUI +_display_nav_bar adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _display_nav_bar(self):$/;" m class:CursesUI +_display_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _display_output(self, output, is_refresh=False, highlight_regex=None):$/;" m class:CursesUI +_display_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _display_output(self, screen_output):$/;" m class:ReadlineUI +_display_summary adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _display_summary(message, status, fun, iteration):$/;" f +_distance_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _distance_graph(cls, inputs, clusters, distance_metric):$/;" m class:KMeans +_distname_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ _distname_re = re.compile('<a href=[^>]*>([^<]+)<')$/;" v class:SimpleScrapingLocator +_distn_gen_names adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^_distn_names, _distn_gen_names = get_distribution_names(pairs, rv_continuous)$/;" v +_distn_gen_names adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^_distn_names, _distn_gen_names = get_distribution_names(pairs, rv_discrete)$/;" v +_distn_names adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^_distn_names, _distn_gen_names = get_distribution_names(pairs, rv_continuous)$/;" v +_distn_names adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^_distn_names, _distn_gen_names = get_distribution_names(pairs, rv_discrete)$/;" v +_distr2_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class _distr2_gen(stats.rv_continuous):$/;" c +_distr3_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class _distr3_gen(stats.rv_continuous):$/;" c +_distr6_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class _distr6_gen(stats.rv_continuous):$/;" c +_distribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def _distribute(processing_mode,$/;" f +_DistributedVariableSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class _DistributedVariableSaveable(saveable_object.SaveableObject):$/;" c +_distributed_apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _distributed_apply(self, distribution, grads_and_vars, name, apply_state):$/;" m class:OptimizerV2 +_distributed_apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _distributed_apply(self,$/;" m class:MixedPrecisionLossScaleOptimizer +_distributed_apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _distributed_apply(self,$/;" m class:Optimizer +_distributed_broadcast_coalesced adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _distributed_broadcast_coalesced($/;" m class:DistributedDataParallel +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:CollectiveAllReduceExtended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:StrategyExtendedV2 +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:_DefaultDistributionExtended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:MirroredExtended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:OneDeviceExtended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:ParameterServerStrategyExtended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:ParameterServerStrategyV2Extended +_distribute_datasets_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _distribute_datasets_from_function(self, dataset_fn, options):$/;" m class:TPUExtended +_distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _distribute_strategy(self):$/;" m class:PartitionedVariable +_distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _distribute_strategy(self):$/;" m class:RefVariable +_distribute_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _distribute_strategy(self):$/;" m class:TPUShardedVariable +_distribute_summary_op_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.distribute import summary_op_util as _distribute_summary_op_util$/;" x +_Distribution adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^_Distribution = get_unpatched(distutils.core.Distribution)$/;" v +_distributionImpl adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_distributionImpl = {$/;" v +_distributionImpl adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_distributionImpl = {$/;" v +_DistributionMeta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^class _DistributionMeta(abc.ABCMeta):$/;" c +_DISTRIBUTIONS adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^_DISTRIBUTIONS = ($/;" v +_DistributionStrategyRnnModelCorrectnessTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^class _DistributionStrategyRnnModelCorrectnessTest($/;" c +_distribution_finders adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_distribution_finders = None$/;" v +_distribution_finders adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_distribution_finders = None$/;" v +_DISTRIBUTION_PUBLIC_METHOD_WRAPPERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^_DISTRIBUTION_PUBLIC_METHOD_WRAPPERS = [$/;" v +_distribution_standardize_user_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _distribution_standardize_user_data(self,$/;" m class:Model +_distribution_strategy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _distribution_strategy_scope(self):$/;" m class:OptimizerV2 +_distribution_strategy_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _distribution_strategy_stack(self):$/;" m class:Graph +_distribution_strategy_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _distribution_strategy_stack(self, _distribution_strategy_stack):$/;" m class:Graph +_distro adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_distro = LinuxDistribution()$/;" v +_DISTRO_RELEASE_BASENAME_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_DISTRO_RELEASE_BASENAME_PATTERN = re.compile($/;" v +_DISTRO_RELEASE_CONTENT_REVERSED_PATTERN adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_DISTRO_RELEASE_CONTENT_REVERSED_PATTERN = re.compile($/;" v +_DISTRO_RELEASE_IGNORE_BASENAMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_DISTRO_RELEASE_IGNORE_BASENAMES = ($/;" v +_distro_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _distro_release_info(self):$/;" m class:LinuxDistribution +_distr_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _distr_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.test_extra_kwarg file: +_distr_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^class _distr_gen(stats.rv_continuous):$/;" c +_DistTestBase adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ class _DistTestBase:$/;" c class:DistributedTest +_distutils adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import distutils as _distutils$/;" I +_distutils adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import distutils as _distutils$/;" I +_distutils_gen_lib_options adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^_distutils_gen_lib_options = gen_lib_options$/;" v +_distutils_getopt adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/distutils_args.py /^_distutils_getopt = FancyGetopt(_options) # type: ignore$/;" v +_distutils_new_compiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^_distutils_new_compiler = new_compiler$/;" v +_DISTUTILS_UNSUPPORTED_METADATA adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ _DISTUTILS_UNSUPPORTED_METADATA = {$/;" v class:Distribution +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.shapes_empty_string file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.test_shapes_signature file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.test_shapes_signature_inconsistent file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.test_star_args file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingExplicitShapes.test_star_args_2 file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingNoShapes.test_defaults_raise file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingNoShapes.test_kwargs_raise file: +_dist_gen adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ class _dist_gen(stats.rv_continuous):$/;" c member:TestSubclassingNoShapes.test_starargs_raise file: +_dist_info_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def _dist_info_files(whl_zip):$/;" f +_dist_path adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def _dist_path(self, path):$/;" m class:bdist_rpm +_div adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _div(lhs, rhs):$/;" f +_div adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _div(tree, scalar):$/;" f +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _div = staticmethod(chebdiv)$/;" v class:Chebyshev +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _div = staticmethod(hermdiv)$/;" v class:Hermite +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _div = staticmethod(hermediv)$/;" v class:HermiteE +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _div = staticmethod(lagdiv)$/;" v class:Laguerre +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _div = staticmethod(legdiv)$/;" v class:Legendre +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _div = staticmethod(polydiv)$/;" v class:Polynomial +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _div(mul_f, c1, c2):$/;" f +_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _div(c1, c2):$/;" m class:ABCPolyBase +_div2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _div2(x, y):$/;" f +_DIVERGENCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^_DIVERGENCES = {}$/;" v +_DivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _DivGrad(op, grad):$/;" f +_divide adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _divide(self, other, true_divide=False, rdivide=False):$/;" m class:spmatrix +_divide_by_count adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _divide_by_count(a, b, out=None):$/;" f +_divide_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _divide_sparse(self, other):$/;" m class:_cs_matrix +_division adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from __future__ import division as _division$/;" x +_division adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from __future__ import division as _division$/;" x +_divmod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_divmod = builtins.divmod$/;" v +_DivNoNanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _DivNoNanGrad(op, grad):$/;" f +_div_python2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _div_python2(x, y, name=None):$/;" f +_div_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _div_taylor_rule(primals_in, series_in):$/;" f +_div_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _div_transpose_rule(cotangent, x, y):$/;" f +_dl_flags adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ import DLFCN as _dl_flags # type: ignore$/;" I +_dl_flags adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ import torch._dl as _dl_flags # type: ignore$/;" I +_dl_flags adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^ import os as _dl_flags$/;" I +_DNNModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class _DNNModel(training.Model):$/;" c +_DNNModelV2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^class _DNNModelV2(training.Model):$/;" c +_DNN_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^_DNN_LEARNING_RATE = 0.001$/;" v +_dnn_linear_combined_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _dnn_linear_combined_model_fn(features,$/;" f +_dnn_linear_combined_model_fn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _dnn_linear_combined_model_fn_v2($/;" f +_dnn_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _dnn_model_fn(features,$/;" f +_dnn_model_fn_builder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _dnn_model_fn_builder_v2(units, hidden_units, feature_columns,$/;" f +_dnsname_match adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def _dnsname_match(dn, hostname, max_wildcards=1):$/;" f +_dnsname_match adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^def _dnsname_match(dn, hostname, max_wildcards=1):$/;" f +_dnsname_match adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def _dnsname_match(dn, hostname, max_wildcards=1):$/;" f +_dnsname_match adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^def _dnsname_match(dn, hostname, max_wildcards=1):$/;" f +_dnsname_to_stdlib adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def _dnsname_to_stdlib(name):$/;" f +_dnsname_to_stdlib adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def _dnsname_to_stdlib(name):$/;" f +_doc adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^ def _doc(func):$/;" f function:extend_notes_in_docstring file: +_doc adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^ def _doc(func):$/;" f function:inherit_docstring_from file: +_doc adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^ def _doc(func):$/;" f function:replace_notes_in_docstring file: +_docstringgradient adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ _docstringgradient = r"""$/;" v class:TriInterpolator +_docstring__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ _docstring__call__ = """$/;" v class:TriInterpolator +_doc_allmethods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_allmethods = ''.join([docdict_discrete[obj] for obj in _doc_disc_methods])$/;" v +_doc_allmethods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_allmethods = ''.join([docheaders['methods'], _doc_rvs, _doc_pdf,$/;" v +_doc_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_cdf = """\\$/;" v +_doc_default adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default = ''.join([_doc_default_longsummary,$/;" v +_doc_default_before_notes adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_before_notes = ''.join([docdict_discrete['longsummary'],$/;" v +_doc_default_before_notes adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_before_notes = ''.join([_doc_default_longsummary,$/;" v +_doc_default_disc adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_disc = ''.join([docdict_discrete['longsummary'],$/;" v +_doc_default_discrete_example adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_discrete_example = """\\$/;" v +_doc_default_discrete_locscale adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_discrete_locscale = """\\$/;" v +_doc_default_example adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_example = """\\$/;" v +_doc_default_frozen_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_frozen_note = """$/;" v +_doc_default_locscale adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_locscale = """\\$/;" v +_doc_default_longsummary adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_default_longsummary = """\\$/;" v +_doc_disc_methods adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_disc_methods = ['rvs', 'pmf', 'logpmf', 'cdf', 'logcdf', 'sf', 'logsf',$/;" v +_doc_disc_methods_err_varname adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_disc_methods_err_varname = ['cdf', 'logcdf', 'sf', 'logsf']$/;" v +_doc_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_entropy = """\\$/;" v +_doc_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_expect = """\\$/;" v +_doc_expect_discrete adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_expect_discrete = """\\$/;" v +_doc_fit adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_fit = """\\$/;" v +_doc_interval adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_interval = """\\$/;" v +_doc_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_isf = """\\$/;" v +_doc_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_logcdf = """\\$/;" v +_doc_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_logpdf = """\\$/;" v +_doc_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_logpmf = """\\$/;" v +_doc_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_logsf = """\\$/;" v +_doc_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_mean = """\\$/;" v +_doc_median adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_median = """\\$/;" v +_doc_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_moment = """\\$/;" v +_doc_parts adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^_doc_parts = dict($/;" v +_doc_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_pdf = """\\$/;" v +_doc_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_pmf = """\\$/;" v +_doc_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_ppf = """\\$/;" v +_DOC_PRIVATE adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^_DOC_PRIVATE = "_tf_docs_doc_private"$/;" v +_doc_random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_doc_random_state = """\\$/;" v +_doc_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_rvs = """\\$/;" v +_doc_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_sf = """\\$/;" v +_doc_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_stats = """\\$/;" v +_doc_std adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_std = """\\$/;" v +_doc_var adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^_doc_var = """\\$/;" v +_DOF_estimator adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _DOF_estimator:$/;" c +_DOF_estimator_geom adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _DOF_estimator_geom(_DOF_estimator):$/;" c +_DOF_estimator_min_E adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _DOF_estimator_min_E(_DOF_estimator_geom):$/;" c +_DOF_estimator_user adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _DOF_estimator_user(_DOF_estimator):$/;" c +_doing_dynamic_loss_scaling adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _doing_dynamic_loss_scaling(self):$/;" m class:MixedPrecisionLossScaleOptimizer +_DomainCheckInterval adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainCheckInterval:$/;" c +_DomainedBinaryOperation adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainedBinaryOperation(_MaskedUFunc):$/;" c +_DomainGreater adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainGreater:$/;" c +_DomainGreaterEqual adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainGreaterEqual:$/;" c +_DomainSafeDivide adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainSafeDivide:$/;" c +_DomainTan adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _DomainTan:$/;" c +_domain_dimension_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _domain_dimension_tensor(self, shape=None):$/;" m class:LinearOperator +_domain_dimension_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _domain_dimension_tensor(self, perm=None):$/;" m class:LinearOperatorPermutation +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f function:_consume_request_iterator.consume_request_iterator file: +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f member:_MultiThreadedRendezvous.code file: +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f member:_MultiThreadedRendezvous.debug_error_string file: +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f member:_MultiThreadedRendezvous.details file: +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f member:_MultiThreadedRendezvous.initial_metadata file: +_done adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _done():$/;" f member:_MultiThreadedRendezvous.trailing_metadata file: +_DONE_CALLBACK_EXCEPTION_LOG_MESSAGE adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^_DONE_CALLBACK_EXCEPTION_LOG_MESSAGE = ($/;" v +_DONE_CALLBACK_EXCEPTION_LOG_MESSAGE adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^_DONE_CALLBACK_EXCEPTION_LOG_MESSAGE = ($/;" v +_done_cb adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^def _done_cb(new_future, future, on_success=None, on_failure=None):$/;" f +_done_writing adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _done_writing(self) -> None:$/;" m class:_StreamRequestMixin +_done_writing_flag adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def _done_writing_flag(self) -> bool:$/;" m class:StreamStreamCallResponseIterator +_dop_int_dtype adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^_dop_int_dtype = _dop.types.intvar.dtype$/;" v +_dot adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^_dot = partial(jnp.dot, precision=lax.Precision.HIGHEST)$/;" v +_dot adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_dot = partial(jnp.dot, precision=lax.Precision.HIGHEST)$/;" v +_DOT adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_DOT = _DotString()$/;" v +_DOTDIMENSIONNUMBERS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_DOTDIMENSIONNUMBERS = _descriptor.Descriptor($/;" v +_DotString adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^class _DotString(object):$/;" c +_dots_per_unit adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _dots_per_unit(self, units):$/;" m class:Quiver +_dot_general adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _dot_general(lhs, rhs, dimension_numbers, precision, preferred_element_type):$/;" f +_dot_general_batch_dim_nums adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_batch_dim_nums(ndims, batch_dims, dimension_numbers):$/;" f +_dot_general_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_batch_rule(batched_args, batch_dims, *, dimension_numbers,$/;" f +_dot_general_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_dtype_rule(lhs, rhs, *, dimension_numbers, precision,$/;" f +_dot_general_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_masking_rule(padded_vals, logical_shapes, *, dimension_numbers,$/;" f +_dot_general_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_shape_rule(lhs, rhs, *, dimension_numbers, precision,$/;" f +_dot_general_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_translation_rule(c, lhs, rhs, *, dimension_numbers, precision,$/;" f +_dot_general_transpose_lhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_transpose_lhs(g, y, *, dimension_numbers, precision,$/;" f +_dot_general_transpose_rhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_general_transpose_rhs(g, x, *, dimension_numbers, precision,$/;" f +_dot_tree adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_dot_tree = partial(tree_multimap, _dot)$/;" v +_dot_using_sum_of_products adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dot_using_sum_of_products(lhs, rhs, *, dimension_numbers):$/;" f +_DoubleDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _DoubleDecoder():$/;" f +_DoubleDouble adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^class _DoubleDouble:$/;" c +_DOUBLEVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_DOUBLEVALUE = _descriptor.Descriptor($/;" v +_double_factorial adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _double_factorial(n):$/;" f +_double_factorial adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def _double_factorial(n):$/;" f +_double_precision adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^_double_precision = ['i', 'l', 'd']$/;" v +_double_precision adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^_double_precision = ['i', 'l', 'd']$/;" v +_double_sided_maxwell adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _double_sided_maxwell(key, loc, scale, shape, dtype):$/;" f +_doubly_stochastic adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^def _doubly_stochastic(P, tol=1e-3):$/;" f +_doubly_stochastic adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _doubly_stochastic(P, tol=1e-3):$/;" f +_down adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _down(self, a):$/;" m class:Dvi +_download adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ def _download(self, model):$/;" m class:ModelDownloader +_download adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def _download(self, start, end):$/;" m class:LazyZipOverHTTP +_download_blob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _download_blob(self, blob_id, experiment_id):$/;" m class:TensorBoardExporter +_download_git adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_git(self, url, filename):$/;" m class:PackageIndex +_download_hg adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_hg(self, url, filename):$/;" m class:PackageIndex +_download_html adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_html(self, url, headers, filename):$/;" m class:PackageIndex +_download_http_url adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def _download_http_url($/;" f +_download_onnx_model adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^def _download_onnx_model(model_name, opset_version):$/;" f +_download_should_save adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def _download_should_save(self):$/;" m class:RequirementPreparer +_download_svn adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_svn(self, url, filename):$/;" m class:PackageIndex +_download_to adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_to(self, url, filename):$/;" m class:PackageIndex +_download_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _download_url(self, scheme, url, tmpdir):$/;" m class:PackageIndex +_download_url_to_file adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _download_url_to_file(url, dst, hash_prefix=None, progress=True):$/;" f +_downsample adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _downsample(xs, k):$/;" f +_downsample adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^def _downsample(rng, xs, k):$/;" f +_down_y adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _down_y(self, new_y):$/;" m class:Dvi +_down_z adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _down_z(self, new_z):$/;" m class:Dvi +_do_add_test adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def _do_add_test(self, add):$/;" m class:TestMaskedConstant +_do_backward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def _do_backward(self, gradients, retain_variables):$/;" m class:NestedIOFunction +_do_batch_all_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _do_batch_all_reduce(self, reduce_op, dense_values):$/;" m class:AllReduceCrossDeviceOps +_do_batch_all_reduce_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _do_batch_all_reduce_dense(self, reduce_op, per_replica_values, options):$/;" m class:CollectiveAllReduce +_do_batch_all_reduce_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _do_batch_all_reduce_sparse(self, reduce_op, per_replica_values, options):$/;" m class:CollectiveAllReduce +_do_batch_all_reduce_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _do_batch_all_reduce_sparse(self, reduce_op, sparse_values):$/;" m class:AllReduceCrossDeviceOps +_do_build adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/build.py /^def _do_build(hooks, env, dist, dest):$/;" f +_do_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _do_call(self, fn, *args):$/;" m class:BaseSession +_do_cell_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _do_cell_alignment(self):$/;" m class:Table +_do_close adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _do_close(self):$/;" m class:Session +_do_cuda_memory_leak_check adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ _do_cuda_memory_leak_check = True$/;" v class:CUDATestBase +_do_cuda_memory_leak_check adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ _do_cuda_memory_leak_check = False$/;" v class:TestCase +_do_cuda_memory_leak_check adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ _do_cuda_memory_leak_check = True$/;" v class:JitTestCase +_do_cuda_non_default_stream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ _do_cuda_non_default_stream = True$/;" v class:CUDATestBase +_do_cuda_non_default_stream adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ _do_cuda_non_default_stream = False$/;" v class:TestCase +_do_extrapolate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^def _do_extrapolate(fill_value):$/;" f +_do_find_and_load adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _do_find_and_load(self, name):$/;" m class:PackageImporter +_do_forward adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def _do_forward(self, *input):$/;" m class:NestedIOFunction +_do_init adpepsenv/lib/python3.8/site-packages/numpy/core/machar.py /^ def _do_init(self, float_conv, int_conv, float_to_float, float_to_str, title):$/;" m class:MachAr +_do_instantiate_remote_module_template adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^def _do_instantiate_remote_module_template(generated_module_name, str_dict):$/;" f +_do_layout adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _do_layout(gs, layout, unique_ids, nested):$/;" f member:Figure.subplot_mosaic file: +_do_not_descend adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def _do_not_descend(self, path, name):$/;" m class:PublicAPIVisitor +_DO_NOT_DOC adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^_DO_NOT_DOC = "_tf_docs_do_not_document"$/;" v +_DO_NOT_DOC_INHERITABLE adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^_DO_NOT_DOC_INHERITABLE = "_tf_docs_do_not_doc_inheritable"$/;" v +_do_op_sanity_check_and_process adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _do_op_sanity_check_and_process(op):$/;" f +_do_problem adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _do_problem(self, problem):$/;" m class:TestOdeint +_do_problem adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _do_problem(self, problem, integrator, method='adams'):$/;" m class:TestODEClass +_do_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _do_run(self, handle, target_list, fetch_list, feed_dict, options,$/;" m class:BaseSession +_do_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _do_step(x, y, z, tau, kappa, d_x, d_y, d_z, d_tau, d_kappa, alpha):$/;" f +_do_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _do_test(self, test_case, module, input):$/;" m class:NewModuleTest +_do_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def _do_test(self, ddp_mode, simulate_uneven_inputs=False):$/;" m class:DdpUnderDistAutogradTest +_do_transform_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _do_transform_node(self, node):$/;" m class:AnfTransformer +_do_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _do_update():$/;" f function:BatchNormalizationBase._renorm_correction_and_moments._update_renorm_variable file: +_do_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _do_update(var, value):$/;" f member:BatchNormalizationBase.call file: +_do_upgrade adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _do_upgrade(self):$/;" m class:StringConverter +_dpi_ratio adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _dpi_ratio(self):$/;" m class:FigureCanvasQT +_dpow adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _dpow(x, y, n):$/;" f +_dp_calc_legs adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _dp_calc_legs(g, all_tensors, s, inputs, i1_cut_i2_wo_output, i1_union_i2):$/;" f +_dp_compare_flops adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _dp_compare_flops(cost1, cost2, i1_union_i2, size_dict, cost_cap, s1, s2, xn, g, all_tensors/;" f +_dp_compare_size adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _dp_compare_size(cost1, cost2, i1_union_i2, size_dict, cost_cap, s1, s2, xn, g, all_tensors,/;" f +_dp_parse_out_single_term_ops adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _dp_parse_out_single_term_ops(inputs, all_inds, ind_counts):$/;" f +_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def _draw(self):$/;" m class:FigureCanvasMac +_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _draw(renderer): raise Done(renderer)$/;" f function:_get_renderer file: +_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _draw(self):$/;" m class:NavigationToolbar2 +_drawStyles_l adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ _drawStyles_l = {$/;" v class:Line2D +_drawStyles_s adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ _drawStyles_s = {$/;" v class:Line2D +_draw_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _draw_bbox(self, renderer, posx, posy):$/;" m class:Text +_draw_disabled adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _draw_disabled(self):$/;" m class:RendererBase +_draw_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _draw_frame(self, artists):$/;" m class:ArtistAnimation +_draw_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _draw_frame(self, framedata):$/;" m class:Animation +_draw_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _draw_frame(self, framedata):$/;" m class:FuncAnimation +_draw_idle adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _draw_idle(self):$/;" m class:FigureCanvasQT +_draw_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_label(self, renderer):$/;" m class:AxisArtist +_draw_label2 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_label2(self, renderer):$/;" m class:AxisArtist +_draw_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_line(self, renderer):$/;" m class:AxisArtist +_draw_list_compositing_images adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def _draw_list_compositing_images($/;" f +_draw_mathtext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def _draw_mathtext(self, gc, x, y, s, prop, angle):$/;" m class:RendererCairo +_draw_nets adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def _draw_nets(nets, g):$/;" f +_draw_next_frame adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _draw_next_frame(self, framedata, blit):$/;" m class:Animation +_draw_offsetText adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_offsetText(self, renderer):$/;" m class:AxisArtist +_draw_polygon adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _draw_polygon(self):$/;" m class:PolygonSelector +_draw_ps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _draw_ps(self, ps, gc, rgbFace, fill=True, stroke=True, command=None):$/;" m class:RendererPS +_draw_rect_callback adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _draw_rect_callback(painter):$/;" f member:FigureCanvasQT.drawRectangle file: +_draw_rubberband adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _draw_rubberband(self, rect):$/;" m class:FigureCanvasGTK3 +_draw_single_box adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def _draw_single_box(image, xmin, ymin, xmax, ymax, display_str, color='black', color_text='blac/;" f +_draw_steps adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def _draw_steps(steps, g, skip_step_edges=False): # noqa$/;" f +_draw_text_as_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _draw_text_as_path(self, gc, x, y, s, prop, angle, ismath, mtext=None):$/;" m class:RendererSVG +_draw_text_as_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _draw_text_as_path(self, gc, x, y, s, prop, angle, ismath):$/;" m class:RendererBase +_draw_text_as_path adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def _draw_text_as_path(self, gc, x, y, s, prop, angle, ismath):$/;" m class:PathEffectRenderer +_draw_text_as_text adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _draw_text_as_text(self, gc, x, y, s, prop, angle, ismath, mtext=None):$/;" m class:RendererSVG +_draw_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_ticks(self, renderer):$/;" m class:AxisArtist +_draw_ticks2 adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _draw_ticks2(self, renderer):$/;" m class:AxisArtist +_drivers adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^_drivers = {$/;" v +_drop adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def _drop(self):$/;" m class:WrappedSocket +_drop adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _drop(self):$/;" m class:WrappedSocket +_drop adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def _drop(self):$/;" m class:WrappedSocket +_drop adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _drop(self):$/;" m class:WrappedSocket +_dropFloatingPoint adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def _dropFloatingPoint(m, encbase, e):$/;" m class:RealEncoder +_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _dropout(self,$/;" m class:DropoutWrapperBase +_DropoutNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^class _DropoutNd(Module):$/;" c +_dropout_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _dropout_transformer(parent, node, full_name, name, logs):$/;" f +_dropsub_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _dropsub_symbols = set(r'''\\int \\oint'''.split())$/;" v class:Parser +_drop_descr adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^ def _drop_descr(ndtype, drop_names):$/;" f function:drop_fields file: +_drop_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _drop_fields_dispatcher(base, drop_names, usemask=None, asrecarray=None):$/;" f +_drop_invars adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _drop_invars(jaxpr: Jaxpr, drop: Tuple[bool, ...]):$/;" f +_drotation adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_drotation = {20: 0, 40: 45, np.inf: 90}$/;" v +_drotationsortedkeys adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_drotationsortedkeys = list(_drotation.keys())$/;" v +_drv2_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _drv2_moment(self, n, *args):$/;" f +_drv2_ppfsingle adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _drv2_ppfsingle(self, q, *args): # Use basic bisection algorithm$/;" f +_dstack_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _dstack_dispatcher(tup):$/;" f +_dst_base_offset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _dst_base_offset(self):$/;" m class:tzrange +_dst_base_offset adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def _dst_base_offset(self):$/;" m class:tzwinbase +_dst_base_offset adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _dst_base_offset(self):$/;" m class:tzrangebase +_dt64_to_ordinalf adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def _dt64_to_ordinalf(d):$/;" f +_dtable adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ _dtable = [None] * 256$/;" v class:Dvi +_dtextsizes adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_dtextsizes = {20: 12, 30: 10, 50: 8, 85: 6, np.inf: 5}$/;" v +_dtextsortedkeys adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_dtextsortedkeys = list(_dtextsizes.keys())$/;" v +_dtype adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _dtype(x):$/;" f +_dtype adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _dtype(x):$/;" f +_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_dtype: Callable = dtypes.result_type$/;" v +_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_dtype = dtypes.result_type$/;" v +_dtype adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ integer, ndarray, dtype as _dtype, deprecate, array, frombuffer$/;" x +_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _dtype(self):$/;" m class:Layer +_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _dtype(self, value):$/;" m class:Layer +_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _dtype(self):$/;" m class:Layer +_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _dtype(self, value):$/;" m class:Layer +_dtype adpepsenv/lib/python3.8/site-packages/torch/types.py /^_dtype = torch.dtype$/;" v +_dtypeortype adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _dtypeortype(cls, dtype):$/;" m class:StringConverter +_dtypes adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def _dtypes(dtypes=None):$/;" f +_dtypes adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^from . import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.framework import dtypes as _dtypes$/;" x +_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _dtypes(tensor_list_list):$/;" f +_DTYPES_INTERN_TABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_DTYPES_INTERN_TABLE = dtypes._INTERN_TABLE$/;" v +_DTYPES_TO_TEST adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_DTYPES_TO_TEST = {$/;" v +_dtype_conversion adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/boolean_mask_test.py /^ def _dtype_conversion(x, dtype, gc, dc):$/;" m class:TestBooleanMaskOp +_DTYPE_ERROR adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^_DTYPE_ERROR = ValueError("invalid input dtype (input must be float64 or complex128)")$/;" v +_dtype_from_pep3118 adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _dtype_from_pep3118(spec):$/;" f +_DTYPE_STR_TO_DTYPE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_DTYPE_STR_TO_DTYPE = {$/;" v +_dtype_to_32bit_dtype adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^_dtype_to_32bit_dtype = {$/;" v +_dtype_to_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _dtype_to_spec(d):$/;" f +_dtype_to_storage adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^_dtype_to_storage = {$/;" v +_DTYPE_TO_STR adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^_DTYPE_TO_STR = {$/;" v +_dt_ adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^_dt_ = nt.sctype2char$/;" v +_dt_dict adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _dt_dict(self):$/;" m class:LinearTimeInvariant +_dummy adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _dummy(*args, **kwds):$/;" f +_Dummy adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^class _Dummy(unittest.TestCase):$/;" c +_DummyAxis adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class _DummyAxis:$/;" c +_DummyEagerGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^class _DummyEagerGraph(threading.local):$/;" c +_DummyException adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^class _DummyException(Exception):$/;" c +_DummyPngImage adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^_DummyPngImage = ($/;" v +_dummy_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_operators.py /^def _dummy_bool(_):$/;" f +_DUMMY_EAGER_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_DUMMY_EAGER_GRAPH = _DummyEagerGraph()$/;" v +_dummy_generator adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _dummy_generator(self):$/;" m class:UploadTracker +_dummy_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^_dummy_module = types.ModuleType(str('__dummy__'))$/;" v +_dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _dummy_name = C.DummyName()$/;" v class:Caffe2Backend +_dummy_name adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _dummy_name = C.DummyName()$/;" v class:Caffe2Frontend +_DUMMY_NODE_ID adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_DUMMY_NODE_ID = -1$/;" v +_DUMMY_NUM_BUCKETS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_DUMMY_NUM_BUCKETS = -1$/;" v +_dummy_tensor_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _dummy_tensor_fn(value_structure):$/;" f +_dummy_type adpepsenv/lib/python3.8/site-packages/torch/cuda/_utils.py /^def _dummy_type(name: str) -> type:$/;" f +_dump adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _dump(self, file, protocol=2):$/;" f +_dump adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _dump(self, file=None, format=None, **options):$/;" m class:Image +_DumpingCallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^class _DumpingCallback(object):$/;" c +_dumps adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _dumps(self, protocol=2):$/;" f +_dump_date adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^def _dump_date(d, delim):$/;" f +_dump_DDP_relevant_env_vars adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^def _dump_DDP_relevant_env_vars():$/;" f +_dump_eager_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _dump_eager_tensors(self,$/;" m class:_DumpingCallback +_dump_file_name_to_datum adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _dump_file_name_to_datum(self, dir_name, file_name):$/;" m class:DebugDumpDir +_dump_float adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^def _dump_float(v):$/;" f +_dump_int adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def _dump_int(self, v):$/;" m class:TomlNumpyEncoder +_dump_pathlib_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def _dump_pathlib_path(self, v):$/;" m class:TomlPathlibEncoder +_DUMP_ROOT_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^_DUMP_ROOT_PREFIX = "tfdbg_"$/;" v +_DUMP_SIZE_COLUMN_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ _DUMP_SIZE_COLUMN_HEAD = "Size (B)"$/;" v class:DebugAnalyzer +_dump_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^def _dump_str(v):$/;" f +_DUMP_TENSOR_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^_DUMP_TENSOR_PATTERN = re.compile(r"`.*?`")$/;" v +_dump_time adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^def _dump_time(v):$/;" f +_DuplicateChecker adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _DuplicateChecker(js):$/;" f +_duplicate_body_captures_in_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _duplicate_body_captures_in_cond(cond_graph, body_graph_captures):$/;" f +_duplicate_example adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _duplicate_example(self, request):$/;" m class:WhatIfToolPlugin +_DURATION adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^_DURATION = _descriptor.Descriptor($/;" v +_DURATION_SECONDS_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_DURATION_SECONDS_MAX = 315576000000$/;" v +_du_build_ext adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^from distutils.command.build_ext import build_ext as _du_build_ext$/;" x +_dvistate adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^_dvistate = enum.Enum('DviState', 'pre outer inpage post_post finale')$/;" v +_DYNAMICLEARNINGRATE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_DYNAMICLEARNINGRATE = _descriptor.Descriptor($/;" v +_DynamicLossScaleState adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class _DynamicLossScaleState(trackable.Trackable):$/;" c +_DYNAMICPARAMETERBINDINGPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_DYNAMICPARAMETERBINDINGPROTO = _descriptor.Descriptor($/;" v +_DYNAMICPARAMETERBINDINGPROTO_BINDING adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_DYNAMICPARAMETERBINDINGPROTO_BINDING = _descriptor.Descriptor($/;" v +_DynamicPartitionGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_grad.py /^def _DynamicPartitionGrads(op, *grads):$/;" f +_DynamicStitchGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_grad.py /^def _DynamicStitchGrads(op, grad):$/;" f +_DynamicStub adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _DynamicStub(face.DynamicStub):$/;" c +_dynamic_index_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _dynamic_index_array(i, aval, x):$/;" f +_dynamic_jaxpr_process_xmap adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _dynamic_jaxpr_process_xmap(self, primitive, f, tracers, params):$/;" f +_dynamic_rank_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _dynamic_rank_in(actual_rank, given_ranks):$/;" f +_dynamic_rnn_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _dynamic_rnn_loop(cell,$/;" f +_dynamic_slice adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _dynamic_slice(operand, *start_indices, slice_sizes):$/;" f +_dynamic_slice_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_batching_rule(batched_args, batch_dims, *, slice_sizes):$/;" f +_dynamic_slice_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_dtype_rule(operand, *start_indices, slice_sizes):$/;" f +_dynamic_slice_indices adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_indices(operand, start_indices):$/;" f +_dynamic_slice_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_jvp(primals, tangents, *, slice_sizes):$/;" f +_dynamic_slice_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_shape_rule(operand, *start_indices, slice_sizes):$/;" f +_dynamic_slice_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_translation_rule(c, operand, *start_indices, slice_sizes):$/;" f +_dynamic_slice_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_slice_transpose_rule(t, operand, *start_indices, slice_sizes):$/;" f +_dynamic_update_slice adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _dynamic_update_slice(operand, update, *start_indices):$/;" f +_dynamic_update_slice_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_batching_rule(batched_args, batch_dims):$/;" f +_dynamic_update_slice_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_dtype_rule(operand, update, *start_indices):$/;" f +_dynamic_update_slice_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_jvp(primals, tangents):$/;" f +_dynamic_update_slice_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_shape_rule(operand, update, *start_indices):$/;" f +_dynamic_update_slice_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_translation_rule(c, operand, update, *start_indices):$/;" f +_dynamic_update_slice_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _dynamic_update_slice_transpose_rule(t, operand, update, *start_indices):$/;" f +_e adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def _e(self, name, lcpl=None):$/;" m class:CommonStateObject +_E adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^class _E:$/;" c +_E128 adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_E128 = 128$/;" v +_EagerDefinedFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _EagerDefinedFunction(object):$/;" c +_EagerDefinedFunctionDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _EagerDefinedFunctionDeleter(object):$/;" c +_EagerDeviceContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _EagerDeviceContext(object):$/;" c +_EagerPyFuncGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def _EagerPyFuncGrad(op, *dy):$/;" f +_EagerSavedModelLoader adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^class _EagerSavedModelLoader(loader_impl.SavedModelLoader):$/;" c +_EagerTemplateVariableStore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^class _EagerTemplateVariableStore(object):$/;" c +_EagerTensorArray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^class _EagerTensorArray(object):$/;" c +_EagerTensorBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class _EagerTensorBase(Tensor):$/;" c +_EagerTensorCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _EagerTensorCache(object):$/;" c +_EAGER_CONST_THRESHOLD adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^_EAGER_CONST_THRESHOLD = 128$/;" v +_eager_fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _eager_fill(dims, value, ctx):$/;" f +_eager_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _eager_identity(tensor, ctx):$/;" f +_eager_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _eager_losses(self):$/;" m class:Layer +_eager_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _eager_losses(self, losses):$/;" m class:Layer +_eager_loss_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def _eager_loss_fn(outputs, targets, loss_fn, output_name):$/;" f +_eager_metrics_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def _eager_metrics_fn(model, outputs, targets, sample_weights=None, masks=None):$/;" f +_eager_mode_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def _eager_mode_decorator(f, args, kwargs):$/;" f +_eager_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def _eager_py_func(func, inp, Tout, name=None, use_tape_cache=True):$/;" f +_eager_reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _eager_reset(self):$/;" m class:MultiDeviceIterator +_eager_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _eager_reshape(tensor, shape, ctx):$/;" f +_eager_to_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _eager_to_zip(self, resource_name):$/;" m class:ZipProvider +_eager_to_zip adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _eager_to_zip(self, resource_name):$/;" m class:ZipProvider +_eager_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _eager_value(self):$/;" m class:RaggedTensor +_easteregg adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _easteregg(app=None):$/;" f +_EasyOutcome adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^class _EasyOutcome($/;" c +_ECONVERGED adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_ECONVERGED = 0$/;" v +_ECONVERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_ECONVERR = -2$/;" v +_ed2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def _ed2(y):$/;" f function:_psi1_mod file: +_ed3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def _ed3(y):$/;" f function:_psi1_mod file: +_Edge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Edge(collections.namedtuple("_Edge", ["source", "destination"])):$/;" c +_edges adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _edges(self, X, Y):$/;" m class:ColorbarBase +_edges adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ _edges = 'BRTL'$/;" v class:Cell +_edges adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _edges(self, X, Y):$/;" m class:ColorbarBase +_edge_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ _edge_aliases = {'open': '',$/;" v class:Cell +_edge_case adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def _edge_case(h0, h1, m0, m1):$/;" m class:PchipInterpolator +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _edge_default = False$/;" v class:Collection +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _edge_default = True$/;" v class:EventCollection +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _edge_default = True$/;" v class:LineCollection +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _edge_default = False$/;" v class:Patch +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _edge_default = True$/;" v class:FancyArrow +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _edge_default = True$/;" v class:FancyArrowPatch +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _edge_default = True$/;" v class:FancyBboxPatch +_edge_default adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _edge_default = True$/;" v class:PathPatch +_Edge_integer adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^class _Edge_integer:$/;" c +_ediff1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _ediff1d_dispatcher(ary, to_end=None, to_begin=None):$/;" f +_EDIFF1D_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_EDIFF1D_DOC = """\\$/;" v +_effective_metadata adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _effective_metadata(metadata, metadata_transformer):$/;" f +_EFFICIENT_ADD_TO_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_EFFICIENT_ADD_TO_TENSOR = _DIAG_LIKE$/;" v +_egg_fragment_re adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ _egg_fragment_re = re.compile(r'[#&]egg=([^&]*)')$/;" v class:Link +_egg_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/egg_info.py /^from setuptools.command.egg_info import egg_info as _egg_info$/;" x +_egg_info_re adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^_egg_info_re = re.compile(r'([a-z0-9_.]+)-([a-z0-9_.!+-]+)', re.IGNORECASE)$/;" v +_eig adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _eig(operand: TfVal, compute_left_eigenvectors: bool,$/;" f +_EigGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _EigGrad(op, grad_e, grad_v):$/;" f +_eigh adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _eigh(operand: TfVal, lower: bool):$/;" f +_eigh_cpu_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _eigh_cpu_gpu_translation_rule(syevd_impl, c, operand, lower):$/;" f +_EigOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_EigOutput = collections.namedtuple($/;" v +_eigvals adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^from .linalg import eigvals as _eigvals$/;" x +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _eigvals(self):$/;" m class:LinearOperator +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _eigvals(self):$/;" m class:LinearOperatorAdjoint +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _eigvals(self):$/;" m class:LinearOperatorBlockDiag +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _eigvals(self):$/;" m class:LinearOperatorBlockLowerTriangular +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _eigvals(self):$/;" m class:LinearOperatorCirculant +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _eigvals(self):$/;" m class:LinearOperatorDiag +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _eigvals(self):$/;" m class:LinearOperatorHouseholder +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _eigvals(self):$/;" m class:LinearOperatorIdentity +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _eigvals(self):$/;" m class:LinearOperatorScaledIdentity +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _eigvals(self):$/;" m class:LinearOperatorInversion +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _eigvals(self):$/;" m class:LinearOperatorKronecker +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _eigvals(self):$/;" m class:LinearOperatorLowerTriangular +_eigvals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _eigvals(self):$/;" m class:LinearOperatorZeros +_eigvalsh_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _eigvalsh_dispatcher(a, UPLO=None):$/;" f +_eigvalsh_to_eps adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _eigvalsh_to_eps(spectrum, cond=None, rcond=None):$/;" f +_EINPROGRESS adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_EINPROGRESS = 1$/;" v +_einsum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _einsum(operands: Sequence,$/;" f +_einsum adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/bfgs.py /^_einsum = partial(jnp.einsum, precision=lax.Precision.HIGHEST)$/;" v +_einsum adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_einsum = partial(jnp.einsum, precision=lax.Precision.HIGHEST)$/;" v +_einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _einsum(*operands, **kwargs):$/;" f +_EinsumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _EinsumGrad(op, grad):$/;" f +_einsum_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _einsum_dispatcher(*operands, out=None, optimize=None, **kwargs):$/;" f +_einsum_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_grad(op, grad):$/;" f +_einsum_path_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _einsum_path_dispatcher(*operands, optimize=None, einsum_call=None):$/;" f +_einsum_symbols_base adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^_einsum_symbols_base = 'abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ'$/;" v +_einsum_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_v1(equation, *inputs, **kwargs):$/;" f +_einsum_v1_parse_and_resolve_equation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_v1_parse_and_resolve_equation(equation, input_shapes):$/;" f +_einsum_v1_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_v1_reduction(t0, t0_axis_labels, t1, t1_axis_labels, axes_to_sum):$/;" f +_einsum_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_v2(equation, *inputs, **kwargs):$/;" f +_einsum_v2_parse_and_resolve_equation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _einsum_v2_parse_and_resolve_equation(equation, input_shapes):$/;" f +_ElementFetchMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _ElementFetchMapper(_FetchMapper):$/;" c +_ELEMENTSFIELD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_ELEMENTSFIELD = ('Keywords',)$/;" v +_elementwise_linear adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/elementwise_linear.py /^def _elementwise_linear($/;" f +_elementwise_where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def _elementwise_where(condition, x, y):$/;" f +_elementwise_where_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def _elementwise_where_v2(condition, x, y):$/;" f +_elems_flat_to_batchable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _elems_flat_to_batchable(elems_flat):$/;" f +_elems_value_batchable_to_flat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _elems_value_batchable_to_flat(elems_value_batchable, elems_flat_signature):$/;" f +_ELFFileHeader adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^class _ELFFileHeader(object):$/;" c +_ELFFileHeader adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^class _ELFFileHeader(object):$/;" c +_eligible_features_from_example_handler adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _eligible_features_from_example_handler(self, request):$/;" m class:WhatIfToolPlugin +_eligible_for_upgrade adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def _eligible_for_upgrade(name):$/;" f member:PipProvider._sort_matches file: +_eliminate_deprecated_list_indexing adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _eliminate_deprecated_list_indexing(idx):$/;" f +_ell adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _ell(A, m):$/;" f +_Ellipsis adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Ellipsis(self, t):$/;" m class:Unparser +_ellip_harm_2_vec adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^_ellip_harm_2_vec = np.vectorize(_ellipsoid, otypes='d')$/;" v +_ellip_norm adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_ellip_harm.py /^ def _ellip_norm(n, p, h2, k2):$/;" f function:test_ellip_norm file: +_ellip_normal_vec adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^def _ellip_normal_vec(h2, k2, n, p):$/;" f +_ellip_normal_vec adpepsenv/lib/python3.8/site-packages/scipy/special/_ellip_harm.py /^_ellip_normal_vec = np.vectorize(_ellip_normal_vec, otypes='d')$/;" v +_EluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _EluGrad(op, grad):$/;" f +_EluGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _EluGradGrad(op, grad):$/;" f +_EM128 adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_EM128 = np.ldexp(np.longdouble(1), -_E128)$/;" v +_embedded_frames adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^def _embedded_frames(frame_list, frame_format):$/;" f +_EmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _EmbeddingColumn($/;" c +_EmbeddingColumnLayer adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _EmbeddingColumnLayer(base.Layer):$/;" c +_EMBEDDINGINFO adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^_EMBEDDINGINFO = _descriptor.Descriptor($/;" v +_EMBEDDINGINFO adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^_EMBEDDINGINFO = _descriptor.Descriptor($/;" v +_embedding_activations_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^def _embedding_activations_grad(activations_op, grad_wrt_activations):$/;" f +_embedding_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _embedding_column(categorical_column,$/;" f +_EMBEDDING_COLUMN_CLASSES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_EMBEDDING_COLUMN_CLASSES = (core_fc._EmbeddingColumn,$/;" v +_embedding_lookup_and_transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def _embedding_lookup_and_transform(params,$/;" f +_embedding_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn.py /^from tensorflow.python.ops import embedding_ops as _embedding_ops$/;" x +_embedTeXFont adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _embedTeXFont(self, fontinfo):$/;" m class:PdfFile +_emit_arg adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_arg(indent, i, arg):$/;" f +_emit_args adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_args(indent, arguments):$/;" f +_emit_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _emit_op(self, nodestats, pid, is_gputrace):$/;" m class:Timeline +_emit_ret adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_ret(ret):$/;" f +_emit_rets adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_rets(returns):$/;" f +_emit_schema adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_schema(mod, name, schema, arg_start=0, padding=4):$/;" f +_emit_tensor_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _emit_tensor_snapshot(self, tensor, timestamp, pid, tid, value):$/;" m class:Timeline +_emit_type adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _emit_type(type):$/;" f +_EMPTY adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^_EMPTY = _descriptor.Descriptor($/;" v +_empty_affine_quantized adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def _empty_affine_quantized(g, input, shape, scale, zero_point, dtype, pin_memory, memory_format/;" f +_empty_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _empty_array(sz, aval):$/;" f +_empty_elements adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _empty_elements = {$/;" v class:HTMLBuilder +_EMPTY_FLAGS adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_EMPTY_FLAGS = 0$/;" v +_EMPTY_FLAGS adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_EMPTY_FLAGS = 0$/;" v +_empty_lines_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_empty_lines_example = '''\\$/;" v +_empty_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^_empty_path = Path(np.empty((0, 2)))$/;" v +_EMPTY_SERVER_OPTIONS adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^_EMPTY_SERVER_OPTIONS = ServerOptions(None, None, None, None, None, None, None)$/;" v +_EMPTY_STORE_VALUE adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^_EMPTY_STORE_VALUE = EmptyStoreValue()$/;" v +_empty_string_iter adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_empty_string_iter = repeat("")$/;" v +_EMPTY_STUB_OPTIONS adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^_EMPTY_STUB_OPTIONS = StubOptions(None, None, None, None, None, None)$/;" v +_empty_svd adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _empty_svd(a, *, full_matrices, compute_uv):$/;" f +_empty_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^_empty_tuple = ()$/;" v +_enabled adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^_enabled = EnabledProxy()$/;" v +_enable_all_warnings adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _enable_all_warnings():$/;" f +_enable_all_warnings adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _enable_all_warnings():$/;" f +_ENABLE_AUTOTUNE_BUFFERS_BY_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^_ENABLE_AUTOTUNE_BUFFERS_BY_DEFAULT = False$/;" v +_enable_check_health adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ _enable_check_health = True$/;" v class:CollectiveAllReduceExtended +_enable_get_lr_call adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ class _enable_get_lr_call:$/;" c member:CosineAnnealingWarmRestarts.step file: +_enable_get_lr_call adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ class _enable_get_lr_call:$/;" c member:_LRScheduler.step file: +_enable_get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _enable_get_next_as_optional(strategy, dataset):$/;" f +_ENABLE_MAYBE_SET_STATIC_SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_ENABLE_MAYBE_SET_STATIC_SHAPE = True$/;" v +_enable_mixed_precision_graph_rewrite_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def _enable_mixed_precision_graph_rewrite_base(opt, loss_scale,$/;" f +_enable_xla adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_enable_xla = True$/;" v +_enclosing_power_of_two adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/spectral_ops.py /^def _enclosing_power_of_two(value):$/;" f +_enclosing_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _enclosing_tpu_context():$/;" f +_enclosing_tpu_context_and_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _enclosing_tpu_context_and_graph():$/;" f +_enclosing_tpu_device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _enclosing_tpu_device_assignment():$/;" f +_enclosing_xla_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _enclosing_xla_context():$/;" f +_encode adpepsenv/lib/python3.8/site-packages/setuptools/command/upload_docs.py /^def _encode(s):$/;" f +_encode adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def _encode(rawstr):$/;" m class:SummaryWriter +_encodeComponents adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def _encodeComponents(self, value, asn1Spec, encodeFun, **options):$/;" m class:SequenceOfEncoder +_EncodeSignedVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^_EncodeSignedVarint = _SignedVarintEncoder()$/;" v +_EncodeVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^_EncodeVarint = _VarintEncoder()$/;" v +_encode_auth adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def _encode_auth(auth):$/;" f +_encode_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _encode_blob_key(experiment_id, plugin_name, run, tag, step, index):$/;" f +_encode_entity_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^_encode_entity_map = {}$/;" v +_encode_entity_map adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^_encode_entity_map = {}$/;" v +_encode_files adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def _encode_files(files, data):$/;" m class:RequestEncodingMixin +_encode_files adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def _encode_files(files, data):$/;" m class:RequestEncodingMixin +_encode_idna adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _encode_idna(domain):$/;" f +_encode_invalid_chars adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def _encode_invalid_chars(component, allowed_chars, encoding="utf-8"):$/;" f +_encode_invalid_chars adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def _encode_invalid_chars(component, allowed_chars, encoding="utf-8"):$/;" f +_encode_params adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def _encode_params(data):$/;" m class:RequestEncodingMixin +_encode_params adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def _encode_params(data):$/;" m class:RequestEncodingMixin +_encode_query_vars adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _encode_query_vars(self, query_vars):$/;" m class:Rule +_encode_range adpepsenv/lib/python3.8/site-packages/idna/intranges.py /^def _encode_range(start, end):$/;" f +_encode_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/intranges.py /^def _encode_range(start, end):$/;" f +_encode_target adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def _encode_target(target):$/;" f +_encode_target adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def _encode_target(target):$/;" f +_encode_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/json_utils.py /^def _encode_tuple(x):$/;" f +_encode_url_methods adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ _encode_url_methods = {"DELETE", "GET", "HEAD", "OPTIONS"}$/;" v class:RequestMethods +_encode_url_methods adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ _encode_url_methods = {"DELETE", "GET", "HEAD", "OPTIONS"}$/;" v class:RequestMethods +_end adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_end = "end"$/;" v +_EndGroup adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _EndGroup(buffer, pos, end):$/;" f +_ENDIAN adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ _ENDIAN = "<"$/;" v +_EndPoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _EndPoint(collections.namedtuple("_EndPoint", ["convertible", "index"])):$/;" c +_ENDPOINTS_SEPARATOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_ENDPOINTS_SEPARATOR = ','$/;" v +_endprint adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _endprint(x, flag, fval, maxfun, xtol, disp):$/;" f +_endswith_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _endswith_dispatcher(a, suffix, start=None, end=None):$/;" f +_end_redraw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _end_redraw(self, event):$/;" m class:Animation +_end_unary_response_blocking adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _end_unary_response_blocking(state, call, with_call, deadline):$/;" f +_ENFORCED_ZERO_POINT adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^_ENFORCED_ZERO_POINT = defaultdict(lambda: None, {$/;" v +_EnforceShapeInvariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _EnforceShapeInvariant(merge_var, next_var):$/;" f +_enforce_names_consistency adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/keras/saving/saving_utils.py /^def _enforce_names_consistency(specs):$/;" f +_enforce_names_consistency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def _enforce_names_consistency(specs):$/;" f +_Enhance adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^class _Enhance:$/;" c +_enqueue adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _enqueue(self, blob_name, queue, data_arr):$/;" m class:BatchFeeder +_enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _enqueue(queue, tensor_list, threads, enqueue_many, keep_input):$/;" f +_enqueue_batch adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _enqueue_batch(self, data_input_coordinator):$/;" m class:BatchFeeder +_enqueue_batch_direct adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _enqueue_batch_direct(self, data_input_coordinator):$/;" m class:BatchFeeder +_enqueue_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^def _enqueue_data(data,$/;" f +_enqueue_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _enqueue_join(queue, tensor_list_list, enqueue_many, keep_input):$/;" f +_enquote_executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^_enquote_executable = enquote_executable$/;" v +_EnsembleGrower adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _EnsembleGrower(object):$/;" c +_EnsureTimestampAdded adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def _EnsureTimestampAdded(self, debug_event):$/;" m class:DebugEventsWriter +_ensure_cfg_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _ensure_cfg_read():$/;" f +_ensure_clipped adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _ensure_clipped($/;" m class:Regularizer +_ensure_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _ensure_constraint(self, trial):$/;" m class:DifferentialEvolutionSolver +_ensure_c_contiguous adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _ensure_c_contiguous(self):$/;" m class:NdPPoly +_ensure_c_contiguous adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _ensure_c_contiguous(self):$/;" m class:_PPolyBase +_ensure_c_contiguous adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def _ensure_c_contiguous(self):$/;" m class:BSpline +_ensure_directory adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _ensure_directory(path):$/;" f +_ensure_exprdict adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _ensure_exprdict(r):$/;" f +_ensure_fields_in_anf adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _ensure_fields_in_anf(self, node, parent=None, super_field=None):$/;" m class:AnfTransformer +_ensure_handler adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _ensure_handler():$/;" f +_ensure_have_load_only adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _ensure_have_load_only(self):$/;" m class:Configuration +_ensure_html_header adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _ensure_html_header(response):$/;" f +_ensure_html_response adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _ensure_html_response(url, session):$/;" f +_ensure_index adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def _ensure_index(x: Any) -> Union[int, Tuple[int, ...]]:$/;" f +_ensure_index_tuple adpepsenv/lib/python3.8/site-packages/jax/api_util.py /^def _ensure_index_tuple(x: Any) -> Tuple[int, ...]:$/;" f +_ensure_link_req_src_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def _ensure_link_req_src_dir(self, req, download_dir, parallel_builds):$/;" m class:RequirementPreparer +_ensure_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^def _ensure_list(value, fieldname):$/;" f +_ensure_mutable adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _ensure_mutable(self):$/;" m class:Image +_ensure_node_in_anf adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _ensure_node_in_anf(self, parent, field, node):$/;" m class:AnfTransformer +_ensure_poly adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _ensure_poly(p: 'Size') -> 'Poly':$/;" f +_ensure_position_is_set adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def _ensure_position_is_set(self):$/;" m class:Spine +_ensure_read adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _ensure_read(self, fp, size):$/;" m class:ImageFileDirectory_v2 +_ensure_relative adpepsenv/lib/python3.8/site-packages/wheel/bdist_wheel.py /^ def _ensure_relative(self, path):$/;" m class:bdist_wheel +_ensure_safe adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _ensure_safe(self):$/;" m class:Interpreter +_ensure_safe_name adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _ensure_safe_name(name):$/;" m class:ScriptWriter +_ensure_same_dataset_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _ensure_same_dataset_graph(dataset):$/;" f +_ensure_sequence adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def _ensure_sequence(self, mutable=False):$/;" m class:BaseResponse +_ensure_shape_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _ensure_shape_grad(op, grad):$/;" f +_ensure_stringlike adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def _ensure_stringlike(self, option, what, default=None):$/;" m class:Command +_ensure_stringlike adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def _ensure_stringlike(self, option, what, default=None):$/;" m class:Command +_ensure_tested_string adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def _ensure_tested_string(self, option, tester, what, error_fmt,$/;" m class:Command +_ensure_unique_tensor_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _ensure_unique_tensor_objects(parameter_positions, args):$/;" f +_ensure_xent_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _ensure_xent_args(name, sentinel, labels, logits):$/;" f +_Enter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _Enter(data,$/;" f +_EnterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _EnterGrad(op, grad):$/;" f +_EnterOutsideCompilationScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _EnterOutsideCompilationScope(self, cluster=None):$/;" m class:TPUReplicateContext +_enter_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^def _enter_graph(g, eager, creator_stack=None):$/;" f +_enter_lexical_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _enter_lexical_scope(self, node):$/;" m class:AstToCfg +_enter_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _enter_scope(self, isolated, f_name=None):$/;" m class:ActivityAnalyzer +_enter_scope_uncached adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _enter_scope_uncached(self):$/;" m class:variable_scope +_entities adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _entities = name2codepoint.copy()$/;" v class:HTMLBuilder +_entity_headers adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_entity_headers = frozenset($/;" v +_entity_re adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _entity_re = re.compile(r"&([^;]+);")$/;" v class:HTMLBuilder +_entity_re adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^_entity_re = re.compile(r"&([^;]+);")$/;" v +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:anglit_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:arcsine_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:cauchy_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:cosine_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:expon_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:gilbrat_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:gumbel_l_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:gumbel_r_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:halfcauchy_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:halflogistic_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:halfnorm_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:hypsecant_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:laplace_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:logistic_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:maxwell_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:norm_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:rayleigh_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:rv_histogram +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:semicircular_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self):$/;" m class:uniform_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, a):$/;" m class:gamma_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, a):$/;" m class:invgamma_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, a):$/;" m class:powerlaw_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, a, b):$/;" m class:reciprocal_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, a, c):$/;" m class:gengamma_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, b):$/;" m class:truncexpon_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, beta):$/;" m class:gennorm_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, beta):$/;" m class:halfgennorm_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:bradford_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:fisk_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:genextreme_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:genhalflogistic_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:genpareto_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:gompertz_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:invweibull_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:loglaplace_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:lomax_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:pareto_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:triang_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:weibull_max_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:weibull_min_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c):$/;" m class:wrapcauchy_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, c, d):$/;" m class:trapezoid_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, kappa):$/;" m class:laplace_asymmetric_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, kappa):$/;" m class:vonmises_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, lam):$/;" m class:tukeylambda_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _entropy(self, s):$/;" m class:lognorm_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, a):$/;" m class:dlaplace_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, lambda_):$/;" m class:planck_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, low, high):$/;" m class:randint_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, M, n, N):$/;" m class:hypergeom_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, n, p):$/;" m class:binom_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _entropy(self, p):$/;" m class:bernoulli_gen +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _entropy(self):$/;" m class:rv_sample +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _entropy(self, *args):$/;" m class:rv_continuous +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _entropy(self, *args):$/;" m class:rv_discrete +_entropy adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _entropy(self, dim, df, log_det_scale):$/;" m class:wishart_gen +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _entropy(self):$/;" m class:Bernoulli +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _entropy(self):$/;" m class:Beta +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _entropy(self):$/;" m class:Categorical +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _entropy(self):$/;" m class:Dirichlet +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _entropy(self):$/;" m class:Distribution +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _entropy(self):$/;" m class:Gamma +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _entropy(self):$/;" m class:Laplace +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _entropy(self):$/;" m class:Normal +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _entropy(self):$/;" m class:StudentT +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _entropy(self):$/;" m class:TransformedDistribution +_entropy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _entropy(self):$/;" m class:Uniform +_ENTRYVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_ENTRYVALUE = _descriptor.Descriptor($/;" v +_entry_from_axes adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _entry_from_axes(self, e):$/;" m class:_AxesStack +_entry_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def _entry_path(self, link):$/;" m class:RequirementTracker +_ENUM adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_ENUM = _descriptor.Descriptor($/;" v +_ENUMDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ENUMDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_ENUMDESCRIPTORPROTO_ENUMRESERVEDRANGE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ENUMDESCRIPTORPROTO_ENUMRESERVEDRANGE = _descriptor.Descriptor($/;" v +_enumerated_map_structure_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^def _enumerated_map_structure_up_to(shallow_structure, map_fn, *args, **kwargs):$/;" f +_ENUMOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ENUMOPTIONS = _descriptor.Descriptor($/;" v +_ENUMPROFILESESSIONSANDTOOLSREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_ENUMPROFILESESSIONSANDTOOLSREQUEST = _descriptor.Descriptor($/;" v +_ENUMPROFILESESSIONSANDTOOLSRESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_ENUMPROFILESESSIONSANDTOOLSRESPONSE = _descriptor.Descriptor($/;" v +_ENUMTYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_ENUMTYPE = _descriptor.EnumDescriptor($/;" v +_ENUMVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_ENUMVALUE = _descriptor.Descriptor($/;" v +_ENUMVALUEDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ENUMVALUEDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_ENUMVALUEOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ENUMVALUEOPTIONS = _descriptor.Descriptor($/;" v +_environment_discovery_url adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _environment_discovery_url():$/;" f +_ENVIRONMENT_GOOGLE_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_ENVIRONMENT_GOOGLE_VALUE = 'google'$/;" v +_environment_hook adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def _environment_hook(self, name, hook_name):$/;" m class:FCompiler +_ENVIRONMENT_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_ENVIRONMENT_KEY = 'environment'$/;" v +_environment_var_to_network_endpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _environment_var_to_network_endpoints(endpoints):$/;" f +_environ_checked adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^_environ_checked = 0$/;" v +_env_error adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^ def _env_error(var):$/;" f function:_env_rendezvous_handler file: +_env_rendezvous_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def _env_rendezvous_handler(url, timeout=default_pg_timeout, **kwargs):$/;" f +_eop adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _eop(self, _):$/;" m class:Dvi +_EP128 adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_EP128 = np.ldexp(np.longdouble(1), _E128)$/;" v +_epoch adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^_epoch = None$/;" v +_EPOCH_DATETIME adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_EPOCH_DATETIME = datetime.utcfromtimestamp(0)$/;" v +_epoch_ord adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_epoch_ord = date(1970, 1, 1).toordinal()$/;" v +_EPS adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_EPS = np.finfo(float).eps$/;" v +_epsilon adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^_epsilon = sqrt(np.finfo(float).eps)$/;" v +_epsilon adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^_epsilon = sqrt(finfo(float).eps)$/;" v +_epsilon adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _epsilon = _config.get('epsilon', epsilon())$/;" v +_EPSILON adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^_EPSILON = 1e-7$/;" v +_eps_cast adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_basic.py /^def _eps_cast(dtyp):$/;" f +_eps_for_method adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _eps_for_method(x0_dtype, f0_dtype, method):$/;" f +_eq adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def _eq(self, self_traced, other): return get_aval(other) is self$/;" m class:AbstractUnit +_eq adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _eq(file_index):$/;" f function:TensorTracer._generate_flush_cache_op._flush_fun file: +_EQUALITY_SPLIT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_EQUALITY_SPLIT = 'equality'$/;" v +_equal_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _equal_flops(graph, node):$/;" f +_equal_to_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def _equal_to_canonical(cls, cfun, value):$/;" m class:CanonicalConstraint +_equal_var_ttest_denom adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _equal_var_ttest_denom(v1, n1, v2, n2):$/;" f +_eq_10_42 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _eq_10_42(lam_1, lam_2, t_12):$/;" f +_eq_meet adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _eq_meet(a, b):$/;" f +_erase_arg_defaults adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def _erase_arg_defaults(self, node):$/;" m class:GenericTranspiler +_erase_existing_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _erase_existing_command(self):$/;" m class:CursesUI +_ErfcGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ErfcGrad(op, grad):$/;" f +_ErfGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ErfGrad(op, grad):$/;" f +_ErfinvGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ErfinvGrad(op, grad):$/;" f +_erf_inv_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _erf_inv_rule(primals_in, series_in):$/;" f +_errdict adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^_errdict = {"ignore": ERR_IGNORE,$/;" v +_errdict_rev adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^_errdict_rev = {value: key for key, value in _errdict.items()}$/;" v +_errno adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^import errno as _errno$/;" I +_error adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^ def _error(msg):$/;" f function:_env_rendezvous_handler file: +_error adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^ def _error(msg):$/;" f function:_file_rendezvous_handler file: +_error adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^ def _error(msg):$/;" f function:_tcp_rendezvous_handler file: +_ErrorMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^class _ErrorMetadata(error_utils.ErrorMetadataBase):$/;" c +_ErrorStop adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class _ErrorStop(Empty):$/;" c class:And +_ErrorStop adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ class _ErrorStop(Empty):$/;" c class:And +_ErrorStop adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class _ErrorStop(Empty):$/;" c class:And +_ErrorStop adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ class _ErrorStop(Empty):$/;" c class:And +_error_catcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _error_catcher(self):$/;" m class:HTTPResponse +_error_catcher adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _error_catcher(self):$/;" m class:HTTPResponse +_error_handler adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^_error_handler = None$/;" v +_error_interpolation adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.python.framework import error_interpolation as _error_interpolation$/;" x +_ERROR_MESSAGE_PORT_BINDING_FAILED adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^_ERROR_MESSAGE_PORT_BINDING_FAILED = 'Failed to bind to address %s; set ' \\$/;" v +_ERROR_MSG adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ _ERROR_MSG = textwrap.dedent("""Method '%s' is named like a test case but$/;" v class:TestLoader +_error_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _error_prefix(name):$/;" f +_error_premature_exit_range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def _error_premature_exit_range(self):$/;" m class:Scope +_error_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^def _error_response(request, error_message):$/;" f +_error_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^def _error_response(request, error_message):$/;" f +_error_toast adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _error_toast(self, message):$/;" m class:CursesUI +_ERROR_TOAST_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _ERROR_TOAST_COLOR_PAIR = ($/;" v class:CursesUI +_ERR_MSG adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^_ERR_MSG = _ERR_MSG_PREFIX + '{!r}'$/;" v +_ERR_MSG_PREFIX adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^_ERR_MSG_PREFIX = 'No module named '$/;" v +_escape adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def _escape(self, txt):$/;" m class:FencedBlockPreprocessor +_escapedchars adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^_escapedchars = ['\\0', '\\b', '\\f', '\\n', '\\r', '\\t', '\\"']$/;" v +_escapedHexChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_escapedHexChar = Regex(r"\\\\0?[xX][0-9a-fA-F]+").setParseAction(lambda s, l, t: unichr(int(t[0/;" v +_escapedHexChar adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_escapedHexChar = Regex(r"\\\\0?[xX][0-9a-fA-F]+").setParseAction(lambda s,l,t:unichr(int(t[0].l/;" v +_escapedHexChar adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_escapedHexChar = Regex(r"\\\\0?[xX][0-9a-fA-F]+").setParseAction(lambda s, l, t: unichr(int(t[0/;" v +_escapedHexChar adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_escapedHexChar = Regex(r"\\\\0?[xX][0-9a-fA-F]+").setParseAction(lambda s,l,t:unichr(int(t[0].l/;" v +_escapedOctChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_escapedOctChar = Regex(r"\\\\0[0-7]+").setParseAction(lambda s, l, t: unichr(int(t[0][1:], 8)))$/;" v +_escapedOctChar adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_escapedOctChar = Regex(r"\\\\0[0-7]+").setParseAction(lambda s,l,t:unichr(int(t[0][1:],8)))$/;" v +_escapedOctChar adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_escapedOctChar = Regex(r"\\\\0[0-7]+").setParseAction(lambda s, l, t: unichr(int(t[0][1:], 8)))$/;" v +_escapedOctChar adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_escapedOctChar = Regex(r"\\\\0[0-7]+").setParseAction(lambda s,l,t:unichr(int(t[0][1:],8)))$/;" v +_escapedPunc adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_escapedPunc = Word(_bslash, r"\\[]-*.$+^?()~ ", exact=2).setParseAction(lambda s, l, t: t[0][1]/;" v +_escapedPunc adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_escapedPunc = Word( _bslash, r"\\[]-*.$+^?()~ ", exact=2 ).setParseAction(lambda s,l,t:t[0][1])$/;" v +_escapedPunc adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_escapedPunc = Word(_bslash, r"\\[]-*.$+^?()~ ", exact=2).setParseAction(lambda s, l, t: t[0][1]/;" v +_escapedPunc adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_escapedPunc = Word( _bslash, r"\\[]-*.$+^?()~ ", exact=2 ).setParseAction(lambda s,l,t:t[0][1])$/;" v +_EscapeGlobCharacters adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^def _EscapeGlobCharacters(path):$/;" f +_escapeRegexRangeChars adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _escapeRegexRangeChars(s):$/;" f +_escapeRegexRangeChars adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _escapeRegexRangeChars(s):$/;" f +_escapeRegexRangeChars adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _escapeRegexRangeChars(s):$/;" f +_escapeRegexRangeChars adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _escapeRegexRangeChars(s):$/;" f +_escapes adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^_escapes = ['0', 'b', 'f', 'n', 'r', 't', '"']$/;" v +_escape_attrib adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _escape_attrib(text):$/;" f +_escape_attrib_html adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _escape_attrib_html(text):$/;" f +_escape_backslash adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def _escape_backslash(val):$/;" f +_escape_cdata adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^def _escape_cdata(s):$/;" f +_escape_cdata adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _escape_cdata(text):$/;" f +_ESCAPE_CHAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^_ESCAPE_CHAR = "." # For avoiding conflicts with user-specified names.$/;" v +_ESCAPE_GLOB_CHARACTERS_REGEX adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/io_wrapper.py /^_ESCAPE_GLOB_CHARACTERS_REGEX = re.compile("([*?[])")$/;" v +_escape_label adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def _escape_label(name):$/;" f +_escape_local_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^def _escape_local_name(name):$/;" f +_escape_namescopes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _escape_namescopes(variable_name):$/;" f member:TensorTracer._create_or_get_tensor_values_cache file: +_escape_to_escapedchars adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^_escape_to_escapedchars = dict(zip(_escapes, _escapedchars))$/;" v +_escape_val adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^def _escape_val(val, escape_func):$/;" f +_escape_xml_attr adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^def _escape_xml_attr(content):$/;" f +_escape_xml_attr_conversions adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^_escape_xml_attr_conversions = {$/;" v +_escape_xml_comment adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^_escape_xml_comment = re.compile(r'-(?=-)')$/;" v +_ESIGNERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_ESIGNERR = -1$/;" v +_estimate_block_size adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def _estimate_block_size(self, min_run_time: float):$/;" m class:Timer +_estimate_data_distribution adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _estimate_data_distribution(c, num_examples_per_class_seen):$/;" f +_estimate_error adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _estimate_error(self, K, h): # Left for testing purposes.$/;" m class:DOP853 +_estimate_error adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _estimate_error(self, K, h):$/;" m class:RungeKutta +_estimate_error_norm adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _estimate_error_norm(self, K, h, scale):$/;" m class:DOP853 +_estimate_error_norm adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _estimate_error_norm(self, K, h, scale):$/;" m class:RungeKutta +_estimate_gradients_2d_global adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpnd_info.py /^def _estimate_gradients_2d_global():$/;" f +_estimate_initial_dist_ds adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _estimate_initial_dist_ds($/;" f +_estimate_step_duration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def _estimate_step_duration(self, current, now):$/;" m class:Progbar +_EstimatorSpecFunction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^class _EstimatorSpecFunction(function.Function):$/;" c +_EstimatorWrappedGraph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^class _EstimatorWrappedGraph(wrap_function.WrappedGraph):$/;" c +_estimator_api_gauge adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^_estimator_api_gauge = monitoring.BoolGauge('\/tensorflow\/api\/estimator',$/;" v +_ESTIMATOR_DOC_SOURCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/doc_srcs.py /^_ESTIMATOR_DOC_SOURCES = {$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v1.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v2.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v1.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v1.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v2.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v2.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v2.estimator"$/;" v +_estimator_module adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_estimator_module = "tensorflow_estimator.python.estimator.api._v2.estimator"$/;" v +_etag_re adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_etag_re = re.compile(r'([Ww]\/)?(?:"(.*?)"|(.*?))(?:\\s*,\\s*|$)')$/;" v +_ETS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^_ETS = {"pyqt5": QT_API_PYQT5, "pyside2": QT_API_PYSIDE2,$/;" v +_EuclideanNormGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _EuclideanNormGrad(op, grad):$/;" f +_euclidean_dist adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _euclidean_dist(x):$/;" f +_EUCLIDEAN_METHODS adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_EUCLIDEAN_METHODS = ('centroid', 'median', 'ward')$/;" v +_EULER adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_EULER = 0.577215664901532860606512090082402431042$/;" v +_euler_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^_euler_gamma = 0.57721566490153286060$/;" v +_euler_step adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def _euler_step(xf_traj, yf_traj, dmap, f):$/;" f +_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _eval(self, tensor):$/;" m class:TableHandler +_evalfuns adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^_evalfuns = ['eval_legendre', 'eval_chebyt', 'eval_chebyu',$/;" v +_EvalResult adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _EvalResult($/;" c +_EvalStatus adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _EvalStatus(object):$/;" c +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate(self, x, nu, extrapolate, out):$/;" m class:BPoly +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate(self, x, nu, extrapolate, out):$/;" m class:PPoly +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate(self, x, nu, extrapolate, out):$/;" m class:_ppform +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate(self, x_new):$/;" m class:interp1d +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _evaluate(self, x):$/;" m class:BarycentricInterpolator +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _evaluate(self, x):$/;" m class:KroghInterpolator +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _evaluate(self, x):$/;" m class:_Interpolator1D +_evaluate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def _evaluate(self, xp, nu, extrapolate, out):$/;" m class:BSpline +_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def _evaluate(tensor):$/;" f +_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _evaluate():$/;" f member:Estimator._actual_eval file: +_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def _evaluate(self, train_session):$/;" m class:InMemoryEvaluatorHook +_evaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _evaluate(self, global_step_value):$/;" m class:_NewCheckpointListenerForEvaluate +_evaluate_build_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _evaluate_build_graph(self, input_fn, hooks=None, checkpoint_path=None):$/;" m class:Estimator +_evaluate_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _evaluate_derivatives(self, x, der=None):$/;" m class:KroghInterpolator +_evaluate_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate_linear(self, indices, norm_distances, out_of_bounds):$/;" m class:RegularGridInterpolator +_evaluate_markers adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def _evaluate_markers(markers, environment):$/;" f +_evaluate_markers adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def _evaluate_markers(markers, environment):$/;" f +_evaluate_markers adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def _evaluate_markers(markers, environment):$/;" f +_evaluate_nearest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _evaluate_nearest(self, indices, norm_distances, out_of_bounds):$/;" m class:RegularGridInterpolator +_evaluate_once adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^def _evaluate_once(checkpoint_path,$/;" f +_evaluate_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _evaluate_ops(self, features):$/;" m class:OneShotPredictionHead +_evaluate_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _evaluate_ops(self, features):$/;" m class:TimeSeriesRegressionHead +_evaluate_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _evaluate_run(self, checkpoint_path, scaffold, update_op, eval_dict,$/;" m class:Estimator +_evaluate_trust adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _evaluate_trust(self, trust_bundle):$/;" m class:WrappedSocket +_evaluate_var_is_initialized adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^def _evaluate_var_is_initialized(variables):$/;" f +_Evaluator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ class _Evaluator(object):$/;" c class:_TrainingExecutor +_EVALUEERR adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_EVALUEERR = -3$/;" v +_eval_cache adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^_eval_cache : Dict[str, List[str]] = {}$/;" v +_eval_constraint adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^def _eval_constraint(x, cons):$/;" f +_eval_con_normals adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^def _eval_con_normals(x, cons, la, n, m, meq, mieq):$/;" f +_eval_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^ def _eval_fn(strategy):$/;" f function:train_and_evaluate file: +_eval_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _eval_helper(self, tensors):$/;" m class:TensorFlowTestCase +_eval_if_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _eval_if_composite(self, tensor):$/;" m class:GraphExecutionFunction +_eval_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _eval_indexed_slices(a):$/;" f +_eval_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _eval_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_eval_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _eval_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_eval_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _eval_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_eval_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _eval_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_eval_length adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _eval_length(length, params):$/;" f +_eval_metric_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _eval_metric_ops(self, labels, class_ids, weights, unreduced_loss,$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +_eval_metric_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _eval_metric_ops(self, labels, logits, logistic, class_ids, weights,$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +_eval_metric_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _eval_metric_ops(self, predicted_value, labels, weights, unreduced_loss,$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +_eval_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _eval_mle(lmb, data):$/;" f function:boxcox_normmax._mle file: +_eval_on_tpu_system adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _eval_on_tpu_system(ctx, model_fn_wrapper, dequeue_fn):$/;" f +_eval_op adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def _eval_op(lhs, op, rhs):$/;" f +_eval_op adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def _eval_op(lhs, op, rhs):$/;" f +_eval_op adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def _eval_op(lhs, op, rhs):$/;" f +_eval_pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _eval_pearsonr(lmbda, xvals, samps):$/;" f function:boxcox_normmax._pearsonr file: +_eval_poly adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^def _eval_poly(y, coef):$/;" f +_eval_scalar adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _eval_scalar(value, params):$/;" f +_EVAL_SESSION_MASTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_EVAL_SESSION_MASTER_KEY = 'eval_session_master'$/;" v +_eval_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _eval_shape(shape: Sequence[PolyDim]) -> Sequence[TfVal]:$/;" f +_EVAL_STEPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^_EVAL_STEPS = 20$/;" v +_eval_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _eval_tensor(self, tensor):$/;" m class:TensorFlowTestCase +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_ClassVar +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_ClassVarMeta +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_Final +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_FinalMeta +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_Literal +_eval_type adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _eval_type(self, globalns, localns):$/;" m class:_LiteralMeta +_eval_using_default_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _eval_using_default_session(tensors, feed_dict, graph, session=None):$/;" f +_eval_using_default_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^_eval_using_default_session = ops._eval_using_default_session$/;" v +_Event adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_qt.py /^ class _Event:$/;" c function:test_correct_key file: +_EVENT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_EVENT = _descriptor.Descriptor($/;" v +_event adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^ def _event(self, event):$/;" m class:TraceEventsJsonStream +_EVENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_EVENT = _descriptor.Descriptor($/;" v +_EVENTLISTENER adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_EVENTLISTENER = _descriptor.ServiceDescriptor($/;" v +_EventLoggerThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^class _EventLoggerThread(threading.Thread):$/;" c +_EVENTREPLY adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_EVENTREPLY = _descriptor.Descriptor($/;" v +_EVENTREPLY_DEBUGOPSTATECHANGE adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_EVENTREPLY_DEBUGOPSTATECHANGE = _descriptor.Descriptor($/;" v +_EVENTREPLY_DEBUGOPSTATECHANGE_STATE adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_EVENTREPLY_DEBUGOPSTATECHANGE_STATE = _descriptor.EnumDescriptor($/;" v +_events adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^ def _events(self):$/;" m class:TraceEventsJsonStream +_events_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^def _events_from_file(filepath):$/;" f +_events_from_logdir adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/stats_dataset_test_base.py /^def _events_from_logdir(logdir):$/;" f +_events_from_logdir adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _events_from_logdir(test_case, logdir):$/;" f +_EVENT_FILE_GLOB_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^_EVENT_FILE_GLOB_PATTERN = 'events.out.tfevents.*'$/;" v +_EVENT_FILE_INACTIVE_SECS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^_EVENT_FILE_INACTIVE_SECS = 4000$/;" v +_EVENT_FILE_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^_EVENT_FILE_SUFFIX = '.profile-empty'$/;" v +_event_handler adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _event_handler(state, response_deserializer):$/;" f +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _event_shape(self):$/;" m class:Bernoulli +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _event_shape(self):$/;" m class:Beta +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _event_shape(self):$/;" m class:Categorical +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _event_shape(self):$/;" m class:Dirichlet +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _event_shape(self):$/;" m class:DirichletMultinomial +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _event_shape(self):$/;" m class:Distribution +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _event_shape(self):$/;" m class:Gamma +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _event_shape(self):$/;" m class:Laplace +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _event_shape(self):$/;" m class:Multinomial +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _event_shape(self):$/;" m class:Normal +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _event_shape(self):$/;" m class:StudentT +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _event_shape(self):$/;" m class:TransformedDistribution +_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _event_shape(self):$/;" m class:Uniform +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _event_shape_tensor(self):$/;" m class:Bernoulli +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _event_shape_tensor(self):$/;" m class:Beta +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _event_shape_tensor(self):$/;" m class:Categorical +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _event_shape_tensor(self):$/;" m class:Dirichlet +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _event_shape_tensor(self):$/;" m class:DirichletMultinomial +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _event_shape_tensor(self):$/;" m class:Distribution +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _event_shape_tensor(self):$/;" m class:Gamma +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _event_shape_tensor(self):$/;" m class:Laplace +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _event_shape_tensor(self):$/;" m class:Multinomial +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _event_shape_tensor(self):$/;" m class:Normal +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _event_shape_tensor(self):$/;" m class:StudentT +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _event_shape_tensor(self):$/;" m class:TransformedDistribution +_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _event_shape_tensor(self):$/;" m class:Uniform +_EVICTION_PERIOD adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _EVICTION_PERIOD = datetime.timedelta($/;" v +_EVICTION_PERIOD_KEY adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^_EVICTION_PERIOD_KEY = "GRPC_PYTHON_MANAGED_CHANNEL_EVICTION_SECONDS"$/;" v +_eviction_ready adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _eviction_ready: threading.Event = threading.Event()$/;" v class:ChannelCache +_evict_locked adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def _evict_locked(self, key: CacheKey):$/;" m class:ChannelCache +_exactly_one_of adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^def _exactly_one_of(infos):$/;" f +_exact_1_norm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _exact_1_norm(A):$/;" f +_exact_inf_norm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _exact_inf_norm(A):$/;" f +_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^_EXAMPLE = _descriptor.Descriptor($/;" v +_EXAMPLELIST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^_EXAMPLELIST = _descriptor.Descriptor($/;" v +_EXAMPLELISTWITHCONTEXT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^_EXAMPLELISTWITHCONTEXT = _descriptor.Descriptor($/;" v +_EXAMPLEPARSERCONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_EXAMPLEPARSERCONFIGURATION = _descriptor.Descriptor($/;" v +_EXAMPLEPARSERCONFIGURATION_FEATUREMAPENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_EXAMPLEPARSERCONFIGURATION_FEATUREMAPENTRY = _descriptor.Descriptor($/;" v +_examples_from_path_handler adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _examples_from_path_handler(self, request):$/;" m class:WhatIfToolPlugin +_ExceptHandler adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _ExceptHandler(self, t):$/;" m class:Unparser +_EXCEPTIONS adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _EXCEPTIONS = [os.devnull]$/;" v +_EXCEPTION_CLASS_TO_CODE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^_EXCEPTION_CLASS_TO_CODE = dict($/;" v +_EXCEPTION_CLASS_TO_CODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^_EXCEPTION_CLASS_TO_CODE = {$/;" v +_exception_patterns adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _exception_patterns = [$/;" v class:DirectorySandbox +_exception_printer adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _exception_printer(exc):$/;" f +_exclude_denylisted_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _exclude_denylisted_ops(self, node_names):$/;" m class:DebugAnalyzer +_exclude_files_parser adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _exclude_files_parser(cls, key):$/;" m class:ConfigHandler +_exclude_misc adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _exclude_misc(self, name, value):$/;" m class:Distribution +_exclude_packages adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _exclude_packages(self, packages):$/;" m class:Distribution +_exclude_paths adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^_exclude_paths = [os.path.dirname(jax.version.__file__)]$/;" v +_exclude_paths adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^_exclude_paths = [__file__, util.__file__]$/;" v +_exclude_pattern adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def _exclude_pattern(self, pattern, anchor=True, prefix=None,$/;" m class:Manifest +_exclude_pkg_path adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def _exclude_pkg_path(self, pkg, exclusion_path):$/;" m class:install_lib +_EXCLUDE_QCONFIG_PROPAGATE_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^_EXCLUDE_QCONFIG_PROPAGATE_LIST = {$/;" v +_EXCLUDE_QCONFIG_PROPAGATE_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^_EXCLUDE_QCONFIG_PROPAGATE_LIST = {$/;" v +_exc_info_to_string adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def _exc_info_to_string(self, err, test=None):$/;" m class:_TextAndXMLTestResult +_Exec adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Exec(self, t):$/;" m class:Unparser +_execfile adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def _execfile(filename, globals, locals=None):$/;" f +_ExecInfo adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_ExecInfo = namedtuple("_ExecInfo", "executable version")$/;" v +_EXECMEMORY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECMEMORY = _descriptor.Descriptor($/;" v +_EXECMEMORY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECMEMORY = _descriptor.Descriptor($/;" v +_EXECMEMORY_OUTPUTMEMORYENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECMEMORY_OUTPUTMEMORYENTRY = _descriptor.Descriptor($/;" v +_EXECMEMORY_OUTPUTMEMORYENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECMEMORY_OUTPUTMEMORYENTRY = _descriptor.Descriptor($/;" v +_EXECPROFILE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECPROFILE = _descriptor.Descriptor($/;" v +_EXECPROFILE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECPROFILE = _descriptor.Descriptor($/;" v +_EXECPROFILE_ACCELERATOREXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECPROFILE_ACCELERATOREXECSENTRY = _descriptor.Descriptor($/;" v +_EXECPROFILE_ACCELERATOREXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECPROFILE_ACCELERATOREXECSENTRY = _descriptor.Descriptor($/;" v +_EXECPROFILE_CPUEXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECPROFILE_CPUEXECSENTRY = _descriptor.Descriptor($/;" v +_EXECPROFILE_CPUEXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECPROFILE_CPUEXECSENTRY = _descriptor.Descriptor($/;" v +_exectest adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def _exectest(self, dt):$/;" m class:TestFloats +_EXECTIME adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_EXECTIME = _descriptor.Descriptor($/;" v +_EXECTIME adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_EXECTIME = _descriptor.Descriptor($/;" v +_executable_keys adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ _executable_keys = ['version_cmd', 'compiler_f77', 'compiler_f90',$/;" v class:FCompiler +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.eager import execute as _execute$/;" x +_execute adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def _execute(wrapped, instance, args, kwargs):$/;" f function:transient_function_wrapper._decorator._wrapper file: +_execute_compiled adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _execute_compiled(compiled: XlaExecutable, avals, handlers, *args):$/;" f +_execute_compiled_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _execute_compiled_primitive(prim, compiled, result_handler, *args):$/;" f +_execute_evaluator_once adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _execute_evaluator_once(self, evaluator, continuous_eval_listener,$/;" m class:_TrainingExecutor +_execute_replicated adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _execute_replicated(compiled: XlaExecutable, avals, handlers, *args):$/;" f +_execute_replicated_primitive adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _execute_replicated_primitive(prim, compiled, result_handler, *args):$/;" f +_execute_spatially_partitioned adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _execute_spatially_partitioned(compiled, in_handler, out_handler, *args):$/;" f +_execute_trivial adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _execute_trivial(jaxpr, device: Optional[Device], consts, avals, handlers, *args):$/;" f +_EXECUTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_EXECUTION = _descriptor.Descriptor($/;" v +_EXECUTIONHANDLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_EXECUTIONHANDLE = _descriptor.Descriptor($/;" v +_EXECUTIONPROFILE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_EXECUTIONPROFILE = _descriptor.Descriptor($/;" v +_ExecutionSignature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^class _ExecutionSignature($/;" c +_EXECUTIONSTEP adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_EXECUTIONSTEP = _descriptor.Descriptor($/;" v +_execution_digest_from_debug_event_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^def _execution_digest_from_debug_event_proto(debug_event, locator):$/;" f +_execution_from_debug_event_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^def _execution_from_debug_event_proto(debug_event, locator):$/;" f +_EXECUTION_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _EXECUTION_SUFFIX = ".execution"$/;" v class:DebugEventsReader +_executor_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def _executor_scope(self):$/;" m class:CollectiveReplicaLauncher +_exec_command adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def _exec_command(command, use_shell=None, use_tee = None, **env):$/;" f +_exec_func adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _exec_func(self, exec_mode, method, *args):$/;" m class:DistAutogradTest +_exec_func_with_dst adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _exec_func_with_dst(self, dst, exec_mode, method, *args):$/;" m class:DistAutogradTest +_EXEC_INDEX_SUFFIX_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^_EXEC_INDEX_SUFFIX_PATTERN = re.compile(r"\\[(\\d)*\\]$")$/;" v +_exec_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _exec_key = 'animation.avconv_path'$/;" v class:AVConvBase +_exec_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _exec_key = 'animation.convert_path'$/;" v class:ImageMagickBase +_exec_key adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ _exec_key = 'animation.ffmpeg_path'$/;" v class:FFMpegBase +_EXEC_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_EXEC_PREFIX = os.path.normpath(sys.exec_prefix)$/;" v +_exempted adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _exempted(self, filepath):$/;" m class:DirectorySandbox +_exe_cache adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ _exe_cache = {}$/;" v class:FCompiler +_exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def _exit(data, name=None):$/;" f +_ExitGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _ExitGrad(op, grad):$/;" f +_ExitOutsideCompilationScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _ExitOutsideCompilationScope(self):$/;" m class:TPUReplicateContext +_exit_and_record_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _exit_and_record_scope(self, node, tag=anno.Static.SCOPE):$/;" m class:ActivityAnalyzer +_exit_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^def _exit_eager_fallback(data, name, ctx):$/;" f +_exit_if_requested_by_user adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _exit_if_requested_by_user(self):$/;" m class:LocalCLIDebugWrapperSession +_exit_lexical_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _exit_lexical_scope(self, node):$/;" m class:AstToCfg +_exit_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _exit_scope(self):$/;" m class:ActivityAnalyzer +_exit_wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _exit_wrapper(*exc_details):$/;" f member:ExitStack._push_cm_exit file: +_exit_wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _exit_wrapper(exc_type, exc, tb):$/;" f member:ExitStack.callback file: +_expand adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _expand(dim, size, index, x):$/;" f +_expand adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _expand(self, xmargin, ymargin=None):$/;" m class:Image +_expand adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _expand(self, *attrs):$/;" m class:easy_install +_ExpandDimsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ExpandDimsGrad(op, grad):$/;" f +_expanded adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _expanded = lambda p: p if not isinstance(p, ParseResults) else ''.join(unichr(c) for c in r/;" f function:srange file: +_expanded adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _expanded = lambda p: p if not isinstance(p,ParseResults) else ''.join(unichr(c) for c in ra/;" f function:srange file: +_expanded adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _expanded = lambda p: p if not isinstance(p, ParseResults) else ''.join(unichr(c) for c in r/;" f function:srange file: +_expanded adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _expanded = lambda p: p if not isinstance(p,ParseResults) else ''.join(unichr(c) for c in ra/;" f function:srange file: +_expandtabs_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _expandtabs_dispatcher(a, tabsize=None):$/;" f +_expand_and_tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _expand_and_tile(tensor, multiple, dim=0, name=None):$/;" f +_expand_attrs adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _expand_attrs(self, attrs):$/;" m class:easy_install +_expand_attrs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install.py /^ def _expand_attrs(self, attrs):$/;" m class:install +_expand_bool_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _expand_bool_indices(idx):$/;" f +_expand_dims_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _expand_dims_dispatcher(a, axis):$/;" f +_expand_distributed_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ def _expand_distributed_variables(self):$/;" m class:VariablePolicy +_expand_ellipsis adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def _expand_ellipsis(args, rank):$/;" f +_expand_ellipsis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _expand_ellipsis(key_list, num_remaining_dims):$/;" f +_expand_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _expand_globals(config):$/;" f +_expand_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _expand_mask(mask_t, input_t, fixed_dim=1):$/;" f function:rnn file: +_expand_sample_shape_to_vector adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _expand_sample_shape_to_vector(self, x, name):$/;" m class:Distribution +_expand_single_1d_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _expand_single_1d_tensor(t):$/;" f function:expand_1d file: +_expand_vars adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _expand_vars(scheme, vars):$/;" f +_expect adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _expect(self, s):$/;" m class:LatexManager +_expect adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def _expect(self, token, tp):$/;" m class:FortranFormatParser +_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _expect(self, fun, lb, ub, *args, **kwds):$/;" m class:rv_sample +_expect adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _expect(fun, lb, ub, x0, inc, maxcount=1000, tolerance=1e-10,$/;" f +_expectations adpepsenv/lib/python3.8/site-packages/scipy/cluster/tests/test_hierarchy.py /^ _expectations = {$/;" v class:TestLinkageTies +_expectedException adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _expectedException(self):$/;" m class:BiasAddTestBase +_expected_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^ def _expected_loop(self):$/;" m class:TestNetBuilder +_expect_int adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def _expect_int(value, msg=None):$/;" f +_expect_partial_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _expect_partial_checkpoint(self):$/;" m class:Loader +_expect_prompt adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _expect_prompt(self):$/;" m class:LatexManager +_EXPERIMENT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_EXPERIMENT = _descriptor.Descriptor($/;" v +_EXPERIMENT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^_EXPERIMENT = _descriptor.Descriptor($/;" v +_EXPERIMENTAL_APIS_USED adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^_EXPERIMENTAL_APIS_USED = set()$/;" v +_EXPERIMENTAL_CPP_DEVICE_ARRAY adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_EXPERIMENTAL_CPP_DEVICE_ARRAY = False$/;" v +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:CollectiveAllReduceExtended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:StrategyExtendedV2 +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:_DefaultDistributionExtended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:MirroredExtended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:OneDeviceExtended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:ParameterServerStrategyExtended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:ParameterServerStrategyV2Extended +_experimental_distribute_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _experimental_distribute_dataset(self, dataset, options):$/;" m class:TPUExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:CollectiveAllReduceExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:StrategyExtendedV2 +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:_DefaultDistributionExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:MirroredExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:OneDeviceExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:ParameterServerStrategyExtended +_experimental_distribute_values_from_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _experimental_distribute_values_from_function(self, value_fn):$/;" m class:TPUExtended +_experimental_initialize_system adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _experimental_initialize_system(self):$/;" m class:TPUExtended +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:StrategyExtendedV1 +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:_DefaultDistributionExtended +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:MirroredExtended +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:OneDeviceExtended +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:ParameterServerStrategyExtended +_experimental_make_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _experimental_make_numpy_dataset(self, numpy_input, session):$/;" m class:TPUExtended +_EXPERIMENTAL_OUTPUT_ALL_INTERMEDIATES_OVERRIDE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^_EXPERIMENTAL_OUTPUT_ALL_INTERMEDIATES_OVERRIDE = None$/;" v +_experimental_run_steps_on_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _experimental_run_steps_on_iterator(self, fn, iterator, iterations,$/;" m class:StrategyExtendedV1 +_experimental_run_steps_on_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _experimental_run_steps_on_iterator(self, fn, iterator, iterations,$/;" m class:MirroredExtended +_experimental_run_steps_on_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _experimental_run_steps_on_iterator(self, fn, iterator, iterations,$/;" m class:OneDeviceExtended +_experimental_run_steps_on_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _experimental_run_steps_on_iterator($/;" m class:TPUExtended +_experimental_with_cancellation_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _experimental_with_cancellation_manager(self, cancellation_manager):$/;" m class:ConcreteFunction +_EXPERIMENTMASK adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^_EXPERIMENTMASK = _descriptor.Descriptor($/;" v +_EXPERIMENTURLFORMAT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_EXPERIMENTURLFORMAT = _descriptor.Descriptor($/;" v +_EXPERIMENT_DESCRIPTION_MAX_CHARS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^_EXPERIMENT_DESCRIPTION_MAX_CHARS = 600$/;" v +_experiment_directory adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^def _experiment_directory(base_dir, experiment_id):$/;" f +_experiment_id adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^from tensorboard.backend import experiment_id as _experiment_id$/;" x +_EXPERIMENT_NAME_MAX_CHARS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^_EXPERIMENT_NAME_MAX_CHARS = 100$/;" v +_EXPERIMENT_NAME_PATTERNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_EXPERIMENT_NAME_PATTERNS = re.compile(r"^[^\\x00-\\x1F<>]{0,256}$")$/;" v +_EXPERIMENT_PATH_COMPONENT adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experiment_id.py /^_EXPERIMENT_PATH_COMPONENT = "experiment"$/;" v +_ExpGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ExpGrad(op, grad):$/;" f +_ExpintGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ExpintGrad(op, grad):$/;" f +_ExpiredPerTag adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ExpiredPerTag(value):$/;" f member:EventAccumulator._Purge file: +_expit_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _expit_taylor(primals_in, series_in):$/;" f +_explicit_laplacian adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/tests/test_graph_laplacian.py /^def _explicit_laplacian(x, normed=False):$/;" f +_explode_shorthand_ip_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _explode_shorthand_ip_string(self):$/;" m class:_BaseV4 +_explode_shorthand_ip_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _explode_shorthand_ip_string(self):$/;" m class:_BaseV6 +_expm adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _expm(A, upper_triangular, max_squarings):$/;" f +_expm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _expm(A, use_exact_onenorm):$/;" f +_Expm1Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _Expm1Grad(op, grad):$/;" f +_ExpmPadeHelper adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^class _ExpmPadeHelper(object):$/;" c +_expm_description adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^_expm_description = textwrap.dedent("""$/;" v +_expm_frechet adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _expm_frechet(A, E, method=None, compute_expm=True):$/;" f +_expm_frechet_description adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^_expm_frechet_description = textwrap.dedent("""$/;" v +_expm_multiply_interval adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_interval(A, B, start=None, stop=None,$/;" f +_expm_multiply_interval_core_0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_interval_core_0(A, X, h, mu, q, norm_info, tol, ell, n0):$/;" f +_expm_multiply_interval_core_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_interval_core_1(A, X, h, mu, m_star, s, q, tol):$/;" f +_expm_multiply_interval_core_2 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_interval_core_2(A, X, h, mu, m_star, s, q, tol):$/;" f +_expm_multiply_simple adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_simple(A, B, t=1.0, balance=False):$/;" f +_expm_multiply_simple_core adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _expm_multiply_simple_core(A, B, t, mu, m_star, s, tol=None, balance=False):$/;" f +_exponential adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _exponential(key, shape, dtype):$/;" f +_exponential adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ _exponential = {'best1exp': '_best1',$/;" v class:DifferentialEvolutionSolver +_ExponentialModel adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^class _ExponentialModel(Model):$/;" c +_exponential_space_einsum_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _exponential_space_einsum_v1(equation, *inputs):$/;" f +_export adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def _export(self):$/;" m class:CheckpointedOp +_export adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _export(model, args, f, export_params=True, verbose=False, training=None,$/;" f +_export adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def _export(*args, **kwargs):$/;" f +_exported_dunders adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^_exported_dunders = set([$/;" v +_ExportIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _ExportIntent(_Intent):$/;" c +_export_all_saved_models adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _export_all_saved_models(self,$/;" m class:Estimator +_export_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _export_debug_info(exported_graph, export_dir):$/;" f +_export_eval_result adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _export_eval_result(self, eval_result, is_the_final_export):$/;" m class:_TrainingExecutor._Evaluator +_export_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.python.training.saver import export_meta_graph as _export_meta_graph$/;" x +_export_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _export_mode($/;" f +_export_model_json adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _export_model_json(model, saved_model_path):$/;" f +_export_model_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _export_model_variables(model, saved_model_path):$/;" f +_export_onnx_opset_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_export_onnx_opset_version = _default_onnx_opset_version$/;" v +_export_output_to_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _export_output_to_tensors(export_output):$/;" f +_export_to_pretty_string adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _export_to_pretty_string(model, args, f, export_params=True, verbose=False, training=None,$/;" f +_export_to_pretty_string adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def _export_to_pretty_string(*args, **kwargs):$/;" f +_export_values adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _export_values(self):$/;" m class:SubplotToolQt +_export_version_parser adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def _export_version_parser(path):$/;" f member:BestExporter._garbage_collect_exports file: +_export_version_parser adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def _export_version_parser(path):$/;" f member:LatestExporter._garbage_collect_exports file: +_Expr adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Expr(self, tree):$/;" m class:Unparser +_Expression adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Expression(self, tree):$/;" m class:Unparser +_exp_est adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _exp_est(data):$/;" f +_exp_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _exp_fcn(B, x):$/;" f +_exp_fjb adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _exp_fjb(B, x):$/;" f +_exp_fjd adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _exp_fjd(B, x):$/;" f +_exp_range_scale_fn adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _exp_range_scale_fn(self, x):$/;" m class:CyclicLR +_exp_sinch adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _exp_sinch(a, x):$/;" f +_exp_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _exp_taylor(primals_in, series_in):$/;" f +_extend adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def _extend(self, other):$/;" m class:UnknownFieldSet +_extend adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def _extend(M, sym):$/;" f +_extended_N adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _extended_N(self):$/;" m class:ColorbarBase +_extended_shape adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def _extended_shape(self, sample_shape=torch.Size()):$/;" m class:Distribution +_extendLine adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _extendLine(s, line, word, line_width, next_line_prefix, legacy):$/;" f +_extendMarkdown adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def _extendMarkdown(self, *args):$/;" m class:Extension +_ExtendOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _ExtendOps(self, new_ops):$/;" m class:Net +_extend_before adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _extend_before(self, other):$/;" m class:RichTextLines +_extend_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _extend_dict(target_dict, other_dict):$/;" f +_extend_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _extend_graph(self):$/;" m class:BaseSession +_extend_lower adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _extend_lower(self):$/;" m class:ColorbarBase +_extend_mode_to_code adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_support.py /^def _extend_mode_to_code(mode):$/;" f +_extend_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def _extend_path(self, path, mutation_size=10):$/;" m class:_FancyAxislineStyle.SimpleArrow +_extend_upper adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _extend_upper(self):$/;" m class:ColorbarBase +_Extension adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^_Extension = get_unpatched(distutils.core.Extension)$/;" v +_ExtensionDict adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^class _ExtensionDict(object):$/;" c +_ExtensionDict adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^_ExtensionDict = extension_dict._ExtensionDict$/;" v +_EXTENSIONRANGEOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_EXTENSIONRANGEOPTIONS = _descriptor.Descriptor($/;" v +_ExtensionsGenericMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^class _ExtensionsGenericMeta(GenericMeta):$/;" c +_EXTENSION_TO_TOOL adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^_EXTENSION_TO_TOOL = {extension: tool for tool, extension in TOOLS.items()}$/;" v +_EXTERNALDATAPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_EXTERNALDATAPROTO = _descriptor.Descriptor($/;" v +_EXTERNALDATAPROTO_SOURCETYPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_EXTERNALDATAPROTO_SOURCETYPE = _descriptor.EnumDescriptor($/;" v +_EXTERNAL_ACCOUNT_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_EXTERNAL_ACCOUNT_TYPE = "external_account"$/;" v +_external_data_base_url adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^_external_data_base_url = 'https:\/\/www.red-dove.com\/pypi\/projects\/'$/;" v +_external_entry adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def _external_entry(name, offset=0, size=h5f.UNLIMITED):$/;" f +_EXTERNAL_FILENAME_PATTERNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_EXTERNAL_FILENAME_PATTERNS = [$/;" v +_external_modification adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _external_modification(self):$/;" m class:ListWrapper +_external_modification adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _external_modification(self, value):$/;" m class:ListWrapper +_external_value_resolution_pass adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _external_value_resolution_pass(cls, model, raw_values_dict):$/;" m class:Caffe2Backend +_ExternNode adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class _ExternNode(_PathNode):$/;" c +_ExtractImagePatchesGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ExtractImagePatchesGrad(op, grad):$/;" f +_ExtractInputShapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^ def _ExtractInputShapes(inputs):$/;" f function:_ConcatGradHelper file: +_ExtractModuleReferences adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^class _ExtractModuleReferences(ast.NodeVisitor):$/;" c +_ExtractSymbols adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^def _ExtractSymbols(desc_proto, package):$/;" f +_ExtractSymbols adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _ExtractSymbols(self, descriptors):$/;" m class:DescriptorPool +_ExtractVolumePatchesGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ExtractVolumePatchesGrad(op, grad):$/;" f +_extract_archive adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def _extract_archive(file_path, path='.', archive_format='auto'):$/;" f +_extract_available_modes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _extract_available_modes(self):$/;" m class:SavedModelEstimator +_extract_batch_length adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _extract_batch_length(self, preds_evaluated):$/;" m class:Estimator +_EXTRACT_CHARSET_PATTERN adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_EXTRACT_CHARSET_PATTERN = re.compile(r"charset=([-_0-9A-Za-z]+)")$/;" v +_extract_db_name_from_db_path adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def _extract_db_name_from_db_path(self):$/;" m class:DBFileReader +_extract_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _extract_dispatcher(condition, arr):$/;" f +_extract_eval_metrics adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _extract_eval_metrics(output_dict):$/;" f +_extract_failed_ps_instances adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _extract_failed_ps_instances(err_msg):$/;" f +_extract_filename adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _extract_filename(self, flagfile_str):$/;" m class:FlagValues +_extract_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/example_parser_configuration.py /^def _extract_from_parse_example(parse_example_op, sess):$/;" f +_extract_from_parse_example_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/example_parser_configuration.py /^def _extract_from_parse_example_v2(parse_example_op, sess):$/;" f +_extract_glimpse_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _extract_glimpse_transformer(parent, node, full_name, name, logs):$/;" f +_extract_graph_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _extract_graph_summary(graph_def):$/;" f +_extract_keys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _extract_keys(self, predictions, predict_keys):$/;" m class:Estimator +_extract_key_names adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _extract_key_names(tensor_or_dict):$/;" f +_extract_member adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _extract_member(self, tarinfo, targetpath, set_attrs=True):$/;" m class:TarFile +_extract_metric_update_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _extract_metric_update_ops(eval_dict, distribution=None):$/;" f +_EXTRACT_MIMETYPE_PATTERN adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_EXTRACT_MIMETYPE_PATTERN = re.compile(r"^[^;\\s]*")$/;" v +_extract_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _extract_options(orig_script):$/;" m class:CommandSpec +_extract_outputs_from_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _extract_outputs_from_fn(layer, call_and_return_conditional_losses):$/;" f +_extract_resource adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _extract_resource(self, manager, zip_path):$/;" m class:ZipProvider +_extract_resource adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _extract_resource(self, manager, zip_path):$/;" m class:ZipProvider +_extract_sample_weight_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _extract_sample_weight_tensors(features):$/;" f +_extract_saver_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def _extract_saver_restore(self, wrapped, saver):$/;" m class:_EagerSavedModelLoader +_extract_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def _extract_signatures(self, wrapped, meta_graph_def):$/;" m class:_EagerSavedModelLoader +_extract_stacktrace adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _extract_stacktrace():$/;" f +_extract_submatrices adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _extract_submatrices(M, block_indices, block_size, axis):$/;" f +_extract_topology_sequence_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _extract_topology_sequence_mapping(nodes):$/;" f +_extract_value_info adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _extract_value_info(tensor):$/;" m class:Caffe2Frontend +_extract_version_from_fragment adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^def _extract_version_from_fragment(fragment, canonical_name):$/;" f +_EXTRAFLAGS adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ _EXTRAFLAGS = []$/;" v +_extrap_modes adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_extrap_modes = {0: 0, 'extrapolate': 0,$/;" v +_extra_arguments_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_extra_arguments_doc = ($/;" v +_extra_feeds adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _extra_feeds(extra_feed_dict, new_feeds):$/;" f +_extra_keys adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ _extra_keys = ['name', 'version']$/;" v class:Configuration +_extra_keywords_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_extra_keywords_doc = ($/;" v +_extra_setup adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def _extra_setup(cls):$/;" m class:RNG +_extra_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _extra_xml_dom_elements(self, doc):$/;" m class:EnumClassFlag +_extra_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _extra_xml_dom_elements(self, doc):$/;" m class:EnumFlag +_extra_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _extra_xml_dom_elements(self, doc):$/;" m class:Flag +_extra_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _extra_xml_dom_elements(self, doc):$/;" m class:MultiEnumClassFlag +_extra_xml_dom_elements adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _extra_xml_dom_elements(self, doc):$/;" m class:MultiFlag +_extrema_operation adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _extrema_operation(_MaskedUFunc):$/;" c +_extremum_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _extremum_fill_value(obj, extremum, extremum_name):$/;" f +_ExtSlice adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _ExtSlice(self, t):$/;" m class:Unparser +_eye adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _eye(dtype: DType, shape: Shape, offset: int) -> Array:$/;" f +_ezclump adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def _ezclump(mask):$/;" f +_f adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def _f(xy):$/;" f function:inside_circle file: +_f adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _f():$/;" f member:ColorbarBase._config_axes file: +_f adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _f(locs, labels):$/;" f member:AxisArtistHelperRectlinear.Fixed.get_tick_iterators file: +_f adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _f(locs, labels):$/;" f member:AxisArtistHelperRectlinear.Floating.get_tick_iterators file: +_f adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^ _f = lambda x: _kolmogn(n, x) - p$/;" f function:_kolmogni file: +_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _f():$/;" f member:KMeans._mini_batch_sync_updates_op file: +_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _f(a, b):$/;" f function:_wrap file: +_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _f(pfor_input):$/;" f member:RegisterPForWithArgs.__call__ file: +_f adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _f(file_index):$/;" f function:TensorTracer._generate_flush_cache_op._flush_fun file: +_f2py_module_name_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_f2py_module_name_match = re.compile(r'\\s*python\\s*module\\s*(?P<name>[\\w_]+)',$/;" v +_f2py_user_module_name_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_f2py_user_module_name_match = re.compile(r'\\s*python\\s*module\\s*(?P<name>[\\w_]*?'$/;" v +_f2q_storage adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _f2q_storage = dict([(j, i) for i, j in _q2f_storage.items()])$/;" v class:HBMatrixType +_f2q_structure adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _f2q_structure = dict([(j, i) for i, j in _q2f_structure.items()])$/;" v class:HBMatrixType +_f2q_type adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _f2q_type = dict([(j, i) for i, j in _q2f_type.items()])$/;" v class:HBMatrixType +_f6_cache adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_f6_cache = {}$/;" v +_f77flags_re adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_f77flags_re = re.compile(r'(c|)f77flags\\s*\\(\\s*(?P<fcname>\\w+)\\s*\\)\\s*=\\s*(?P<fflags>.*/;" v +_FaceServicerContext adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _FaceServicerContext(face.ServicerContext):$/;" c +_factor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _factor = 1.0$/;" v class:_CollectionWithSizes +_factor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _factor = np.pi ** (-1\/2)$/;" v class:CircleCollection +_factor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _factor = np.pi ** (-1\/2)$/;" v class:RegularPolyCollection +_FACTORY adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^_FACTORY = MessageFactory()$/;" v +_fail adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ def _fail(self, *args, **kwargs):$/;" m class:_FakeSignal +_fail adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ def _fail(*args, **kwargs):$/;" m class:_NVTXStub +_FAILEDTELL adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^_FAILEDTELL = object()$/;" v +_FAILEDTELL adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^_FAILEDTELL = object()$/;" v +_FailureOutcome adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _FailureOutcome(grpc.RpcError, grpc.Future, grpc.Call): # pylint: disable=too-many-ancest/;" c +_fail_pin_auth adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def _fail_pin_auth(self):$/;" m class:DebuggedApplication +_FakeMatrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^class _FakeMatrix(object):$/;" c +_FakeMatrix2 adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^class _FakeMatrix2(object):$/;" c +_FakeNodeDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^class _FakeNodeDef(object):$/;" c +_FakeOperation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^class _FakeOperation(object):$/;" c +_FakeQuantWithMinMaxArgsGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _FakeQuantWithMinMaxArgsGradient(op, grad):$/;" f +_FakeQuantWithMinMaxVarsGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _FakeQuantWithMinMaxVarsGradient(op, grad):$/;" f +_FakeQuantWithMinMaxVarsGradientOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_FakeQuantWithMinMaxVarsGradientOutput = collections.namedtuple($/;" v +_FakeQuantWithMinMaxVarsPerChannelGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _FakeQuantWithMinMaxVarsPerChannelGradient(op, grad):$/;" f +_FakeQuantWithMinMaxVarsPerChannelGradientOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_FakeQuantWithMinMaxVarsPerChannelGradientOutput = collections.namedtuple($/;" v +_FakeServer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ class _FakeServer(object):$/;" c function:_run_std_server file: +_FakeSignal adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ class _FakeSignal(object):$/;" c +_fake_gradient_tape_context_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _fake_gradient_tape_context_manager():$/;" f +_fake_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fake_update():$/;" f function:BatchNormalizationBase._renorm_correction_and_moments._update_renorm_variable file: +_FallbackException adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^class _FallbackException(Exception):$/;" c +_fallback_apply_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _fallback_apply_state(self, var_device, var_dtype):$/;" m class:OptimizerV2 +_fallback_converter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _fallback_converter(pfor_input, warn=True):$/;" f +_falling_factorial adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _falling_factorial(x, n):$/;" f +_fall_back_unconverted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def _fall_back_unconverted(f, args, kwargs, options, exc):$/;" f +_families adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _families(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_family adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _family(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_FancyAxislineStyle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^class _FancyAxislineStyle:$/;" c +_fastCopyAndTranspose adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _fastCopyAndTranspose(type, *arrays):$/;" f +_fastCT adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^_fastCT = fastCopyAndTranspose$/;" v +_fast_asarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _fast_asarray(a):$/;" f function:_promote_dtype file: +_fast_fill adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _fast_fill(value, shape, dtype):$/;" f +_fast_from_codes_and_verts adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def _fast_from_codes_and_verts(cls, verts, codes, internals_from=None):$/;" m class:Path +_fast_quote_plus adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_fast_quote_plus = _make_fast_url_quote(safe=" ", unsafe="+")$/;" v +_fast_spmatrix_to_csc adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def _fast_spmatrix_to_csc(A, hermitian=False):$/;" f +_FAST_TENSOR_UTIL_AVAILABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ _FAST_TENSOR_UTIL_AVAILABLE = False$/;" v +_FAST_TENSOR_UTIL_AVAILABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ _FAST_TENSOR_UTIL_AVAILABLE = True$/;" v +_fast_url_quote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_fast_url_quote = _make_fast_url_quote()$/;" v +_fast_url_quote_plus adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _fast_url_quote_plus(string):$/;" f +_faulty_process_group_construct_rpc_backend_options_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^def _faulty_process_group_construct_rpc_backend_options_handler($/;" f +_faulty_process_group_init_backend_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/_testing/faulty_agent_backend_registry.py /^def _faulty_process_group_init_backend_handler($/;" f +_FB adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class _FB(FollowedBy):$/;" c function:infixNotation file: +_FB adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class _FB(FollowedBy):$/;" c function:infixNotation file: +_fblas_64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^ _fblas_64 = None$/;" v +_FC2 adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^class _FC2(nn.Module):$/;" c +_FCLinearWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _FCLinearWrapper(base.Layer):$/;" c +_FC_or_packed_FC adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/fc.py /^def _FC_or_packed_FC($/;" f +_fdat adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class _fdat:$/;" c +_FEATURE adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURE = _descriptor.Descriptor($/;" v +_FeatureColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _FeatureColumn(object):$/;" c +_FEATURECONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_FEATURECONFIGURATION = _descriptor.Descriptor($/;" v +_FEATURELIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURELIST = _descriptor.Descriptor($/;" v +_FEATURELISTS adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURELISTS = _descriptor.Descriptor($/;" v +_FEATURELISTS_FEATURELISTENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURELISTS_FEATURELISTENTRY = _descriptor.Descriptor($/;" v +_FEATURES adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURES = _descriptor.Descriptor($/;" v +_FEATURES_FEATUREENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FEATURES_FEATUREENTRY = _descriptor.Descriptor($/;" v +_FEATURE_COLUMNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^_FEATURE_COLUMNS = [$/;" v +_FEATURE_COLUMN_DEPRECATION adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^_FEATURE_COLUMN_DEPRECATION = ('The old _FeatureColumn APIs are being '$/;" v +_FEATURE_COLUMN_DEPRECATION_DATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^_FEATURE_COLUMN_DEPRECATION_DATE = None$/;" v +_feature_grouping adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _feature_grouping(self, param, net):$/;" m class:Regularizer +_feature_keys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^from tensorflow_estimator.python.estimator.canned.timeseries import feature_keys as _feature_key/;" x +_FEED adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_FEED = _descriptor.Descriptor($/;" v +_feedErrorsToResult adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def _feedErrorsToResult(self, result, errors, setup_or_teardown=False):$/;" m class:TestCase +_FeedingQueueRunner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^class _FeedingQueueRunner(tf.compat.v1.train.queue_runner.QueueRunner):$/;" c +_feed_field_blobs_from_db_file adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def _feed_field_blobs_from_db_file(self, net):$/;" m class:DBFileReader +_feed_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _feed_fn(feed):$/;" f member:BaseSession.partial_run_setup file: +_feed_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _feed_fn(feed, feed_val):$/;" f member:BaseSession._run file: +_feed_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^ def _feed_inputs(self, input_blobs, device_option):$/;" m class:LeakyReluTest +_feed_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def _feed_inputs(self, input_blobs, device_option):$/;" m class:TestInstanceNorm +_feed_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def _feed_inputs(self, input_blobs, device_option):$/;" m class:TestLeakyRelu +_feed_loss_fns adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _feed_loss_fns(self):$/;" m class:Model +_feed_output_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _feed_output_names(self):$/;" m class:Model +_feed_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _feed_output_shapes(self):$/;" m class:Model +_feed_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _feed_sample_weights(self):$/;" m class:Model +_feed_targets adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _feed_targets(self):$/;" m class:Model +_fetch adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _fetch(self):$/;" m class:SimpleScrapingLocator +_FETCH adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_FETCH = _descriptor.Descriptor($/;" v +_FetchHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _FetchHandler(object):$/;" c +_FetchMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _FetchMapper(object):$/;" c +_fetch_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def _fetch_callback(self, summary):$/;" m class:TensorBoard +_fetch_certs adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^def _fetch_certs(request, certs_url):$/;" f +_fetch_certs adpepsenv/lib/python3.8/site-packages/google/oauth2/_id_token_async.py /^async def _fetch_certs(request, certs_url):$/;" f +_fetch_cloud_tpu_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _fetch_cloud_tpu_metadata(self):$/;" m class:Client +_fetch_handler_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _fetch_handler_run():$/;" f member:BaseSession.make_callable file: +_fetch_hyperlink_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _fetch_hyperlink_command(self, mouse_x, mouse_y):$/;" m class:CursesUI +_fetch_jvp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _fetch_jvp(tensor):$/;" f member:ForwardAccumulator.jvp file: +_fetch_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _fetch_metadata(self):$/;" m class:_InstallRequirementBackedCandidate +_fetch_output adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _fetch_output(self, output):$/;" m class:LocalSession +_fetch_preprocessing_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _fetch_preprocessing_callback(fetch):$/;" f member:WrappedFunction.prune file: +_fetch_stream_responses adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _fetch_stream_responses(self) -> ResponseType:$/;" m class:_StreamResponseMixin +_fetch_token adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def _fetch_token(self, url, **request_kwargs):$/;" m class:OAuth1Session +_fft adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _fft(x, fft_type, fft_lengths):$/;" f +_fft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _fft(self, x):$/;" m class:_BaseLinearOperatorCirculant +_fft2d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _fft2d_grad(_, grad):$/;" f +_fft3d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _fft3d_grad(_, grad):$/;" f +_fftautocorr adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def _fftautocorr(x):$/;" f +_fftconv_faster adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _fftconv_faster(x, h, mode):$/;" f +_fftn_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _fftn_dispatcher(a, s=None, axes=None, norm=None):$/;" f +_fftshift_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^def _fftshift_dispatcher(x, axes=None):$/;" f +_FFTTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_FFTTYPE = _descriptor.EnumDescriptor($/;" v +_fft_core adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def _fft_core(func_name, fft_type, a, s, axes, norm):$/;" f +_fft_core_1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def _fft_core_1d(func_name, fft_type, a, s, axis, norm):$/;" f +_fft_core_2d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/fft.py /^def _fft_core_2d(func_name, fft_type, a, s, axes, norm):$/;" f +_fft_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _fft_dispatcher(a, n=None, axis=None, norm=None):$/;" f +_fft_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _fft_grad(_, grad):$/;" f +_fft_helper adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def _fft_helper(x, win, detrend_func, nperseg, noverlap, nfft, sides):$/;" f +_FFT_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^_FFT_OP = {1: fft_ops.fft, 2: fft_ops.fft2d, 3: fft_ops.fft3d}$/;" v +_fft_rng_factory adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _fft_rng_factory(dtype):$/;" f function:_make_fft_harness file: +_fft_size_for_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _fft_size_for_grad(grad, rank):$/;" f +_fgmres adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/_gcrotmk.py /^def _fgmres(matvec, v0, m, atol, lpsolve=None, rpsolve=None, cs=(), outer_v=(),$/;" f +_fi adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ import _frozen_importlib as _fi$/;" I +_fi adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ import _frozen_importlib_external as _fi$/;" I +_fi adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.lib.io import file_io as _fi$/;" x +_fi adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.lib.io import file_io as _fi$/;" x +_FIELD adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_FIELD = _descriptor.Descriptor($/;" v +_field adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _field(self, name):$/;" m class:PrettyPrinter +_FIELD2ATTR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_FIELD2ATTR = {field: attr for attr, field in _ATTR2FIELD.items()}$/;" v +_FieldDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^_FieldDescriptor = descriptor_mod.FieldDescriptor$/;" v +_FieldDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_FieldDescriptor = descriptor.FieldDescriptor$/;" v +_FIELDDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_FIELDDESCRIPTORPROTO_LABEL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDDESCRIPTORPROTO_LABEL = _descriptor.EnumDescriptor($/;" v +_FIELDDESCRIPTORPROTO_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDDESCRIPTORPROTO_TYPE = _descriptor.EnumDescriptor($/;" v +_FIELDMASK adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^_FIELDMASK = _descriptor.Descriptor($/;" v +_fieldmask adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def _fieldmask(self):$/;" m class:MaskedRecords +_FieldMaskTree adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^class _FieldMaskTree(object):$/;" c +_FIELDOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDOPTIONS = _descriptor.Descriptor($/;" v +_FIELDOPTIONS_CTYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDOPTIONS_CTYPE = _descriptor.EnumDescriptor($/;" v +_FIELDOPTIONS_JSTYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FIELDOPTIONS_JSTYPE = _descriptor.EnumDescriptor($/;" v +_FieldProperty adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^class _FieldProperty(property):$/;" c +_FieldSkipper adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _FieldSkipper():$/;" f +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_big_endian_structure.PaddedStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_big_endian_structure_packed.BigEndStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_bit_fields.BitfieldStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_large_packed_structure.PackedStructure +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_little_endian_structure.PaddedStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_little_endian_structure_packed.LittleEndStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_packed_structure.PackedStructure +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_padded_structure.PaddedStruct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_union.Union +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_union_packed.Struct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_union_packed.Union +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_union_with_struct_packed.Struct +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _fields_ = [$/;" v class:TestFromCTypes.test_union_with_struct_packed.Union +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ _fields_ = [('a', ctypes.c_uint8), ('b', ctypes.c_uint32)]$/;" v class:TestNewBufferProtocol.test_ctypes_struct_via_memoryview.foo +_fields_ adpepsenv/lib/python3.8/site-packages/numpy/tests/test_ctypeslib.py /^ _fields_ = [('a', c_int16)]$/;" v class:TestAsArray.test_struct_array_pointer.Struct +_fields_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _fields_ = [$/;" v class:CONSOLE_SCREEN_BUFFER_INFO +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = fat_arch_64_fields$/;" v class:extract_macosx_min_system_version.FatArch +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = fat_arch_fields$/;" v class:extract_macosx_min_system_version.FatArch +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = build_version_command_fields$/;" v class:read_mach_header.VersionBuild +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = fat_header_fields$/;" v class:extract_macosx_min_system_version.FatHeader +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = version_min_command_fields$/;" v class:read_mach_header.VersionMinCommand +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = mach_header_fields$/;" v class:read_mach_header.MachHeader +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = mach_header_fields_64$/;" v class:read_mach_header.MachHeader +_fields_ adpepsenv/lib/python3.8/site-packages/wheel/macosx_libfile.py /^ _fields_ = segment_base_fields$/;" v class:read_mach_header.SegmentBase +_FieldToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _FieldToJsonObject(self, field, value):$/;" m class:_Printer +_FieldType adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^_FieldType = collections.namedtuple($/;" v +_FIELD_CARDINALITY adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_FIELD_CARDINALITY = _descriptor.EnumDescriptor($/;" v +_FIELD_KIND adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_FIELD_KIND = _descriptor.EnumDescriptor($/;" v +_FIELD_NAME_DEVICE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_DEVICE = 'device:'$/;" v +_FIELD_NAME_NUM_CACHE_INDICES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_CACHE_INDICES = 'number-of-indices:'$/;" v +_FIELD_NAME_NUM_HOSTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_HOSTS = 'num-hosts:'$/;" v +_FIELD_NAME_NUM_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_OPS = 'number-of-ops:'$/;" v +_FIELD_NAME_NUM_REPLICAS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_REPLICAS = 'num-replicas:'$/;" v +_FIELD_NAME_NUM_REPLICAS_PER_HOST adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_REPLICAS_PER_HOST = 'num-replicas-per-host:'$/;" v +_FIELD_NAME_NUM_TENSORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_NUM_TENSORS = 'number-of-tensors:'$/;" v +_FIELD_NAME_RE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^_FIELD_NAME_RE = re.compile('^[a-zA-Z][a-zA-Z0-9_]*$')$/;" v +_FIELD_NAME_SUBMODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_SUBMODE = 'submode:'$/;" v +_FIELD_NAME_TOPOLOGICAL_SORT_SUCCEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_TOPOLOGICAL_SORT_SUCCEED = 'topological-sort-succeed:'$/;" v +_FIELD_NAME_TRACE_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_TRACE_MODE = 'trace-mode:'$/;" v +_FIELD_NAME_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_FIELD_NAME_VERSION = 'version:'$/;" v +_field_template adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _field_template(field, precision):$/;" m class:MMFile +_FifoCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class _FifoCache(object):$/;" c class:ParserElement +_FifoCache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ class _FifoCache(object):$/;" c class:ParserElement +_FifoCache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class _FifoCache(object):$/;" c class:ParserElement +_FifoCache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ class _FifoCache(object):$/;" c class:ParserElement +_FigureCanvasWxBase adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class _FigureCanvasWxBase(FigureCanvasBase, wx.Panel):$/;" c +_file adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _file(self, path, mode='r', *args, **kw):$/;" m class:DirectorySandbox +_file adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _file = _mk_single_path_wrapper('file', _file)$/;" v class:AbstractSandbox +_file adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _file = None$/;" v +_FILEDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FILEDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_FILEDESCRIPTORSET adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FILEDESCRIPTORSET = _descriptor.Descriptor($/;" v +_FILEFORMAT adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^_FILEFORMAT = _descriptor.EnumDescriptor($/;" v +_FileInFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class _FileInFile(object):$/;" c +_FileIO adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^from tensorflow.python.lib.io.file_io import FileIO as _FileIO$/;" x +_FileList adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^from distutils.filelist import FileList as _FileList$/;" x +_filename adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _filename(self, package, resource):$/;" m class:PackageExporter +_filenames adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^_filenames = [$/;" v +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self):$/;" m class:FixedLengthRecordDatasetV1 +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self):$/;" m class:TextLineDatasetV1 +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self):$/;" m class:TFRecordDatasetV1 +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self, value):$/;" m class:FixedLengthRecordDatasetV1 +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self, value):$/;" m class:TextLineDatasetV1 +_filenames adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _filenames(self, value):$/;" m class:TFRecordDatasetV1 +_filename_ascii_strip_re adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^_filename_ascii_strip_re = re.compile(r"[^A-Za-z0-9_.-]")$/;" v +_FILENAME_BLOBS_PREFIX adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_BLOBS_PREFIX = "blob_"$/;" v +_FILENAME_BLOBS_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_BLOBS_SUFFIX = ".bin"$/;" v +_FILENAME_BLOB_SEQUENCES adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_BLOB_SEQUENCES = "blob_sequences.json"$/;" v +_FILENAME_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_METADATA = "metadata.json"$/;" v +_FILENAME_RE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^_FILENAME_RE = re.compile(r'(?:(.*)\\.)?(' +$/;" v +_FILENAME_SAFE_CHARS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_SAFE_CHARS = frozenset(string.ascii_letters + string.digits + "-_")$/;" v +_FILENAME_SCALARS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_SCALARS = "scalars.json"$/;" v +_FILENAME_TENSORS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_FILENAME_TENSORS = "tensors.json"$/;" v +_fileobject adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ _fileobject = None$/;" v +_fileobject adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ _fileobject = None$/;" v +_fileobject adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ _fileobject = None$/;" v +_fileobject adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ _fileobject = None$/;" v +_FileOpeners adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^class _FileOpeners:$/;" c +_FILEOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FILEOPTIONS = _descriptor.Descriptor($/;" v +_FILEOPTIONS_OPTIMIZEMODE adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_FILEOPTIONS_OPTIMIZEMODE = _descriptor.EnumDescriptor($/;" v +_FILESAFE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_FILESAFE = re.compile('[^A-Za-z0-9.]+')$/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG = _descriptor.Descriptor($/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLETOMONITOR adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLETOMONITOR = _descriptor.Descriptor($/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY = _descriptor.Descriptor($/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_ALL adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_ALL = _descriptor.Descriptor($/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_LATEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_LATEST = _descriptor.Descriptor($/;" v +_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_SPECIFIC adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_FILESYSTEMSTORAGEPATHSOURCECONFIG_SERVABLEVERSIONPOLICY_SPECIFIC = _descriptor.Descriptor($/;" v +_FILETYPE_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^_FILETYPE_MAP = {$/;" v +_FileWriter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/summary_io.py /^from tensorflow.python.summary.writer.writer import FileWriter as _FileWriter$/;" x +_FILE_CONTENT_DIGESTS adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/upload.py /^_FILE_CONTENT_DIGESTS = {$/;" v +_file_io adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.lib.io import file_io as _file_io$/;" x +_file_io adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^from tensorflow.python.lib.io import file_io as _file_io$/;" x +_file_openers adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^_file_openers = _FileOpeners()$/;" v +_FILE_PREFIX adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^_FILE_PREFIX = "_remote_module_"$/;" v +_file_rendezvous_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def _file_rendezvous_handler(url, **kwargs):$/;" f +_file_with_extension adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^def _file_with_extension(directory, extension):$/;" f +_FillConsoleOutputAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _FillConsoleOutputAttribute = windll.kernel32.FillConsoleOutputAttribute$/;" v +_FillConsoleOutputCharacterA adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _FillConsoleOutputCharacterA = windll.kernel32.FillConsoleOutputCharacterA$/;" v +_FillGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _FillGrad(_, grad):$/;" f +_fill_and_stroke adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def _fill_and_stroke(self, ctx, fill_c, alpha, alpha_overrides):$/;" m class:RendererCairo +_fill_array adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^def _fill_array(arr, seq, fillvalue=0):$/;" f +_fill_between_x_or_y adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _fill_between_x_or_y($/;" m class:Axes +_fill_default_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def _fill_default_values(self):$/;" m class:ShardingPolicy +_fill_diagonal adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^def _fill_diagonal(shape, value):$/;" f +_fill_diagonal_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def _fill_diagonal_dispatcher(a, val, wrap=None):$/;" f +_fill_in_zeros adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _fill_in_zeros(grads, refs, strict, create_graph, stage):$/;" f +_fill_keys_cubic_kernel adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _fill_keys_cubic_kernel(x):$/;" f +_fill_lanczos_kernel adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _fill_lanczos_kernel(radius, x):$/;" f +_fill_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _fill_meta_graph_def(meta_graph_def, saveable_view, signature_functions,$/;" f +_fill_missing_graph_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^def _fill_missing_graph_shape(graph, run_meta):$/;" f +_fill_missing_operator_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _fill_missing_operator_names(ops):$/;" f +_fill_missing_operator_names adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _fill_missing_operator_names(ops):$/;" f +_fill_object_graph_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def _fill_object_graph_proto(self, trackable_objects,$/;" m class:ObjectGraphView +_fill_triangle_kernel adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _fill_triangle_kernel(x):$/;" f +_filter adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def _filter(self, category=Warning, message="", module=None, record=False):$/;" m class:suppress_warnings +_filter adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _filter(self, session_groups):$/;" m class:Handler +_FilterBool adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterBool(v):$/;" f +_FilterComplex adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterComplex(v):$/;" f +_filtered_graph_bytes adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def _filtered_graph_bytes(graph_bytes):$/;" f +_FilterFloat adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterFloat(v):$/;" f +_FilterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^ def _FilterGrad(x):$/;" f function:_LogOpGradients file: +_FilterInt adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterInt(v):$/;" f +_FilterInvalids adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^class _FilterInvalids:$/;" c +_FilterStr adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterStr(v):$/;" f +_filters_support adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_filters_support = {BOX: 0.5, BILINEAR: 1.0, HAMMING: 1.0, BICUBIC: 2.0, LANCZOS: 3.0}$/;" v +_FilterTuple adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FilterTuple(v):$/;" f +_filter_build_errors adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/build_ext.py /^ def _filter_build_errors(self, ext):$/;" m class:build_ext +_filter_by_sample adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _filter_by_sample(self, tensor_events, sample):$/;" m class:ImagesPlugin +_filter_classes adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def _filter_classes(df, y_col, classes):$/;" m class:DataFrameIterator +_filter_columns adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def _filter_columns(row):$/;" f member:Handler.run file: +_filter_deprecated_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _filter_deprecated_kwargs(kwargs, args_blocklist):$/;" f +_filter_ds adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _filter_ds(dataset, acceptance_dist_ds, initial_dist_ds, class_func, seed):$/;" f +_filter_estimator_spec_outputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^def _filter_estimator_spec_outputs(spec):$/;" f +_filter_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def _filter_exception(self, ex):$/;" m class:Coordinator +_filter_execution_path_operations adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _filter_execution_path_operations(self, operations, fetches):$/;" m class:TensorTracer +_filter_extras adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _filter_extras(dm):$/;" m class:Distribution +_filter_extras adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _filter_extras(dm):$/;" m class:Distribution +_filter_fake_init adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _filter_fake_init(cls, init_net, value_info):$/;" m class:Caffe2Frontend +_filter_func adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def _filter_func(buffer, weights, total=1.0):$/;" f member:TestNdimageFilters.test_generic_filter01 file: +_filter_func adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def _filter_func(input, output, fltr, total):$/;" f member:TestNdimageFilters.test_generic_filter1d01 file: +_filter_graph_defs adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def _filter_graph_defs(event):$/;" f +_filter_header adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _filter_header(s):$/;" f +_filter_layers adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def _filter_layers(layers, include_tags):$/;" f +_filter_none adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^def _filter_none(**kwargs):$/;" f +_filter_ops adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _filter_ops(ops, filter_fn, perform_filter):$/;" f +_filter_returned_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def _filter_returned_ops(fn):$/;" f +_filter_ridge_lines adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _filter_ridge_lines(cwt, ridge_lines, window_size=None, min_length=None,$/;" f +_filter_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/metrics_utils.py /^def _filter_top_k(x, k):$/;" f +_filter_valid_filepaths adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def _filter_valid_filepaths(self, df, x_col):$/;" m class:DataFrameIterator +_filtfilt_gust adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _filtfilt_gust(b, a, x, axis=-1, irlen=None):$/;" f +_Final adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _Final(typing._FinalTypingBase, _root=True):$/;" c +_FinalDecorator adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ class _FinalDecorator(FunctionWrapper):$/;" c function:synchronized file: +_FinalForm adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _FinalForm(typing._SpecialForm, _root=True):$/;" c +_finalize adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _finalize():$/;" f function:_get_scaffold file: +_finalize_2to3_doctests adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _finalize_2to3_doctests(self):$/;" m class:Distribution +_finalize_config_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _finalize_config_layers(layers):$/;" f +_finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _finalize_func(string_handle):$/;" f member:_CopyToDeviceDataset.__init__ file: +_finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _finalize_func(unused_string_handle):$/;" f member:_PerDeviceGenerator.__init__ file: +_finalize_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _finalize_metric(metric):$/;" f +_finalize_packet adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _finalize_packet(self, packet_char, packet_width):$/;" m class:Vf +_finalize_progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _finalize_progbar(self, logs, counter):$/;" m class:ProgbarLogger +_finalize_requires adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _finalize_requires(self):$/;" m class:Distribution +_finalize_saved_model_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _finalize_saved_model_layers(layers):$/;" f +_finalize_scriptmodule adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _finalize_scriptmodule(script_module):$/;" m class:RecursiveScriptModule +_finalize_setup_keywords adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _finalize_setup_keywords(self):$/;" m class:Distribution +_finalize_state adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def _finalize_state(self):$/;" m class:_Context +_FinalMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _FinalMeta(typing.TypingMeta):$/;" c +_find adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def _find(self, tests, obj, name, module, source_lines, globs, seen):$/;" m class:NumpyDocTestFinder +_find adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _find(self, path):$/;" m class:ResourceFinder +_find adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _find(self, path):$/;" m class:ZipResourceFinder +_find adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def _find(self, name, domain=None, path=None):$/;" m class:RequestsCookieJar +_find adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def _find(self, name, domain=None, path=None):$/;" m class:RequestsCookieJar +_FindAttrInOpDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _FindAttrInOpDef(attr_name, op_def):$/;" f +_finder_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^_finder_cache = {}$/;" v +_finder_registry adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^_finder_registry = {$/;" v +_FindExtensionByName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def _FindExtensionByName(self, name):$/;" m class:_ExtensionDict +_FindExtensionByNumber adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def _FindExtensionByNumber(self, number):$/;" m class:_ExtensionDict +_findfile adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _findfile(self, path):$/;" m class:DataSource +_findfile adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _findfile(self, path):$/;" m class:Repository +_FindFileContainingSymbolInDb adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _FindFileContainingSymbolInDb(self, symbol):$/;" m class:DescriptorPool +_findfont_cached adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def _findfont_cached(self, prop, fontext, directory, fallback_to_default,$/;" m class:FontManager +_findoffset adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _findoffset(self, width, height, xdescent, ydescent, renderer):$/;" m class:Legend +_findvar1_rx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^_findvar1_rx = re.compile(r"\\$\\(([A-Za-z][A-Za-z0-9_]*)\\)")$/;" v +_findvar2_rx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^_findvar2_rx = re.compile(r"\\${([A-Za-z][A-Za-z0-9_]*)}")$/;" v +_find_adapter adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _find_adapter(registry, ob):$/;" f +_find_adapter adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _find_adapter(registry, ob):$/;" f +_find_address_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _find_address_range(addresses):$/;" f +_find_all_hints_in_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _find_all_hints_in_nodes(nodes):$/;" f +_find_all_simple adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^def _find_all_simple(path):$/;" f +_find_all_simple adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^def _find_all_simple(path):$/;" f +_find_already_built_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def _find_already_built_wheel(metadata_directory):$/;" f +_find_and_load adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _find_and_load(self, name):$/;" m class:PackageImporter +_find_any_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def _find_any_tensor(batch_features):$/;" f member:PartialBatchPaddingHandler.get_real_batch_size file: +_find_any_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _find_any_tensor(batch_features):$/;" m class:_PaddingSignals +_find_best adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _find_best(ranges, init_assignment, prev_best_assignment, counter):$/;" f function:compute_assignments_dp file: +_find_best_position adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _find_best_position(self, width, height, renderer, consider=None):$/;" m class:Legend +_find_builtin adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^def _find_builtin(fn):$/;" f +_find_children_hints adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _find_children_hints(call, graph_def):$/;" f +_find_children_hints_in_while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _find_children_hints_in_while_loop(function_def, nodes_mapping):$/;" f +_find_closest_point_on_leg adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^def _find_closest_point_on_leg(p1, p2, p0):$/;" f +_find_closest_point_on_path adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^def _find_closest_point_on_path(lc, point):$/;" f +_find_common_coerce adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def _find_common_coerce(a, b):$/;" f +_find_common_rank adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _find_common_rank(self, input_rank, rank_cond):$/;" m class:DistributedDataParallel +_find_common_roots adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def _find_common_roots(paths):$/;" f +_find_comp adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _find_comp(self, dt):$/;" m class:_tzicalvtz +_find_compdt adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _find_compdt(self, comp, dt):$/;" m class:_tzicalvtz +_find_contraction adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _find_contraction(positions, input_sets, output_set):$/;" f +_find_cuda_home adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _find_cuda_home() -> Optional[str]:$/;" f +_find_dedent_regex adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^_find_dedent_regex = re.compile(r"(?:(?:\\n\\r?)|^)( *)\\S")$/;" v +_find_derivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def _find_derivatives(x, y):$/;" m class:PchipInterpolator +_find_disconnected_subgraphs adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _find_disconnected_subgraphs(inputs, output):$/;" f +_find_dll_in_path adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ def _find_dll_in_path(dll_name):$/;" f function:find_dll file: +_find_dll_in_winsxs adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ def _find_dll_in_winsxs(dll_name):$/;" f function:find_dll file: +_find_dot_net_versions adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _find_dot_net_versions(self, bits):$/;" m class:SystemInfo +_find_duplicates_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _find_duplicates_dispatcher($/;" f +_find_egg_info adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/build/metadata_legacy.py /^def _find_egg_info(directory):$/;" f +_find_exceptions adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^def _find_exceptions():$/;" f +_find_exe adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^def _find_exe(exe, paths=None):$/;" f +_find_exe_version adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^def _find_exe_version(cmd):$/;" f +_find_existing_fcompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^def _find_existing_fcompiler(compiler_types,$/;" f +_find_experiment_tag adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def _find_experiment_tag(self, hparams_run_to_tag_to_content):$/;" m class:Context +_find_extraneous_saver_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _find_extraneous_saver_nodes(graph_def, saver_def):$/;" f +_find_factor_simple adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^ def _find_factor_simple(x):$/;" f member:TestConcatSplitOps.test_split_by_lengths file: +_find_hms_idx adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _find_hms_idx(self, idx, tokens, info, allow_jump):$/;" m class:parser +_find_index_of_defining_frame adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _find_index_of_defining_frame(traceback):$/;" f +_find_indices adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _find_indices(self, xi):$/;" m class:RegularGridInterpolator +_find_initialized_value_for_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _find_initialized_value_for_variable(variable_op):$/;" f +_find_last_transition adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _find_last_transition(self, dt, in_utc=False):$/;" m class:tzfile +_find_latest_available_vs_ver adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _find_latest_available_vs_ver(self):$/;" m class:SystemInfo +_find_latest_checkpoint adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _find_latest_checkpoint(dir_path):$/;" f +_find_lib adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _find_lib(self, lib_dir, lib, exts):$/;" m class:system_info +_find_libs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _find_libs(self, lib_dirs, libs, exts):$/;" m class:system_info +_find_link_target adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _find_link_target(self, tarinfo):$/;" m class:TarFile +_find_longest_parent_path adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^def _find_longest_parent_path(path_set, path):$/;" f +_find_macro adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _find_macro(self, name):$/;" m class:CCompiler +_find_map_entry adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _find_map_entry(cls, dtype):$/;" m class:StringConverter +_find_match adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def _find_match(str_list, key_str, postfix):$/;" f +_find_matches adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fuse.py /^ def _find_matches(self, root, graph, patterns):$/;" m class:Fuser +_find_matches adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _find_matches(self, graph, modules, patterns):$/;" m class:Quantizer +_find_matching_instance adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _find_matching_instance(cache_key):$/;" f +_find_max_under_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def _find_max_under_constraint(self, constrained, dependent, predicate):$/;" m class:SensitivitySpecificityBase +_find_method_handler adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _find_method_handler(rpc_event, generic_handlers, interceptor_pipeline):$/;" f +_find_method_hash adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def _find_method_hash(clearsig: bytes) -> str:$/;" f +_find_metric_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _find_metric_value(session_or_group, metric_name):$/;" f +_find_missing_index adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^def _find_missing_index(ind, n):$/;" f +_find_missing_ops_onnx_export adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _find_missing_ops_onnx_export(model, args, f, verbose=False, training=TrainingMode.EVAL,$/;" f +_find_module adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^def _find_module(root: torch.nn.Module, m: torch.nn.Module):$/;" f +_find_module_of_method adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _find_module_of_method(orig_method: Callable[..., Any]) -> str:$/;" f +_find_name_version_sep adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^def _find_name_version_sep(fragment, canonical_name):$/;" f +_find_node_child adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _find_node_child(self, node_id, child_name, path):$/;" m class:Loader +_find_nonzero_rows adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _find_nonzero_rows(A, tol):$/;" f +_find_no_duplicates adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def _find_no_duplicates(self, name, domain=None, path=None):$/;" m class:RequestsCookieJar +_find_no_duplicates adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def _find_no_duplicates(self, name, domain=None, path=None):$/;" m class:RequestsCookieJar +_find_observable_paths adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def _find_observable_paths(extra_files=None):$/;" f +_find_offset adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def _find_offset(self, fp):$/;" m class:EpsImageFile +_find_originating_frame adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _find_originating_frame(caller_fn_scope, innermost=True):$/;" f +_find_packages_iter adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def _find_packages_iter(cls, where, exclude, include):$/;" m class:PackageFinder +_find_partitions adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _find_partitions(jaxpr) -> Tuple[$/;" f +_find_partition_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _find_partition_graph(self, partition_graphs, device_name):$/;" m class:DebugDumpDir +_find_prime adpepsenv/lib/python3.8/site-packages/rsa/parallel.py /^def _find_prime(nbits: int, pipe: Connection) -> None:$/;" f +_find_quants adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _find_quants(self, graph, matches):$/;" m class:Quantizer +_find_range adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _find_range(self):$/;" m class:ColorbarBase +_find_reference_cycle adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _find_reference_cycle(objects, idx):$/;" f +_find_repeats adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^def _find_repeats(arr):$/;" f +_find_requirement_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _find_requirement_link(self, req):$/;" m class:Resolver +_find_rocm_home adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _find_rocm_home() -> Optional[str]:$/;" f +_find_scalar_and_max_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^def _find_scalar_and_max_depth(pylist):$/;" f +_find_shape_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _find_shape_dtype(fields, nrows, row_partitions):$/;" f +_find_source_nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _find_source_nodes(g):$/;" f +_find_swig_target adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^def _find_swig_target(target_dir, name):$/;" f +_find_symbolic_in_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _find_symbolic_in_registry(domain, op_name, opset_version, operator_export_type):$/;" f +_find_tails adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _find_tails(self, mag, rounding=True, half=5, full=10, flag=50):$/;" m class:Barbs +_find_target_nodes adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _find_target_nodes(g):$/;" f +_find_tensors adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^def _find_tensors(obj):$/;" f +_find_tensor_input_op adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _find_tensor_input_op(tensor):$/;" f member:Net.reroute_tensor file: +_find_terminator adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def _find_terminator(self, iterator):$/;" m class:MultiPartParser +_find_ttinfo adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _find_ttinfo(self, dt):$/;" m class:tzfile +_find_unpack_format adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _find_unpack_format(filename):$/;" f +_find_unsafe_bytes adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^_find_unsafe_bytes = re.compile(br'[^a-zA-Z0-9_@%+=:,.\/-]').search$/;" v +_find_vc2015 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^def _find_vc2015():$/;" f +_find_vc2017 adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^def _find_vc2017():$/;" f +_find_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^def _find_vcvarsall(plat_spec):$/;" f +_find_wheels adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def _find_wheels(self, options, pattern):$/;" m class:CacheCommand +_finfo_cache adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^ _finfo_cache: Dict[np.dtype, np.finfo] = {}$/;" v class:finfo +_finfo_cache adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ _finfo_cache = {}$/;" v class:finfo +_finish adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _finish(self, update_ops, name_scope):$/;" m class:AdamOptimizer +_finish adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _finish(self, update_ops, name_scope):$/;" m class:Optimizer +_FINISHED adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^_UNKNOWN, _STARTED, _FINISHED = range(3)$/;" v +_FINISH_ITERATOR_SENTINEL adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ _FINISH_ITERATOR_SENTINEL = object()$/;" v class:_InterceptedStreamRequestMixin +_finish_log_prob_for_one_fiber adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _finish_log_prob_for_one_fiber(self, y, x, ildj, event_ndims):$/;" m class:TransformedDistribution +_finish_prob_for_one_fiber adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _finish_prob_for_one_fiber(self, y, x, ildj, event_ndims):$/;" m class:TransformedDistribution +_finish_y adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _finish_y(self, y, x_shape):$/;" m class:_Interpolator1D +_fire_or_add_pending_done_callbacks adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def _fire_or_add_pending_done_callbacks(self,$/;" m class:InterceptedCall +_first adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _first(arr, axis):$/;" f +_FirstCompletedWaiter adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class _FirstCompletedWaiter(_Waiter):$/;" c +_FirstNotNone adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FirstNotNone(l):$/;" f +_FirstOrderTapeGradientFunctions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _FirstOrderTapeGradientFunctions(_TapeGradientFunctions):$/;" c +_first_element_bool adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _first_element_bool(idx, max_dim=2):$/;" f +_first_iteration adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _first_iteration(self):$/;" m class:_RangeWrapper +_first_line_re adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _first_line_re():$/;" f +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _fit = staticmethod(chebfit)$/;" v class:Chebyshev +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _fit = staticmethod(hermfit)$/;" v class:Hermite +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _fit = staticmethod(hermefit)$/;" v class:HermiteE +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _fit = staticmethod(lagfit)$/;" v class:Laguerre +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _fit = staticmethod(legfit)$/;" v class:Legendre +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _fit = staticmethod(polyfit)$/;" v class:Polynomial +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _fit(vander_f, x, y, deg, rcond=None, full=False, w=None):$/;" f +_fit adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _fit(x, y, deg, rcond, full):$/;" m class:ABCPolyBase +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data):$/;" m class:beta_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data):$/;" m class:erlang_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data):$/;" m class:gamma_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data):$/;" m class:genextreme_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data):$/;" m class:levy_stable_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _fitstart(self, data, args=None):$/;" m class:cauchy_gen +_fitstart adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _fitstart(self, data, args=None):$/;" m class:rv_continuous +_fit_determine_optimizer adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _fit_determine_optimizer(optimizer):$/;" f +_fit_edge adpepsenv/lib/python3.8/site-packages/scipy/signal/_savitzky_golay.py /^def _fit_edge(x, window_start, window_stop, interp_start, interp_stop,$/;" f +_fit_edges_polyfit adpepsenv/lib/python3.8/site-packages/scipy/signal/_savitzky_golay.py /^def _fit_edges_polyfit(x, window_length, polyorder, deriv, delta, axis, y):$/;" f +_fit_loc_scale_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _fit_loc_scale_support(self, data, *args):$/;" m class:rv_continuous +_FiveFloatOutputsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_FiveFloatOutputsOutput = collections.namedtuple($/;" v +_fix adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def _fix(self):$/;" m class:relativedelta +_fix adpepsenv/lib/python3.8/site-packages/gast/gast.py /^ def _fix(node, lineno, col_offset, end_lineno, end_col_offset):$/;" f function:fix_missing_locations file: +_fix2comp adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _fix2comp(num):$/;" f +_FixControlInputsAndContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _FixControlInputsAndContext(self, enters):$/;" m class:WhileContext +_FIXEDLENFEATUREPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_FIXEDLENFEATUREPROTO = _descriptor.Descriptor($/;" v +_FixedLengthRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class _FixedLengthRecordDataset(dataset_ops.DatasetSource):$/;" c +_FixedSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _FixedSizer(value_size):$/;" f +_FixedUnigramCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_FixedUnigramCandidateSamplerOutput = collections.namedtuple($/;" v +_fixedwidth_splitter adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _fixedwidth_splitter(self, line):$/;" m class:LineSplitter +_fixed_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_fixed_dtype = lambda dtype: lambda *args, **kwargs: dtypes.canonicalize_dtype(dtype)$/;" f +_fixed_point_helper adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _fixed_point_helper(func, x0, args, xtol, maxiter, use_accel):$/;" f +_fixup adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _fixup(self, value):$/;" m class:Exif +_fixup_dict adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _fixup_dict(self, src_dict):$/;" m class:Exif +_fixup_find_links adpepsenv/lib/python3.8/site-packages/setuptools/installer.py /^def _fixup_find_links(find_links):$/;" f +_fix_and_maybe_deprecate_out_named_y adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def _fix_and_maybe_deprecate_out_named_y(f):$/;" f +_fix_args adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^def _fix_args(args,flag=1):$/;" f +_fix_compile_args adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _fix_compile_args(self, output_dir, macros, include_dirs):$/;" m class:CCompiler +_fix_config adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def _fix_config(self, config_settings):$/;" m class:_BuildMetaBackend +_fix_defaults adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _fix_defaults(output, defaults=None):$/;" f +_fix_exception_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _fix_exception_context(new_exc, old_exc):$/;" f function:_make_context_fixer file: +_fix_fdef adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _fix_fdef(orig_fdef, functions, shared_name_suffix):$/;" f +_fix_ie_filename adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def _fix_ie_filename(self, filename):$/;" m class:MultiPartParser +_fix_install_dir_for_user_site adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _fix_install_dir_for_user_site(self):$/;" m class:easy_install +_fix_int_lt_zero adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _fix_int_lt_zero(x):$/;" f +_fix_ipython_backend2gui adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _fix_ipython_backend2gui(cls):$/;" m class:FigureCanvasBase +_fix_jython_executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _fix_jython_executable(self, executable):$/;" m class:ScriptMaker +_fix_lib_args adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _fix_lib_args(self, libraries, library_dirs, runtime_library_dirs):$/;" m class:CCompiler +_fix_linecache_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def _fix_linecache_record(obj):$/;" f +_fix_mime_types adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _fix_mime_types(self):$/;" m class:TensorBoard +_fix_names adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _fix_names(field_spec):$/;" f +_fix_namespace_packages adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def _fix_namespace_packages(egg_info, destination_eggdir):$/;" m class:Wheel +_fix_object_args adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _fix_object_args(self, objects, output_dir):$/;" m class:CCompiler +_fix_output adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _fix_output(output, usemask=True, asrecarray=False):$/;" f +_fix_out_named_y adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^def _fix_out_named_y(f):$/;" f +_fix_paths adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def _fix_paths(paths, local_path, include_non_existing):$/;" f +_fix_paths_dict adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _fix_paths_dict(self, kw):$/;" m class:Configuration +_fix_pcbuild adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ def _fix_pcbuild(d):$/;" f +_fix_real_abs_gt_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _fix_real_abs_gt_1(x):$/;" f +_fix_real_lt_zero adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _fix_real_lt_zero(x):$/;" f +_fix_shape adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _fix_shape(x, shape, axes):$/;" f +_fix_shape_1d adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _fix_shape_1d(x, n, axis):$/;" f +_fix_string_types adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _fix_string_types(self, ndarray):$/;" m class:TensorBoardExporter +_fix_unknown_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _fix_unknown_dimension(self, input_shape, output_shape):$/;" m class:Reshape +_fix_werkzeug_logging adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _fix_werkzeug_logging(self):$/;" m class:WerkzeugServer +_FlagAction adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^class _FlagAction(argparse.Action):$/;" c +_FlagAlias adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ class _FlagAlias(_flag.Flag):$/;" c function:DEFINE_alias file: +_flagnames adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^_flagnames = ['C_CONTIGUOUS', 'F_CONTIGUOUS', 'ALIGNED', 'WRITEABLE',$/;" v +_FlagOverrider adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^class _FlagOverrider(object):$/;" c +_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _flags(self):$/;" m class:FlagValues +_FLAGS adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^_FLAGS = {"custom-colormap": 0x4000, "is-compressed": 0x8000, "has-transparent": 0x2000}$/;" v +_flagsaver_wrapper adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^ def _flagsaver_wrapper(*args, **kwargs):$/;" f function:_wrap file: +_flags_fromnum adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^def _flags_fromnum(num):$/;" f +_FLAGS_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/module_deprecations_v2.py /^_FLAGS_WARNING = ($/;" v +_FlagValuesWrapper adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^class _FlagValuesWrapper(object):$/;" c +_FlagValuesWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^class _FlagValuesWrapper(object):$/;" c +_flag_blue adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _flag_blue(x): return 0.75 * np.sin((x * 31.5 - 0.25) * np.pi) + 0.5$/;" f +_flag_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_flag_data = {'red': _flag_red, 'green': _flag_green, 'blue': _flag_blue}$/;" v +_FLAG_DOUBLE_QUOTE_PAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_FLAG_DOUBLE_QUOTE_PAT = re.compile(r'\\s*--([^=]+)="([^"]*)"')$/;" v +_flag_green adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _flag_green(x): return np.sin(x * 31.5 * np.pi)$/;" f +_flag_is_registered adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _flag_is_registered(self, flag_obj):$/;" m class:FlagValues +_FLAG_NO_EQUAL_PAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_FLAG_NO_EQUAL_PAT = re.compile(r'\\s*--([^=]+)\\s*')$/;" v +_FLAG_NO_QUOTE_PAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_FLAG_NO_QUOTE_PAT = re.compile(r'\\s*--([^=]+)=(\\S*)')$/;" v +_flag_red adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _flag_red(x): return 0.75 * np.sin((x * 31.5 + 0.25) * np.pi) + 0.5$/;" f +_FLAG_SINGLE_QUOTE_PAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_FLAG_SINGLE_QUOTE_PAT = re.compile(r"\\s*--([^=]+)='([^']*)'")$/;" v +_flag_value_as_int_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _flag_value_as_int_list(self, wanted_flag_name):$/;" m class:TTParameters +_flag_value_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _flag_value_as_list(self, wanted_flag_name):$/;" m class:TTParameters +_flag_value_to_re_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _flag_value_to_re_list(self, flag_name):$/;" m class:TTParameters +_flapack_64 adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^ _flapack_64 = None$/;" v +_flatmap adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _flatmap(func: Callable, vars: Sequence):$/;" f +_flatmask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _flatmask(mask):$/;" f function:flatten_mask file: +_flatnonzero_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _flatnonzero_dispatcher(a):$/;" f +_flatsequence adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _flatsequence(sequence):$/;" f function:flatten_mask file: +_flatten adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _flatten(args):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _flatten(L):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _flatten(L):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _flatten(L):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _flatten(L):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def _flatten(input_or_output_dict):$/;" f member:_LiteFuncCall.flattened_inputs_and_outputs file: +_Flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _Flatten(l):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def _flatten(self,$/;" m class:Module +_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _flatten(arg):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _flatten(tensor_list_list):$/;" f +_flatten adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def _flatten(self, labels, logits, features):$/;" m class:SequentialHeadWrapper +_flatten adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_flatten = torch._C._jit_flatten$/;" v +_FlattenToStrings adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _FlattenToStrings(nested_strings):$/;" f +_FlattenToStrings adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _FlattenToStrings(nested_strings):$/;" f +_flatten_and_filter_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _flatten_and_filter_composite(maybe_composite, non_composite_output,$/;" f +_flatten_array_with_offset adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _flatten_array_with_offset(ids, offset_delta, num_rows):$/;" f +_flatten_bwd adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _flatten_bwd(in_tree, in_avals, out_trees, *args):$/;" f +_flatten_dense_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _flatten_dense_tensors(tensors):$/;" f +_flatten_dims_0_and_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _flatten_dims_0_and_1(t):$/;" f +_flatten_first_two_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def _flatten_first_two_dims(x):$/;" f +_flatten_first_two_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _flatten_first_two_dims(x):$/;" f +_flatten_fwd adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _flatten_fwd(in_tree, *args):$/;" f +_flatten_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _flatten_helper(g, input, start_dim, end_dim, dim):$/;" f +_flatten_input_dims adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _flatten_input_dims(self, features, labels, feature_dims, label_dims):$/;" m class:_InputPipeline.InputsStructureRecorder +_flatten_ivjp adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def _flatten_ivjp(in_tree, out_tree, *args):$/;" f +_flatten_jvp adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _flatten_jvp(in_tree, *args):$/;" f +_flatten_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _flatten_layers(self, recursive=True, include_self=True):$/;" m class:Layer +_flatten_method_pair_map adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _flatten_method_pair_map(method_pair_map):$/;" f +_flatten_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def _flatten_module(module,$/;" f +_flatten_outer_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _flatten_outer_dims(logits):$/;" f +_flatten_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def _flatten_shape(s, index):$/;" f function:flatten_shape file: +_flatten_sparse_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _flatten_sparse_tensors(tensors):$/;" f +_flatten_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^def _flatten_tensor(tensor, sequence_mask, expected_length):$/;" f +_flatten_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _flatten_tensors(tensors):$/;" f +_flatten_tensors adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _flatten_tensors(self, x):$/;" m class:NNTestCase +_flatten_to_reference_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _flatten_to_reference_inputs(self, tensors):$/;" m class:Functional +_flat_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _flat_shapes(self):$/;" m class:DatasetV2 +_flat_signature_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _flat_signature_summary(self):$/;" m class:ConcreteFunction +_flat_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _flat_structure(self):$/;" m class:DatasetV2 +_flat_tensor_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _flat_tensor_specs(self):$/;" m class:SparseTensorSpec +_flat_tensor_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _flat_tensor_specs(self):$/;" m class:TypeSpec +_flat_tensor_specs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _flat_tensor_specs(self):$/;" m class:RaggedTensorSpec +_flat_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _flat_types(self):$/;" m class:DatasetV2 +_flinalg adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^ _flinalg = None$/;" v +_flip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _flip(image, flip_index, scope_name):$/;" f +_flip_axes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _flip_axes(x, axes):$/;" f +_flip_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _flip_dispatcher(m, axis=None):$/;" f +_flip_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _flip_dispatcher(m):$/;" f +_float adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _float = concretization_function_error(float, True)$/;" v class:ConcreteArray +_float adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _float = concretization_function_error(float, True)$/;" v class:UnshapedArray +_float adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_float = {np.floating}$/;" v +_float adpepsenv/lib/python3.8/site-packages/torch/types.py /^_float = builtins.float$/;" v +_Float64Codec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _Float64Codec(object):$/;" c +_FloatDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _FloatDecoder():$/;" f +_FloatingPointEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _FloatingPointEncoder(wire_type, format):$/;" f +_floating_and_complex_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_floating_and_complex_types = _floating_types + (torch.cfloat, torch.cdouble)$/;" v +_floating_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_floating_types = _dispatch_dtypes((torch.float32, torch.float64))$/;" v +_floating_types_and_half adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_floating_types_and_half = _floating_types + (torch.half,)$/;" v +_FLOATLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_FLOATLIST = _descriptor.Descriptor($/;" v +_FloatOutputStringOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_FloatOutputStringOutputOutput = collections.namedtuple($/;" v +_floats_wrapper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def _floats_wrapper(*args, **kwargs):$/;" f +_FLOATVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_FLOATVALUE = _descriptor.Descriptor($/;" v +_floatx adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _floatx = _config.get('floatx', floatx())$/;" v +_FLOATX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^_FLOATX = 'float32'$/;" v +_float_divmod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _float_divmod(x1, x2):$/;" f +_FLOAT_EPS adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^_FLOAT_EPS = finfo(float).eps$/;" v +_FLOAT_INFINITY adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_FLOAT_INFINITY = re.compile('-?inf(?:inity)?f?$', re.IGNORECASE)$/;" v +_float_ma adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^_float_ma = {}$/;" v +_FLOAT_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_FLOAT_MAX = float.fromhex('0x1.fffffep+127')$/;" v +_FLOAT_MIN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_FLOAT_MIN = -_FLOAT_MAX$/;" v +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvBn2d$/;" v class:ConvBn2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvBnReLU2d$/;" v class:ConvBnReLU2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvReLU2d$/;" v class:ConvReLU2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.LinearReLU$/;" v class:LinearReLU +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.BNReLU2d$/;" v class:BNReLU2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.BNReLU3d$/;" v class:BNReLU3d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvReLU1d$/;" v class:ConvReLU1d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvReLU2d$/;" v class:ConvReLU2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.ConvReLU3d$/;" v class:ConvReLU3d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^ _FLOAT_MODULE = torch.nn.intrinsic.LinearReLU$/;" v class:LinearReLU +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^ _FLOAT_MODULE = nn.Conv2d$/;" v class:Conv2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^ _FLOAT_MODULE = nn.Linear$/;" v class:Linear +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ _FLOAT_MODULE = nn.LSTM$/;" v class:LSTM +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ _FLOAT_MODULE = nn.RNNBase$/;" v class:RNNBase +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ _FLOAT_MODULE = nn.Conv1d$/;" v class:Conv1d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ _FLOAT_MODULE = nn.Conv2d$/;" v class:Conv2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ _FLOAT_MODULE = nn.Conv3d$/;" v class:Conv3d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ _FLOAT_MODULE = nn.ConvTranspose1d$/;" v class:ConvTranspose1d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ _FLOAT_MODULE = nn.ConvTranspose2d$/;" v class:ConvTranspose2d +_FLOAT_MODULE adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ _FLOAT_MODULE = nn.Linear$/;" v class:Linear +_FLOAT_NAN adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_FLOAT_NAN = re.compile('nanf?$', re.IGNORECASE)$/;" v +_float_to_int_for_sort adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _float_to_int_for_sort(x):$/;" f +_FLOAT_TYPES adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_FLOAT_TYPES = frozenset([descriptor.FieldDescriptor.CPPTYPE_FLOAT,$/;" v +_FloorDivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _FloorDivGrad(_, unused_grad):$/;" f +_FloorGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _FloorGrad(_, unused_grad):$/;" f +_FloorModGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _FloorModGrad(op, grad):$/;" f +_flop_count adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _flop_count(idx_contraction, inner, num_terms, size_dictionary):$/;" f +_flow_index adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def _flow_index(self):$/;" m class:Iterator +_flush adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _flush(self):$/;" m class:Stream +_flush_decoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _flush_decoder(self):$/;" m class:HTTPResponse +_flush_decoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _flush_decoder(self):$/;" m class:HTTPResponse +_flush_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_flush_fn = flush # for within SummaryWriter.flush()$/;" v +_flush_fun adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _flush_fun(cache, replica_id, step_num):$/;" f member:TensorTracer._generate_flush_cache_op file: +_flush_tensor_values_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _flush_tensor_values_cache(self, tensor_fetches, op_fetches, on_tpu,$/;" m class:TensorTracer +_fmcache adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^_fmcache = os.path.join($/;" v +_fmt_symbols adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _fmt_symbols(self, symbol_set):$/;" m class:ControlFlowTransformer +_fn adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def _fn(self, name):$/;" m class:FileCache +_fn adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _fn(self, base, resource_name):$/;" m class:NullProvider +_fn adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _fn(self, base, resource_name):$/;" m class:NullProvider +_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def _fn(*args, **kwargs):$/;" f member:AppendDocstring.__call__ file: +_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _fn(**tensors):$/;" f function:_create_eval_metrics_tuple file: +_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def _fn(**tensors):$/;" f function:create_eval_metrics_tuple file: +_fnmatch adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def _fnmatch(filepath, patterns):$/;" f function:matched_files_iter file: +_fnt_def adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _fnt_def(self, k, c, s, d, a, l):$/;" m class:Dvi +_fnt_def_real adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _fnt_def_real(self, k, c, s, d, a, l):$/;" m class:Dvi +_fnt_num adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _fnt_num(self, new_f):$/;" m class:Dvi +_fnt_num_immediate adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _fnt_num_immediate(self, k):$/;" m class:Dvi +_fold adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _fold(self, dt):$/;" m class:_tzinfo +_fold_in adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _fold_in(key, data):$/;" f +_fold_status adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _fold_status(self, dt_utc, dt_wall):$/;" m class:_tzinfo +_fold_weight adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _fold_weight(self, quantized):$/;" m class:Quantizer +_fontfile adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _fontfile(cls, suffix, texname):$/;" f +_fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _fontmap = {$/;" v class:BakomaFonts +_fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _fontmap = {$/;" v class:DejaVuSansFonts +_fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _fontmap = {$/;" v class:DejaVuSerifFonts +_fontmap adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _fontmap = {$/;" v class:StixFonts +_fontnames adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _fontnames = set("rm cal it tt sf bf default bb frak scr regular".split())$/;" v class:Parser +_fonts adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ _fonts = {} # Only for deprecation period.$/;" v class:TexManager +_FONT_AWESOME_CLASSES adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^_FONT_AWESOME_CLASSES = {$/;" v +_font_constant_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^_font_constant_mapping = {$/;" v +_font_properties_str adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def _font_properties_str(prop):$/;" f +_font_type_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _font_type_mapping = {$/;" v class:MathTextParser +_foo1 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^def _foo1(x, y=1.0):$/;" f +_Foo1Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_Foo1Output = collections.namedtuple($/;" v +_foo2 adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^def _foo2(x, y=1.0, z=0.0):$/;" f +_Foo2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_Foo2Output = collections.namedtuple($/;" v +_Foo3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_Foo3Output = collections.namedtuple($/;" v +_For adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _For(self, t):$/;" m class:Unparser +_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _for(start, limit, delta, input, body, name=None):$/;" f +_forbidden_primitives adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^_forbidden_primitives = {$/;" v +_force adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _force(x: DeviceArrayProtocol) -> DeviceArrayProtocol:$/;" f +_force_enable adpepsenv/lib/python3.8/site-packages/tensorflow/python/tf2.py /^_force_enable = None$/;" v +_FORCE_KILL_WAIT_SEC adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_FORCE_KILL_WAIT_SEC = 30$/;" v +_FORCE_OPTIMIZE adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^_FORCE_OPTIMIZE = False$/;" v +_FORCE_THREADPOOL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_FORCE_THREADPOOL = False$/;" v +_FORCE_THREADPOOL_LOCK adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_FORCE_THREADPOOL_LOCK = threading.RLock()$/;" v +_ForEachDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _ForEachDevice(devices, f, device_type, device_prefix, scoped=False,$/;" f +_FOREGROUND_COLORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _FOREGROUND_COLORS = {$/;" v class:CursesUI +_fori_body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _fori_body_fun(body_fun):$/;" f +_fori_cond_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _fori_cond_fun(loop_carry):$/;" f +_fori_scan_body_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _fori_scan_body_fun(body_fun):$/;" f +_fork adpepsenv/lib/python3.8/site-packages/torch/jit/__init__.py /^_fork = fork$/;" v +_fork_processes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _fork_processes(self):$/;" m class:MultiProcessTestCase +_fork_rng_warned_already adpepsenv/lib/python3.8/site-packages/torch/random.py /^_fork_rng_warned_already = False$/;" v +_format adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _format(self, val):$/;" m class:Slider +_FORMAT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_FORMAT = _descriptor.EnumDescriptor($/;" v +_formatArray adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _formatArray(a, format_function, line_width, next_line_prefix,$/;" f +_formats adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^_formats = {'csc': [0, "Compressed Sparse Column"],$/;" v +_formatSciNotation adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _formatSciNotation(self, s):$/;" m class:ScalarFormatter +_FormattedValue adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _FormattedValue(self, t):$/;" m class:Unparser +_Formatter adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^class _Formatter(object):$/;" c +_formatting_dict adpepsenv/lib/python3.8/site-packages/pasta/base/formatting.py /^def _formatting_dict(node):$/;" f +_format_args adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _format_args(args: Tuple[Argument, ...], kwargs: Dict[str, Argument]) -> str:$/;" f +_format_A_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _format_A_constraints(A, n_x, sparse_lhs=False):$/;" f +_format_basic_mapping adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _format_basic_mapping(mapping):$/;" f +_format_b_constraints adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _format_b_constraints(b):$/;" f +_format_changelog adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def _format_changelog(self, changelog):$/;" m class:bdist_rpm +_format_count adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^ def _format_count(cnt, pref_cnt):$/;" f function:memory_summary file: +_format_data_list_with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _format_data_list_with_options(self, data_list):$/;" m class:_SingleWorkerDatasetIteratorBase +_format_for_tpu_embedding_ragged_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _format_for_tpu_embedding_ragged_tensor_batch(self, enqueue_datas):$/;" m class:TPUEmbedding +_format_for_tpu_embedding_sparse_tensor_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _format_for_tpu_embedding_sparse_tensor_batch(self, enqueue_datas):$/;" m class:TPUEmbedding +_format_function adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def _format_function(x):$/;" f member:TestArray2String.test_format_function file: +_format_histogram_datum_bins adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _format_histogram_datum_bins(self, datum):$/;" m class:MetricsPlugin +_format_image_blob_sequence_datum adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _format_image_blob_sequence_datum(sorted_datum_list, sample):$/;" f +_format_image_mapping adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _format_image_mapping(mapping):$/;" f +_format_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _format_indices(self, indices):$/;" m class:CursesUI +_format_log adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _format_log(self, log, in_filename, out_filename):$/;" m class:ASTCodeUpgrader +_format_marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def _format_marker(marker, first=True):$/;" f +_format_marker adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def _format_marker(marker, first=True):$/;" f +_format_marker adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def _format_marker(marker, first=True):$/;" f +_format_message adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^def _format_message(code_name, details):$/;" f +_format_neighbors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _format_neighbors(self, neighbor_type, non_ctrls, ctrls):$/;" m class:DebugAnalyzer +_format_non_nat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def _format_non_nat(self, x):$/;" m class:DatetimeFormat +_format_non_nat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def _format_non_nat(self, x):$/;" m class:TimedeltaFormat +_format_non_nat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def _format_non_nat(self, x):$/;" m class:_TimelikeFormat +_format_options adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^_format_options = {$/;" v +_format_option_strings adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def _format_option_strings(self, option, mvarfmt=' <{}>', optsep=', '):$/;" m class:PrettyHelpFormatter +_format_origin_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def _format_origin_stack(origin_stack, call_traceback_proto):$/;" f +_format_param adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _format_param(self, name, optimizer, param):$/;" m class:CyclicLR +_format_param adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _format_param(self, name, optimizer, param):$/;" m class:OneCycleLR +_format_param adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def _format_param(optimizer, swa_lrs):$/;" m class:SWALR +_format_parameter_list adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _format_parameter_list(testcase_params):$/;" f +_format_re adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^_format_re = re.compile(r"\\$(?:(%s)|\\{(%s)\\})" % (("[a-zA-Z_][a-zA-Z0-9_]*",) * 2))$/;" v +_format_shape_dtype_string adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _format_shape_dtype_string(shape, dtype):$/;" f +_format_size adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^ def _format_size(sz, pref_sz):$/;" f function:memory_summary file: +_format_subscripts adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _format_subscripts(self, subscripts, value, limit=10, indent=2):$/;" m class:TensorFlowTestCase +_format_target adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _format_target(base: str, target: str) -> str:$/;" f +_format_tool_keymap adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _format_tool_keymap(self, name):$/;" m class:ToolHelpBase +_format_value adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _format_value(self, x, locs, sci_notation=True):$/;" m class:LogitFormatter +_format_versions adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def _format_versions(cand_iter):$/;" f member:PackageFinder.find_requirement file: +_format_with_dict adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _format_with_dict(tickd, x, pos):$/;" m class:Axis +_formfunc adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def _formfunc(x, pos):$/;" f function:test_funcformatter_auto_formatter file: +_ForUsingWhile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def _ForUsingWhile(start,$/;" f +_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def _forward(state_log_prob, obs_log_prob):$/;" f function:_forward_backward_log file: +_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _forward(self, x):$/;" m class:Bijector +_forward adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^ def _forward(self, x):$/;" m class:Identity +_forward adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def _forward(self, input):$/;" m class:_ConvBnNd +_forward adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _forward(self, x_orig):$/;" m class:PerChannelMinMaxObserver +_ForwardBackwardCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _ForwardBackwardCall(object):$/;" c +_ForwardNoRecurse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _ForwardNoRecurse(Forward):$/;" c +_ForwardNoRecurse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _ForwardNoRecurse(Forward):$/;" c +_ForwardWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_ForwardWrapper = collections.namedtuple($/;" v +_forward_and_backward_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _forward_and_backward_functions(self, inference_args, input_tangents):$/;" m class:_FirstOrderTapeGradientFunctions +_forward_and_backward_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _forward_and_backward_functions(self, inference_args, input_tangents):$/;" m class:_HigherOrderTapeGradientFunctions +_forward_backward_log adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _forward_backward_log(state_trans_log_probs, initial_state_log_probs,$/;" f +_FORWARD_COMPATIBILITY_DATE_NUMBER adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^_FORWARD_COMPATIBILITY_DATE_NUMBER = None$/;" v +_FORWARD_COMPATIBILITY_DELTA_DAYS_VAR_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^_FORWARD_COMPATIBILITY_DELTA_DAYS_VAR_NAME = "TF_FORWARD_COMPATIBILITY_DELTA_DAYS"$/;" v +_FORWARD_COMPATIBILITY_HORIZON adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^_FORWARD_COMPATIBILITY_HORIZON = datetime.date(2020, 10, 21)$/;" v +_forward_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _forward_event_shape(self, input_shape):$/;" m class:Bijector +_forward_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _forward_event_shape_tensor(self, input_shape):$/;" m class:Bijector +_forward_from_src adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^def _forward_from_src(src : str):$/;" f +_forward_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _forward_log_det_jacobian(self, x):$/;" m class:Bijector +_forward_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^ def _forward_log_det_jacobian(self, x):$/;" m class:Identity +_forward_method adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _forward_method(attrname, self, fun, *args):$/;" f +_forward_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _forward_name(n):$/;" f +_FORWARD_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_FORWARD_PREFIX = "__forward_"$/;" v +_forward_to_value adpepsenv/lib/python3.8/site-packages/jax/core.py /^def _forward_to_value(self, fun, ignored_tracer, *args):$/;" f +_forward_to_value adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_forward_to_value = partial(_forward_method, "_value")$/;" v +_forward_unimplemented adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^def _forward_unimplemented(self, *input: Any) -> None:$/;" f +_for_each_trt_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _for_each_trt_node(self, graph_def, fn):$/;" m class:TrtGraphConverterV2 +_for_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _for_eager_fallback(start, limit, delta, input, body, name, ctx):$/;" f +_FOR_SUBCLASS_IMPLEMENTERS adpepsenv/lib/python3.8/site-packages/tensorflow/tools/docs/doc_controls.py /^_FOR_SUBCLASS_IMPLEMENTERS = "_tf_docs_tools_for_subclass_implementers"$/;" v +_found_inf_per_device adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _found_inf_per_device(self, optimizer):$/;" m class:GradScaler +_fp16_compatible_init_op_types adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ _fp16_compatible_init_op_types = [$/;" v class:SparseLookup +_fp16_compatible_reducers adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ _fp16_compatible_reducers = [$/;" v class:SparseLookup +_fpchec_error_string adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_fpchec_error_string = """The input parameters have been rejected by fpchec. \\$/;" v +_fp_write adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def _fp_write(self, to_write):$/;" m class:PSDraw +_fr0 adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _fr0(a):$/;" f +_fr1 adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _fr1(a):$/;" f +_FractionalAvgPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FractionalAvgPoolGrad(op, grad_0, unused_grad_1, unused_grad_2):$/;" f +_FractionalAvgPoolOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FractionalAvgPoolOutput = collections.namedtuple($/;" v +_FractionalMaxPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FractionalMaxPoolGrad(op, grad_0, unused_grad_1, unused_grad_2):$/;" f +_FractionalMaxPoolOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FractionalMaxPoolOutput = collections.namedtuple($/;" v +_fractional_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _fractional_matrix_power(A, p):$/;" f +_fractional_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _fractional_max_pool2d(input, kernel_size, output_size=None,$/;" f +_fractional_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _fractional_max_pool3d(input, kernel_size, output_size=None,$/;" f +_fractional_power_pade adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _fractional_power_pade(R, t, m):$/;" f +_fractional_power_pade_constant adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _fractional_power_pade_constant(i, t):$/;" f +_fractional_power_superdiag_entry adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _fractional_power_superdiag_entry(l1, l2, t12, p):$/;" f +_FRACTION_REGEX adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ _FRACTION_REGEX = re.compile(b'[\\\\.,]([0-9]+)')$/;" v class:isoparser +_fragment_2_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _fragment_2_1(X, T, s):$/;" f +_fragment_3_1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _fragment_3_1(norm_info, n0, tol, m_max=55, ell=2):$/;" f +_frames_to_skip adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ _frames_to_skip = set()$/;" v class:ABSLLogger +_FRAMEWORK_COMMON_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_FRAMEWORK_COMMON_PREFIX = os.path.dirname($/;" v +_FRAMEWORK_FILENAME_PATTERNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_FRAMEWORK_FILENAME_PATTERNS = [$/;" v +_FRAMEWORK_PATH_PREFIXES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_FRAMEWORK_PATH_PREFIXES = [$/;" v +_frame_class adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _frame_class = FigureFrameWx$/;" v class:_BackendWx +_frame_class adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxagg.py /^ _frame_class = FigureFrameWxAgg$/;" v class:_BackendWxAgg +_frame_class adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ _frame_class = FigureFrameWxCairo$/;" v class:_BackendWxCairo +_frame_sink adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _frame_sink(self):$/;" m class:FileMovieWriter +_frame_sink adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _frame_sink(self):$/;" m class:MovieWriter +_freeze_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import freeze_graph as _freeze_graph$/;" x +_freeze_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert_saved_model import freeze_saved_model as _freeze_saved_model$/;" x +_free_f90_start adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_free_f90_start = re.compile(r'[^c*!]\\s*[^\\s\\d\\t]', re.I).match$/;" v +_free_f90_start adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_free_f90_start = re.compile(r'[^c*]\\s*[^\\s\\d\\t]', re.I).match$/;" v +_free_mutex adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def _free_mutex():$/;" f +_FREQUENCYESTIMATORPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_FREQUENCYESTIMATORPARAMETERS = _descriptor.Descriptor($/;" v +_FrequentTracingDetector adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^class _FrequentTracingDetector(object):$/;" c +_frequent_tracing_detector adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^_frequent_tracing_detector = _FrequentTracingDetector()$/;" v +_freq_domain_conv adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _freq_domain_conv(in1, in2, axes, shape, calc_fast_len=False):$/;" f +_freq_map adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _freq_map = {"YEARLY": YEARLY,$/;" v class:_rrulestr +_freq_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _freq_weights(weights):$/;" f +_FresnelCosGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _FresnelCosGrad(op, grad):$/;" f +_FresnelSinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _FresnelSinGrad(op, grad):$/;" f +_FRIENDLY_METHOD_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^_FRIENDLY_METHOD_NAMES = {$/;" v +_fromarray_typemap adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_fromarray_typemap = {$/;" v +_frombuffer adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _frombuffer(buf, dtype, shape, order):$/;" f +_frommethod adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _frommethod:$/;" c +_fromnxfunction adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class _fromnxfunction:$/;" c +_fromnxfunction_allargs adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class _fromnxfunction_allargs(_fromnxfunction):$/;" c +_fromnxfunction_args adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class _fromnxfunction_args(_fromnxfunction):$/;" c +_fromnxfunction_seq adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class _fromnxfunction_seq(_fromnxfunction):$/;" c +_fromnxfunction_single adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^class _fromnxfunction_single(_fromnxfunction):$/;" c +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _fromroots = staticmethod(chebfromroots)$/;" v class:Chebyshev +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _fromroots = staticmethod(hermfromroots)$/;" v class:Hermite +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _fromroots = staticmethod(hermefromroots)$/;" v class:HermiteE +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _fromroots = staticmethod(lagfromroots)$/;" v class:Laguerre +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _fromroots = staticmethod(legfromroots)$/;" v class:Legendre +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _fromroots = staticmethod(polyfromroots)$/;" v class:Polynomial +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _fromroots(line_f, mul_f, roots):$/;" f +_fromroots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _fromroots(r):$/;" m class:ABCPolyBase +_fromutc adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _fromutc(self, dt):$/;" m class:_tzinfo +_from_any adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def _from_any(cls, arg):$/;" m class:FontProperties +_from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _from_compatible_tensor_list(self, tensor_list):$/;" m class:SparseTensorSpec +_from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _from_compatible_tensor_list(self, tensor_list):$/;" m class:TensorSpec +_from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _from_compatible_tensor_list(self, tensor_list):$/;" m class:TypeSpec +_from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _from_compatible_tensor_list(self, tensor_list):$/;" m class:RaggedTensorSpec +_from_compatible_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _from_compatible_tensor_list(self, tensor_list):$/;" m class:VariableSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _from_components(self, components):$/;" m class:DatasetSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _from_components(self, components):$/;" m class:IteratorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _from_components(self, components):$/;" m class:MultiDeviceIteratorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _from_components(self, flat_value):$/;" m class:OptionalSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _from_components(self, components):$/;" m class:NoneTensorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _from_components(self, components):$/;" m class:DistributedIteratorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _from_components(self, components):$/;" m class:_SingleWorkerDatasetIteratorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _from_components(self, variables):$/;" m class:ShardedVariableSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _from_components(self, tensor_list):$/;" m class:PerReplicaSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _from_components(self, tensor_list):$/;" m class:IndexedSlicesSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _from_components(self, tensor_list):$/;" m class:SparseTensorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _from_components(self, components):$/;" m class:TensorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _from_components(self, components):$/;" m class:TypeSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _from_components(self, components):$/;" m class:UserRegisteredSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _from_components(self, data):$/;" m class:NdarraySpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _from_components(self, tensor_list):$/;" m class:RaggedTensorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _from_components(self, tensor):$/;" m class:RowPartitionSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _from_components(self, components):$/;" m class:VariableSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _from_components(self, components):$/;" m class:GeneratorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _from_components(self, components):$/;" m class:StructuredTensorSpec +_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _from_components(self, tensor_list):$/;" m class:TensorArraySpec +_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _from_config(cls, config, custom_objects=None, columns_by_name=None):$/;" m class:FeatureColumn +_from_ctypes_array adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype_ctypes.py /^def _from_ctypes_array(t):$/;" f +_from_ctypes_scalar adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype_ctypes.py /^def _from_ctypes_scalar(t):$/;" f +_from_ctypes_structure adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype_ctypes.py /^def _from_ctypes_structure(t):$/;" f +_from_ctypes_union adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype_ctypes.py /^def _from_ctypes_union(t):$/;" f +_from_current_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _from_current_graph(variable):$/;" f member:Optimizer.variables file: +_from_dataset_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def _from_dataset_id(processing_mode,$/;" f +_from_definition adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _from_definition(fdef, grad_func=None):$/;" f +_from_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _from_dict(cls, d):$/;" m class:ArchiveInfo +_from_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _from_dict(cls, d):$/;" m class:DirInfo +_from_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _from_dict(cls, d):$/;" m class:VcsInfo +_from_exception adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _from_exception(cls, pe):$/;" m class:ParseBaseException +_from_exception adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _from_exception(cls, pe):$/;" m class:ParseBaseException +_from_exception adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _from_exception(cls, pe):$/;" m class:ParseBaseException +_from_exception adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _from_exception(cls, pe):$/;" m class:ParseBaseException +_from_iter adpepsenv/lib/python3.8/site-packages/cycler.py /^ def _from_iter(cls, label, itr):$/;" m class:Cycler +_from_legacy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _from_legacy(self):$/;" m class:Metadata +_from_local_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _from_local_devices($/;" m class:_CollectiveAllReduceStrategyExperimental +_from_local_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _from_local_devices(cls, devices, communication_options=None):$/;" m class:CollectiveAllReduceStrategy +_from_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def _from_matrix(self, matrix, handle_data=None):$/;" m class:CSRSparseMatrix +_from_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def _from_matrix(self, matrix, handle_data=None):$/;" m class:SparseMatrix +_from_module adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def _from_module(self, module, object):$/;" m class:NumpyDocTestFinder +_from_num_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def _from_num_gpus(cls, num_gpus):$/;" m class:CentralStorageStrategy +_from_nx adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^from . import fromnumeric as _from_nx$/;" x +_from_ordinalf adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def _from_ordinalf(x, tz=None):$/;" f +_from_ordinalf_np_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^_from_ordinalf_np_vectorized = np.vectorize(_from_ordinalf, otypes="O")$/;" v +_from_proto_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _from_proto_fn(v, import_scope=None):$/;" f +_from_proto_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _from_proto_fn(v, import_scope=None):$/;" f +_from_pydict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _from_pydict(cls, pyval, typespec):$/;" m class:StructuredTensor +_from_pylist_of_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _from_pylist_of_dict(cls, pyval, keys, rank, typespec):$/;" m class:StructuredTensor +_from_pylist_of_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _from_pylist_of_value(cls, pyval, typespec):$/;" m class:StructuredTensor +_from_pyscalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _from_pyscalar(cls, pyval, typespec):$/;" m class:StructuredTensor +_from_row_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _from_row_partition(cls, values, row_partition, validate=True):$/;" m class:RaggedTensor +_from_signer_and_info adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def _from_signer_and_info(cls, signer, info, **kwargs):$/;" m class:Credentials +_from_signer_and_info adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def _from_signer_and_info(cls, signer, info, **kwargs):$/;" m class:OnDemandCredentials +_from_signer_and_info adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def _from_signer_and_info(cls, signer, info, **kwargs):$/;" m class:Credentials +_from_signer_and_info adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def _from_signer_and_info(cls, signer, info, **kwargs):$/;" m class:IDTokenCredentials +_from_storage adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _from_storage(storage):$/;" m class:ObjectIdentitySet +_from_string adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^def _from_string(str, gdict, ldict):$/;" f +_from_subplot_args adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def _from_subplot_args(figure, args):$/;" m class:SubplotSpec +_from_tck adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _from_tck(cls, tck):$/;" m class:BivariateSpline +_from_tck adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _from_tck(cls, tck, ext=0):$/;" m class:UnivariateSpline +_from_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _from_tensor_list(self, tensor_list):$/;" m class:TypeSpec +_from_tensor_list_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _from_tensor_list_helper(decode_fn, element_spec, tensor_list):$/;" f +_from_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _from_variant(cls,$/;" m class:RaggedTensor +_FRONTENDATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_FRONTENDATTRIBUTES = _descriptor.Descriptor($/;" v +_FRONTENDATTRIBUTES_MAPENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_FRONTENDATTRIBUTES_MAPENTRY = _descriptor.Descriptor($/;" v +_fscodec adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^def _fscodec():$/;" f +_fsdecode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^_fsencode, _fsdecode = _fscodec()$/;" v +_fsencode adpepsenv/lib/python3.8/site-packages/h5py/_hl/compat.py /^_fsencode, _fsdecode = _fscodec()$/;" v +_fsencoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ _fsencoding = sys.getfilesystemencoding() or 'utf-8'$/;" v +_fserrors adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ _fserrors = 'strict'$/;" v +_fspecial_gauss adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _fspecial_gauss(size, sigma):$/;" f +_fstring_Constant adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _fstring_Constant(self, t, write):$/;" m class:Unparser +_fstring_FormattedValue adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _fstring_FormattedValue(self, t, write):$/;" m class:Unparser +_fstring_JoinedStr adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _fstring_JoinedStr(self, t, write):$/;" m class:Unparser +_fstring_Str adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _fstring_Str(self, t, write):$/;" m class:Unparser +_FSTRING_VAL_PLACEHOLDER adpepsenv/lib/python3.8/site-packages/pasta/base/fstring_utils.py /^_FSTRING_VAL_PLACEHOLDER = '__pasta_fstring_val_{index}__'$/;" v +_fs_to_record_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _fs_to_record_path(path, relative_to=None):$/;" f +_FtrlHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _FtrlHandler(_OptimizerHandler):$/;" c +_FTRLPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_FTRLPARAMETERS = _descriptor.Descriptor($/;" v +_ftypes adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^_ftypes = ['float32', 'float64', 'float96', 'float128', 'int32', 'int64']$/;" v +_fullpath adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _fullpath(self, path):$/;" m class:Repository +_full_batch_training_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _full_batch_training_op(self, inputs, num_clusters, cluster_idx_list,$/;" m class:KMeans +_full_ipv6_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _full_ipv6_address = (_ipv6_part + (':' + _ipv6_part) * 7).setName("full IPv6 address")$/;" v class:pyparsing_common +_full_ipv6_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _full_ipv6_address = (_ipv6_part + (':' + _ipv6_part)*7).setName("full IPv6 address")$/;" v class:pyparsing_common +_full_ipv6_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _full_ipv6_address = (_ipv6_part + (':' + _ipv6_part) * 7).setName("full IPv6 address")$/;" v class:pyparsing_common +_full_ipv6_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _full_ipv6_address = (_ipv6_part + (':' + _ipv6_part)*7).setName("full IPv6 address")$/;" v class:pyparsing_common +_full_like_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _full_like_dispatcher(a, fill_value, dtype=None, order=None, subok=None, shape=None):$/;" f +_full_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _full_name(self):$/;" m class:Client +_full_screen_flag adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ _full_screen_flag = False$/;" v class:FigureManagerGTK3 +_fun adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ _fun = _deprecated(_msg.format(_key))(_fun)$/;" v +_fun adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ _fun = getattr(_num, _key)$/;" v +_fun adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ _fun = getattr(_sci, _key)$/;" v +_func adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def _func(*vargs):$/;" f member:vectorize._get_ufunc_and_otypes file: +_func adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_rbf.py /^ def _func(self, r):$/;" f function:test_two_arg_function_is_callable file: +_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_nonlin.py /^ def _func(self, x):$/;" m class:TestJacobianDotSolve +_funcdef_re adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^_funcdef_re = re.compile(r"^(\\s*def\\s)|(.*(?<!\\w)lambda(:|\\s))|^(\\s*@)")$/;" v +_FuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^class _FuncGraph(ops.Graph):$/;" c +_FUNCTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_FUNCTION = _descriptor.Descriptor($/;" v +_function adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.eager import function as _function$/;" x +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^class _Function(object):$/;" c +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^class _Function(object):$/;" c +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^class _Function(object):$/;" c +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^class _Function(object):$/;" c +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^class _Function(object):$/;" c +_Function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Function(_Convertible):$/;" c +_Functional adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^class _Functional(object):$/;" c +_FunctionalAdagrad adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/functional_adagrad.py /^class _FunctionalAdagrad(object):$/;" c +_functional_construction_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _functional_construction_call(self, inputs, args, kwargs, input_list):$/;" m class:Layer +_functional_registered_ops adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^_functional_registered_ops = _gen_torch_functional_registered_ops()$/;" v +_functional_with_add_loss_and_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def _functional_with_add_loss_and_metric(input_shape, num_classes, l1, l2):$/;" f +_functional_with_layer_reuse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def _functional_with_layer_reuse(input_shape, num_classes, l1, l2):$/;" f +_FunctionCaller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _FunctionCaller(_Node):$/;" c +_FunctionConverterData adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _FunctionConverterData(_ConverterData):$/;" c +_FunctionDef adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _FunctionDef(self, t):$/;" m class:Unparser +_FUNCTIONDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF = _descriptor.Descriptor($/;" v +_FUNCTIONDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF = _descriptor.Descriptor($/;" v +_FUNCTIONDEFLIBRARY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEFLIBRARY = _descriptor.Descriptor($/;" v +_FUNCTIONDEFLIBRARY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEFLIBRARY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_ARGATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_ARGATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_ARGATTRS = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_ARGATTRS = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRS_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_ARGATTRS_ATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ARGATTRS_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_ARGATTRS_ATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_ATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_ATTRENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_CONTROLRETENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_CONTROLRETENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_CONTROLRETENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_CONTROLRETENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_RESOURCEARGUNIQUEIDENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_RESOURCEARGUNIQUEIDENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_RESOURCEARGUNIQUEIDENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_RESOURCEARGUNIQUEIDENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_RETENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_FUNCTIONDEF_RETENTRY = _descriptor.Descriptor($/;" v +_FUNCTIONDEF_RETENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_FUNCTIONDEF_RETENTRY = _descriptor.Descriptor($/;" v +_FunctionGarbageCollector adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _FunctionGarbageCollector(object):$/;" c +_FunctionOrClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^class _FunctionOrClass(object):$/;" c +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def _functions(self):$/;" m class:_MapAndBatchDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _functions(self):$/;" m class:_GroupByReducerDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _functions(self):$/;" m class:_GroupByWindowDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^ def _functions(self):$/;" m class:_LoadDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _functions(self):$/;" m class:_MapOnGpuDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^ def _functions(self):$/;" m class:_ScanDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def _functions(self):$/;" m class:_SnapshotDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/take_while_ops.py /^ def _functions(self):$/;" m class:_TakeWhileDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:DatasetV1Adapter +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:DatasetV2 +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:FilterDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:FlatMapDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:InterleaveDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:MapDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:ParallelInterleaveDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _functions(self):$/;" m class:ParallelMapDataset +_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _functions(self):$/;" m class:ParallelInterleaveDataset +_FUNCTIONSPEC adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_FUNCTIONSPEC = _descriptor.Descriptor($/;" v +_FUNCTIONSPEC adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_FUNCTIONSPEC = _descriptor.Descriptor($/;" v +_FUNCTIONSPEC_EXPERIMENTALCOMPILE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_FUNCTIONSPEC_EXPERIMENTALCOMPILE = _descriptor.EnumDescriptor($/;" v +_FUNCTIONSPEC_EXPERIMENTALCOMPILE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_FUNCTIONSPEC_EXPERIMENTALCOMPILE = _descriptor.EnumDescriptor($/;" v +_FunctionWrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^class _FunctionWrapper(object):$/;" c +_FunctionWrapperBase adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class _FunctionWrapperBase(ObjectProxy):$/;" c +_FUNCTION_API_NAME_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_FUNCTION_API_NAME_ATTRIBUTE = 'api_implements'$/;" v +_function_callbacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_function_callbacks = set()$/;" v +_FUNCTION_DEVICE_ATTRIBUTE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_FUNCTION_DEVICE_ATTRIBUTE = 'api_preferred_device'$/;" v +_function_names adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _function_names = set("""$/;" v class:Parser +_FUNCTION_PREFIXES adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^_FUNCTION_PREFIXES = ($/;" v +_function_scope_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^ def _function_scope_options(self, fn_scope):$/;" m class:FunctionTransformer +_function_signature_re adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^_function_signature_re = re.compile(r"[a-z0-9_]+\\(.*[,=].*\\)", re.I)$/;" v +_FUNCTION_WRAPPER_NAME_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^_FUNCTION_WRAPPER_NAME_REGEX = r"^%s(.*)_\\d+$" % (function_lib._INFERENCE_PREFIX$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_closure = "__closure__"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_closure = "__closure__"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_closure = "__closure__"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_closure = "__closure__"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/six.py /^ _func_closure = "__closure__"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_closure = "func_closure"$/;" v +_func_closure adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_closure = "__closure__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_code = "__code__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_code = "__code__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_code = "__code__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_code = "__code__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/six.py /^ _func_code = "__code__"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_code = "func_code"$/;" v +_func_code adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_code = "__code__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_defaults = "func_defaults"$/;" v +_func_defaults adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_defaults = "__defaults__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _func_globals = "__globals__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _func_globals = "__globals__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _func_globals = "__globals__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _func_globals = "__globals__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/six.py /^ _func_globals = "__globals__"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_globals = "func_globals"$/;" v +_func_globals adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _func_globals = "__globals__"$/;" v +_func_graph_id_from_func_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _func_graph_id_from_func_name(self, op_type):$/;" m class:_DumpingCallback +_FusedBatchNormGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormGrad(op, *grad):$/;" f +_FusedBatchNormGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormGradGrad(op, *grad):$/;" f +_FusedBatchNormGradGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormGradGradV2(op, *grad):$/;" f +_FusedBatchNormGradGradV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormGradGradV3(op, *grad):$/;" f +_FusedBatchNormGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormGradOutput = collections.namedtuple($/;" v +_FusedBatchNormGradV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormGradV2Output = collections.namedtuple($/;" v +_FusedBatchNormGradV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormGradV3Output = collections.namedtuple($/;" v +_FusedBatchNormOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormOutput = collections.namedtuple($/;" v +_FusedBatchNormV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormV2Grad(op, *grad):$/;" f +_FusedBatchNormV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormV2Output = collections.namedtuple($/;" v +_FusedBatchNormV3Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _FusedBatchNormV3Grad(op, *grad):$/;" f +_FusedBatchNormV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_FusedBatchNormV3Output = collections.namedtuple($/;" v +_fused_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_batch_norm(self, inputs, training):$/;" m class:BatchNormalizationBase +_fused_batch_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def _fused_batch_norm(x, scale, offset, mean, variance, epsilon=0.0001, exponential_avg_factor=1/;" f +_fused_batch_norm_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^def _fused_batch_norm_eager_fallback(x, scale, offset, mean, variance, epsilon, exponential_avg_/;" f +_fused_batch_norm_inference adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_batch_norm_inference():$/;" f member:BatchNormalizationBase._fused_batch_norm file: +_fused_batch_norm_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_batch_norm_training():$/;" f member:BatchNormalizationBase._fused_batch_norm file: +_fused_batch_norm_training_empty adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_batch_norm_training_empty():$/;" f member:BatchNormalizationBase._fused_batch_norm file: +_fused_can_be_used adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_can_be_used(self):$/;" m class:BatchNormalizationBase +_fused_can_be_used adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _fused_can_be_used(self, ndims):$/;" m class:LayerNormalization +_fused_normalize_batch_in_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _fused_normalize_batch_in_training(x,$/;" f +_fuse_modules adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^def _fuse_modules(model, modules_to_fuse, fuser_func=fuse_known_modules):$/;" f +_fuse_nnpack_convrelu adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations_test.py /^ def _fuse_nnpack_convrelu(self, net, expected_result_num_ops,$/;" m class:TestTransformations +_FUTURE_STATES adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^_FUTURE_STATES = [$/;" v +_future_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _future_stream_unary(channel, group, method, timeout, protocol_options,$/;" f +_future_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _future_unary_unary(channel, group, method, timeout, protocol_options,$/;" f +_fv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _fv_dispatcher(rate, nper, pmt, pv, when=None):$/;" f +_f_cdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _f_cdf(dfn, dfd, x):$/;" f +_f_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _f_cdf(x, c):$/;" f function:_truncnorm_ppf_scalar file: +_f_pyf_ext_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_f_pyf_ext_match = re.compile(r'.*[.](f90|f95|f77|for|ftn|f|pyf)\\Z', re.I).match$/;" v +_f_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _f_sf(x, c):$/;" f function:_truncnorm_ppf_scalar file: +_g adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _g(param):$/;" f function:Parallelize_BMUF file: +_g0 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g0(x): return 0$/;" f +_g1 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g1(x): return 0.5$/;" f +_g10 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g10(x): return np.cos(x * np.pi \/ 2)$/;" f +_g11 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g11(x): return np.abs(x - 0.5)$/;" f +_g12 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g12(x): return (2 * x - 1) ** 2$/;" f +_g13 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g13(x): return np.sin(x * np.pi)$/;" f +_g14 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g14(x): return np.abs(np.cos(x * np.pi))$/;" f +_g15 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g15(x): return np.sin(x * 2 * np.pi)$/;" f +_g16 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g16(x): return np.cos(x * 2 * np.pi)$/;" f +_g17 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g17(x): return np.abs(np.sin(x * 2 * np.pi))$/;" f +_g18 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g18(x): return np.abs(np.cos(x * 2 * np.pi))$/;" f +_g19 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g19(x): return np.abs(np.sin(x * 4 * np.pi))$/;" f +_g2 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g2(x): return 1$/;" f +_g20 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g20(x): return np.abs(np.cos(x * 4 * np.pi))$/;" f +_g21 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g21(x): return 3 * x$/;" f +_g22 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g22(x): return 3 * x - 1$/;" f +_g23 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g23(x): return 3 * x - 2$/;" f +_g24 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g24(x): return np.abs(3 * x - 1)$/;" f +_g25 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g25(x): return np.abs(3 * x - 2)$/;" f +_g26 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g26(x): return (3 * x - 1) \/ 2$/;" f +_g27 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g27(x): return (3 * x - 2) \/ 2$/;" f +_g28 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g28(x): return np.abs((3 * x - 1) \/ 2)$/;" f +_g29 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g29(x): return np.abs((3 * x - 2) \/ 2)$/;" f +_g3 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g3(x): return x$/;" f +_g30 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g30(x): return x \/ 0.32 - 0.78125$/;" f +_g31 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g31(x): return 2 * x - 0.84$/;" f +_g32 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g32(x):$/;" f +_g33 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g33(x): return np.abs(2 * x - 0.5)$/;" f +_g34 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g34(x): return 2 * x$/;" f +_g35 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g35(x): return 2 * x - 0.5$/;" f +_g36 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g36(x): return 2 * x - 1$/;" f +_g4 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g4(x): return x ** 2$/;" f +_g5 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g5(x): return x ** 3$/;" f +_g6 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g6(x): return x ** 4$/;" f +_g7 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g7(x): return np.sqrt(x)$/;" f +_g8 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g8(x): return np.sqrt(np.sqrt(x))$/;" f +_g9 adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _g9(x): return np.sin(x * np.pi \/ 2)$/;" f +_gam adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^_gam = cephes.gamma$/;" v +_gamma adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gamma(key, a, shape, dtype):$/;" f +_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def _gamma(self):$/;" m class:NegativeBinomial +_gamma_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gamma_batching_rule(batched_args, batch_dims):$/;" f +_gamma_grad adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gamma_grad(sample, a):$/;" f +_gamma_impl adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gamma_impl(key, a, use_vmap=False):$/;" f +_gamma_init adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^ _gamma_init = lambda rng, shape: gamma_init(rng, shape) if scale else ()$/;" f function:BatchNorm file: +_gamma_one adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gamma_one(key, alpha):$/;" f +_garbage_collect_exports adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def _garbage_collect_exports(self, export_dir_base):$/;" m class:BestExporter +_garbage_collect_exports adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def _garbage_collect_exports(self, export_dir_base):$/;" m class:LatestExporter +_gated_grpc_watch_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def _gated_grpc_watch_fn(fetches, feeds):$/;" f member:TensorBoardDebugWrapperSession.__init__ file: +_gated_grpc_watch_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def _gated_grpc_watch_fn(fetches, feeds):$/;" f member:TensorBoardDebugHook.__init__ file: +_gather adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _gather(operand, start_indices, *, dimension_numbers, slice_sizes,$/;" f +_gather adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _gather(arr, treedef, static_idx, dynamic_idx):$/;" f +_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _gather(self, per_replica_value, destinations, axis, options=None):$/;" m class:CrossDeviceOps +_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/test_util.py /^def _gather(strategy, value):$/;" f +_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _gather(params, indices, axis, batch_dims):$/;" f +_GATHERDIMENSIONNUMBERS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_GATHERDIMENSIONNUMBERS = _descriptor.Descriptor($/;" v +_GatherDropNegatives adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _GatherDropNegatives(params,$/;" f +_GatherGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _GatherGrad(op, grad):$/;" f +_GatherGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _GatherGrad(op, grad):$/;" f +_GatherNdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _GatherNdGrad(op, grad):$/;" f +_GatherReturnElements adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _GatherReturnElements(requested_return_elements, graph, results):$/;" f +_GatherV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _GatherV2Grad(op, grad):$/;" f +_gather_and_copy adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^ def _gather_and_copy(acceptance_prob, data):$/;" f function:_filter_ds file: +_gather_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_batching_rule(batched_args, batch_dims, *, dimension_numbers,$/;" f +_gather_children_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _gather_children_attribute(self, attribute):$/;" m class:Layer +_gather_children_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _gather_children_attribute(self, attribute):$/;" m class:Layer +_gather_dimensions_proto adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _gather_dimensions_proto(indices_shape, dimension_numbers):$/;" f +_gather_dimensions_proto adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_dimensions_proto(indices_shape, dimension_numbers):$/;" f +_gather_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_dtype_rule(operand, start_indices, **kwargs):$/;" f +_gather_entries_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def _gather_entries_sum(self, in_data, indices, index_size):$/;" m class:CRFWithLoss +_gather_flat_grad adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _gather_flat_grad(self):$/;" m class:LBFGS +_gather_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _gather_implementation(self, per_replica_value, destinations, axis,$/;" m class:AllReduceCrossDeviceOps +_gather_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _gather_implementation(self, per_replica_value, destinations, axis,$/;" m class:CollectiveAllReduce +_gather_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _gather_implementation(self, per_replica_value, destinations, axis,$/;" m class:CrossDeviceOps +_gather_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _gather_implementation(self, per_replica_value, destinations, axis,$/;" m class:ReductionToOneDevice +_gather_input adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^_gather_input = np.arange(1000, dtype=np.float32).reshape((10, 10, 10))$/;" v +_gather_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_jvp_rule(g, operand, start_indices, *, dimension_numbers,$/;" f +_gather_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def _gather_list(self, net, record, lengths_blob, output_record):$/;" m class:GatherRecord +_gather_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _gather_names(tensor_info):$/;" f member:TrtGraphConverter._convert_saved_model file: +_gather_padding_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^def _gather_padding_ref(start_pad_width, end_pad_width, data, lengths):$/;" f +_gather_saveables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _gather_saveables(self, object_graph_tensor=None):$/;" m class:TrackableSaver +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:Iterator +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:OwnedIterator +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:ParallelVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:AggregatingVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:ShardedVariableMixin +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:DistributedVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:MirroredVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:SyncOnReadVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:AutoCastVariable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:_DelegatingTrackableMixin +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:QuantileAccumulator +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:TreeEnsemble +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:DenseHashTable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:MutableHashTable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:Variable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _gather_saveables_for_checkpoint($/;" m class:TPUEmbedding +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:Trackable +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/python_state.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:PythonState +_gather_saveables_for_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def _gather_saveables_for_checkpoint(self):$/;" m class:_MutableDenseHashTable +_gather_saveable_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _gather_saveable_objects(self):$/;" m class:NameBasedSaverStatus +_gather_scalar adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def _gather_scalar(self, net, record, lengths_blob, output_record):$/;" m class:GatherRecord +_gather_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_shape_rule(operand, start_indices, *, dimension_numbers,$/;" f +_gather_state adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _gather_state(self, features):$/;" m class:TimeSeriesRegressionHead +_gather_struct adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def _gather_struct(self, net, record, lengths_blob, output_record):$/;" m class:GatherRecord +_gather_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _gather_taylor_rule(primals_in, series_in, **params):$/;" f +_gather_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _gather_to(self, value, destinations, axis, options=None):$/;" m class:StrategyExtendedV2 +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:CollectiveAllReduceExtended +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:StrategyExtendedV2 +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:_DefaultDistributionExtended +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:MirroredExtended +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:OneDeviceExtended +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _gather_to_implementation(self, value, destinations, axis,$/;" m class:ParameterServerStrategyExtended +_gather_to_implementation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _gather_to_implementation(self, value, destinations, axis, options):$/;" m class:TPUExtended +_gather_to_leader adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _gather_to_leader(sequence_id, worker_name, obj):$/;" f +_gather_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_translation_rule(c, operand, start_indices, *, dimension_numbers,$/;" f +_gather_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _gather_transpose_rule(t, operand, start_indices, *, dimension_numbers,$/;" f +_gather_wrapper adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _gather_wrapper(self, net, version, in_indices, out):$/;" m class:SparseLookup +_gaussian_kernel1d adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _gaussian_kernel1d(sigma, order, radius):$/;" f +_gcd adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _gcd(a, b):$/;" f +_gcd_body_fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _gcd_body_fn(xs):$/;" f +_gcd_body_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _gcd_body_fn(x1, x2):$/;" f function:_tf_gcd file: +_gcd_cond_fn adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _gcd_cond_fn(xs):$/;" f +_gcd_cond_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _gcd_cond_fn(_, x2):$/;" f function:_tf_gcd file: +_gcd_import adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _gcd_import(self, name, package=None, level=0):$/;" m class:PackageImporter +_gce_metadata_endpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _gce_metadata_endpoint():$/;" f +_GCE_METADATA_HOST adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^ _GCE_METADATA_HOST = os.getenv($/;" v +_GCE_METADATA_HOST adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_GCE_METADATA_HOST = os.getenv(environment_vars.GCE_METADATA_HOST, None)$/;" v +_GCE_METADATA_URL_ENV_VARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_GCE_METADATA_URL_ENV_VARIABLE = 'GCE_METADATA_IP'$/;" v +_gci adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _gci(self):$/;" m class:_AxesBase +_gci adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _gci(self):$/;" m class:Figure +_gcpl_crt_order adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ _gcpl_crt_order = h5p.create(h5p.GROUP_CREATE)$/;" v class:Group +_GC_CANCELLATION_DETAILS adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_GC_CANCELLATION_DETAILS = 'Cancelled upon garbage collection!'$/;" v +_gelu_by_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _gelu_by_sigmoid(x):$/;" f +_gelu_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _gelu_ref(_X):$/;" f member:TorchIntegration._test_gelu_op file: +_gen adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^ def _gen(data):$/;" f function:convert_to_generator_like file: +_geneig adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def _geneig(a1, b1, left, right, overwrite_a, overwrite_b,$/;" f +_general_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_general_example = '''\\$/;" v +_general_purpose_scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _general_purpose_scan(ds, init_state, body):$/;" f +_general_purpose_scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _general_purpose_scan(ds, init_state, body):$/;" f +_generate adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _generate(self, state):$/;" m class:Fuzzer +_generate adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def _generate():$/;" f function:get_machine_id file: +_GenerateBoundingBoxProposalsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_GenerateBoundingBoxProposalsOutput = collections.namedtuple($/;" v +_GENERATEDCODEINFO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_GENERATEDCODEINFO = _descriptor.Descriptor($/;" v +_GENERATEDCODEINFO_ANNOTATION adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_GENERATEDCODEINFO_ANNOTATION = _descriptor.Descriptor($/;" v +_GENERATED_FILE_FOOTER adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_GENERATED_FILE_FOOTER = '\\n\\ndel _print_function\\n'$/;" v +_GENERATED_FILE_HEADER adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_GENERATED_FILE_HEADER = """# This file is MACHINE GENERATED! Do not edit.$/;" v +_GENERATED_PROTOCOL_MESSAGE_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^_GENERATED_PROTOCOL_MESSAGE_TYPE = message_impl.GeneratedProtocolMessageType$/;" v +_GenerateGradientsForForwardOp adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _GenerateGradientsForForwardOp($/;" m class:IR +_GenerateNonImplementedMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _GenerateNonImplementedMethod(self, method):$/;" m class:_ServiceBuilder +_GenerateStubMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _GenerateStubMethod(self, method):$/;" m class:_ServiceStubBuilder +_GenerateVocabRemappingOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^_GenerateVocabRemappingOutput = collections.namedtuple($/;" v +_generate_authentication_header_map adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^def _generate_authentication_header_map($/;" f +_generate_auxiliary_problem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _generate_auxiliary_problem(A, b, x0, tol):$/;" f +_generate_bootstrapped_indices adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def _generate_bootstrapped_indices(self, net, copied_cur_layer, iteration):$/;" m class:FCWithBootstrap +_generate_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _generate_cache(self):$/;" m class:DistributionPath +_generate_cache_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _generate_cache_key(mode):$/;" f +_generate_cube adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_cube():$/;" f +_generate_data adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _generate_data(self, devices, device_type, device_prefix):$/;" m class:ParallelizeBMUFTest +_generate_data adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _generate_data(devices, process_id, device_type, device_prefix):$/;" f function:bmuf_process file: +_generate_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_data(self, n, m):$/;" m class:TestClip +_generate_data_complex adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_data_complex(self, n, m):$/;" m class:TestClip +_generate_defun_backend adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _generate_defun_backend(unique_api_name, preferred_device, func,$/;" f +_generate_defun_backend adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _generate_defun_backend(unique_api_name, preferred_device, func):$/;" f +_generate_deprecation_warning adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _generate_deprecation_warning($/;" f +_generate_dodecahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_dodecahedron():$/;" f +_generate_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _generate_dropout_mask(ones, rate, training=None, count=1):$/;" f +_generate_dump_from_simple_addition_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _generate_dump_from_simple_addition_graph(self):$/;" m class:SessionDebugTestBase +_generate_enqueue_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _generate_enqueue_op(self,$/;" m class:TPUEmbedding +_generate_enqueue_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _generate_enqueue_op($/;" m class:TPUEmbedding +_generate_enqueue_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _generate_enqueue_op(self,$/;" m class:InfeedQueue +_generate_feature_col_name_mapping adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _generate_feature_col_name_mapping(sorted_feature_columns):$/;" f +_generate_file adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def _generate_file(path, **kwargs):$/;" f function:_install_wheel file: +_generate_flt_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_flt_data(self, n, m):$/;" m class:TestClip +_generate_flush_cache_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _generate_flush_cache_op(self, num_replicas, on_tpu, tensor_trace_order):$/;" m class:TensorTracer +_generate_from_outargs_and_no_return adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _generate_from_outargs_and_no_return(self):$/;" m class:FusedFunc +_generate_from_outargs_and_return adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _generate_from_outargs_and_return(self):$/;" m class:FusedFunc +_generate_from_return_and_no_outargs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _generate_from_return_and_no_outargs(self):$/;" m class:FusedFunc +_generate_icosahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_icosahedron():$/;" f +_generate_icosahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _generate_icosahedron():$/;" f +_generate_input_map adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _generate_input_map(signature_def, features, labels):$/;" f +_generate_input_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def _generate_input_signature(self, layer):$/;" m class:LayerCallCollection +_generate_int32_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_int32_data(self, n, m):$/;" m class:TestClip +_generate_int_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_int_data(self, n, m):$/;" m class:TestClip +_generate_isinstance_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _generate_isinstance_check(expected_types):$/;" f +_generate_metadata adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def _generate_metadata(self):$/;" m class:InstallRequirement +_generate_names adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def _generate_names(cls, name):$/;" m class:AdjacentTempDirectory +_generate_non_native_data adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _generate_non_native_data(self, n, m):$/;" m class:TestClip +_generate_normals adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _generate_normals(self, polygons):$/;" m class:Axes3D +_generate_object_paths adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _generate_object_paths(object_graph_def):$/;" f +_generate_octahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_octahedron():$/;" f +_generate_octahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _generate_octahedron():$/;" f +_generate_pattern adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def _generate_pattern(self, text):$/;" m class:AbbrPreprocessor +_generate_placeholder_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def _generate_placeholder_string(x, default_placeholder="{}"):$/;" f +_generate_polytope adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_polytope(name):$/;" f +_generate_pop_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _generate_pop_operation(self, original_call_node, pop_var_name):$/;" m class:ListTransformer +_generate_prism adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _generate_prism(n, axis):$/;" f +_generate_pyramid adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _generate_pyramid(n, axis):$/;" f +_generate_qconfig_map adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _generate_qconfig_map(self,$/;" m class:Quantizer +_generate_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^def _generate_shared_name(prefix):$/;" f +_generate_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^def _generate_shared_name(prefix):$/;" f +_generate_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _generate_signatures(signature_functions, resource_map):$/;" f +_generate_spherical_points adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_slerp.py /^def _generate_spherical_points(ndim=3, n_pts=2):$/;" f +_generate_test_points adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cython_special.py /^def _generate_test_points(typecodes):$/;" f +_generate_tetrahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _generate_tetrahedron():$/;" f +_generate_tetrahedron adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/tests/test_rotation_groups.py /^def _generate_tetrahedron():$/;" f +_generate_tokens adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^def _generate_tokens(source, ignore_error_token=False):$/;" f +_generate_training_net_only adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_instantiator.py /^def _generate_training_net_only(model, include_tags=None):$/;" f +_generate_translators adpepsenv/lib/python3.8/site-packages/gast/astn.py /^def _generate_translators(to):$/;" f +_generate_wilcoxon_exact_table adpepsenv/lib/python3.8/site-packages/scipy/stats/_wilcoxon_data.py /^def _generate_wilcoxon_exact_table(N):$/;" f +_generate_wilcoxon_exact_table_fast adpepsenv/lib/python3.8/site-packages/scipy/stats/_wilcoxon_data.py /^def _generate_wilcoxon_exact_table_fast(N):$/;" f +_generate_zero_filled_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _generate_zero_filled_state(batch_size_tensor, state_size, dtype):$/;" f +_generate_zero_filled_state_for_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _generate_zero_filled_state_for_cell(cell, inputs, batch_size, dtype):$/;" f +_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _generator():$/;" f member:_DictFetchMapper.build_results file: +_GeneratorContextManager adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class _GeneratorContextManager(ContextDecorator):$/;" c +_GeneratorContextManager adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ from contextlib import GeneratorContextManager as _GeneratorContextManager$/;" x +_GeneratorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _GeneratorDataset(DatasetSource):$/;" c +_GeneratorExp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _GeneratorExp(self, t):$/;" m class:Unparser +_GeneratorFeedFn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^class _GeneratorFeedFn(object):$/;" c +_GeneratorFromPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^def _GeneratorFromPath(path):$/;" f +_GeneratorFromPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^def _GeneratorFromPath(path, event_file_active_filter=None):$/;" f +_GeneratorState adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ class _GeneratorState(object):$/;" c class:DatasetV2 +_generatorType adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_generatorType = type((y for y in range(1)))$/;" v +_generatorType adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_generatorType = type((y for y in range(1)))$/;" v +_generatorType adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_generatorType = type((y for y in range(1)))$/;" v +_generatorType adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_generatorType = type((y for y in range(1)))$/;" v +_GenericMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _GenericMessageToJsonObject(self, message):$/;" m class:_Printer +_GENERICRECOMMENDATION adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_GENERICRECOMMENDATION = _descriptor.Descriptor($/;" v +_GenericRpcHandler adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _GenericRpcHandler(grpc.GenericRpcHandler):$/;" c +_GENERICSTEPTIMEBREAKDOWN adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_GENERICSTEPTIMEBREAKDOWN = _descriptor.Descriptor($/;" v +_GenericStub adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _GenericStub(face.GenericStub):$/;" c +_GenericTest adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^class _GenericTest:$/;" c +_generic_abi adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _generic_abi():$/;" f +_generic_abi adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _generic_abi():$/;" f +_generic_abi adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _generic_abi():$/;" f +_generic_abi adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _generic_abi():$/;" f +_generic_interpreter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _generic_interpreter(name, py_version):$/;" f +_generic_interpreter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _generic_interpreter(name, py_version):$/;" f +_generic_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _generic_iterator(self, file_path):$/;" m class:DebugEventsReader +_generic_new adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _generic_new(base_cls, cls, *args, **kwargs):$/;" f +_generic_new adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _generic_new = typing._generic_new$/;" v +_generic_patch_sources adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def _generic_patch_sources(filenames, patch_source_func, source_fnpart, root_dir=None):$/;" f +_generic_platforms adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _generic_platforms():$/;" f +_generic_platforms adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _generic_platforms():$/;" f +_generic_platforms adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _generic_platforms():$/;" f +_generic_platforms adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _generic_platforms():$/;" f +_generic_reduce_window_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _generic_reduce_window_batch_rule($/;" f +_generic_rnn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _generic_rnn(g, variant, input, initial_states, all_weights, has_biases,$/;" f +_generic_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _generic_run(*feed_args, **kwargs):$/;" f member:BaseSession.make_callable file: +_generic_tags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _generic_tags(interpreter, py_version, abi, platforms):$/;" f +_generic_tags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _generic_tags(interpreter, py_version, abi, platforms):$/;" f +_generic_With adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _generic_With(self, t, async_=False):$/;" m class:Unparser +_GENFILES_DIR_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_GENFILES_DIR_SUFFIX = 'genfiles\/'$/;" v +_genfrac adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _genfrac(self, ldelim, rdelim, rule, style, num, den):$/;" m class:Parser +_genitem adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ class _genitem(object):$/;" c class:rruleset +_gentype adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^_gentype = types.GeneratorType$/;" v +_gen_alignment_data adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _gen_alignment_data(dtype=float32, type='binary', max_size=24):$/;" f +_gen_axes_patch adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _gen_axes_patch(self):$/;" m class:_AxesBase +_gen_axes_patch adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _gen_axes_patch(self):$/;" m class:GeoAxes +_gen_axes_patch adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _gen_axes_patch(self):$/;" m class:PolarAxes +_gen_axes_patch adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def _gen_axes_patch(self):$/;" m class:FloatingAxesBase +_gen_axes_spines adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _gen_axes_spines(self, locations=None, offset=0.0, units='inches'):$/;" m class:_AxesBase +_gen_axes_spines adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _gen_axes_spines(self):$/;" m class:GeoAxes +_gen_axes_spines adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _gen_axes_spines(self):$/;" m class:PolarAxes +_gen_axes_spines adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def _gen_axes_spines(self):$/;" m class:SkewXAxes +_gen_cmap_registry adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^def _gen_cmap_registry():$/;" f +_gen_exclusion_paths adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def _gen_exclusion_paths():$/;" m class:install_lib +_gen_filter_tuples adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def _gen_filter_tuples():$/;" f +_gen_gaussians adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^def _gen_gaussians(center_locs, sigmas, total_length):$/;" f +_gen_gaussians_even adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^def _gen_gaussians_even(sigmas, total_length):$/;" f +_gen_grad_zero_init_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _gen_grad_zero_init_ops(init_grad_map, grad_map, grad_output_names):$/;" f +_gen_logging_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.ops import gen_logging_ops as _gen_logging_ops$/;" x +_gen_manip_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/manip_ops.py /^from tensorflow.python.ops import gen_manip_ops as _gen_manip_ops$/;" x +_gen_mask adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef inline uint64_t _gen_mask(uint64_t max_val) nogil:$/;" f +_gen_new_name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _gen_new_name(blob, device_option):$/;" f function:InjectCrossDeviceCopies file: +_gen_nspkg_line adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _gen_nspkg_line(self, pkg):$/;" m class:Installer +_gen_reduce_choose_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _gen_reduce_choose_taylor_rule(chooser_fun):$/;" f +_gen_results_parallel adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def _gen_results_parallel(self, repeats, trial_fn, args):$/;" m class:RandomOptimizer +_gen_ridge_line adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_peak_finding.py /^def _gen_ridge_line(start_locs, max_locs, length, distances, gaps):$/;" f +_gen_roots_and_weights adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _gen_roots_and_weights(n, mu0, an_func, bn_func, f, df, symmetrize, mu):$/;" f +_gen_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _gen_seed(self, salt_prefix, index):$/;" m class:DropoutWrapperBase +_gen_starting_points adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def _gen_starting_points(shape):$/;" f +_gen_subgradient_pass adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _gen_subgradient_pass(subnet, init_grad):$/;" f +_gen_subnet_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _gen_subnet_gradient(subnet, init_grad):$/;" f +_gen_summary_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.ops import gen_summary_ops as _gen_summary_ops # pylint: disable=unused-/;" x +_gen_temp_sourcefile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _gen_temp_sourcefile(self, body, headers, lang):$/;" m class:config +_gen_test_add_padding adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^def _gen_test_add_padding(with_pad_data=True,$/;" f +_gen_torch_functional_registered_ops adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^def _gen_torch_functional_registered_ops():$/;" f +_gen_unsupported_methods_properties adpepsenv/lib/python3.8/site-packages/torch/jit/unsupported_tensor_ops.py /^def _gen_unsupported_methods_properties():$/;" f +_gen_user_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/user_ops/user_ops.py /^from tensorflow.python.ops import gen_user_ops as _gen_user_ops$/;" x +_gen_work adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def _gen_work(self):$/;" m class:ODR +_gen_wrapper adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def _gen_wrapper(f, scope=True, prefix=True, suffix=True, max_suffix_lines=None,$/;" f +_geometric_slerp adpepsenv/lib/python3.8/site-packages/scipy/spatial/_geometric_slerp.py /^def _geometric_slerp(start, end, t):$/;" f +_geomspace_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def _geomspace_dispatcher(start, stop, num=None, endpoint=None, dtype=None,$/;" f +_GeoTransform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^class _GeoTransform(Transform):$/;" c +_geqv_defined adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _geqv_defined = False$/;" v +_geqv_defined adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _geqv_defined = True$/;" v +_get adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _get(self, data_input_coordinator):$/;" m class:BatchFeeder +_get adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^def _get(d, expected_type, key, default=None):$/;" f +_get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:DefaultProvider +_get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:EmptyProvider +_get adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:NullProvider +_get adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^ def _get(self):$/;" m class:LifoQueue +_get adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:DefaultProvider +_get adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:EmptyProvider +_get adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get(self, path):$/;" m class:NullProvider +_get adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^ def _get(self, index=index):$/;" f function:_make_tuple_bunch file: +_get adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^ def _get(self, name=name):$/;" f function:_make_tuple_bunch file: +_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _get(x):$/;" f function:_select_worker_slice file: +_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^ def _get(x):$/;" f function:select_replica file: +_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get(self):$/;" m class:DistributedValues +_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get(self):$/;" m class:DistributedVariable +_get adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^ def _get(self):$/;" m class:LifoQueue +_GetAllMessages adpepsenv/lib/python3.8/site-packages/google/protobuf/symbol_database.py /^ def _GetAllMessages(desc):$/;" f member:SymbolDatabase.GetMessages file: +_getargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^ def _getargspec(target):$/;" f +_getargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^ def _getargspec(target):$/;" f +_getAssertEqualityFunc adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _getAssertEqualityFunc(self, first, second):$/;" m class:TestCase +_getAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getAttributes(self):$/;" m class:getETreeBuilder.Element +_getAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getAttributes(self):$/;" m class:TreeBuilder.__init__.Element +_getAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getAttributes(self):$/;" m class:getETreeBuilder.Element +_getAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getAttributes(self):$/;" m class:TreeBuilder.__init__.Element +_GetAxisFromLabel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _GetAxisFromLabel(subscripts, label):$/;" f function:_EinsumGrad file: +_GetBatchIndices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _GetBatchIndices(params_shape, indices, batch_dims):$/;" f +_GetBcastSubshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _GetBcastSubshape(subscripts):$/;" f function:_EinsumGrad file: +_GetBlobDimMap adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _GetBlobDimMap(net, net_params, dummy_input):$/;" f +_GETBLOBMETADATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_GETBLOBMETADATAREQUEST = _descriptor.Descriptor($/;" v +_GETBLOBMETADATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_GETBLOBMETADATARESPONSE = _descriptor.Descriptor($/;" v +_GetCalibratedInferGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetCalibratedInferGraph(self, run_params, saved_model_dir, inputs_data):$/;" m class:TfTrtIntegrationTestBase +_GetCheckpointFilename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def _GetCheckpointFilename(save_dir, latest_filename):$/;" f +_getChildNodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getChildNodes(self):$/;" m class:getETreeBuilder.Element +_getChildNodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getChildNodes(self):$/;" m class:Document +_getChildNodes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getChildNodes(self):$/;" m class:getETreeBuilder.Element +_getChildNodes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getChildNodes(self):$/;" m class:Document +_GetColocationNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _GetColocationNames(op):$/;" f +_getComponentPositionByType adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def _getComponentPositionByType(self, asn1Object, tagSet, idx):$/;" m class:UniversalConstructedTypeDecoder +_getComponentTagMap adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def _getComponentTagMap(self, asn1Object, idx):$/;" m class:UniversalConstructedTypeDecoder +_GetConfigProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetConfigProto(self, run_params, graph_state):$/;" m class:TfTrtIntegrationTestBase +_GetConsoleScreenBufferInfo adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _GetConsoleScreenBufferInfo = windll.kernel32.GetConsoleScreenBufferInfo$/;" v +_getconv adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _getconv(dtype):$/;" f +_getcount adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getcount(self):$/;" m class:Stat +_getData adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getData(self):$/;" m class:getETreeBuilder.Comment +_getData adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getData(self):$/;" m class:TreeBuilder.__init__.Comment +_getData adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getData(self):$/;" m class:getETreeBuilder.Comment +_getData adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getData(self):$/;" m class:TreeBuilder.__init__.Comment +_getdecoder adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _getdecoder(mode, decoder_name, args, extra=()):$/;" f +_getDefaultRtolAndAtol adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def _getDefaultRtolAndAtol(self, dtype0, dtype1):$/;" m class:TestCase +_getdefaults adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _getdefaults(self, ignore, kw):$/;" m class:_process_plot_var_args +_GetDenseDimensions adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _GetDenseDimensions(list_of_lists):$/;" f +_GetDenseDimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _GetDenseDimensions(list_of_lists):$/;" f +_GetDeps adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _GetDeps(self, dependencies):$/;" m class:DescriptorPool +_getdtype adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _getdtype(cls, val):$/;" m class:StringConverter +_getencoder adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _getencoder(mode, encoder_name, args, extra=()):$/;" f +_getETreeTag adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getETreeTag(self, name, namespace):$/;" m class:getETreeBuilder.Element +_getETreeTag adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getETreeTag(self, name, namespace):$/;" m class:getETreeBuilder.Element +_getexif adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def _getexif(self):$/;" m class:JpegImageFile +_getexif adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def _getexif(self):$/;" f +_getexif adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def _getexif(self):$/;" m class:PngImageFile +_getexif adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def _getexif(self):$/;" m class:WebPImageFile +_GETEXPERIMENTREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_GETEXPERIMENTREQUEST = _descriptor.Descriptor($/;" v +_getextrema adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getextrema(self):$/;" m class:Stat +_GetFeedDict adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetFeedDict(self, inputs_data):$/;" m class:TfTrtIntegrationTestBase +_GetFeedNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetFeedNames(self):$/;" m class:TfTrtIntegrationTestBase +_GetFetchNames adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetFetchNames(self):$/;" m class:TfTrtIntegrationTestBase +_GetFieldByName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _GetFieldByName(message_descriptor, field_name):$/;" f +_getfield_is_safe adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _getfield_is_safe(oldtype, newtype, offset):$/;" f +_GetFileAndLine adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def _GetFileAndLine():$/;" f +_getformat adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def _getformat(self, tag):$/;" m class:PcfFontFile +_GetFreeFlaskPort adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _GetFreeFlaskPort():$/;" f +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/common_tests.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^from scipy._lib._util import getfullargspec_no_self as _getfullargspec$/;" x +_getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^ def _getfullargspec(target):$/;" f +_getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^ _getfullargspec = _inspect.getfullargspec # pylint: disable=invalid-name$/;" v +_getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^ def _getfullargspec(target):$/;" f +_getfullargspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^ _getfullargspec = _inspect.getfullargspec # pylint: disable=invalid-name$/;" v +_GetGlobalDefaultGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _GetGlobalDefaultGraph(self):$/;" m class:_DefaultGraphStack +_GetGPUOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetGPUOptions(self):$/;" m class:TfTrtIntegrationTestBase +_GetGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _GetGrad(grads, t, unconnected_gradients):$/;" f +_GetGradientForOpCC adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _GetGradientForOpCC(cls, op_def, g_output):$/;" m class:GradientRegistry +_getGradientOrNone adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^def _getGradientOrNone(op_proto):$/;" f +_GetGradReduced adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _GetGradReduced(output_grad, output_subs, input_subs, input_shape,$/;" f function:_EinsumGrad file: +_GetGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _GetGrads(grads, op):$/;" f +_GetGradSource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _GetGradSource(op_or_tensor):$/;" f +_GetGradWrt adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _GetGradWrt(output_grad, other_operand, input_shape, input_subs,$/;" f function:_EinsumGrad file: +_GetGraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetGraphDef(self, run_params, gdef_or_saved_model_dir):$/;" m class:TfTrtIntegrationTestBase +_getGraphStackIds adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _getGraphStackIds(self, graph_id):$/;" m class:DebuggerV2EventMultiplexer +_GetGraphStateLabel adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetGraphStateLabel(self, graph_state):$/;" m class:TfTrtIntegrationTestBase +_GetInferGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetInferGraph(self, run_params, saved_model_dir):$/;" m class:TfTrtIntegrationTestBase +_getinfo adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def _getinfo(self):$/;" m class:ZipBackedFile +_GetInitGradients adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _GetInitGradients(self, ys):$/;" m class:IR +_GetInitializeDefaultForMap adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _GetInitializeDefaultForMap(field):$/;" f +_getink adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _getink(self, ink, fill=None):$/;" m class:ImageDraw +_GetInputDims adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _GetInputDims(caffe_net):$/;" f +_GetInputDtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def _GetInputDtypes(func):$/;" f +_getInsertFromTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def _getInsertFromTable(self):$/;" m class:TreeBuilder +_getInsertFromTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def _getInsertFromTable(self):$/;" m class:TreeBuilder +_GetIntegerEnumValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def _GetIntegerEnumValue(enum_type, value):$/;" f function:_AddInitMethod file: +_getintp_ctype adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _getintp_ctype():$/;" f +_getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _getitem(self, slice_spec):$/;" f +_getJacobians adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def _getJacobians(self,$/;" m class:ResizeBilinearOpTestBase +_GetLegacyDims adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _GetLegacyDims(net, net_params, dummy_input, legacy_pad_ops):$/;" f +_GetLegacyPadArgs adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _GetLegacyPadArgs(op_def, arg_map):$/;" f +_getlinkpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _getlinkpath(self):$/;" m class:TarInfo +_getmaxmin adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _getmaxmin(t):$/;" f +_getmaxminrowcolumn adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _getmaxminrowcolumn(axs):$/;" f +_GetMaxSizeFromNestedMaximumIterations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^def _GetMaxSizeFromNestedMaximumIterations(value, while_ctxt):$/;" f +_getmean adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getmean(self):$/;" m class:Stat +_getmedian adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getmedian(self):$/;" m class:Stat +_getmember adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _getmember(self, name, tarinfo=None, normalize=False):$/;" m class:TarFile +_getmembers adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _getmembers(item):$/;" f +_GetMessageFromFactory adpepsenv/lib/python3.8/site-packages/google/protobuf/proto_builder.py /^def _GetMessageFromFactory(factory, full_name):$/;" f +_getMeta adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^ def _getMeta(self):$/;" m class:WikiLinksInlineProcessor +_GETMODELMETADATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_GETMODELMETADATAREQUEST = _descriptor.Descriptor($/;" v +_GETMODELMETADATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_GETMODELMETADATARESPONSE = _descriptor.Descriptor($/;" v +_GETMODELMETADATARESPONSE_METADATAENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_GETMODELMETADATARESPONSE_METADATAENTRY = _descriptor.Descriptor($/;" v +_GETMODELSTATUSREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_GETMODELSTATUSREQUEST = _descriptor.Descriptor($/;" v +_GETMODELSTATUSRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_GETMODELSTATUSRESPONSE = _descriptor.Descriptor($/;" v +_getmp adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def _getmp(self):$/;" m class:JpegImageFile +_getmp adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def _getmp(self):$/;" f +_getName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getName(self):$/;" m class:getETreeBuilder.Element +_getName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getName(self):$/;" m class:TreeBuilder.__init__.Element +_getName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getName(self):$/;" m class:getETreeBuilder.Element +_getName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _getName(self):$/;" m class:TreeBuilder.__init__.Element +_getNamespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getNamespace(self):$/;" m class:getETreeBuilder.Element +_getNamespace adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getNamespace(self):$/;" m class:getETreeBuilder.Element +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:CPUInfoBase +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:DarwinCPUInfo +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:IRIXCPUInfo +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:LinuxCPUInfo +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:SunOSCPUInfo +_getNCPUs adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _getNCPUs(self):$/;" m class:Win32CPUInfo +_GetNdArray adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _GetNdArray(self, a):$/;" m class:TensorFlowTestCase +_GetNextLogCountPerToken adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def _GetNextLogCountPerToken(token):$/;" f +_GetNextPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def _GetNextPath(self):$/;" m class:DirectoryWatcher +_GetOne adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _GetOne(self):$/;" m class:LayerModelHelper +_GETORCREATEBLOBSEQUENCEREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_GETORCREATEBLOBSEQUENCEREQUEST = _descriptor.Descriptor($/;" v +_GETORCREATEBLOBSEQUENCERESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_GETORCREATEBLOBSEQUENCERESPONSE = _descriptor.Descriptor($/;" v +_GetParamsCached adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetParamsCached(self):$/;" m class:TfTrtIntegrationTestBase +_getpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _getpath(self):$/;" m class:TarInfo +_getpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _getpath(p):$/;" f +_getPublicId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getPublicId(self):$/;" m class:getETreeBuilder.DocumentType +_getPublicId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getPublicId(self):$/;" m class:getETreeBuilder.DocumentType +_GetPurgeMessage adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^def _GetPurgeMessage($/;" f +_GetPurgeMessage adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^def _GetPurgeMessage($/;" f +_GetPyList adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _GetPyList(self, a):$/;" m class:TensorFlowTestCase +_GetReducedSubscripts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _GetReducedSubscripts(reduced_label_set, input_shape, subscripts):$/;" f function:_EinsumGrad file: +_GetRegisteredOperators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _GetRegisteredOperators():$/;" f +_GetRequestClass adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _GetRequestClass(self, method_descriptor):$/;" m class:_ServiceBuilder +_GetResponseClass adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _GetResponseClass(self, method_descriptor):$/;" m class:_ServiceBuilder +_GetReverseOrderedGrads adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _GetReverseOrderedGrads(model):$/;" f +_getrms adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getrms(self):$/;" m class:Stat +_GetSavedModelDir adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetSavedModelDir(self, run_params, graph_state):$/;" m class:TfTrtIntegrationTestBase +_getscaleoffset adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _getscaleoffset(expr):$/;" f +_getstddev adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getstddev(self):$/;" m class:Stat +_GetStdHandle adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _GetStdHandle = windll.kernel32.GetStdHandle$/;" v +_GetStructValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _GetStructValue(struct_value):$/;" f +_getsubdtype adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _getsubdtype(cls, val):$/;" m class:StringConverter +_getsum adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getsum(self):$/;" m class:Stat +_getsum2 adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getsum2(self):$/;" m class:Stat +_GetSumOpOutputName adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _GetSumOpOutputName(self, generator, input_name):$/;" m class:IR +_getSystemId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _getSystemId(self):$/;" m class:getETreeBuilder.DocumentType +_getSystemId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _getSystemId(self):$/;" m class:getETreeBuilder.DocumentType +_GetTensorReservoirSize adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _GetTensorReservoirSize(self, tag):$/;" m class:EventAccumulator +_GetTensorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _GetTensorSpec(self, shape, mask, dtype, name):$/;" m class:TfTrtIntegrationTestBase +_GetTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def _GetTest(run_params):$/;" f +_GetTestConfigsV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def _GetTestConfigsV1():$/;" f +_GetTestConfigsV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^def _GetTestConfigsV2():$/;" f +_GetTypeFromScope adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _GetTypeFromScope(self, package, type_name, scope):$/;" m class:DescriptorPool +_getuserbase adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _getuserbase():$/;" f +_getvar adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def _getvar(self):$/;" m class:Stat +_get_Abc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _get_Abc(lp, c0):$/;" f +_get_absolute_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def _get_absolute_timeout(self, timeout):$/;" m class:AppEngineManager +_get_absolute_timeout adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def _get_absolute_timeout(self, timeout):$/;" m class:AppEngineManager +_get_abstract_dist_for adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _get_abstract_dist_for(self, req):$/;" m class:Resolver +_get_abs_string_index adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def _get_abs_string_index(self, idx):$/;" m class:ModuleList +_get_abs_string_index adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def _get_abs_string_index(self, idx):$/;" m class:ParameterList +_get_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _get_accumulator(tensor):$/;" f +_get_activation_fn adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^def _get_activation_fn(activation):$/;" f +_get_active_context adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def _get_active_context(cls, val=None, required=True):$/;" f +_get_active_tools adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def _get_active_tools(self, profile_run_dir):$/;" m class:ProfilePlugin +_get_address_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _get_address_key(self):$/;" m class:_BaseAddress +_get_affine_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_affine_transform(self):$/;" m class:GeoAxes +_get_affine_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_affine_transform(self):$/;" m class:LambertAxes +_get_agg_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def _get_agg_font(self, prop):$/;" m class:RendererAgg +_get_aligned_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^def _get_aligned_offsets(hd_list, height, align="baseline"):$/;" f +_get_allsegs_and_allkinds adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _get_allsegs_and_allkinds(self):$/;" m class:ContourSet +_get_allsegs_and_allkinds adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _get_allsegs_and_allkinds(self):$/;" m class:QuadContourSet +_get_allsegs_and_allkinds adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^ def _get_allsegs_and_allkinds(self):$/;" m class:TriContourSet +_get_all_cache_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _get_all_cache_variables(self):$/;" m class:TensorTracer +_get_all_device_indices adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_all_device_indices():$/;" f +_get_all_ns_packages adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _get_all_ns_packages(self):$/;" m class:Installer +_get_alpha_vec adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _get_alpha_vec(x, y, tris_pts):$/;" m class:CubicTriInterpolator +_get_alternate_executable adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _get_alternate_executable(self, executable, options):$/;" m class:ScriptMaker +_get_al_mohy_higham_2012_experiment_1 adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^def _get_al_mohy_higham_2012_experiment_1():$/;" f +_get_anchored_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _get_anchored_bbox(self, loc, bbox, parentbbox, renderer):$/;" m class:Legend +_get_anchored_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def _get_anchored_bbox(self, loc, bbox, parentbbox, borderpad):$/;" m class:AnchoredOffsetbox +_get_and_validate_synchronization adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def _get_and_validate_synchronization(kwargs):$/;" f +_get_angle adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def _get_angle(a, r):$/;" f member:Sankey.add file: +_get_angles adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _get_angles(n_sides, rotation):$/;" f function:_compute_regular_polygon_vertices file: +_get_applicable_dict adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _get_applicable_dict(self, transformer_field, full_name, name):$/;" m class:_PastaEditVisitor +_get_applicable_entries adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _get_applicable_entries(self, transformer_field, full_name, name):$/;" m class:_PastaEditVisitor +_get_arange_dtype adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^ def _get_arange_dtype(dtype):$/;" f function:arange file: +_get_arange_dtype adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def _get_arange_dtype(dtype):$/;" f function:arange file: +_get_archive_name adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def _get_archive_name(self, path, parentdir, rootdir):$/;" m class:InstallRequirement +_get_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _get_arg(self, name, unpack):$/;" m class:TestBase +_get_argspec_for_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^def _get_argspec_for_partial(obj):$/;" f +_get_argspec_for_partial adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^def _get_argspec_for_partial(obj):$/;" f +_get_args_for_reloading adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def _get_args_for_reloading():$/;" f +_get_arg_infos adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _get_arg_infos(func, arg_names):$/;" f +_get_arg_names_to_ok_vals adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def _get_arg_names_to_ok_vals():$/;" f function:deprecated_args file: +_get_arg_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _get_arg_spec(f, params, param_args):$/;" f +_get_array adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^def _get_array(shape, dtype):$/;" f +_get_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _get_arrayXarray(self, row, col):$/;" m class:_cs_matrix +_get_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_arrayXarray(self, row, col):$/;" m class:dok_matrix +_get_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_arrayXarray(self, row, col):$/;" m class:lil_matrix +_get_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_arrayXarray(self, row, col):$/;" m class:IndexMixin +_get_arrayXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_arrayXint(self, row, col):$/;" m class:csc_matrix +_get_arrayXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_arrayXint(self, row, col):$/;" m class:csr_matrix +_get_arrayXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_arrayXint(self, row, col):$/;" m class:dok_matrix +_get_arrayXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_arrayXint(self, row, col):$/;" m class:lil_matrix +_get_arrayXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_arrayXint(self, row, col):$/;" m class:IndexMixin +_get_arrayXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_arrayXslice(self, row, col):$/;" m class:csc_matrix +_get_arrayXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_arrayXslice(self, row, col):$/;" m class:csr_matrix +_get_arrayXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_arrayXslice(self, row, col):$/;" m class:dok_matrix +_get_arrayXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_arrayXslice(self, row, col):$/;" m class:lil_matrix +_get_arrayXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_arrayXslice(self, row, col):$/;" m class:IndexMixin +_get_arrow_wedge adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_arrow_wedge(self, x0, y0, x1, y1,$/;" m class:ArrowStyle._Curve +_get_async_or_non_blocking adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_async_or_non_blocking(function_name, non_blocking, kwargs):$/;" f +_get_as_operand adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _get_as_operand(self):$/;" m class:TPUVariableMixin +_get_as_operand adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_as_operand(self):$/;" m class:DistributedDelegate +_get_atol adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def _get_atol(tol, atol, bnrm2, get_residual, routine_name):$/;" f +_get_attention_type adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def _get_attention_type(self, attention_type_as_string):$/;" m class:LSTMWithAttentionDecoder +_get_attrs_items adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _get_attrs_items(obj):$/;" f +_get_attrs_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _get_attrs_values(obj):$/;" f +_get_attr_bool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_attr_bool(self, name):$/;" m class:Operation +_get_attr_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_attr_int(self, name):$/;" m class:Operation +_get_attr_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_attr_type(self, name):$/;" m class:Operation +_get_authorization_headers adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def _get_authorization_headers(self, context):$/;" m class:AuthMetadataPlugin +_get_available_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/multi_gpu_utils.py /^def _get_available_devices():$/;" f +_get_available_device_type adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_available_device_type():$/;" f +_get_available_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _get_available_gpus():$/;" f +_get_axes_aspect adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^def _get_axes_aspect(ax):$/;" f +_get_axis_len adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def _get_axis_len(aname, a, axis):$/;" f +_get_axis_list adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _get_axis_list(self):$/;" m class:_AxesBase +_get_axis_list adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _get_axis_list(self):$/;" m class:Axes3D +_get_axis_map adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _get_axis_map(self):$/;" m class:_AxesBase +_get_axis_size adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def _get_axis_size(name: str, i:int, shape: Tuple[int, ...], axis: int):$/;" f function:_mapped_axis_size file: +_get_axis_sizes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _get_axis_sizes(args_flat: Iterable[Any], in_axes_flat: Iterable[AxisNamePos]):$/;" f +_get_backend_expression adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def _get_backend_expression(self, arrays, backend):$/;" m class:ContractExpression +_get_background adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_background(im, infoBackground):$/;" f +_get_baseline adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _get_baseline(self, filename):$/;" m class:Dvi +_get_base_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _get_base_axes(self):$/;" f function:host_axes_class_factory file: +_get_base_converter_args adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _get_base_converter_args(self):$/;" m class:TFLiteConverterBase +_get_base_dirpath adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def _get_base_dirpath(strategy):$/;" f +_get_basic_loop_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _get_basic_loop_vars(self, modified_symbols, live_in, live_out):$/;" m class:ControlFlowTransformer +_get_batched_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _get_batched_dataset(d):$/;" f +_get_batched_dataset_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _get_batched_dataset_attributes(d):$/;" f +_get_batches_of_transformed_samples adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def _get_batches_of_transformed_samples(self, index_array):$/;" m class:BatchFromFilesMixin +_get_batches_of_transformed_samples adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def _get_batches_of_transformed_samples(self, index_array):$/;" m class:Iterator +_get_batches_of_transformed_samples adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/numpy_array_iterator.py /^ def _get_batches_of_transformed_samples(self, index_array):$/;" m class:NumpyArrayIterator +_get_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _get_batch_size(self, tensors, in_tpu_context: bool):$/;" m class:TPUEmbedding +_get_batch_size_and_size_checks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _get_batch_size_and_size_checks(features, weight_column_key):$/;" f +_get_best_eval_result adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def _get_best_eval_result(self, event_files):$/;" m class:BestExporter +_get_beta_accumulators adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _get_beta_accumulators(self):$/;" m class:AdamOptimizer +_get_bin_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _get_bin_edges(a, bins, range, weights):$/;" f +_get_blind_start adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _get_blind_start(shape):$/;" f +_get_blob_names adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _get_blob_names(ops):$/;" f +_get_blob_names adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _get_blob_names(ops):$/;" f +_get_blob_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _get_blob_ref(blob_name_or_ref):$/;" f +_get_blocksize adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _get_blocksize(self):$/;" m class:bsr_matrix +_get_block_basic_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _get_block_basic_vars(self, modified, live_in, live_out):$/;" m class:ControlFlowTransformer +_get_block_composite_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _get_block_composite_vars(self, modified, live_in):$/;" m class:ControlFlowTransformer +_get_block_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def _get_block_vars(self, node, modified):$/;" m class:ControlFlowTransformer +_get_bookmarks_file_for_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _get_bookmarks_file_for_tensor(self, tensor_name, config):$/;" m class:ProjectorPlugin +_get_bracket adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_bracket(self, x0, y0,$/;" m class:ArrowStyle._Bracket +_get_build_directory adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _get_build_directory(name: str, verbose: bool) -> str:$/;" f +_get_build_requires adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def _get_build_requires(self, config_settings, requirements):$/;" m class:_BuildMetaBackend +_get_build_src_dir adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^def _get_build_src_dir():$/;" f +_get_builtins_helper adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_builtins_helper():$/;" f +_get_builtin_table adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^def _get_builtin_table():$/;" f +_get_cached_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def _get_cached_dtype(dtype):$/;" f +_get_cached_or_new adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _get_cached_or_new(cls):$/;" m class:LatexManager +_get_cached_or_new_impl adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _get_cached_or_new_impl(cls, header): # Helper for _get_cached_or_new.$/;" m class:LatexManager +_get_cached_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _get_cached_session(self,$/;" m class:TensorFlowTestCase +_get_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _get_cache_dir($/;" f +_get_cache_enabled adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _get_cache_enabled(self):$/;" m class:DistributionPath +_get_cache_or_reload adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _get_cache_or_reload(github, force_reload, verbose=True):$/;" f +_get_cache_path adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^ def _get_cache_path(self, name):$/;" m class:SafeFileCache +_get_cache_path_parts adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def _get_cache_path_parts(self, link):$/;" m class:Cache +_get_cache_path_parts_legacy adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def _get_cache_path_parts_legacy(self, link):$/;" m class:Cache +_get_cache_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _get_cache_value(self, key, empty, type):$/;" m class:_CacheControl +_get_callback_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _get_callback_model(self):$/;" m class:Model +_get_callback_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _get_callback_model(self):$/;" m class:Model +_get_caller adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def _get_caller(offset=3):$/;" f +_get_call_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_call_arg_value(self, arg_name, args, kwargs, inputs_in_args=False):$/;" m class:Layer +_get_call_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _get_call_arg_value(self, arg_name, args, kwargs, inputs_in_args=False):$/;" m class:Layer +_get_candidate adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _get_candidate(output, sizes, remaining, footprints, dim_ref_counts, k1, k2, cost_fn):$/;" f +_get_candidates adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def _get_candidates(self, link, canonical_package_name):$/;" m class:Cache +_get_canonical adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def _get_canonical(self):$/;" m class:Version +_get_canonical_engine_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _get_canonical_engine_name(name):$/;" f +_get_canonical_querystring adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^def _get_canonical_querystring(query):$/;" f +_get_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _get_canvas(self, fig):$/;" m class:ConfigureSubplotsGTK3 +_get_cauchy_samples adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def _get_cauchy_samples(loc, scale, shape):$/;" f function:_get_random_features_initializer file: +_get_cc_args adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _get_cc_args(self, pp_opts, debug, before):$/;" m class:CCompiler +_get_cffi_data adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def _get_cffi_data():$/;" f +_get_cffi_data adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _get_cffi_data(data):$/;" f +_get_cffi_func adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def _get_cffi_func(base, signature):$/;" f +_get_cffi_func adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _get_cffi_func(func, signature=None):$/;" f +_get_channel_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _get_channel_axis(self):$/;" m class:Conv +_get_chars_for_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _get_chars_for_ranges(cls):$/;" m class:unicode_set +_get_chars_for_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _get_chars_for_ranges(cls):$/;" m class:unicode_set +_get_char_id adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _get_char_id(self, font, ccode):$/;" m class:TextToPath +_get_char_id_ps adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _get_char_id_ps(self, font, ccode):$/;" m class:TextToPath +_get_checked_instance adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def _get_checked_instance(self, cls, _instance=None):$/;" m class:Distribution +_get_checkpoint_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _get_checkpoint_filename(ckpt_dir_or_file):$/;" f +_get_child_layer_node_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _get_child_layer_node_ids(self, node_id):$/;" m class:KerasObjectLoader +_get_clip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _get_clip(self, gc):$/;" m class:RendererSVG +_get_clipping_extent_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def _get_clipping_extent_bbox(self):$/;" m class:Artist +_get_clip_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _get_clip_path(self, clippath, clippath_transform):$/;" m class:RendererPS +_get_clones adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^def _get_clones(module, N):$/;" f +_get_closed_form_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _get_closed_form_lr(self):$/;" m class:CosineAnnealingLR +_get_closed_form_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _get_closed_form_lr(self):$/;" m class:ExponentialLR +_get_closed_form_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _get_closed_form_lr(self):$/;" m class:MultiStepLR +_get_closed_form_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _get_closed_form_lr(self):$/;" m class:StepLR +_get_cls_to_instantiate adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _get_cls_to_instantiate(self, callback_class):$/;" m class:ToolManager +_get_cmap_norms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colorbar.py /^def _get_cmap_norms():$/;" f +_get_code adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def _get_code(input_file):$/;" f +_get_codes adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_codes(self):$/;" m class:FusedFunc +_get_coefficients adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _get_coefficients(self, other):$/;" m class:ABCPolyBase +_get_colocated_node_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _get_colocated_node_name(colocated_node_name):$/;" f +_get_colocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^def _get_colocation(op):$/;" f +_get_colorbar_limits adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _get_colorbar_limits(self):$/;" m class:ColorbarBase +_get_colors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _get_colors(c, num):$/;" f +_get_color_table_size adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_color_table_size(palette_bytes):$/;" f +_get_columnXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _get_columnXarray(self, row, col):$/;" m class:_cs_matrix +_get_columnXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_columnXarray(self, row, col):$/;" m class:dok_matrix +_get_columnXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_columnXarray(self, row, col):$/;" m class:lil_matrix +_get_columnXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_columnXarray(self, row, col):$/;" m class:IndexMixin +_get_col_row adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def _get_col_row(self, n):$/;" m class:Grid +_get_combined_properties adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def _get_combined_properties(self, dev):$/;" m class:DeviceSpecV2 +_get_command_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def _get_command_flags(self, key):$/;" m class:FCompiler +_get_command_from_line_attr_segs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^def _get_command_from_line_attr_segs(mouse_x, attr_segs):$/;" f +_get_common adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_common(self, signum, sig):$/;" m class:FusedFunc +_get_compare_result_tolerance adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def _get_compare_result_tolerance(key):$/;" f function:compare_results file: +_get_compatible_prev adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _get_compatible_prev(candidate_range, best_assignments, cur_idx):$/;" f function:compute_assignments_dp file: +_get_compiler_status adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def _get_compiler_status():$/;" f +_get_compile_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _get_compile_args(self, user_metrics=True):$/;" m class:Model +_get_compile_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _get_compile_args(self, user_metrics=True):$/;" m class:Model +_get_composite_loop_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _get_composite_loop_vars(self, modified_symbols, live_in):$/;" m class:ControlFlowTransformer +_get_composite_method adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def _get_composite_method(cls, module, name, *args, **kwargs):$/;" f member:BasePruningMethod.apply file: +_get_composite_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def _get_composite_tensor_spec(x):$/;" f +_get_compressed_triangulation adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def _get_compressed_triangulation(self):$/;" m class:TriAnalyzer +_get_concrete_function_garbage_collected adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _get_concrete_function_garbage_collected(self, *args, **kwargs):$/;" m class:Function +_get_concrete_function_garbage_collected adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _get_concrete_function_garbage_collected(self, *args, **kwargs):$/;" m class:Function +_get_concrete_function_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _get_concrete_function_internal(self, *args, **kwargs):$/;" m class:Function +_get_concrete_function_internal_garbage_collected adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _get_concrete_function_internal_garbage_collected(self, *args, **kwargs):$/;" m class:Function +_get_concurrent_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _get_concurrent_debug_urls(self):$/;" m class:DebugConcurrentRunCallsTest +_get_conditional adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_conditional(self, types, codes, adverb):$/;" m class:FusedFunc +_get_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_config(self):$/;" m class:FeatureColumn +_get_configuration_from_setup_py adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _get_configuration_from_setup_py(self, setup_py,$/;" m class:Configuration +_get_config_or_cache_dir adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_config_or_cache_dir(xdg_base):$/;" f +_get_config_var adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _get_config_var(name, warn=False):$/;" f +_get_config_var adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _get_config_var(name, warn=False):$/;" f +_get_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _get_conn(self, timeout=None):$/;" m class:HTTPConnectionPool +_get_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _get_conn(self, timeout=None):$/;" m class:HTTPConnectionPool +_get_cons adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _get_cons(self):$/;" m class:AnnotatedMeta +_get_const adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _get_const(value, desc, arg_name):$/;" f +_get_context adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _get_context():$/;" f +_get_context_device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _get_context_device_type():$/;" f +_get_context_device_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _get_context_device_type():$/;" f +_get_context_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _get_context_id(self, context):$/;" m class:_DumpingCallback +_get_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def _get_control_flow_context(self):$/;" m class:_MockOp +_get_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_control_flow_context(self):$/;" m class:Graph +_get_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_control_flow_context(self):$/;" m class:Operation +_get_coord_info adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def _get_coord_info(self, renderer):$/;" m class:Axis +_get_copy_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _get_copy_nodes(self):$/;" m class:DebugGraph +_get_cordinates adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def _get_cordinates(self, x):$/;" m class:Elec +_get_core_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_core_transform(self, resolution):$/;" m class:AitoffAxes +_get_core_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_core_transform(self, resolution):$/;" m class:HammerAxes +_get_core_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_core_transform(self, resolution):$/;" m class:LambertAxes +_get_core_transform adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _get_core_transform(self, resolution):$/;" m class:MollweideAxes +_get_correct_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _get_correct_mapping(original_index, nodes):$/;" f +_get_count adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _get_count(assignments):$/;" f +_get_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _get_counter(self, key):$/;" m class:_FrequentTracingDetector +_get_counter_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _get_counter_size(alg):$/;" f +_get_criteria_to_update adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _get_criteria_to_update(self, candidate):$/;" m class:Resolution +_get_criterion_item_preference adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _get_criterion_item_preference(self, item):$/;" m class:Resolution +_get_critical_section_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^def _get_critical_section_stack():$/;" f +_get_cross_device_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _get_cross_device_ops(self, value):$/;" m class:CollectiveAllReduceExtended +_get_cross_device_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _get_cross_device_ops(self, value):$/;" m class:MirroredExtended +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self):$/;" m class:DistributedValues +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self):$/;" m class:DistributedVariable +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self):$/;" m class:Mirrored +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self):$/;" m class:MirroredVariable +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self):$/;" m class:SyncOnReadVariable +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self, var):$/;" m class:AutoPolicy +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self, var):$/;" m class:OnReadPolicy +_get_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_cross_replica(self, var):$/;" m class:VariablePolicy +_get_ctypes_data adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^def _get_ctypes_data():$/;" f +_get_ctypes_data adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _get_ctypes_data(data):$/;" f +_get_ctypes_func adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _get_ctypes_func(func, signature=None):$/;" f +_get_cuda_arch_flags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _get_cuda_arch_flags(cflags: Optional[List[str]] = None) -> List[str]:$/;" f +_get_current_device_index adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_current_device_index():$/;" f +_get_current_object adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def _get_current_object(self):$/;" m class:LocalProxy +_get_current_tf_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _get_current_tf_device():$/;" f +_get_custom_doctester adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def _get_custom_doctester(self):$/;" m class:NoseTester +_get_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/bfloat16.py /^def _get_custom_getter():$/;" f +_get_custom_interpreter adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def _get_custom_interpreter(implementation=None, version=None):$/;" f +_get_custom_platforms adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def _get_custom_platforms(arch):$/;" f +_get_dash_pattern adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^def _get_dash_pattern(style):$/;" f +_get_data adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _get_data(self, event):$/;" m class:_SelectorWidget +_get_data adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _get_data(self):$/;" m class:MaskedArray +_get_data adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_streams.py /^ def _get_data(self, size):$/;" m class:TestZlibInputStream +_get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _get_data():$/;" f member:TestDistributionStrategyWithKerasModels.test_correctness_of_add_loss_with_merge_call file: +_get_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_image_model_correctness_test.py /^ def _get_data(self, count, shape=(28, 28, 3), num_classes=10):$/;" m class:DistributionStrategyCnnCorrectnessTest +_get_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _get_data(self):$/;" m class:_MultiProcessingDataLoaderIter +_get_dataset_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _get_dataset_attributes(dataset):$/;" f +_get_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def _get_dataset_iterator(self, dataset):$/;" m class:CombinerPreprocessingLayer +_get_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer_v1.py /^ def _get_dataset_iterator(self, dataset):$/;" m class:CombinerPreprocessingLayer +_get_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def _get_data_files(self):$/;" m class:build_py +_get_data_for_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ def _get_data_for_json(self, cache):$/;" m class:JSONMixin +_get_data_for_simple_models adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^def _get_data_for_simple_models():$/;" f +_get_data_from_buffer adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^def _get_data_from_buffer(obj):$/;" f +_get_data_path adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _get_data_path(*args):$/;" f +_get_data_path adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_data_path():$/;" f +_get_date_and_size adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_date_and_size(zip_stat):$/;" m class:ZipProvider +_get_date_and_size adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_date_and_size(zip_stat):$/;" m class:ZipProvider +_get_date_format adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def _get_date_format(atrv):$/;" m class:DateAttribute +_get_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import get_debug_info as _get_debug_info$/;" x +_get_debug_info adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^ def _get_debug_info():$/;" f +_get_decoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^def _get_decoder(mode):$/;" f +_get_decoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^def _get_decoder(mode):$/;" f +_get_decoders adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def _get_decoders(cls):$/;" m class:StructureCoder +_get_deep_device adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/copy_op_test.py /^ def _get_deep_device(self):$/;" m class:CopyTest +_get_default_group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _get_default_group():$/;" f +_get_default_headers adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def _get_default_headers(self):$/;" m class:GrantTypeBase +_get_default_history_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _get_default_history_file_path(cls):$/;" m class:CommandHistory +_get_default_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _get_default_initializer(self, name, shape=None, dtype=dtypes.float32):$/;" m class:_VariableStore +_get_default_init_op adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _get_default_init_op(self):$/;" m class:SparseLookup +_get_default_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _get_default_optimizer(feature_columns):$/;" f +_get_default_optimizer_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _get_default_optimizer_v2(feature_columns):$/;" f +_get_default_randomize_ordering_seed adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _get_default_randomize_ordering_seed():$/;" f +_get_default_replica_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _get_default_replica_context():$/;" f +_get_default_replica_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_get_default_replica_mode = ($/;" v +_get_default_replica_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _get_default_replica_mode():$/;" f +_get_default_scale adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^def _get_default_scale(initializer, input_dim):$/;" f +_get_default_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _get_default_scheme():$/;" f +_get_default_session_config_distributed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _get_default_session_config_distributed(self):$/;" m class:RunConfig +_get_default_store adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _get_default_store():$/;" f +_get_default_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _get_default_strategy():$/;" f +_get_default_test_random_seed adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _get_default_test_random_seed():$/;" f +_get_default_tolerance adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def _get_default_tolerance(a, b=None) -> Tuple[float, float]:$/;" f +_get_default_user_agent adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^def _get_default_user_agent():$/;" f +_get_default_variable_store adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _get_default_variable_store():$/;" f +_get_default_warm_start_settings adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _get_default_warm_start_settings(warm_start_from):$/;" f +_get_defining_frame adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _get_defining_frame(traceback):$/;" f +_get_defun_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def _get_defun_inputs(args, names, structure, flat_shapes=None):$/;" f +_get_defun_inputs_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def _get_defun_inputs_from_args(args, names, flat_shapes=None):$/;" f +_get_defun_inputs_from_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^def _get_defun_inputs_from_kwargs(kwargs, flat_shapes):$/;" f +_get_delta adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _get_delta(A, b, c, x, y, z, tau, kappa, gamma, eta, sparse=False,$/;" f +_get_densest adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _get_densest(A, eligibleRows):$/;" f +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_BucketizedColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_DenseColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_EmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_IndicatorColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_NumericColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_SharedEmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:BucketizedColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:EmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:IndicatorColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:NumericColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:SharedEmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_TPUEmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_TPUSharedEmbeddingColumn +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +_get_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def _get_dense_tensor(self, inputs, weight_collections=None, trainable=None):$/;" m class:_TPUEmbeddingColumnV2 +_get_dense_tensor_for_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor_for_input_tensor(self, input_tensor):$/;" m class:BucketizedColumn +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor_internal(self,$/;" m class:_EmbeddingColumn +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_dense_tensor_internal(self,$/;" m class:_SharedEmbeddingColumn +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor_internal(self, sparse_tensors, state_manager):$/;" m class:EmbeddingColumn +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor_internal(self, transformation_cache, state_manager):$/;" m class:SharedEmbeddingColumn +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def _get_dense_tensor_internal($/;" m class:_TPUSharedEmbeddingColumnV2 +_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def _get_dense_tensor_internal(self, transformation_cache, state_manager):$/;" m class:_TPUSharedDeviceSpecificEmbeddingColumnV2 +_get_dense_tensor_internal_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_dense_tensor_internal_helper(self, sparse_tensors,$/;" m class:EmbeddingColumn +_get_dependent_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def _get_dependent_variables(input_ops, output_ops):$/;" f +_get_depend_dict adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _get_depend_dict(name, vars, deps):$/;" f +_get_deprecated_positional_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def _get_deprecated_positional_arguments(names_to_ok_vals, arg_spec):$/;" f function:deprecated_args file: +_get_devices_properties adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_devices_properties(device_ids):$/;" f +_get_device_assignment adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _get_device_assignment(self):$/;" m class:_InternalTPUContext +_get_device_attr adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_device_attr(get_member):$/;" f +_get_device_dict_and_cores adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def _get_device_dict_and_cores(devices):$/;" m class:TPUClusterResolver +_get_device_index adpepsenv/lib/python3.8/site-packages/torch/cuda/_utils.py /^def _get_device_index(device: Union[Device, str, int], optional: bool = False,$/;" f +_get_device_index adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _get_device_index(device, optional=False, allow_cpu=False) -> int:$/;" f +_get_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def _get_device_name(handle):$/;" m class:TensorHandle +_get_device_or_colocation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^def _get_device_or_colocation(op):$/;" f +_get_dev_status adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def _get_dev_status(self): # pragma: no cover$/;" m class:Version +_get_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _get_diag(self):$/;" m class:LinearOperatorLowerTriangular +_get_diff adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^def _get_diff(before, after):$/;" f +_get_diff_for_monotonic_comparison adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _get_diff_for_monotonic_comparison(x):$/;" f +_get_digest adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_digest(self, info):$/;" m class:Locator +_get_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _get_dim(tensor, i):$/;" f +_get_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _get_dim(tensor, idx):$/;" f function:central_crop file: +_get_directories adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def _get_directories(list_of_sources):$/;" f +_get_directory_stash adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def _get_directory_stash(self, path):$/;" m class:StashedUninstallPathSet +_get_display_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^def _get_display_name(name, display_name):$/;" f +_get_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^def _get_dist(metadata_directory):$/;" f +_get_distribution adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _get_distribution(old_value):$/;" f function:_contrib_layers_xavier_initializer_transformer file: +_get_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _get_distribution_strategy(self):$/;" m class:Model +_get_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _get_distribution_strategy(self):$/;" m class:Model +_get_dist_to_box adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_dist_to_box(self, rotation, x0, y0, figure_box):$/;" m class:Text +_get_dm_h adpeps/ipeps/evaluation.py /^def _get_dm_h(C1, C2, C3, C4, T1l, T1r, T2, T3l, T3r, T4, Al, Ar, Adl, Adr):$/;" f +_get_dm_v adpeps/ipeps/evaluation.py /^def _get_dm_v(C1, C2, C3, C4, T1, T2u, T2d, T3, T4u, T4d, Au, Ad, Adu, Add):$/;" f +_get_dnn_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _get_dnn_estimator_spec(use_tpu, head, features, labels, mode, logits,$/;" f +_get_do_arguments adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _get_do_arguments(do_op):$/;" f +_get_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _get_dpi(self):$/;" m class:Figure +_get_dtype adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _get_dtype(self, dtype):$/;" m class:NdPPoly +_get_dtype adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _get_dtype(self, dtype):$/;" m class:_PPolyBase +_get_dtype adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _get_dtype(dtype):$/;" f +_get_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _get_dtype(self):$/;" m class:_data_matrix +_get_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^def _get_dtype(operators, dtypes=None):$/;" f +_get_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^def _get_dtype(dtype):$/;" f +_get_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def _get_dtypes(cls, test):$/;" m class:DeviceTypeTestBase +_get_dtype_from_nested_lists adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _get_dtype_from_nested_lists(list_or_tuple):$/;" f +_get_dtype_of adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _get_dtype_of(obj):$/;" f +_get_dump_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^def _get_dump_file_path(dump_root, device_name, debug_node_name):$/;" f +_get_dylib_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def _get_dylib_cache(self):$/;" m class:Wheel +_get_dynamic_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _get_dynamic_shape(t):$/;" f member:GeneratorDataAdapter.__init__ file: +_get_eager_resources adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_eager_resources(self):$/;" m class:ZipProvider +_get_eager_resources adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_eager_resources(self):$/;" m class:ZipProvider +_get_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _get_edges(padded, axis, width_pair):$/;" f +_get_element_from_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def _get_element_from_tensor_info(tensor_info, graph):$/;" f +_get_elf_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _get_elf_header():$/;" f +_get_elf_header adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _get_elf_header():$/;" f +_get_embedding adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _get_embedding(self, tensor_name, config):$/;" m class:ProjectorPlugin +_get_embedding_variable_name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_embedding_variable_name(scope_name, var_name):$/;" f +_get_embedding_var_name_from_table_name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_embedding_var_name_from_table_name(table_name):$/;" f +_get_enclosing_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _get_enclosing_context(graph):$/;" f +_get_enclosing_except_scopes adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _get_enclosing_except_scopes(self, stop_at):$/;" m class:AstToCfg +_get_enclosing_finally_scopes adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _get_enclosing_finally_scopes(self, stop_at):$/;" m class:AstToCfg +_get_encoded_fill_value adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _get_encoded_fill_value(self):$/;" m class:netcdf_variable +_get_encoders adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def _get_encoders(cls):$/;" m class:StructureCoder +_get_encoding adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def _get_encoding(encoding_or_label):$/;" f +_get_encoding adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def _get_encoding(encoding_or_label):$/;" f +_get_encoding_from_headers adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _get_encoding_from_headers(headers):$/;" f +_get_env adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^def _get_env(environment, name):$/;" f +_get_env adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^def _get_env(environment, name):$/;" f +_get_env adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^def _get_env(environment, name):$/;" f +_get_environ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _get_environ(obj):$/;" f +_get_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _get_error(self):$/;" m class:RemoteValueImpl +_get_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _get_error(val):$/;" f function:_maybe_rebuild_remote_values file: +_get_evaluated_constants adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def _get_evaluated_constants(self, backend):$/;" m class:ContractExpression +_get_eval_session_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _get_eval_session_master(task_type, tf_config):$/;" f +_get_event_file_active_filter adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^def _get_event_file_active_filter(flags):$/;" f +_get_event_reduce_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _get_event_reduce_dims(self, min_event_ndims, event_ndims):$/;" m class:Bijector +_get_executable_info adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_executable_info(name):$/;" f +_get_executor_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _get_executor_init(self, workers):$/;" m class:GeneratorEnqueuer +_get_executor_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _get_executor_init(self, workers):$/;" m class:OrderedEnqueuer +_get_executor_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _get_executor_init(self, workers):$/;" m class:SequenceEnqueuer +_get_existing_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_existing_metric(self, name=None):$/;" m class:Layer +_get_existing_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _get_existing_metric(self, name=None):$/;" m class:Layer +_get_exogenous_embedding_shape adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _get_exogenous_embedding_shape(self):$/;" m class:TimeSeriesModel +_get_expanded_variable_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _get_expanded_variable_list(variable):$/;" f +_get_expanded_variable_list adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _get_expanded_variable_list(var_list):$/;" f +_get_experimental_kwarg_as_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _get_experimental_kwarg_as_attr(attr_name, value):$/;" f +_get_explicit_environ_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _get_explicit_environ_credentials(request=None, scopes=None, default_scopes=None):$/;" f +_get_explicit_environ_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def _get_explicit_environ_credentials():$/;" f +_get_extensions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def _get_extensions(self):$/;" m class:Wheel +_get_extension_lengths adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _get_extension_lengths(self, frac, automin, automax, default=0.05):$/;" m class:ColorbarBase +_get_external_account_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _get_external_account_credentials($/;" f +_get_external_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def _get_external_data(url):$/;" f +_get_external_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_external_pad(self):$/;" m class:AxisLabel +_get_extradata adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _get_extradata(self):$/;" m class:Unpacker +_get_f90_modules adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def _get_f90_modules(source):$/;" f +_get_features_and_labels_from_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _get_features_and_labels_from_input_fn(self, input_fn, mode):$/;" m class:Estimator +_get_features_from_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _get_features_from_input_fn(self, input_fn, mode):$/;" m class:Estimator +_get_feature_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_feature_dimensions(feature_ids_list, input_feature_list):$/;" f +_get_feeds_for_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _get_feeds_for_indexed_slices(feed, feed_val):$/;" f +_get_fieldmask adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def _get_fieldmask(self):$/;" f +_get_fieldspec adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _get_fieldspec(dtype):$/;" f +_get_fields_and_offsets adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _get_fields_and_offsets(dt, offset=0):$/;" f +_get_field_by_nested_name adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _get_field_by_nested_name(self, nested_name):$/;" m class:Struct +_get_field_item adpeps/utils/ctmtensors.py /^ def _get_field_item(self, fieldname=None, ix=None):$/;" m class:CTMTensors +_get_field_nested_item adpeps/utils/ctmtensors.py /^ def _get_field_nested_item(self, fieldname=None, ix=None):$/;" m class:CTMTensors +_get_file_data adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def _get_file_data(self, filename):$/;" m class:Credentials +_get_file_from_google_storage adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _get_file_from_google_storage(keras_model_path, model_dir):$/;" f +_get_file_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _get_file_names(file_pattern, shuffle):$/;" f +_get_file_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _get_file_path(self, epoch, logs):$/;" m class:ModelCheckpoint +_get_file_stash adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def _get_file_stash(self, path):$/;" m class:StashedUninstallPathSet +_get_file_stream adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def _get_file_stream($/;" m class:BaseRequest +_get_file_writer adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def _get_file_writer(self):$/;" m class:SummaryWriter +_get_first_dimension_size_statically adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _get_first_dimension_size_statically(self, w, num_partitions):$/;" m class:_SDCAModel +_get_first_event_timestamp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _get_first_event_timestamp(self, run_name):$/;" m class:MultiplexerDataProvider +_get_first_event_timestamp adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def _get_first_event_timestamp(self, run_name):$/;" m class:LocalDebuggerV2DataProvider +_get_first_op_from_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _get_first_op_from_collection(self, key):$/;" m class:Supervisor +_get_first_part adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _get_first_part(path):$/;" f +_get_fixed_fit_value adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _get_fixed_fit_value(kwds, names):$/;" f +_get_flag_file_lines adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _get_flag_file_lines(self, filename, parsed_file_stack=None):$/;" m class:FlagValues +_get_flag_int_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_flag_int_value(self, wanted_flag_name, default_value):$/;" m class:TTParameters +_get_float_boundaries_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_float_boundaries_dict(float_columns, bucket_boundaries):$/;" f +_get_float_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_float_feature_columns(sorted_feature_columns):$/;" f +_get_fn_overloads adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _get_fn_overloads(qual_name):$/;" f +_get_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _get_font(self, prop):$/;" m class:RendererSVG +_get_font adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^_get_font = lru_cache(64)(ft2font.FT2Font)$/;" v +_get_font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_font(self, font):$/;" m class:StandardPsFonts +_get_font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_font(self, font):$/;" m class:TruetypeFonts +_get_font adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _get_font(self, prop):$/;" m class:TextToPath +_get_font_afm adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def _get_font_afm(self, prop):$/;" m class:RendererPDFPSBase +_get_font_constant_set adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^def _get_font_constant_set(state):$/;" f +_get_font_ttf adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def _get_font_ttf(self, prop):$/;" m class:RendererPDFPSBase +_get_form adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def _get_form(self, name, storage):$/;" m class:EnvironBuilder +_get_formatdict adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _get_formatdict(data, *, precision, floatmode, suppress, sign, legacy,$/;" f +_get_format_control adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _get_format_control(values, option):$/;" f +_get_format_function adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _get_format_function(data, **options):$/;" f +_get_fresh_mod adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^def _get_fresh_mod():$/;" f +_get_fs adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^def _get_fs(fs, nyq):$/;" f +_get_full_log_prob adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def _get_full_log_prob(self, input, head_output):$/;" m class:AdaptiveLogSoftmaxWithLoss +_get_full_name adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _get_full_name(self, node):$/;" m class:_PastaEditVisitor +_get_func adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^def _get_func(func, ps='sdzc'):$/;" f +_get_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^def _get_funcs(names, arrays, dtype,$/;" f +_get_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_function(self, name):$/;" m class:Graph +_get_func_code adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _get_func_code(code, name):$/;" m class:Rule +_get_func_graph_for_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def _get_func_graph_for_branch(name_attr_list, cached_attr_name=None):$/;" f function:get_func_graphs file: +_get_func_map adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ _get_func_map = {$/;" v class:GetExtentHelper +_get_gae_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _get_gae_credentials():$/;" f +_get_gae_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def _get_gae_credentials():$/;" f +_get_gce_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _get_gce_credentials(request=None):$/;" f +_get_gce_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def _get_gce_credentials(request=None):$/;" f +_get_gcloud_sdk_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _get_gcloud_sdk_credentials():$/;" f +_get_gcloud_sdk_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default_async.py /^def _get_gcloud_sdk_credentials():$/;" f +_get_generic_data_individual_image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _get_generic_data_individual_image(self, ctx, blob_key):$/;" m class:ImagesPlugin +_get_gid adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _get_gid(name):$/;" f +_get_gid adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def _get_gid(name):$/;" f +_get_glibc_version adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _get_glibc_version():$/;" f +_get_global_builtins adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_global_builtins():$/;" f +_get_global_constant_initializer_op adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _get_global_constant_initializer_op($/;" m class:LayerModelHelper +_get_global_header adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_global_header(im, info):$/;" f +_get_global_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def _get_global_id(cluster_spec, task_type, task_id, chief_task_type):$/;" f +_get_global_id_in_cluster adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _get_global_id_in_cluster(cluster_spec, task_type, task_id,$/;" f +_get_global_rank adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _get_global_rank(group, group_rank):$/;" f +_get_global_step_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def _get_global_step_read(graph=None):$/;" f +_get_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_glyph(self, fontname, font_class, sym, fontsize, math=True):$/;" m class:BakomaFonts +_get_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_glyph(self, fontname, font_class, sym, fontsize, math=True):$/;" m class:DejaVuFonts +_get_glyph adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_glyph(self, fontname, font_class, sym, fontsize, math=True):$/;" m class:UnicodeFonts +_get_grad adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^def _get_grad(net, outputs, outputs_with_grad, input_values, inputs_with_grads):$/;" f +_get_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _get_grad(cls, embedding_rref, context_id):$/;" m class:DistAutogradTest +_get_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _get_gradients(self, tape, loss, var_list, grad_loss=None):$/;" m class:OptimizerV2 +_get_gradient_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _get_gradient_function(self):$/;" m class:ConcreteFunction +_get_grad_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^def _get_grad_blob(grad_map, input_to_check):$/;" f +_get_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _get_graph(while_op, func_attr_name, attr_graph_name):$/;" f +_get_graph_for_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _get_graph_for_variable(var):$/;" f +_get_graph_from_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _get_graph_from_inputs(op_input_list, graph=None):$/;" f +_get_grappler_config adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import get_grappler_config as _get_grappler_config$/;" x +_get_gridline adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_gridline(self):$/;" m class:Tick +_get_grid_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _get_grid_bbox(self, renderer):$/;" m class:Table +_get_grouped_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def _get_grouped_variables(vars_to_warm_start):$/;" f +_get_groupframe adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _get_groupframe(self, group):$/;" m class:ToolbarTk +_get_group_rank adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _get_group_rank(group, rank):$/;" f +_get_group_size adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _get_group_size(group):$/;" f +_get_growth_tracker adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _get_growth_tracker(self):$/;" m class:GradScaler +_get_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def _get_handle_data(tensor):$/;" f +_get_handle_deleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def _get_handle_deleter(graph, deleter_key, handle):$/;" f +_get_handle_feeder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def _get_handle_feeder(graph, feeder):$/;" f +_get_handle_mover adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def _get_handle_mover(graph, feeder, handle):$/;" f +_get_handle_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def _get_handle_reader(graph, handle, dtype):$/;" f +_get_hasdst adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def _get_hasdst(self):$/;" m class:tzwinbase +_get_hatch adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _get_hatch(self, gc, rgbFace):$/;" m class:RendererSVG +_get_headers adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^def _get_headers(directory_list):$/;" f +_get_header_palette adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_header_palette(palette_bytes):$/;" f +_get_height adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _get_height(root):$/;" f function:_compute_tree_height file: +_get_height adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _get_height(root):$/;" f function:_sort_tree_leaves file: +_get_help_entries adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _get_help_entries(self):$/;" m class:ToolHelpBase +_get_help_for_command_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _get_help_for_command_prefix(self, cmd_prefix):$/;" m class:CommandHandlerRegistry +_get_help_for_modules adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _get_help_for_modules(self, modules, prefix, include_special_flags):$/;" m class:FlagValues +_get_help_html adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _get_help_html(self):$/;" m class:ToolHelpBase +_get_help_text adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _get_help_text(self):$/;" m class:ToolHelpBase +_get_hg_revision adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _get_hg_revision(self, path):$/;" m class:Configuration +_get_hinting_flag adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _get_hinting_flag(self):$/;" m class:TextToPath +_get_hosts adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def _get_hosts(filenames):$/;" f +_get_html_page adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _get_html_page(link, session=None):$/;" f +_get_html_response adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _get_html_response(url, session):$/;" f +_get_http_response_filename adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def _get_http_response_filename(resp, link):$/;" f +_get_http_response_size adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def _get_http_response_size(resp):$/;" f +_get_hyper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _get_hyper(self, name, dtype=None):$/;" m class:OptimizerV2 +_get_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def _get_id():$/;" f +_get_ident adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from dummy_thread import get_ident as _get_ident$/;" x +_get_ident adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from thread import get_ident as _get_ident$/;" x +_get_idna_encoded_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def _get_idna_encoded_host(host):$/;" m class:PreparedRequest +_get_idna_encoded_host adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def _get_idna_encoded_host(host):$/;" m class:PreparedRequest +_get_ifd_dict adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _get_ifd_dict(self, tag):$/;" m class:Exif +_get_im2col_indices_along_dim adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _get_im2col_indices_along_dim(g, input_d, kernel_size_d, dilation_d, padding_d, stride_d):$/;" f +_get_im2col_output_shape adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _get_im2col_output_shape(g, input, kernel_h, kernel_w):$/;" f +_get_im2col_padded_input adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _get_im2col_padded_input(g, input, padding_h, padding_w):$/;" f +_get_imagesave_wildcards adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _get_imagesave_wildcards(self):$/;" m class:_FigureCanvasWxBase +_get_image_filename adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _get_image_filename(self, image):$/;" m class:ToolContainerBase +_get_image_from_kw adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^def _get_image_from_kw(kw):$/;" f +_get_image_inclusion_command adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def _get_image_inclusion_command():$/;" f +_get_immediate_subdirectories adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^def _get_immediate_subdirectories(a_dir):$/;" f +_get_incallvars adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_incallvars(self, intypes, c):$/;" m class:FusedFunc +_get_ind adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _get_ind(f, ind):$/;" f +_get_indent adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _get_indent(lines):$/;" f +_get_indent_diff adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def _get_indent_diff(outer, inner):$/;" f +_get_indent_width adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def _get_indent_width(indent):$/;" f +_get_indexed_slices_value_from_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _get_indexed_slices_value_from_fetches(fetched_vals):$/;" f +_get_index_lookup_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _get_index_lookup_class(self):$/;" m class:TextVectorization +_get_index_lookup_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization_v1.py /^ def _get_index_lookup_class(self):$/;" m class:TextVectorization +_get_index_url adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def _get_index_url(self, url):$/;" m class:MultiDomainBasicAuth +_get_index_urls adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def _get_index_urls(cls, options):$/;" m class:SessionCommandMixin +_get_indices_and_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _get_indices_and_dtypes(self, indices=None):$/;" m class:MapStagingArea +_get_indx adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _get_indx(_lambda, num, largest):$/;" f +_get_info adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_info(self, fontname, font_class, sym, fontsize, dpi, math=True):$/;" m class:StandardPsFonts +_get_info adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_info(self, fontname, font_class, sym, fontsize, dpi, math=True):$/;" m class:TruetypeFonts +_get_info_blas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _get_info_blas(self):$/;" m class:lapack_opt_info +_get_info_dir adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _get_info_dir():$/;" f +_get_info_file_path adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _get_info_file_path():$/;" f +_get_info_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def _get_info_lapack(self):$/;" m class:lapack_opt_info +_get_initial_lr adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def _get_initial_lr(lr, swa_lr, alpha):$/;" m class:SWALR +_get_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _get_initial_metadata(state, metadata):$/;" f +_get_initial_metadata_operation adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _get_initial_metadata_operation(state, metadata):$/;" f +_get_input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _get_input(self):$/;" m class:InputVariableMixin +_get_input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _get_input(self, unpack=True):$/;" m class:TestBase +_get_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^ def _get_inputs(self, N, C, H, W, order):$/;" m class:LeakyReluTest +_get_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def _get_inputs(self, N, C, H, W, order):$/;" m class:TestInstanceNorm +_get_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def _get_inputs(self, N, C, H, W, order):$/;" m class:TestLeakyRelu +_get_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def _get_inputs(op, only_differentiable):$/;" f +_get_inputs_tensor_info_from_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _get_inputs_tensor_info_from_meta_graph_def(meta_graph_def,$/;" f +_get_input_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _get_input_channel(self, input_shape):$/;" m class:Conv +_get_input_from_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _get_input_from_iterator(iterator, model):$/;" f +_get_input_masks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_input_masks(self, inputs, input_list, args, kwargs):$/;" m class:Layer +_get_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _get_input_tensor(time):$/;" f function:rnn file: +_get_input_to_checker_function adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def _get_input_to_checker_function(self, flag_values):$/;" m class:MultiFlagsValidator +_get_input_to_checker_function adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def _get_input_to_checker_function(self, flag_values):$/;" m class:SingleFlagValidator +_get_input_to_checker_function adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def _get_input_to_checker_function(self, flag_values):$/;" m class:Validator +_get_input_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _get_input_workers(self, options):$/;" m class:TPUExtended +_get_integer_indices_for_next_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^def _get_integer_indices_for_next_batch(batch_indices_start, batch_size,$/;" f +_get_intent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _get_intent(flags, experiment_url_callback=None):$/;" f +_get_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _get_intermediates(func_graph):$/;" f +_get_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _get_intermediates(func_graph):$/;" f +_get_internal_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ def _get_internal_key(self, key):$/;" m class:ModeKeyMap +_get_internal_object_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def _get_internal_object_ids(self):$/;" m class:_PythonMemoryChecker +_get_interpolate_attributes adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _get_interpolate_attributes(g, mode, args):$/;" f +_get_interpreter_name_for_var adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def _get_interpreter_name_for_var(var):$/;" f function:_create_interpreter_name_lookup_fn file: +_get_interval adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_interval(self):$/;" m class:DateLocator +_get_interval adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_interval(self):$/;" m class:MicrosecondLocator +_get_interval adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_interval(self):$/;" m class:RRuleLocator +_get_intXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_intXarray(self, row, col):$/;" m class:csc_matrix +_get_intXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_intXarray(self, row, col):$/;" m class:csr_matrix +_get_intXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_intXarray(self, row, col):$/;" m class:dok_matrix +_get_intXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_intXarray(self, row, col):$/;" m class:lil_matrix +_get_intXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_intXarray(self, row, col):$/;" m class:IndexMixin +_get_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _get_intXint(self, row, col):$/;" m class:_cs_matrix +_get_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_intXint(self, row, col):$/;" m class:dok_matrix +_get_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_intXint(self, row, col):$/;" m class:lil_matrix +_get_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_intXint(self, row, col):$/;" m class:IndexMixin +_get_intXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_intXslice(self, row, col):$/;" m class:csc_matrix +_get_intXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_intXslice(self, row, col):$/;" m class:csr_matrix +_get_intXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_intXslice(self, row, col):$/;" m class:dok_matrix +_get_intXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_intXslice(self, row, col):$/;" m class:lil_matrix +_get_intXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_intXslice(self, row, col):$/;" m class:IndexMixin +_get_invalid_request_error adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _get_invalid_request_error(self, series_request):$/;" m class:MetricsPlugin +_get_item_by_idx adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def _get_item_by_idx(self, iterator, idx):$/;" m class:Sequential +_get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _get_iterator(self):$/;" m class:DistributedDatasetsFromFunctionV1 +_get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _get_iterator(self):$/;" m class:DistributedDatasetV1 +_get_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _get_iterator(inputs, distribution_strategy=None):$/;" f +_get_iterator adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _get_iterator(self) -> '_BaseDataLoaderIter':$/;" m class:DataLoader +_get_iterator_from_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _get_iterator_from_input_fn(self, input_fn, mode, distribution=None):$/;" m class:Estimator +_get_iterator_ops_from_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _get_iterator_ops_from_collection(self, ds_fn, sparse_tensors=False):$/;" m class:DatasetSerializationTestBase +_get_jacobian adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _get_jacobian(tris_pts):$/;" m class:CubicTriInterpolator +_get_jax_and_to_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^def _get_jax_and_to_jax():$/;" f +_get_json_config adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^def _get_json_config(config_dict):$/;" f +_get_json_config adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary_v2.py /^def _get_json_config(config_dict):$/;" f +_get_json_config adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def _get_json_config(config_dict):$/;" f +_get_jwt_for_audience adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def _get_jwt_for_audience(self, audience):$/;" m class:OnDemandCredentials +_get_keras_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _get_keras_attr(layer):$/;" f +_get_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _get_key(self, event):$/;" m class:FigureCanvasGTK3 +_get_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _get_key(self, event):$/;" m class:FigureCanvasQT +_get_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _get_key(self, event):$/;" m class:_FigureCanvasWxBase +_get_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _get_key(self, event):$/;" m class:FigureCanvasTk +_get_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def _get_key(self, entity):$/;" m class:CodeObjectCache +_get_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def _get_key(self, entity):$/;" m class:UnboundInstanceCache +_get_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def _get_key(self, entity):$/;" m class:_TransformedFnCache +_get_keywords adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _get_keywords(self, f, r):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_get_key_counter_alg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateless_random_ops.py /^_get_key_counter_alg = (gen_stateless_random_ops_v2$/;" v +_get_key_for_call_stats adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _get_key_for_call_stats(self):$/;" m class:Function +_get_kind_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _get_kind_name(item):$/;" f +_get_kwarg_as_str_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _get_kwarg_as_str_attr(attr_name, value):$/;" f +_get_label_clabeltext adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _get_label_clabeltext(self, x, y, rotation):$/;" m class:ContourLabeler +_get_label_text adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _get_label_text(self, x, y, rotation):$/;" m class:ContourLabeler +_get_latest_eval_step_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^def _get_latest_eval_step_value(update_ops):$/;" f +_get_launcher adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _get_launcher(self, kind):$/;" m class:ScriptMaker +_get_layer_call_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _get_layer_call_method(layer):$/;" f +_get_layer_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def _get_layer_class(self):$/;" m class:DistributionStrategyGruModelCorrectnessTest +_get_layer_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def _get_layer_class(self):$/;" m class:DistributionStrategyLstmModelCorrectnessTest +_get_layer_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_rnn_model_correctness_test.py /^ def _get_layer_class(self):$/;" m class:_DistributionStrategyRnnModelCorrectnessTest +_get_layout adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_layout(self, renderer):$/;" m class:Text +_get_layout adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _get_layout(name):$/;" f +_get_leaves_color_list adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _get_leaves_color_list(R):$/;" f +_get_legend_handles adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^def _get_legend_handles(axs, legend_handler_map=None):$/;" f +_get_legend_handles adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _get_legend_handles(self, legend_handler_map=None):$/;" m class:HostAxesBase +_get_legend_handles_labels adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^def _get_legend_handles_labels(axs, legend_handler_map=None):$/;" f +_get_len adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _get_len(a, axis, msg):$/;" f +_get_linear_ramps adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _get_linear_ramps(padded, axis, width_pair, end_value_pair):$/;" f +_get_linked_req_hashes adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def _get_linked_req_hashes(self, req):$/;" m class:RequirementPreparer +_get_list_profile_lines adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def _get_list_profile_lines($/;" m class:ProfileAnalyzer +_get_loc adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _get_loc(self):$/;" m class:Legend +_get_local_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _get_local_backend(platform=None):$/;" f +_get_local_backends adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _get_local_backends():$/;" f +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:CollectiveAllReduceExtended +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:_DefaultDistributionExtended +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:MirroredExtended +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:OneDeviceExtended +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:ParameterServerStrategyExtended +_get_local_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _get_local_replica_id(self, replica_id_in_sync_group):$/;" m class:TPUExtended +_get_logged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^def _get_logged_ops(graph, run_meta=None, add_trace=True,$/;" f +_get_logger_dict_helper adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^def _get_logger_dict_helper(mod, target_dict, prefix=""):$/;" f +_get_logits adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_logits(): # pylint: disable=missing-docstring$/;" f function:_internal_input_layer file: +_get_log_write_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _get_log_write_dir(self):$/;" m class:TensorBoard +_get_longest_paths adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _get_longest_paths(g, source_nodes):$/;" f +_get_loop_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _get_loop_vars(self, node, modified_symbols):$/;" m class:ControlFlowTransformer +_get_loss_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _get_loss_object(self, loss):$/;" m class:LossesContainer +_get_loss_reduce_op_for_reporting adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _get_loss_reduce_op_for_reporting():$/;" f +_get_lowers_and_uppers adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _get_lowers_and_uppers(self):$/;" m class:ContourSet +_get_machar adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _get_machar(ftype):$/;" f +_get_main_op_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def _get_main_op_tensor($/;" f +_get_mark adpepsenv/lib/python3.8/site-packages/scipy/conftest.py /^def _get_mark(item, name):$/;" f +_get_markerfacecolor adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def _get_markerfacecolor(self, alt=False):$/;" m class:Line2D +_get_master_address adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _get_master_address(self):$/;" m class:_InternalTPUContext +_get_master_target adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def _get_master_target(self):$/;" m class:_WorkerContext +_get_math_builtins adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_math_builtins():$/;" f +_get_matrix adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def _get_matrix(fid):$/;" f function:hb_read file: +_get_max_identity adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _get_max_identity(tf_dtype):$/;" f +_get_max_identity adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _get_max_identity(dtype):$/;" f +_get_max_identity adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _get_max_identity(dt):$/;" f +_get_max_identity adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _get_max_identity(dtype: DType) -> Array:$/;" f +_get_max_live adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _get_max_live(ranges):$/;" f function:get_updated_ranges file: +_get_max_size adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _get_max_size(assignment):$/;" f +_get_max_splits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_max_splits(tree_hparams):$/;" f +_get_mem_available adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _get_mem_available():$/;" f +_get_mem_available adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^def _get_mem_available():$/;" f +_get_merge_node_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _get_merge_node_names(self, device_name):$/;" m class:DebugDumpDir +_get_message adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _get_message(status):$/;" f +_get_message_unparsed adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^ def _get_message_unparsed(flag, orig_flag, new_flag):$/;" f function:_check_tf1_flags file: +_get_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _get_metadata(self, path):$/;" m class:EggInfoDistribution +_get_metadata adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_metadata(self, name):$/;" m class:Distribution +_get_metadata adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_metadata(self, name):$/;" m class:Distribution +_get_metadata_file_for_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _get_metadata_file_for_tensor(self, tensor_name, config):$/;" m class:ProjectorPlugin +_get_metadata_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_metadata_path(self, name):$/;" m class:FileMetadata +_get_metadata_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_metadata_path(self, name):$/;" m class:NullProvider +_get_metadata_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_metadata_path(self, name):$/;" m class:FileMetadata +_get_metadata_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_metadata_path(self, name):$/;" m class:NullProvider +_get_metadata_path_for_display adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_metadata_path_for_display(self, name):$/;" m class:Distribution +_get_metadata_path_for_display adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_metadata_path_for_display(self, name):$/;" m class:Distribution +_get_metadata_role_name adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def _get_metadata_role_name(self, request):$/;" m class:Credentials +_get_metadata_security_credentials adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def _get_metadata_security_credentials(self, request, role_name):$/;" m class:Credentials +_get_meta_graph_def_for_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _get_meta_graph_def_for_mode(self, mode):$/;" m class:SavedModelEstimator +_get_methods adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _get_methods(cls):$/;" f +_get_metrics_from_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^def _get_metrics_from_layers(layers):$/;" f +_get_metric_id adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def _get_metric_id(metric):$/;" f member:Handler.run file: +_get_metric_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _get_metric_object(self, metric, y_t, y_p):$/;" m class:MetricsContainer +_get_metric_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _get_metric_objects(self, metrics, y_t, y_p):$/;" m class:MetricsContainer +_get_mime_type adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _get_mime_type(self, ctx, experiment, run, tag):$/;" m class:AudioPlugin +_get_min adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def _get_min(self, tokens):$/;" m class:FortranFormatParser +_get_min_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _get_min_depth(node):$/;" f member:Functional._insert_layers file: +_get_min_identity adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _get_min_identity(tf_dtype):$/;" f +_get_min_identity adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _get_min_identity(dtype):$/;" f +_get_min_identity adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _get_min_identity(dt):$/;" f +_get_min_identity adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _get_min_identity(dtype: DType) -> Array:$/;" f +_get_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^ def _get_mirrored(x):$/;" f function:select_replica_mirrored file: +_get_missing_value adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _get_missing_value(self):$/;" m class:netcdf_variable +_get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _get_model():$/;" f member:TestDistributionStrategyWithKerasModels.test_correctness_of_add_loss_with_merge_call file: +_get_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _get_model():$/;" f member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_loss_reduction_types file: +_get_model_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _get_model_dir(tf_config, model_dir):$/;" f +_get_model_feed adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _get_model_feed(model, mode):$/;" f +_get_modifier adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def _get_modifier(self, name):$/;" m class:ModifierContext +_get_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_module adpepsenv/lib/python3.8/site-packages/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_module adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^def _get_module(model, submodule_key):$/;" f +_get_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def _get_module(self, fullname):$/;" m class:_SixMetaPathImporter +_get_modules_bytes adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def _get_modules_bytes(self, the_module):$/;" m class:TestScriptModuleFromString +_get_monoid_reducer adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _get_monoid_reducer(monoid_op: Callable, xs: Array) -> Optional[Callable]:$/;" f +_get_monoid_window_reducer adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _get_monoid_window_reducer(monoid_op: Callable, x: Array) -> Optional[Callable]:$/;" f +_get_more_basis_columns adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _get_more_basis_columns(A, basis):$/;" f +_get_most_recently_modified_file_matching_pattern adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _get_most_recently_modified_file_matching_pattern(self, pattern):$/;" m class:ModelCheckpoint +_get_mover_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def _get_mover_key(feeder, handle):$/;" m class:TensorHandle +_get_mpr_result adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _get_mpr_result(self, process_statuses):$/;" m class:MultiProcessRunner +_get_mro adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def _get_mro(cls):$/;" f +_get_multialignment adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_multialignment(self):$/;" m class:Text +_get_multi_index adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def _get_multi_index(self, arr, indices):$/;" m class:TestMultiIndexingAutomated +_get_multi_worker_mirrored_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def _get_multi_worker_mirrored_creator(required_gpus):$/;" f +_get_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^def _get_name(value, index):$/;" f +_get_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def _get_name(self, overwrite_name=None):$/;" m class:Benchmark +_get_name adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def _get_name(self):$/;" m class:TracedModule +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def _get_name(self):$/;" m class:BNReLU2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def _get_name(self):$/;" m class:BNReLU3d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def _get_name(self):$/;" m class:ConvReLU1d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def _get_name(self):$/;" m class:ConvReLU2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def _get_name(self):$/;" m class:ConvReLU3d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^ def _get_name(self):$/;" m class:LinearReLU +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _get_name(self):$/;" m class:Module +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def _get_name(self):$/;" m class:Linear +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:GRUCell +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:LSTM +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:LSTMCell +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:RNNBase +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:RNNCell +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _get_name(self):$/;" m class:RNNCellBase +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def _get_name(self):$/;" m class:ELU +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def _get_name(self):$/;" m class:Hardswish +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def _get_name(self):$/;" m class:ReLU +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def _get_name(self):$/;" m class:ReLU6 +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def _get_name(self):$/;" m class:BatchNorm2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def _get_name(self):$/;" m class:BatchNorm3d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _get_name(self):$/;" m class:Conv1d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _get_name(self):$/;" m class:Conv2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _get_name(self):$/;" m class:Conv3d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _get_name(self):$/;" m class:ConvTranspose1d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _get_name(self):$/;" m class:ConvTranspose2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def _get_name(self):$/;" m class:Embedding +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def _get_name(self):$/;" m class:EmbeddingBag +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def _get_name(self):$/;" m class:QFunctional +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _get_name(self):$/;" m class:Linear +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def _get_name(self):$/;" m class:GroupNorm +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def _get_name(self):$/;" m class:InstanceNorm1d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def _get_name(self):$/;" m class:InstanceNorm2d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def _get_name(self):$/;" m class:InstanceNorm3d +_get_name adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def _get_name(self):$/;" m class:LayerNorm +_get_name adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _get_name(func: Callable):$/;" f +_get_named_tuple_properties adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _get_named_tuple_properties(obj):$/;" f +_get_name_and_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def _get_name_and_module(full_name):$/;" f +_get_name_and_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^def _get_name_and_version(name, version, for_filename=False):$/;" f +_get_nan_decs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_nan_decs(self):$/;" m class:FusedFunc +_get_native_delegate_pointer adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _get_native_delegate_pointer(self):$/;" m class:Delegate +_get_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def _get_netloc(netloc):$/;" f +_get_networks_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _get_networks_key(self):$/;" m class:_BaseNetwork +_get_net_argument adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _get_net_argument(op, net_name):$/;" f +_get_new_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _get_new_axes(self, *, axes_class=None, **kwargs):$/;" m class:AxesDivider +_get_new_credentials adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def _get_new_credentials(self, original_url, allow_netrc=True,$/;" m class:MultiDomainBasicAuth +_get_new_global_index adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def _get_new_global_index(self, index_override):$/;" m class:OpHint.OpHintArgumentTracker +_get_next adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def _get_next(self):$/;" m class:WebPImageFile +_get_next_as_optional adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _get_next_as_optional(iterator, strategy, return_per_replica=False):$/;" f +_get_next_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def _get_next_batch(generator):$/;" f +_get_next_log_count_per_token adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _get_next_log_count_per_token(token):$/;" f +_get_next_name adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def _get_next_name(node, group, name):$/;" m class:Task +_get_next_net_name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _get_next_net_name(basename):$/;" m class:Net +_get_next_step_name adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _get_next_step_name(control_name, base_name):$/;" f +_get_next_step_name adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _get_next_step_name(basename):$/;" m class:ExecutionStep +_get_nn_functional_ops adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_nn_functional_ops():$/;" f +_get_node_attribute_at_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_node_attribute_at_index(self, node_index, attr, attr_name):$/;" m class:Layer +_get_node_attribute_at_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _get_node_attribute_at_index(self, node_index, attr, attr_name):$/;" m class:Layer +_get_node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _get_node_def(op):$/;" f +_get_node_identifier adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^def _get_node_identifier(node):$/;" f +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _get_noise_shape(self, inputs):$/;" m class:Dropout +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _get_noise_shape(self, inputs):$/;" m class:SpatialDropout1D +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _get_noise_shape(self, inputs):$/;" m class:SpatialDropout2D +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _get_noise_shape(self, inputs):$/;" m class:SpatialDropout3D +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def _get_noise_shape(self, inputs):$/;" m class:AlphaDropout +_get_noise_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _get_noise_shape(x, noise_shape):$/;" f +_get_nom_val adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def _get_nom_val(atrv):$/;" m class:NominalAttribute +_get_non_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _get_non_slot_variable(self, name, graph=None):$/;" m class:Optimizer +_get_norm adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _get_norm(delta_begin, delta_end, density, norm_type):$/;" f member:HistogramObserver._non_linear_param_search file: +_get_normal_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def _get_normal_name(orig_enc):$/;" f +_get_number_fraction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def _get_number_fraction(self, factor):$/;" m class:FormatterDMS +_get_num_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function_def_to_graph.py /^def _get_num_args(arg_def, node_def):$/;" f +_get_num_chars adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _get_num_chars(a):$/;" f +_get_num_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _get_num_gpus(self):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_get_num_nvidia_gpus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def _get_num_nvidia_gpus():$/;" f +_get_num_samples_or_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _get_num_samples_or_steps(ins, batch_size, steps_per_epoch):$/;" f +_get_num_samples_or_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def _get_num_samples_or_steps(data, steps_per_epoch):$/;" f +_get_num_slurm_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def _get_num_slurm_tasks():$/;" f +_get_num_systems adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def _get_num_systems(self, operator):$/;" m class:NonSquareLinearOperatorDerivedClassTest +_get_num_systems adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def _get_num_systems(self, operator):$/;" m class:SquareLinearOperatorDerivedClassTest +_get_num_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _get_num_workers(cluster_spec):$/;" f +_get_num_workers adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _get_num_workers(verbose: bool) -> Optional[int]:$/;" f +_get_n_args adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def _get_n_args(self, args, example, n):$/;" m class:ConfigurationCommand +_get_object_checkpoint_renames adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def _get_object_checkpoint_renames(path, variable_names):$/;" f +_get_object_count_by_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _get_object_count_by_type(exclude=()):$/;" f +_get_offset adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _get_offset(self, font, glyph, fontsize, dpi):$/;" m class:TruetypeFonts +_get_offset_radius adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_offset_radius(self):$/;" m class:LabelBase +_get_offset_ref_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_offset_ref_angle(self):$/;" m class:LabelBase +_get_on_device_or_primary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_on_device_or_primary(self):$/;" m class:DistributedValues +_get_on_device_or_primary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_on_device_or_primary(self):$/;" m class:DistributedVariable +_get_op adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/leaky_relu_op_test.py /^ def _get_op(self, device_option, alpha, order, inplace=False):$/;" m class:LeakyReluTest +_get_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/instance_norm_test.py /^ def _get_op(self, device_option, store_mean, store_inv_stdev, epsilon,$/;" m class:TestInstanceNorm +_get_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/leaky_relu_test.py /^ def _get_op(self, device_option, alpha, order, inplace=False):$/;" m class:TestLeakyRelu +_get_operation_by_name_unsafe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_operation_by_name_unsafe(self, name):$/;" m class:Graph +_get_operation_by_tf_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_operation_by_tf_operation(self, tf_oper):$/;" m class:Graph +_get_operator adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def _get_operator(self, op):$/;" m class:_IndividualSpecifier +_get_operator adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def _get_operator(self, op):$/;" m class:_IndividualSpecifier +_get_operator adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def _get_operator(self, op):$/;" m class:_IndividualSpecifier +_get_opposite_direction adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _get_opposite_direction = {"left": "right",$/;" v class:LabelBase +_get_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def _get_ops(self):$/;" m class:_PythonLoopChecker +_get_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def _get_ops(self):$/;" m class:_PythonLoopChecker +_get_ops_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _get_ops_details(self):$/;" m class:Interpreter +_get_ops_from_graphdef adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^def _get_ops_from_graphdef(graph_def):$/;" f +_get_ops_from_ops_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/selective_registration_header_lib.py /^def _get_ops_from_ops_list(input_file):$/;" f +_get_optimization_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _get_optimization_handler(optimization_parameters):$/;" f +_get_optimize adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_optimize(im, info):$/;" f +_get_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _get_optimizer(self, optimizer):$/;" m class:Model +_get_optimizer_handler_by_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _get_optimizer_handler_by_table(self):$/;" m class:TPUEmbedding +_get_option adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^def _get_option(target_obj, key):$/;" f +_get_optional_partition_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _get_optional_partition_dtype(values):$/;" f +_get_opt_einsum_contract_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^ _get_opt_einsum_contract_path = functools.lru_cache(maxsize=128)($/;" v +_get_opt_einsum_contract_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _get_opt_einsum_contract_path(equation, shaped_inputs_tuple, optimize):$/;" f +_get_op_and_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _get_op_and_outputs(op_or_outputs):$/;" f +_get_op_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _get_op_control_flow_context(self, op):$/;" m class:TensorTracer +_get_op_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_ops.py /^def _get_op_def(op):$/;" f +_get_op_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _get_op_def(op):$/;" f +_get_op_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_op_def(self, type): # pylint: disable=redefined-builtin$/;" m class:Graph +_get_op_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _get_op_details(self, op_index):$/;" m class:Interpreter +_get_op_from_collection adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def _get_op_from_collection(meta_graph_def, op_key):$/;" f +_get_op_from_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^def _get_op_from_signature_def(meta_graph_def, op_signature_key, import_scope):$/;" f +_get_op_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_op_range(self):$/;" m class:TTParameters +_get_ordered_configuration_items adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def _get_ordered_configuration_items(self):$/;" m class:ConfigOptionParser +_get_ortho adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def _get_ortho(self, U, V):$/;" m class:LOBPCG +_get_or_create_blob_sequence adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _get_or_create_blob_sequence(self):$/;" m class:_BlobRequestSender +_get_or_create_eval_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^def _get_or_create_eval_step():$/;" f +_get_or_create_global_step_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def _get_or_create_global_step_read(graph=None):$/;" f +_get_or_create_package adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _get_or_create_package(self, atoms: List[str]) -> 'Union[_PackageNode, _ExternNode]':$/;" m class:PackageImporter +_get_or_create_stop_var adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def _get_or_create_stop_var():$/;" f +_get_or_create_stop_var_with_aggregation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def _get_or_create_stop_var_with_aggregation(self):$/;" m class:_MultiWorkerEarlyStoppingHook +_get_or_create_tuple_proto adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def _get_or_create_tuple_proto(self, op):$/;" m class:Sharding +_get_or_make_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _get_or_make_slot(self, var, val, slot_name, op_name):$/;" m class:Optimizer +_get_or_make_slot_with_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _get_or_make_slot_with_initializer(self, var, initializer, shape, dtype,$/;" m class:Optimizer +_get_outcallvars adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_outcallvars(self, outtypes, c):$/;" m class:FusedFunc +_get_outer_context_and_inner_device_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _get_outer_context_and_inner_device_stack():$/;" f +_get_outer_context_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _get_outer_context_id(self, graph):$/;" m class:_DumpingCallback +_get_outer_edges adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _get_outer_edges(a, range):$/;" f +_get_outfile_suffix adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _get_outfile_suffix(self):$/;" m class:TensorTracer +_get_output adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_support.py /^def _get_output(output, input, shape=None, complex_output=False):$/;" f +_get_outputs_tensor_info_from_meta_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _get_outputs_tensor_info_from_meta_graph_def(meta_graph_def,$/;" f +_get_output_canvas adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _get_output_canvas(self, backend, fmt):$/;" m class:FigureCanvasBase +_get_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _get_output_classes(self, ds_fn):$/;" m class:DatasetSerializationTestBase +_get_output_fourier adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def _get_output_fourier(output, input):$/;" f +_get_output_fourier_complex adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^def _get_output_fourier_complex(output, input):$/;" f +_get_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^def _get_output_shape(output_rank, known_last_dims):$/;" f +_get_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _get_output_shape(flat_output_size):$/;" f member:RNN.compute_output_shape file: +_get_output_shapes adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def _get_output_shapes(output_value_infos):$/;" f +_get_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _get_output_shapes(self, ds_fn):$/;" m class:DatasetSerializationTestBase +_get_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _get_output_shapes(*branch_graph_outputs):$/;" f +_get_output_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^ def _get_output_tensor(self,$/;" m class:CheckNumericsCallback +_get_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _get_output_types(self, ds_fn):$/;" m class:DatasetSerializationTestBase +_get_output_with_grad_names adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def _get_output_with_grad_names(net_outputs):$/;" f member:NetGradientChecker.CompareNets file: +_get_overloaded_args adpepsenv/lib/python3.8/site-packages/torch/overrides.py /^def _get_overloaded_args(relevant_args: Iterable[Any]) -> List[Any]:$/;" f +_get_overloaded_methods adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _get_overloaded_methods(method, mod_class):$/;" f +_get_overloads adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _get_overloads(obj):$/;" f +_get_ox adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_ox(self):$/;" m class:Shadow +_get_oy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_oy(self):$/;" m class:Shadow +_get_package adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _get_package(self, package):$/;" m class:PackageImporter +_get_packed_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^def _get_packed_offsets(wd_list, total, sep, mode="fixed"):$/;" f +_get_paddings_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _get_paddings_constant(paddings):$/;" f +_get_padding_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _get_padding_op(self):$/;" m class:Conv +_get_pad_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_batch_gather_with_default_op.py /^def _get_pad_shape(params, indices, row_splits_dtype):$/;" f +_get_palette_bytes adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _get_palette_bytes(im):$/;" f +_get_papertype adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _get_papertype(w, h):$/;" f +_get_params_repr adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def _get_params_repr(self):$/;" m class:TestCase +_get_param_to_device adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^def _get_param_to_device(model):$/;" f +_get_parent_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _get_parent_graph(self, graph):$/;" m class:ContextValueCache +_get_parsed_value_as_string adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _get_parsed_value_as_string(self, value):$/;" m class:Flag +_get_parser adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _get_parser(use_v2_converter):$/;" f +_get_parser_compound adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _get_parser_compound(cls, *parse_methods):$/;" m class:ConfigHandler +_get_parser_to_modify adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _get_parser_to_modify(self):$/;" m class:Configuration +_get_partitioned_update_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _get_partitioned_update_ops(self, v_num, num_partitions_by_var,$/;" m class:_SDCAModel +_get_partitioned_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _get_partitioned_variable(self,$/;" m class:VariableScope +_get_partitioned_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _get_partitioned_variable(self,$/;" m class:_VariableStore +_get_partitioned_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _get_partitioned_variable(name,$/;" f +_get_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _get_partitions(self):$/;" m class:PartitionedVariable +_get_patch_verts adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _get_patch_verts(patch):$/;" f +_get_path adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _get_path(pred_list, dist_list):$/;" f +_get_paths adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _get_paths(base_dir, parser):$/;" f +_get_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_get_per_thread_mode = distribution_strategy_context._get_per_thread_mode # pylint: disable=pro/;" v +_get_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _get_per_thread_mode():$/;" f +_get_pkg_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def _get_pkg_data_files(self, package):$/;" m class:build_py +_get_platform_patterns adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def _get_platform_patterns(spec, package, src_dir):$/;" m class:build_py +_get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ _get_points = get_points$/;" v class:LockableBbox +_get_points adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ _get_points = get_points$/;" v class:TransformedBbox +_get_position_xy adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_position_xy(self, renderer):$/;" m class:_AnnotationBase +_get_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def _get_preamble(self):$/;" m class:TexManager +_get_precision_override adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def _get_precision_override(self, test, dtype):$/;" m class:DeviceTypeTestBase +_get_predict_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def _get_predict_dataset(self, x_predict, batch_size):$/;" m class:TestSavedModelBase +_get_prepared_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^def _get_prepared_distribution($/;" f +_get_previous_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _get_previous_name_scope():$/;" f +_get_printed_image_surface adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def _get_printed_image_surface(self):$/;" m class:FigureCanvasCairo +_get_prob_original_static adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _get_prob_original_static(initial_dist_t, target_dist_t):$/;" f +_get_processor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^def _get_processor(v):$/;" f +_get_process_statuses adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _get_process_statuses(self):$/;" m class:MultiProcessRunner +_get_profile_data_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def _get_profile_data_generator(self):$/;" m class:ProfileAnalyzer +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:AggregatingLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:DirectoryLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:DistPathLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:JSONLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:Locator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:PyPIJSONLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:PyPIRPCLocator +_get_project adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_project(self, name):$/;" m class:SimpleScrapingLocator +_get_projectors_bottom_impl adpeps/ipeps/ctm.py /^def _get_projectors_bottom_impl(C3,C4,T2,T3l,T3r,T4,Al,Adl,Ar,Adr, chi):$/;" f +_get_projectors_left_impl adpeps/ipeps/ctm.py /^def _get_projectors_left_impl(C1,C4,T1,T3,T4u,T4d,Au,Adu,Ad,Add, chi):$/;" f +_get_projectors_right_impl adpeps/ipeps/ctm.py /^def _get_projectors_right_impl(C2,C3,T1,T2u,T2d,T3,Au,Adu,Ad,Add, chi):$/;" f +_get_projectors_top_impl adpeps/ipeps/ctm.py /^def _get_projectors_top_impl(C1,C2,T1l,T1r,T2,T4,Al,Adl,Ar,Adr, chi):$/;" f +_get_protocol_attrs adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _get_protocol_attrs(cls):$/;" f +_get_ps_font_and_encoding adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _get_ps_font_and_encoding(texname):$/;" m class:TextToPath +_get_purelib adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_egg.py /^ def _get_purelib():$/;" f +_get_pypirc_command adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def _get_pypirc_command(self):$/;" m class:PackageIndex +_get_python_version adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def _get_python_version(version):$/;" f +_get_python_wrap adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_python_wrap(self):$/;" m class:FusedFunc +_get_pyvenv_cfg_lines adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def _get_pyvenv_cfg_lines():$/;" f +_get_qengine_id adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^def _get_qengine_id(qengine: str) -> int:$/;" f +_get_qengine_str adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^def _get_qengine_str(qengine: int) -> str:$/;" f +_get_qualname adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _get_qualname(cls):$/;" f +_get_random_features_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^def _get_random_features_initializer(initializer, shape):$/;" f +_get_random_state adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _get_random_state(self, random_state):$/;" m class:multi_rv_generic +_get_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _get_rank(x):$/;" f member:_PforInput.expanddim_inputs_for_broadcast file: +_get_raw_feature_as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_raw_feature_as_tensor(self, key):$/;" m class:_LazyBuilder +_get_raw_feature_as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_raw_feature_as_tensor(self, key):$/;" m class:FeatureTransformationCache +_get_raw_grid_lines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def _get_raw_grid_lines(self,$/;" m class:GridFinder +_get_rayleigh_ritz_transform adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def _get_rayleigh_ritz_transform(self, S):$/;" m class:LOBPCG +_get_rc_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def _get_rc_file(self):$/;" m class:PyPIRCCommand +_get_rc_timezone adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def _get_rc_timezone():$/;" f +_get_reader adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _get_reader(self, file_path):$/;" m class:DebugEventsReader +_get_reader_for_run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _get_reader_for_run(self, run):$/;" m class:ProjectorPlugin +_get_reader_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def _get_reader_key(handle):$/;" m class:TensorHandle +_get_read_only_resource_input_indices_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def _get_read_only_resource_input_indices_op(op):$/;" f +_get_real_value adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/proxy_fix.py /^ def _get_real_value(self, trusted, value):$/;" m class:ProxyFix +_get_records adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _get_records(self):$/;" m class:InstalledDistribution +_get_recursive adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _get_recursive(self, key):$/;" m class:ContextValueCache +_get_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _get_reduction(self):$/;" m class:Loss +_get_ref_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_ref_angle(self):$/;" m class:LabelBase +_get_ref_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _get_ref_args(self, node):$/;" m class:DebugGraph +_get_ref_xy adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_ref_xy(self, renderer):$/;" m class:_AnnotationBase +_get_region adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def _get_region(self, request, url):$/;" m class:Credentials +_get_registered_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def _get_registered_object(name, custom_objects=None, module_objects=None):$/;" f +_get_rendered_text_width adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_rendered_text_width(self, text):$/;" m class:Text +_get_renderer adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def _get_renderer(figure, print_method=None):$/;" f +_get_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_replica(self, replica_id):$/;" m class:DistributedVariable +_get_replica_device_setter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _get_replica_device_setter(config):$/;" f +_get_replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _get_replica_id_in_sync_group(self, replica_id):$/;" m class:CollectiveAllReduceExtended +_get_replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _get_replica_id_in_sync_group(self, replica_id):$/;" m class:_DefaultDistributionExtended +_get_replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _get_replica_id_in_sync_group(self, replica_id):$/;" m class:MirroredExtended +_get_replica_id_in_sync_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _get_replica_id_in_sync_group(self, replica_id):$/;" m class:ParameterServerStrategyExtended +_get_report_filepath adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_report_filepath(self):$/;" m class:TTParameters +_get_repo_cred adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _get_repo_cred(self, section):$/;" m class:PyPIConfig +_get_required adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^def _get_required(d, expected_type, key, default=None):$/;" f +_get_required_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def _get_required_interactive_framework(backend_mod):$/;" f +_get_requirements adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _get_requirements(self, req_attr):$/;" m class:Distribution +_get_requires_python_specifier adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _get_requires_python_specifier(self):$/;" m class:_InstallRequirementBackedCandidate +_get_resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _get_resource_handle(name, device):$/;" f +_get_resource_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def _get_resource_handle(self):$/;" m class:TensorHandle +_get_resource_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^def _get_resource_inputs(op):$/;" f +_get_restore_location adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _get_restore_location(map_location):$/;" f +_get_retries adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def _get_retries(self, retries, redirect):$/;" m class:AppEngineManager +_get_retries adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def _get_retries(self, retries, redirect):$/;" m class:AppEngineManager +_get_return_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _get_return_value(self, tensors, indices):$/;" m class:BaseStagingArea +_get_rnn_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^def _get_rnn_estimator_spec(features, labels, mode, head, rnn_model, optimizer,$/;" f +_get_rocm_arch_flags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _get_rocm_arch_flags(cflags: Optional[List[str]] = None) -> List[str]:$/;" f +_get_root adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _get_root(self):$/;" m class:DevelopInstaller +_get_root adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _get_root(self):$/;" m class:Installer +_get_row_partition_type_tensor_pairs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _get_row_partition_type_tensor_pairs(rt_input):$/;" f +_get_row_partition_type_tensor_pairs_tail adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _get_row_partition_type_tensor_pairs_tail(partition):$/;" f +_get_row_ranges adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_row_ranges(self, rows, col_slice):$/;" m class:lil_matrix +_get_running_interactive_framework adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _get_running_interactive_framework():$/;" f +_get_run_debug_urls adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _get_run_debug_urls(self):$/;" m class:LocalCLIDebugWrapperSession +_get_run_tag_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _get_run_tag_info(mapping):$/;" f +_get_run_to_histogram_series adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _get_run_to_histogram_series(self, ctx, experiment, tag, runs):$/;" m class:MetricsPlugin +_get_run_to_image_series adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _get_run_to_image_series(self, ctx, experiment, tag, sample, runs):$/;" m class:MetricsPlugin +_get_run_to_scalar_series adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _get_run_to_scalar_series(self, ctx, experiment, tag, runs):$/;" m class:MetricsPlugin +_get_safe_box adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _get_safe_box(self, size, resample, box):$/;" m class:Image +_get_sample adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _get_sample(self, tensor_event, sample):$/;" m class:MeshPlugin +_get_sample_4d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _get_sample_4d(self):$/;" m class:TestRegularGridInterpolator +_get_sample_4d_2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _get_sample_4d_2(self):$/;" m class:TestRegularGridInterpolator +_get_saved_model_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _get_saved_model_ckpt(saved_model_dir):$/;" f +_get_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def _get_saver(self):$/;" m class:AsyncCheckpointSaverHook +_get_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _get_saver(self):$/;" m class:CheckpointSaverHook +_get_saver_def_from_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _get_saver_def_from_mode(self, mode):$/;" m class:SavedModelEstimator +_get_saver_or_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def _get_saver_or_default():$/;" f +_get_save_slice_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _get_save_slice_info(self):$/;" m class:DistributedVariable +_get_save_slice_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _get_save_slice_info(self):$/;" m class:Variable +_get_save_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_save_spec(self, dynamic_batch=True):$/;" m class:Layer +_get_save_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _get_save_spec(self, dynamic_batch=True):$/;" m class:Functional +_get_sawtooth_vertices adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_sawtooth_vertices(self, x0, y0, width, height, mutation_size):$/;" m class:BoxStyle.Sawtooth +_get_scaffold adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def _get_scaffold(captured_scaffold_fn):$/;" f +_get_scaffold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _get_scaffold(captured_scaffold_fn):$/;" f +_get_scalars_plugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def _get_scalars_plugin(self):$/;" m class:CustomScalarsPlugin +_get_scalars_plugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def _get_scalars_plugin(self):$/;" m class:HParamsPlugin +_get_scalar_alpha adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _get_scalar_alpha(self):$/;" m class:_ImageBase +_get_scalar_type_map adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ def _get_scalar_type_map():$/;" f +_get_scale adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_scale(self, renderer):$/;" m class:OffsetFrom +_get_scale_async adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _get_scale_async(self):$/;" m class:GradScaler +_get_scale_docs adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^def _get_scale_docs():$/;" f +_get_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _get_scheme(self):$/;" m class:Locator +_get_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _get_scope(node_name):$/;" f +_get_script_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _get_script_args(cls, type_, name, header, script_text):$/;" m class:ScriptWriter +_get_script_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _get_script_args(cls, type_, name, header, script_text):$/;" m class:WindowsExecutableLauncherWriter +_get_script_args adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _get_script_args(cls, type_, name, header, script_text):$/;" m class:WindowsScriptWriter +_get_script_class adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _get_script_class(name):$/;" f +_get_script_text adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _get_script_text(self, entry):$/;" m class:ScriptMaker +_get_security_credentials adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def _get_security_credentials(self, request):$/;" m class:Credentials +_get_send_message_op_flags_from_state adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _get_send_message_op_flags_from_state(state):$/;" f +_get_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _get_sequence(value, n, channel_index, name):$/;" f +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sequence_dense_tensor($/;" m class:_EmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sequence_dense_tensor($/;" m class:_IndicatorColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sequence_dense_tensor($/;" m class:_SequenceDenseColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sequence_dense_tensor(self,$/;" m class:_SharedEmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sequence_dense_tensor(self,$/;" m class:EmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sequence_dense_tensor(self,$/;" m class:IndicatorColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sequence_dense_tensor(self,$/;" m class:SharedEmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def _get_sequence_dense_tensor($/;" m class:_TPUEmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def _get_sequence_dense_tensor($/;" m class:_TPUSharedEmbeddingColumn +_get_sequence_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def _get_sequence_dense_tensor($/;" m class:_TPUEmbeddingColumnV2 +_get_serialized_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def _get_serialized_attributes(self, serialization_cache):$/;" m class:LayerSavedModelSaver +_get_serialized_attributes_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def _get_serialized_attributes_internal(self, serialization_cache):$/;" m class:LayerSavedModelSaver +_get_serialized_attributes_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def _get_serialized_attributes_internal(self, serialization_cache):$/;" m class:RNNSavedModelSaver +_get_serialized_attributes_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/metric_serialization.py /^ def _get_serialized_attributes_internal(self, unused_serialization_cache):$/;" m class:MetricSavedModelSaver +_get_serialized_attributes_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^ def _get_serialized_attributes_internal(self, serialization_cache):$/;" m class:ModelSavedModelSaver +_get_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^def _get_server_info(api_endpoint=None):$/;" f +_get_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _get_server_info(flags):$/;" f +_get_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _get_session(op_input_list=()):$/;" f +_get_session_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _get_session_manager(self):$/;" m class:ChiefSessionCreator +_get_session_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _get_session_manager(self):$/;" m class:WorkerSessionCreator +_get_session_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _get_session_master(cluster_spec, task_type, task_id, tf_config):$/;" f +_get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^ def _get_shape(x):$/;" f function:vectorized_map file: +_get_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _get_shape(tensor):$/;" f +_get_shape_from_tensor_or_array adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _get_shape_from_tensor_or_array(x):$/;" f +_get_shape_invariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _get_shape_invariant(var, shape=None):$/;" f +_get_shape_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^def _get_shape_tuple(t):$/;" f +_get_shape_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def _get_shape_tuple(self, init_tuple, tensor, start_idx, int_shape=None):$/;" m class:TimeDistributed +_get_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _get_shared_name():$/;" f +_get_shebang adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _get_shebang(self, encoding, post_interp=b'', options=None):$/;" m class:ScriptMaker +_get_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def _get_signature(function):$/;" f +_get_signatures_and_loops adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_signatures_and_loops(self, all_loops):$/;" m class:Ufunc +_get_signature_def_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _get_signature_def_fn(self):$/;" m class:EvalOutput +_get_signature_def_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _get_signature_def_fn(self):$/;" m class:TrainOutput +_get_signature_def_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _get_signature_def_fn(self):$/;" m class:_SupervisedOutput +_get_signature_def_for_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _get_signature_def_for_mode(self, mode):$/;" m class:SavedModelEstimator +_get_signature_type_and_params adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def _get_signature_type_and_params(self, request):$/;" m class:BaseEndpoint +_get_signing_key adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^def _get_signing_key(key, date_stamp, region_name, service_name):$/;" f +_get_simplified adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_simplification.py /^ def _get_simplified(x, y):$/;" f function:test_antiparallel_simplification file: +_get_single_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _get_single_optimizer(opt):$/;" f member:Model._get_optimizer file: +_get_single_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _get_single_variable(self,$/;" m class:_VariableStore +_get_sizeof adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _get_sizeof(obj):$/;" f +_get_size_and_steps adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _get_size_and_steps(self, params):$/;" m class:FuzzedTensor +_get_sliceXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_sliceXarray(self, row, col):$/;" m class:csc_matrix +_get_sliceXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_sliceXarray(self, row, col):$/;" m class:csr_matrix +_get_sliceXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_sliceXarray(self, row, col):$/;" m class:dok_matrix +_get_sliceXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_sliceXarray(self, row, col):$/;" m class:lil_matrix +_get_sliceXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_sliceXarray(self, row, col):$/;" m class:IndexMixin +_get_sliceXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _get_sliceXint(self, row, col):$/;" m class:csc_matrix +_get_sliceXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _get_sliceXint(self, row, col):$/;" m class:csr_matrix +_get_sliceXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_sliceXint(self, row, col):$/;" m class:dok_matrix +_get_sliceXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_sliceXint(self, row, col):$/;" m class:lil_matrix +_get_sliceXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_sliceXint(self, row, col):$/;" m class:IndexMixin +_get_sliceXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _get_sliceXslice(self, row, col):$/;" m class:_cs_matrix +_get_sliceXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _get_sliceXslice(self, row, col):$/;" m class:dok_matrix +_get_sliceXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _get_sliceXslice(self, row, col):$/;" m class:lil_matrix +_get_sliceXslice adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _get_sliceXslice(self, row, col):$/;" m class:IndexMixin +_get_slice_dim_and_num_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _get_slice_dim_and_num_slices(slicing):$/;" f +_get_slot_key_from_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^def _get_slot_key_from_var(var, slot_name):$/;" f +_get_slot_variable_names adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_slot_variable_names(scope_name, var_name, optimization_parameters):$/;" f +_get_slurm_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^def _get_slurm_var(name):$/;" f +_get_small_feature_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _get_small_feature_key(self, dtype):$/;" m class:TensorPacker +_get_softmax_dim adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _get_softmax_dim(name, ndim, stacklevel):$/;" f +_get_solver adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _get_solver(self, f, jac):$/;" m class:ODECheckParameterUse +_get_solver adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _get_solver(M, sparse=False, lstsq=False, sym_pos=True,$/;" f +_get_sorted_col_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _get_sorted_col_indices(select_columns, column_names):$/;" f +_get_sorted_leaves adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _get_sorted_leaves(root):$/;" f function:_sort_tree_leaves file: +_get_source_of_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _get_source_of_module(self, module: types.ModuleType) -> str:$/;" m class:PackageExporter +_get_sparse_feature_from_feature adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_sparse_feature_from_feature(feature_key, features):$/;" f +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors($/;" m class:_IdentityCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors($/;" m class:_VocabularyFileCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors($/;" m class:_VocabularyListCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors($/;" m class:_WeightedCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:_BucketizedColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:_CrossedColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:_HashedCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:_SequenceCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _get_sparse_tensors(self,$/;" m class:_CategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:BucketizedColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:CrossedColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:HashedCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:IdentityCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:SequenceCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:VocabularyFileCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:VocabularyListCategoricalColumn +_get_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors(self, inputs, weight_collections=None,$/;" m class:WeightedCategoricalColumn +_get_sparse_tensors_for_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors_for_input_tensor(self, input_tensor):$/;" m class:BucketizedColumn +_get_sparse_tensors_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _get_sparse_tensors_helper(self, sparse_tensors):$/;" m class:SequenceCategoricalColumn +_get_split_on_quotes adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def _get_split_on_quotes(self, line):$/;" m class:TomlDecoder +_get_ssl_context adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_ssl_context():$/;" f +_get_stack_depth adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def _get_stack_depth(size=2):$/;" f +_get_statefile_name adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^def _get_statefile_name(key):$/;" f +_get_state_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _get_state_shape(flat_state):$/;" f member:RNN.compute_output_shape file: +_get_state_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _get_state_size(alg):$/;" f +_get_stats adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _get_stats(padded, axis, width_pair, length_pair, stat_func):$/;" f +_get_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _get_step(x, d_x, z, d_z, tau, d_tau, kappa, d_kappa, alpha0):$/;" f +_get_step_context adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def _get_step_context(step):$/;" f +_get_stream adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^def _get_stream(device):$/;" f +_get_stream_for_parsing adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def _get_stream_for_parsing(self):$/;" m class:BaseRequest +_get_strides_and_dilation_rate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _get_strides_and_dilation_rate(num_spatial_dims, strides, dilation_rate):$/;" f +_get_string_to_hash_bucket_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def _get_string_to_hash_bucket_fn(self):$/;" m class:Hashing +_get_string_to_hash_bucket_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _get_string_to_hash_bucket_fn(self, hasher_spec):$/;" m class:IdTableWithHashBuckets +_get_structured_grad_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _get_structured_grad_output(outputs, grads, body_grad_graph):$/;" f +_get_style adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _get_style(self, gc, rgbFace):$/;" m class:RendererSVG +_get_style_dict adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _get_style_dict(self, gc, rgbFace):$/;" m class:RendererSVG +_get_submatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _get_submatrix(self, major=None, minor=None, copy=False):$/;" m class:_cs_matrix +_get_submode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_submode(self):$/;" m class:TTParameters +_get_summary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _get_summary_op(self):$/;" m class:SummarySaverHook +_get_summary_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_summary_signatures(self):$/;" m class:TTParameters +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, a, b):$/;" m class:reciprocal_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, a, b):$/;" m class:truncnorm_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, b):$/;" m class:truncexpon_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, c):$/;" m class:genextreme_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, c):$/;" m class:genhalflogistic_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, c):$/;" m class:genpareto_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, h, k):$/;" m class:kappa4_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _get_support(self, n):$/;" m class:kstwo_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, lambda_, N):$/;" m class:boltzmann_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, low, high):$/;" m class:randint_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, M, n, N):$/;" m class:hypergeom_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, M, n, r):$/;" m class:nhypergeom_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, n, a, b):$/;" m class:betabinom_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, n, p):$/;" m class:binom_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _get_support(self, p):$/;" m class:bernoulli_gen +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _get_support(self, *args):$/;" m class:rv_sample +_get_support adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _get_support(self, *args, **kwargs):$/;" m class:rv_generic +_get_supported_offset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _get_supported_offset(second_offset):$/;" f +_get_SVEM_NSPs adpepsenv/lib/python3.8/site-packages/setuptools/command/install_lib.py /^ def _get_SVEM_NSPs(self):$/;" m class:install_lib +_get_svn_revision adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _get_svn_revision(self, path):$/;" m class:Configuration +_get_svn_url_rev adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def _get_svn_url_rev(cls, location):$/;" m class:Subversion +_get_svqb adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def _get_svqb(self,$/;" m class:LOBPCG +_get_symbolic_tensor_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _get_symbolic_tensor_ids(self, num_tensors):$/;" m class:_DumpingCallback +_get_symmetry adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _get_symmetry(a):$/;" m class:MMFile +_get_table_name_from_embedding_var_name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_table_name_from_embedding_var_name(embedding_var_name):$/;" f +_get_tag_description_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _get_tag_description_info(mapping):$/;" f +_get_tag_run_image_info adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _get_tag_run_image_info(mapping):$/;" f +_get_tag_to_description adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^def _get_tag_to_description(mapping):$/;" f +_get_target adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _get_target(self):$/;" m class:DevelopInstaller +_get_target adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _get_target(self):$/;" m class:Installer +_get_target adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _get_target(self):$/;" m class:CriterionTest +_get_target adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _get_target(self):$/;" m class:NewModuleTest +_get_target_to_initial_ratio adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/resampling.py /^def _get_target_to_initial_ratio(initial_probs, target_probs):$/;" f +_get_tempdir_path_cls adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _get_tempdir_path_cls(cls):$/;" m class:TestCase +_get_tempdir_path_test adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _get_tempdir_path_test(self):$/;" m class:TestCase +_get_tempfile_cleanup adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _get_tempfile_cleanup(self, override):$/;" m class:TestCase +_get_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def _get_temp_dir(dirpath, strategy):$/;" f +_get_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/utils_impl.py /^ def _get_tensor(name):$/;" f function:get_tensor_from_tensor_info file: +_get_tensorflow_and_device adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^def _get_tensorflow_and_device():$/;" f +_get_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def _get_tensors(graph, signature_def_tensor_names=None,$/;" f +_get_tensors_from_tensor_names adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import get_tensors_from_tensor_names as _get_tensors_from_tenso/;" x +_get_tensor_by_tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _get_tensor_by_tf_output(self, tf_output):$/;" m class:Graph +_get_tensor_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _get_tensor_data(self, event, sample):$/;" m class:MeshPlugin +_get_tensor_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _get_tensor_details(self, tensor_index):$/;" m class:Interpreter +_get_tensor_file_path adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _get_tensor_file_path(self, experiment_dir, wall_time):$/;" m class:TensorBoardExporter +_get_tensor_from_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _get_tensor_from_node(self, node_id, fn_name):$/;" m class:Loader +_get_tensor_index_in_iterable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _get_tensor_index_in_iterable(iterable, t):$/;" f +_get_tensor_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _get_tensor_metadata($/;" m class:MeshPlugin +_get_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import get_tensor_name as _get_tensor_name$/;" x +_get_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _get_tensor_name(node_name, output_slot):$/;" f +_get_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def _get_tensor_name(self, tensor):$/;" m class:GradientsDebugger +_get_tensor_ops adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_tensor_ops():$/;" f +_get_tensor_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary.py /^def _get_tensor_summary($/;" f +_get_tensor_summary adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/summary.py /^def _get_tensor_summary(name, display_name, description, tensor, content_type, components, json_/;" f +_get_tensor_watch_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _get_tensor_watch_key(node_name, output_slot, debug_op):$/;" f +_get_testable_interactive_backends adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^def _get_testable_interactive_backends():$/;" f +_get_test_name_best_effort adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^def _get_test_name_best_effort():$/;" f +_get_test_report_path adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def _get_test_report_path():$/;" f +_get_test_tolerance adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^def _get_test_tolerance(type_char, mattype=None):$/;" f +_get_text1 adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text1(self):$/;" m class:Tick +_get_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text1_transform(self):$/;" m class:Tick +_get_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text1_transform(self):$/;" m class:XTick +_get_text1_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text1_transform(self):$/;" m class:YTick +_get_text2 adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text2(self):$/;" m class:Tick +_get_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text2_transform(self):$/;" m class:Tick +_get_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text2_transform(self):$/;" m class:XTick +_get_text2_transform adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_text2_transform(self):$/;" m class:YTick +_get_textbox adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^def _get_textbox(text, renderer):$/;" f +_get_text_path_transform adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _get_text_path_transform(self, x, y, s, prop, angle, ismath):$/;" m class:RendererBase +_get_text_ref_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_text_ref_angle(self):$/;" m class:LabelBase +_get_tf1_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _get_tf1_flags(parser):$/;" f +_get_tf2_flags adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _get_tf2_flags(parser):$/;" f +_get_tfdbg_run_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^def _get_tfdbg_run_id():$/;" f +_get_tflite_converter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _get_tflite_converter(flags):$/;" f +_get_tf_type_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _get_tf_type_name(tf_type):$/;" f +_get_thnn_function_backend adpepsenv/lib/python3.8/site-packages/torch/nn/backends/thnn.py /^def _get_thnn_function_backend():$/;" f +_get_thread_id adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _get_thread_id():$/;" f +_get_thread_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^def _get_thread_id():$/;" f +_get_thread_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ _get_thread_key = thread.get_ident$/;" v +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick(self, major):$/;" m class:Axis +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick(self, major):$/;" m class:XAxis +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick(self, major):$/;" m class:YAxis +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _get_tick(self, major):$/;" m class:RadialAxis +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _get_tick(self, major):$/;" m class:ThetaAxis +_get_tick adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def _get_tick(self, major):$/;" m class:SkewXAxis +_get_tick1line adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick1line(self):$/;" m class:Tick +_get_tick2line adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick2line(self):$/;" m class:Tick +_get_ticker_locator_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _get_ticker_locator_formatter(self):$/;" m class:ColorbarBase +_get_ticklabels_offsets adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_ticklabels_offsets(self, renderer, label_direction):$/;" m class:TickLabels +_get_ticks_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_ticks_position(self):$/;" m class:Axis +_get_tick_bboxes adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick_bboxes(self, ticks, renderer):$/;" m class:Axis +_get_tick_boxes_siblings adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick_boxes_siblings(self, renderer):$/;" m class:XAxis +_get_tick_boxes_siblings adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick_boxes_siblings(self, renderer):$/;" m class:YAxis +_get_tick_boxes_siblings adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick_boxes_siblings(self, xdir, renderer):$/;" m class:Axis +_get_tick_info adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _get_tick_info(self, tick_iter):$/;" m class:AxisArtist +_get_tick_label_size adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _get_tick_label_size(self, axis_name):$/;" m class:Axis +_get_tick_rotation adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _get_tick_rotation(p):$/;" f +_get_tick_text_size adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _get_tick_text_size(p):$/;" f +_get_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _get_timeout(self, timeout):$/;" m class:HTTPConnectionPool +_get_timeout adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _get_timeout(self, timeout):$/;" m class:HTTPConnectionPool +_get_time_series adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _get_time_series(self, ctx, experiment, series_request):$/;" m class:MetricsPlugin +_get_tmp_decs adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_tmp_decs(self, all_tmpvars):$/;" m class:FusedFunc +_get_token_data adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def _get_token_data(self, request):$/;" m class:Credentials +_get_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _get_toolbar(self):$/;" m class:FigureManagerGTK3 +_get_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _get_toolbar(self, canvas, parent):$/;" m class:FigureManagerQT +_get_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _get_toolbar(self, canvas):$/;" m class:FigureManagerWebAgg +_get_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _get_toolbar(self):$/;" m class:FigureFrameWx +_get_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _get_toolbar(self):$/;" m class:FigureManagerTk +_get_tools adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def _get_tools(filenames):$/;" f +_get_tool_pos adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _get_tool_pos(self, tool):$/;" m class:ToolbarWx +_get_toplevel_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _get_toplevel_options(self):$/;" m class:Distribution +_get_torchscript_builtins adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _get_torchscript_builtins():$/;" f +_get_torch_and_device adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^def _get_torch_and_device():$/;" f +_get_torch_cuda_version adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^def _get_torch_cuda_version():$/;" f +_get_torch_home adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _get_torch_home():$/;" f +_get_total_cost adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def _get_total_cost(self, aggregated_profile, cost_type):$/;" m class:ProfileAnalyzer +_get_tpu_context adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^def _get_tpu_context(config, train_batch_size, eval_batch_size,$/;" f +_get_tpu_driver_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _get_tpu_driver_backend(platform):$/;" f +_get_tpu_job_name_from_tf_config adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^def _get_tpu_job_name_from_tf_config():$/;" f +_get_tpu_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _get_tpu_name(tpu):$/;" f +_get_tpu_property adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _get_tpu_property(self, key):$/;" m class:Client +_get_tpu_strategy_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def _get_tpu_strategy_creator(steps_per_run,$/;" f +_get_tpu_system_metadata adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _get_tpu_system_metadata(self):$/;" m class:_InternalTPUContext +_get_tpu_system_metadata adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _get_tpu_system_metadata(self):$/;" m class:_OneCoreTPUContext +_get_trace_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_trace_dir(self):$/;" m class:TTParameters +_get_trace_graph adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _get_trace_graph(f, args=(), kwargs=None, strict=True, _force_outplace=False,$/;" f +_get_trace_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _get_trace_mode(self):$/;" m class:TTParameters +_get_tracing_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _get_tracing_count(self):$/;" m class:Function +_get_trainable_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _get_trainable_state(self):$/;" m class:Layer +_get_trainable_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _get_trainable_state(self):$/;" m class:Layer +_get_training_eval_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _get_training_eval_metrics(self):$/;" m class:Model +_get_training_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _get_training_value(self, training=None):$/;" m class:BatchNormalizationBase +_get_transformed_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_transformed_features($/;" f +_get_transformed_features_and_merge_with_previously_transformed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_transformed_features_and_merge_with_previously_transformed($/;" f +_get_transformed_path adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def _get_transformed_path(self):$/;" m class:Line2D +_get_tree_stats adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def _get_tree_stats(self):$/;" m class:TrapezoidMapTriFinder +_get_tril adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _get_tril(self):$/;" m class:LinearOperatorLowerTriangular +_get_ttinfo adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _get_ttinfo(self, idx):$/;" m class:tzfile +_get_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _get_type(obj):$/;" f +_get_type adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ _get_type = type$/;" v +_get_typename adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^def _get_typename(obj):$/;" f +_get_types adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_types(self, codes):$/;" m class:FusedFunc +_get_ufuncs adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_nan_inputs.py /^def _get_ufuncs():$/;" f +_get_ufunc_and_otypes adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def _get_ufunc_and_otypes(self, func, args):$/;" m class:vectorize +_get_uid adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _get_uid(name):$/;" f +_get_uid adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^def _get_uid(name):$/;" f +_get_ulp16 adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _get_ulp16(x):$/;" f +_get_umf_family adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^def _get_umf_family(A):$/;" f +_get_unbound_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^def _get_unbound_function(m):$/;" f +_get_uniform_gridstate adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _get_uniform_gridstate(ticks):$/;" f function:key_press_handler file: +_get_unique_asset_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _get_unique_asset_filename(asset_filename, asset_filename_map):$/;" f +_get_unique_target_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/numpy_io.py /^def _get_unique_target_key(features):$/;" f +_get_unique_target_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/pandas_io.py /^def _get_unique_target_key(features, target_column_name):$/;" f +_get_unique_variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _get_unique_variable_scope(prefix):$/;" f +_get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_unit(self):$/;" m class:AutoDateLocator +_get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_unit(self):$/;" m class:DateLocator +_get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_unit(self):$/;" m class:MicrosecondLocator +_get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _get_unit(self):$/;" m class:RRuleLocator +_get_unit adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def _get_unit(self): return -11$/;" m class:test_date_formatter_callable._Locator +_get_unpatched adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^def _get_unpatched(cls):$/;" f +_get_url_and_credentials adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def _get_url_and_credentials(self, original_url):$/;" m class:MultiDomainBasicAuth +_get_url_data adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def _get_url_data(self, request, url, headers):$/;" m class:Credentials +_get_url_from_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def _get_url_from_path(path, name):$/;" f +_get_user_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _get_user_command(self):$/;" m class:CursesUI +_get_user_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _get_user_command(self):$/;" m class:ReadlineUI +_get_valid_constant adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^def _get_valid_constant(attr, v, owner_type):$/;" f +_get_valid_min_max adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/hypothesis_utils.py /^def _get_valid_min_max(qparams):$/;" f +_get_valid_values_regex adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ _get_valid_values_regex = re.compile($/;" v class:ArtistInspector +_get_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def _get_value(value):$/;" f member:Handler.run file: +_get_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _get_value(self):$/;" m class:RemoteValueImpl +_get_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _get_value(self, key):$/;" m class:ContentSecurityPolicy +_get_value_in_tfconfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^def _get_value_in_tfconfig(key, port, default=None):$/;" f +_get_value_in_tfconfig adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^def _get_value_in_tfconfig(key, default=None):$/;" f +_get_value_per_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _get_value_per_replica(tensor_spec_per_input):$/;" f function:_create_distributed_tensor_spec file: +_get_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def _get_var(self, name, conf_desc):$/;" m class:EnvironmentConfig +_get_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _get_variables(graph=None):$/;" f +_get_variable_creator_initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _get_variable_creator_initial_value(self,$/;" m class:CollectiveAllReduceExtended +_get_variable_creator_initial_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _get_variable_creator_initial_value(self,$/;" m class:MirroredExtended +_get_variable_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _get_variable_list(self):$/;" m class:PartitionedVariable +_get_variable_nodes_from_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _get_variable_nodes_from_graph_def(graph_def):$/;" f +_get_variable_policy_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def _get_variable_policy_class(synchronization, aggregation, policy_mapping):$/;" f +_get_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _get_variable_shape(column):$/;" f +_get_vars adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _get_vars(self):$/;" m class:FusedFunc +_get_var_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def _get_var_info(var, prev_tensor_name=None):$/;" f +_get_var_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _get_var_list(model):$/;" f +_get_vc_env adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^def _get_vc_env(plat_spec):$/;" f +_get_vectorization_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _get_vectorization_class(self):$/;" m class:TextVectorization +_get_vectorization_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization_v1.py /^ def _get_vectorization_class(self):$/;" m class:TextVectorization +_get_version adpepsenv/lib/python3.8/site-packages/markdown/__meta__.py /^def _get_version(version_info):$/;" f +_get_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _get_version(self):$/;" m class:Distribution +_get_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _get_version(self):$/;" m class:Distribution +_get_view adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _get_view(self):$/;" m class:_AxesBase +_get_view adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _get_view(self):$/;" m class:Axes3D +_get_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/train.py /^def _get_weights(model, namescope=None):$/;" f +_get_weights_and_check_match_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _get_weights_and_check_match_logits(features,$/;" f +_get_weights_from_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _get_weights_from_features(weight_key_name, features):$/;" f +_get_weight_column_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _get_weight_column_key(weight_column):$/;" f +_get_weight_column_key_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^def _get_weight_column_key_v2(weight_column):$/;" f +_get_wheel_metadata_from_wheel adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^def _get_wheel_metadata_from_wheel($/;" f +_get_wilcoxon_distr adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def _get_wilcoxon_distr(n):$/;" f +_get_wildcard_address adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _get_wildcard_address(self, port):$/;" m class:WerkzeugServer +_get_win_folder adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_from_registry$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_with_jna$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_with_ctypes$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_from_registry$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_with_jna$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_with_ctypes$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ _get_win_folder = _get_win_folder_with_pywin32$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ _get_win_folder = _get_win_folder_from_registry$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ _get_win_folder = _get_win_folder_with_jna$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ _get_win_folder = _get_win_folder_with_ctypes$/;" v +_get_win_folder adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ _get_win_folder = _get_win_folder_with_pywin32$/;" v +_get_win_folder_from_registry adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def _get_win_folder_from_registry(csidl_name):$/;" f +_get_win_folder_from_registry adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def _get_win_folder_from_registry(csidl_name):$/;" f +_get_win_folder_from_registry adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def _get_win_folder_from_registry(csidl_name):$/;" f +_get_win_folder_with_ctypes adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def _get_win_folder_with_ctypes(csidl_name):$/;" f +_get_win_folder_with_ctypes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def _get_win_folder_with_ctypes(csidl_name):$/;" f +_get_win_folder_with_ctypes adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def _get_win_folder_with_ctypes(csidl_name):$/;" f +_get_win_folder_with_jna adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def _get_win_folder_with_jna(csidl_name):$/;" f +_get_win_folder_with_jna adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def _get_win_folder_with_jna(csidl_name):$/;" f +_get_win_folder_with_jna adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def _get_win_folder_with_jna(csidl_name):$/;" f +_get_win_folder_with_pywin32 adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def _get_win_folder_with_pywin32(csidl_name):$/;" f +_get_win_folder_with_pywin32 adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^def _get_win_folder_with_pywin32(csidl_name):$/;" f +_get_win_folder_with_pywin32 adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^def _get_win_folder_with_pywin32(csidl_name):$/;" f +_get_wrapped_text adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_wrapped_text(self):$/;" m class:Text +_get_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _get_wrapper(x, tf_should_use_helper):$/;" f +_get_wrap_line_width adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_wrap_line_width(self):$/;" m class:Text +_get_writer adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^ def _get_writer(self):$/;" m class:Callback +_get_wsgi_string adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _get_wsgi_string(name):$/;" f member:Map.bind_to_environ file: +_get_xdg_cache_dir adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_xdg_cache_dir():$/;" f +_get_xdg_config_dir adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _get_xdg_config_dir():$/;" f +_get_xobject_symbol_name adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _get_xobject_symbol_name(self, filename, symbol_name):$/;" m class:PdfFile +_get_xy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _get_xy(self, xy, s, axes=None):$/;" m class:ConnectionPatch +_get_xy adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_xy(self, renderer, x, y, s):$/;" m class:_AnnotationBase +_get_xy_display adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_xy_display(self):$/;" m class:Text +_get_xy_transform adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _get_xy_transform(self, renderer, s):$/;" m class:_AnnotationBase +_ge_fn adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_ge_fn = tf.function(tf.math.greater_equal, autograph=False)$/;" v +_gid adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ _gid = 0$/;" v class:TransformNode +_gif adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _gif():$/;" f function:decode_image file: +_gist_earth_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_earth_data = \\$/;" v +_gist_gray_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_gray_data = {$/;" v +_gist_heat_blue adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _gist_heat_blue(x): return 4 * x - 3$/;" f +_gist_heat_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_heat_data = {$/;" v +_gist_heat_green adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _gist_heat_green(x): return 2 * x - 1$/;" f +_gist_heat_red adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _gist_heat_red(x): return 1.5 * x$/;" f +_gist_ncar_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_ncar_data = \\$/;" v +_gist_rainbow_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_rainbow_data = ($/;" v +_gist_stern_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_stern_data = {$/;" v +_gist_yarg adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _gist_yarg(x): return 1 - x$/;" f +_gist_yarg_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gist_yarg_data = {'red': _gist_yarg, 'green': _gist_yarg, 'blue': _gist_yarg}$/;" v +_git_archive_link adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _git_archive_link(repo_owner, repo_name, branch):$/;" f +_givens_rotation adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _givens_rotation(a, b):$/;" f +_givens_to_1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _givens_to_1(self, aii, ajj, aij):$/;" m class:random_correlation_gen +_GKE_ENV_VARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_GKE_ENV_VARIABLE = 'KUBE_GOOGLE_CLOUD_TPU_ENDPOINTS'$/;" v +_glibc_version adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^_glibc_version = [] # type: List[Tuple[int, int]]$/;" v +_glibc_version_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _glibc_version_string():$/;" f +_glibc_version_string adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _glibc_version_string():$/;" f +_glibc_version_string adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _glibc_version_string():$/;" f +_glibc_version_string adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _glibc_version_string():$/;" f +_glibc_version_string_confstr adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _glibc_version_string_confstr():$/;" f +_glibc_version_string_confstr adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _glibc_version_string_confstr():$/;" f +_glibc_version_string_ctypes adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _glibc_version_string_ctypes():$/;" f +_glibc_version_string_ctypes adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _glibc_version_string_ctypes():$/;" f +_glob adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _glob(glob_pattern):$/;" f +_Global adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Global(self, t):$/;" m class:Unparser +_GLOBALDATAHANDLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_GLOBALDATAHANDLE = _descriptor.Descriptor($/;" v +_globalvar adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^_globalvar = 0$/;" v +_global_backward_hooks adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^_global_backward_hooks = OrderedDict()$/;" v +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _global_batch_size(self):$/;" m class:CollectiveAllReduceExtended +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _global_batch_size(self):$/;" m class:_DefaultDistributionExtended +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _global_batch_size(self):$/;" m class:MirroredExtended +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _global_batch_size(self):$/;" m class:OneDeviceExtended +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _global_batch_size(self):$/;" m class:ParameterServerStrategyExtended +_global_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _global_batch_size(self):$/;" m class:TPUExtended +_GLOBAL_BATCH_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^_GLOBAL_BATCH_SIZE = 64$/;" v +_global_color_map adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^_global_color_map = {$/;" v +_GLOBAL_CUSTOM_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^_GLOBAL_CUSTOM_NAMES = {}$/;" v +_GLOBAL_CUSTOM_OBJECTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^_GLOBAL_CUSTOM_OBJECTS = {}$/;" v +_GLOBAL_DISPATCHERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^_GLOBAL_DISPATCHERS = []$/;" v +_global_distribute_strategy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _global_distribute_strategy_scope(self):$/;" m class:Graph +_global_distribute_strategy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _global_distribute_strategy_scope(self, distribute_strategy_scope):$/;" m class:Graph +_global_forward_hooks adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^_global_forward_hooks = OrderedDict()$/;" v +_global_forward_pre_hooks adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^_global_forward_pre_hooks = OrderedDict()$/;" v +_GLOBAL_INIT_ARGS adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^_GLOBAL_INIT_ARGS = []$/;" v +_global_init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^def _global_init_net(predictor_export_meta, db_type):$/;" f +_global_lock adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^_global_lock = threading.Lock()$/;" v +_global_log adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^_global_log = Log()$/;" v +_global_names_used adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ _global_names_used = set()$/;" v class:Task +_global_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^_global_policy = None$/;" v +_global_renamed_args adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _global_renamed_args = {$/;" v class:Caffe2Frontend +_global_renamed_attrs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _global_renamed_attrs = {'kernel_shape': 'kernels'}$/;" v class:Caffe2Backend +_global_report_benchmark adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^def _global_report_benchmark($/;" f +_global_step adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def _global_step(self):$/;" m class:_EstimatorWrappedGraph +_global_uid adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^_global_uid = AtomicCounter(0)$/;" v +_glob_to_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def _glob_to_re(self, pattern):$/;" m class:Manifest +_GLOO_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ _GLOO_AVAILABLE = False$/;" v +_GLOO_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_GLOO_AVAILABLE = True$/;" v +_GlueSpec adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^_GlueSpec = namedtuple($/;" v +_glu_old_input adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/glu_op_test.py /^def _glu_old_input(draw):$/;" f +_gmres_batched adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _gmres_batched(A, b, x0, unit_residual, residual_norm, ptol, restart, M):$/;" f +_gmres_incremental adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _gmres_incremental(A, b, x0, unit_residual, residual_norm, ptol, restart, M):$/;" f +_gmres_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _gmres_solve(A, b, x0, atol, ptol, restart, maxiter, M, gmres_func):$/;" f +_GnBu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_GnBu_data = ($/;" v +_gnuplot2_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gnuplot2_data = {$/;" v +_gnuplot_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gnuplot_data = {$/;" v +_good_shape adpepsenv/lib/python3.8/site-packages/scipy/fftpack/helper.py /^def _good_shape(x, shape, axes):$/;" f +_googletest adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^from tensorflow.python.platform import googletest as _googletest$/;" x +_googletest_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^_googletest_temp_dir = ''$/;" v +_GOOGLE_APIS_CERTS_URL adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^_GOOGLE_APIS_CERTS_URL = ($/;" v +_GOOGLE_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ _GOOGLE_API_CLIENT_INSTALLED = False$/;" v +_GOOGLE_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^_GOOGLE_API_CLIENT_INSTALLED = True$/;" v +_GOOGLE_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ _GOOGLE_API_CLIENT_INSTALLED = False$/;" v +_GOOGLE_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_GOOGLE_API_CLIENT_INSTALLED = True$/;" v +_GOOGLE_ISSUERS adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^_GOOGLE_ISSUERS = ["accounts.google.com", "https:\/\/accounts.google.com"]$/;" v +_GOOGLE_OAUTH2_CERTS_URL adpepsenv/lib/python3.8/site-packages/google/oauth2/id_token.py /^_GOOGLE_OAUTH2_CERTS_URL = "https:\/\/www.googleapis.com\/oauth2\/v1\/certs"$/;" v +_GOOGLE_OAUTH2_TOKEN_ENDPOINT adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^_GOOGLE_OAUTH2_TOKEN_ENDPOINT = "https:\/\/oauth2.googleapis.com\/token"$/;" v +_gorg adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _gorg(cls):$/;" f +_got_extradata adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _got_extradata(self):$/;" m class:Unpacker +_GPU adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_CPU, _GPU = "cpu", "gpu"$/;" v +_GPUINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_GPUINFO = _descriptor.Descriptor($/;" v +_GPUInterDeviceBatchNormalization adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _GPUInterDeviceBatchNormalization(model):$/;" f +_GPUOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_GPUOPTIONS = _descriptor.Descriptor($/;" v +_GPUOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_GPUOPTIONS = _descriptor.Descriptor($/;" v +_GPUOPTIONS_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_GPUOPTIONS_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_GPUOPTIONS_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_GPUOPTIONS_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_GPUOPTIONS_EXPERIMENTAL_VIRTUALDEVICES adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_GPUOPTIONS_EXPERIMENTAL_VIRTUALDEVICES = _descriptor.Descriptor($/;" v +_GPUOPTIONS_EXPERIMENTAL_VIRTUALDEVICES adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_GPUOPTIONS_EXPERIMENTAL_VIRTUALDEVICES = _descriptor.Descriptor($/;" v +_gpuReduce adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _gpuReduce(param, num_devices, master_device, result_blobs=None):$/;" f function:_GPUInterDeviceBatchNormalization file: +_gpuVsCpuCase adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def _gpuVsCpuCase(self, in_shape, out_shape, align_corners,$/;" m class:ResizeBilinearOpTestBase +_gpu_backend_factory adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _gpu_backend_factory(distributed_client=None, node_id=0):$/;" f +_GPU_DEVICE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_GPU_DEVICE_NAME = 'GPU'$/;" v +_GPU_DEVICE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^_GPU_DEVICE_NAME = "GPU"$/;" v +_gpu_do_list adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^_gpu_do_list = ([gpu_do] if workspace.has_gpu_support else [])$/;" v +_GPU_PACKAGE_NOTE adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^ _GPU_PACKAGE_NOTE = 'Note that %s package by default supports both CPU and '\\$/;" v +_gpu_tensor_list_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _gpu_tensor_list_arg(tensor_list):$/;" m class:RpcTest +_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _grad(op, grad):$/;" f function:_irfft_grad_helper file: +_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _grad(op, grad):$/;" f function:_rfft_grad_helper file: +_gradient adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _gradient(a, varargs, axis):$/;" f +_GRADIENTACCUMULATIONSTATUS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_GRADIENTACCUMULATIONSTATUS = _descriptor.Descriptor($/;" v +_GRADIENTACCUMULATIONSTATUS_STATUS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_GRADIENTACCUMULATIONSTATUS_STATUS = _descriptor.EnumDescriptor($/;" v +_GRADIENTDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_GRADIENTDEF = _descriptor.Descriptor($/;" v +_GRADIENTDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_GRADIENTDEF = _descriptor.Descriptor($/;" v +_GradientsHelper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _GradientsHelper(ys,$/;" f +_gradient_debuggers adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^_gradient_debuggers = {}$/;" v +_GRADIENT_DEBUG_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^_GRADIENT_DEBUG_TAG = "gradient_debug_"$/;" v +_gradient_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _gradient_dispatcher(f, *varargs, axis=None, edge_order=None):$/;" f +_gradient_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _gradient_function(op_name, attr_tuple, num_inputs, inputs, outputs,$/;" f +_gradient_ratio_reference adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer_test_util.py /^ def _gradient_ratio_reference(self, model, params, max_gradient_norm):$/;" m class:LRModificationTestBase +_gradient_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_gradient_registry = registry.Registry("gradient")$/;" v +_GradLoopState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^class _GradLoopState(object):$/;" c +_grad_and_hess_for_logloss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _grad_and_hess_for_logloss(logits, labels):$/;" f function:_create_classification_head_and_closed_form file: +_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _grad_fn(func_graph, grads):$/;" f +_grad_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _grad_fn(ys, xs, args, func_graph):$/;" f +_grad_input_padding adpepsenv/lib/python3.8/site-packages/torch/nn/grad.py /^def _grad_input_padding(grad_output, input_size, stride, padding, kernel_size, dilation=None):$/;" f +_grad_pass_through_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def _grad_pass_through_op(*args, **kwargs):$/;" f function:grad_pass_through file: +_grad_postprocess adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _grad_postprocess(inputs, create_graph):$/;" f +_grad_preprocess adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _grad_preprocess(inputs, create_graph, need_graph):$/;" f +_grad_t adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^_grad_t = Union[Tuple[Tensor, ...], Tensor]$/;" v +_grad_t adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^_grad_t = Union[Tuple[Tensor, ...], Tensor]$/;" v +_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _graph(self):$/;" m class:DatasetV2 +_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _graph(self, _):$/;" m class:DatasetV2 +_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_GRAPH = threading.local()$/;" v +_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_graph = graph # for functions with a graph parameter$/;" v +_GRAPHDEBUGINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_GRAPHDEBUGINFO = _descriptor.Descriptor($/;" v +_GRAPHDEBUGINFO_FILELINECOL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_GRAPHDEBUGINFO_FILELINECOL = _descriptor.Descriptor($/;" v +_GRAPHDEBUGINFO_STACKTRACE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_GRAPHDEBUGINFO_STACKTRACE = _descriptor.Descriptor($/;" v +_GRAPHDEBUGINFO_TRACESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_GRAPHDEBUGINFO_TRACESENTRY = _descriptor.Descriptor($/;" v +_GRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^_GRAPHDEF = _descriptor.Descriptor($/;" v +_GRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^_GRAPHDEF = _descriptor.Descriptor($/;" v +_GraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _GraphDef(_Convertible):$/;" c +_GRAPHEXECUTIONTRACE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_GRAPHEXECUTIONTRACE = _descriptor.Descriptor($/;" v +_GRAPHNODEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_GRAPHNODEPROTO = _descriptor.Descriptor($/;" v +_GRAPHNODEPROTO_INPUTSHAPESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_GRAPHNODEPROTO_INPUTSHAPESENTRY = _descriptor.Descriptor($/;" v +_GRAPHOPCREATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_GRAPHOPCREATION = _descriptor.Descriptor($/;" v +_GRAPHOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_GRAPHOPTIONS = _descriptor.Descriptor($/;" v +_GRAPHOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_GRAPHOPTIONS = _descriptor.Descriptor($/;" v +_GRAPHS_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _GRAPHS_SUFFIX = ".graphs"$/;" v class:DebugEventsReader +_GraphTensorArray adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^class _GraphTensorArray(object):$/;" c +_GraphTensorArrayV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^class _GraphTensorArrayV2(object):$/;" c +_GRAPHTRANSFERCONSTNODEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERCONSTNODEINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERGRAPHINPUTNODEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERGRAPHINPUTNODEINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERGRAPHOUTPUTNODEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERGRAPHOUTPUTNODEINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERINFO_DESTINATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERINFO_DESTINATION = _descriptor.EnumDescriptor($/;" v +_GRAPHTRANSFERNODEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERNODEINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERNODEINPUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERNODEINPUT = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERNODEINPUTINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERNODEINPUTINFO = _descriptor.Descriptor($/;" v +_GRAPHTRANSFERNODEOUTPUTINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_GRAPHTRANSFERNODEOUTPUTINFO = _descriptor.Descriptor($/;" v +_graph_at adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _graph_at(g, opname, *args, **kwargs):$/;" f +_graph_building_time_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_graph_building_time_counter = monitoring.Counter($/;" v +_graph_constant adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _graph_constant(g, value, dims, type, *args, **kwargs):$/;" f +_GRAPH_EXECUTION_TRACES_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _GRAPH_EXECUTION_TRACES_SUFFIX = ".graph_execution_traces"$/;" v class:DebugEventsReader +_graph_execution_trace_digest_from_debug_event_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _graph_execution_trace_digest_from_debug_event_proto($/;" m class:DebugDataReader +_graph_execution_trace_from_debug_event_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _graph_execution_trace_from_debug_event_proto(self, debug_event, locator):$/;" m class:DebugDataReader +_graph_for adpepsenv/lib/python3.8/site-packages/torch/jit/_fuser.py /^def _graph_for(self, *args, **kwargs):$/;" f +_graph_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _graph_key(self):$/;" m class:DistributedVariable +_GRAPH_LEARNING_PHASES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_GRAPH_LEARNING_PHASES = ContextValueCache(_default_learning_phase)$/;" v +_graph_mode_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^def _graph_mode_decorator(f, args, kwargs):$/;" f +_graph_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _graph_name(graph):$/;" f +_graph_network_add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _graph_network_add_loss(self, symbolic_loss):$/;" m class:Functional +_graph_network_add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _graph_network_add_metric(self, value, aggregation, name):$/;" m class:Functional +_graph_op adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _graph_op(g, opname, *raw_args, **kwargs):$/;" f +_graph_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.core.framework import graph_pb2 as _graph_pb2$/;" x +_graph_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.core.framework import graph_pb2 as _graph_pb2$/;" x +_graph_rewrites adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _graph_rewrites(self):$/;" m class:MapVectorizationOptions +_graph_rewrites adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _graph_rewrites(self):$/;" m class:OptimizationOptions +_graph_rewrites adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _graph_rewrites(self):$/;" m class:Options +_graph_rewrite_configs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _graph_rewrite_configs(self):$/;" m class:MapVectorizationOptions +_graph_rewrite_configs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization_options.py /^ def _graph_rewrite_configs(self, autotune):$/;" m class:OptimizationOptions +_graph_rewrite_configs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _graph_rewrite_configs(self, autotune):$/;" m class:Options +_graph_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^def _graph_string(graph):$/;" f +_GRAPH_STRUCT_OP_TYPE_DENYLIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ _GRAPH_STRUCT_OP_TYPE_DENYLIST = ("_Send", "_Recv", "_HostSend", "_HostRecv",$/;" v class:DebugAnalyzer +_GRAPH_TF_OPTIMIZERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_GRAPH_TF_OPTIMIZERS = ContextValueCache(object_identity.ObjectIdentityWeakSet)$/;" v +_graph_to_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _graph_to_net(cls, onnx_graph, opset_version):$/;" m class:Caffe2Backend +_graph_to_seed_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^_graph_to_seed_dict = weakref.WeakKeyDictionary()$/;" v +_GRAPH_VARIABLES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_GRAPH_VARIABLES = ContextValueCache(object_identity.ObjectIdentityWeakSet)$/;" v +_grappler_config adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _grappler_config(self, optimizers=None):$/;" m class:TFLiteConverterBase +_gray_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_gray_data = {'red': ((0., 0, 0), (1., 1, 1)),$/;" v +_GreaterThan adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _GreaterThan(Constraint):$/;" c +_GreaterThanEq adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _GreaterThanEq(Constraint):$/;" c +_greater_equal_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _greater_equal_flops(graph, node):$/;" f +_greater_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _greater_flops(graph, node):$/;" f +_greater_to_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def _greater_to_canonical(cls, cfun, lb, keep_feasible):$/;" m class:CanonicalConstraint +_greedy_batch_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _greedy_batch_sampler(self, sampler):$/;" m class:_InitializeClustersOpFactory +_greedy_path adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _greedy_path(input_sets, output_set, idx_dict, memory_limit):$/;" f +_Greens_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Greens_data = ($/;" v +_Greys_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Greys_data = ($/;" v +_gridline_param_names adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^_gridline_param_names = ['grid_' + name$/;" v +_gridnd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _gridnd(val_f, c, *args):$/;" f +_grok_option_table adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def _grok_option_table(self):$/;" m class:FancyGetopt +_group adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _group(self, value, name=None):$/;" m class:StrategyExtendedV2 +_GroupByDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _GroupByDevice(model, devices, params, non_data_params):$/;" f +_GroupByDevices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _GroupByDevices(self, saveables):$/;" m class:BaseSaverBuilder +_GroupByReducerDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^class _GroupByReducerDataset(dataset_ops.UnaryDataset):$/;" c +_GroupByWindowDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^class _GroupByWindowDataset(dataset_ops.UnaryDataset):$/;" c +_GroupControlDeps adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _GroupControlDeps(dev, deps, name=None):$/;" f +_groupname_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^_groupname_re = re.compile(r'^[A-Za-z0-9_-]+$')$/;" v +_group_by_label adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def _group_by_label(self, results: List[common.Measurement]):$/;" m class:Compare +_group_count adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_group_count = 0$/;" v +_group_device_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def _group_device_list(devices):$/;" f +_group_features_by_num_buckets_and_split_type adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _group_features_by_num_buckets_and_split_type(sorted_feature_columns,$/;" f +_group_poles adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _group_poles(poles, tol, rtype):$/;" f +_group_value_by_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _group_value_by_device(per_replica_values):$/;" f +_GROWINGMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_GROWINGMETADATA = _descriptor.Descriptor($/;" v +_grow_tree_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _grow_tree_fn():$/;" f function:_bt_model_fn._train_op_fn file: +_grow_tree_from_stats_summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _grow_tree_from_stats_summaries(self, stats_summaries_list,$/;" m class:_EnsembleGrower +_GRPC_DEFAULT_TIMEOUT_SECS adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_DEFAULT_TIMEOUT_SECS = 30$/;" v +_GRPC_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/remote.py /^_GRPC_PREFIX = "grpc:\/\/"$/;" v +_GRPC_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_client.py /^_GRPC_PREFIX = 'grpc:\/\/'$/;" v +_GRPC_RETRYABLE_STATUS_CODES adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_RETRYABLE_STATUS_CODES = frozenset($/;" v +_GRPC_RETRY_EXPONENTIAL_BASE adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_RETRY_EXPONENTIAL_BASE = 2$/;" v +_GRPC_RETRY_JITTER_FACTOR_MAX adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_RETRY_JITTER_FACTOR_MAX = 1.5$/;" v +_GRPC_RETRY_JITTER_FACTOR_MIN adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_RETRY_JITTER_FACTOR_MIN = 1.1$/;" v +_GRPC_RETRY_MAX_ATTEMPTS adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_GRPC_RETRY_MAX_ATTEMPTS = 5$/;" v +_GRPC_SCHEME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_GRPC_SCHEME = 'grpc:\/\/'$/;" v +_GRUBlockCellGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_GRUBlockCellGradOutput = collections.namedtuple($/;" v +_GRUBlockCellOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_GRUBlockCellOutput = collections.namedtuple($/;" v +_GRU_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_GRU_KEY = 'gru'$/;" v +_GSConverter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^class _GSConverter(_Converter):$/;" c +_guarded_repr_or_str adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _guarded_repr_or_str(v):$/;" f +_guard_if_present adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def _guard_if_present(self, block, var_name):$/;" m class:BreakTransformer +_guessvartypes adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def _guessvartypes(arr):$/;" f +_guess_vc adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _guess_vc(self):$/;" m class:SystemInfo +_guess_vc_legacy adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _guess_vc_legacy(self):$/;" m class:SystemInfo +_gumbel adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _gumbel(key, shape, dtype):$/;" f +_gzip adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^def _gzip(bytestring):$/;" f +_g_div_gp adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _g_div_gp(r, n, p, x, y, w):$/;" f +_H adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _H(x): return jnp.conj(_T(x))$/;" f +_H adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^_H = lambda x: jnp.conjugate(jnp.swapaxes(x, -1, -2))$/;" f +_h adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_continuous_basic.py /^_h = np.histogram([1, 2, 2, 3, 3, 3, 4, 4, 4, 4, 5, 5, 5, 5, 5, 6,$/;" v +_h5 adpepsenv/lib/python3.8/site-packages/h5py/version.py /^from . import h5 as _h5$/;" x +_H5PY_VERSION_CLS adpepsenv/lib/python3.8/site-packages/h5py/version.py /^_H5PY_VERSION_CLS = namedtuple("_H5PY_VERSION_CLS",$/;" v +_HalfOpenInterval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _HalfOpenInterval(Constraint):$/;" c +_half_fill adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _half_fill(self):$/;" m class:MarkerStyle +_half_fillstyles adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _half_fillstyles = ('left', 'right', 'bottom', 'top')$/;" v class:MarkerStyle +_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _handle(self):$/;" m class:Context +_HANDLED_ARRAY_TYPES adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_HANDLED_ARRAY_TYPES = _JAX_ARRAY_TYPES + (np.ndarray,)$/;" v +_HANDLED_TYPES adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ _HANDLED_TYPES = (np.ndarray, numbers.Number)$/;" v class:ArrayLike +_handler adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^_handler = None$/;" v +_handler adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^_handler = None$/;" v +_handler adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^_handler = None$/;" v +_handler adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^_handler = None$/;" v +_handler adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^_handler = None$/;" v +_HandlerCallDetails adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _HandlerCallDetails($/;" c +_handler_for_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def _handler_for_request(self, request):$/;" m class:AuthorizationCodeGrantDispatcher +_handler_for_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def _handler_for_request(self, request):$/;" m class:AuthorizationTokenGrantDispatcher +_handler_for_request adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def _handler_for_request(self, request):$/;" m class:ImplicitTokenGrantDispatcher +_handles adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def _handles(self, args, kwargs):$/;" m class:_TypeBasedDispatcher +_handle_activity_regularization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _handle_activity_regularization(self, inputs, outputs):$/;" m class:Layer +_handle_activity_regularization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _handle_activity_regularization(self, inputs, outputs):$/;" m class:Layer +_handle_build_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_build_dir(option, opt, value, parser):$/;" f +_handle_BYDAY adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYDAY = _handle_BYWEEKDAY$/;" v class:_rrulestr +_handle_BYEASTER adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYEASTER = _handle_int_list$/;" v class:_rrulestr +_handle_BYHOUR adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYHOUR = _handle_int_list$/;" v class:_rrulestr +_handle_BYMINUTE adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYMINUTE = _handle_int_list$/;" v class:_rrulestr +_handle_BYMONTH adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYMONTH = _handle_int_list$/;" v class:_rrulestr +_handle_BYMONTHDAY adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYMONTHDAY = _handle_int_list$/;" v class:_rrulestr +_handle_BYSECOND adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYSECOND = _handle_int_list$/;" v class:_rrulestr +_handle_BYSETPOS adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYSETPOS = _handle_int_list$/;" v class:_rrulestr +_handle_BYWEEKDAY adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_BYWEEKDAY(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_BYWEEKNO adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYWEEKNO = _handle_int_list$/;" v class:_rrulestr +_handle_BYYEARDAY adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_BYYEARDAY = _handle_int_list$/;" v class:_rrulestr +_handle_call adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_call(rpc_event, generic_handlers, interceptor_pipeline, thread_pool,$/;" f +_handle_chunk adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _handle_chunk(self, amt):$/;" m class:HTTPResponse +_handle_chunk adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _handle_chunk(self, amt):$/;" m class:HTTPResponse +_handle_COUNT adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_COUNT = _handle_int$/;" v class:_rrulestr +_handle_deferred_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _handle_deferred_dependencies(self, name, trackable): # pylint: disable=redefined-outer-n/;" m class:_DelegatingTrackableMixin +_handle_deferred_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _handle_deferred_dependencies(self, name, trackable):$/;" m class:Trackable +_handle_deferred_layer_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _handle_deferred_layer_dependencies(self, layers):$/;" m class:Functional +_handle_double_quote adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def _handle_double_quote(s, t):$/;" f +_handle_error_response adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def _handle_error_response(response_body):$/;" f +_handle_error_response adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^def _handle_error_response(response_body):$/;" f +_handle_event adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _handle_event(event, state, response_deserializer):$/;" f +_handle_exception adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def _handle_exception(result):$/;" f +_handle_FREQ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_FREQ(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_fromlist adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _handle_fromlist(self, module, fromlist, *, recursive=False):$/;" m class:PackageImporter +_handle_get_page_fail adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _handle_get_page_fail($/;" f +_handle_gramA_gramB_verbosity adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^ def _handle_gramA_gramB_verbosity(gramA, gramB):$/;" f function:lobpcg file: +_handle_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _handle_graph(handle):$/;" f +_handle_inside_pfor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _handle_inside_pfor(pfor_input, handle):$/;" f +_handle_int adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_int(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_INTERVAL adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _handle_INTERVAL = _handle_int$/;" v class:_rrulestr +_handle_int_list adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_int_list(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _handle_key(self, event):$/;" m class:FigureCanvasWebAggCore +_handle_key adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^def _handle_key(key):$/;" f +_handle_key_value adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def _handle_key_value(s, t):$/;" f +_handle_match adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _handle_match(match):$/;" f member:MapAdapter.match file: +_handle_merge_hash adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_merge_hash(option, opt_str, value, parser):$/;" f +_handle_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _handle_metrics(self,$/;" m class:Model +_handle_mouse adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _handle_mouse(self, event):$/;" m class:FigureCanvasWebAggCore +_handle_multiprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _handle_multiprocessing(self, x, workers, use_multiprocessing,$/;" m class:GeneratorDataAdapter +_handle_multiprocessing adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _handle_multiprocessing(self, x, workers, use_multiprocessing,$/;" m class:KerasSequenceAdapter +_handle_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _handle_name(self):$/;" m class:AutoCastVariable +_handle_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _handle_name(self, handle_name):$/;" m class:AutoCastVariable +_handle_no_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_no_binary(option, opt_str, value, parser):$/;" f +_handle_no_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_no_cache_dir(option, opt, value, parser):$/;" f +_handle_no_use_pep517 adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_no_use_pep517(option, opt, value, parser):$/;" f +_handle_ns adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _handle_ns(packageName, path_item):$/;" f +_handle_ns adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _handle_ns(packageName, path_item):$/;" f +_handle_only_binary adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_only_binary(option, opt_str, value, parser):$/;" f +_handle_or_self adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _handle_or_self(x):$/;" f +_handle_pad_byte adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _handle_pad_byte(fid, size):$/;" f +_handle_per_output_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _handle_per_output_metrics(self,$/;" m class:Model +_handle_python_version adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_python_version(option, opt_str, value, parser):$/;" f +_handle_redirects adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def _handle_redirects(self, request):$/;" m class:GrantTypeBase +_handle_scalar_broadcasting adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _handle_scalar_broadcasting(nd, x, d):$/;" f +_handle_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^def _handle_server_info(info):$/;" f +_handle_server_info adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _handle_server_info(info):$/;" f +_handle_simple adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def _handle_simple(shape, args):$/;" f +_handle_single_quote adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def _handle_single_quote(s, t):$/;" f +_handle_src adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _handle_src(option, opt_str, value, parser):$/;" f +_handle_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_stream_stream(rpc_event, state, method_handler,$/;" f +_handle_stream_unary adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_stream_unary(rpc_event, state, method_handler, default_thread_pool):$/;" f +_handle_target_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def _handle_target_dir(self, target_dir, target_temp_dir, upgrade):$/;" m class:InstallCommand +_handle_toggle adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _handle_toggle(self, tool, sender, canvasevent, data):$/;" m class:ToolManager +_handle_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_unary_stream(rpc_event, state, method_handler, default_thread_pool):$/;" f +_handle_unary_unary adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_unary_unary(rpc_event, state, method_handler, default_thread_pool):$/;" f +_handle_UNTIL adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_UNTIL(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_weight_regularization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _handle_weight_regularization(self, name, variable, regularizer):$/;" m class:Layer +_handle_weight_regularization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _handle_weight_regularization(self, name, variable, regularizer):$/;" m class:Layer +_handle_with_method_handler adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _handle_with_method_handler(rpc_event, method_handler, thread_pool):$/;" f +_handle_WKST adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _handle_WKST(self, rrkwargs, name, value, **kwargs):$/;" m class:_rrulestr +_handle_word adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^def _handle_word(s, t):$/;" f +_handling_errors adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def _handling_errors(wsgi_app):$/;" f +_hardcoded_defaults adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_hardcoded_defaults = { # Defaults not inferred from matplotlibrc.template...$/;" v +_has adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _has(self, fspath):$/;" m class:ZipProvider +_has adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _has(self, path):$/;" m class:DefaultProvider +_has adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _has(self, path):$/;" m class:NullProvider +_has adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _has(self, fspath):$/;" m class:ZipProvider +_has adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _has(self, path):$/;" m class:DefaultProvider +_has adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _has(self, path):$/;" m class:NullProvider +_HasAnyNotNoneGrads adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _HasAnyNotNoneGrads(grads, op):$/;" f +_hasattr adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def _hasattr(obj, attr_name):$/;" f +_Hash adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ from hashlib import _hash as _Hash$/;" x +_Hash adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ from hashlib import _hash as _Hash$/;" x +_hashable_index adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _hashable_index(idx):$/;" f +_HashedCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _HashedCategoricalColumn($/;" c +_HashedTuple adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^class _HashedTuple(tuple):$/;" c +_hash_cache adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ _hash_cache = None$/;" v class:ImmutableDictMixin +_hash_cache adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ _hash_cache = None$/;" v class:ImmutableListMixin +_hash_comparison adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def _hash_comparison(self):$/;" m class:HashMismatch +_hash_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^def _hash_dict(d):$/;" f +_hash_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def _hash_file(fpath, algorithm='sha256', chunk_size=65535):$/;" f +_hash_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def _hash_files(self, filenames):$/;" m class:Gnu95FCompiler +_hash_internal adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^def _hash_internal(method, salt, password):$/;" f +_hash_of_file adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/hash.py /^def _hash_of_file(path, algorithm):$/;" f +_hash_re adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ _hash_re = re.compile($/;" v class:Link +_HasOOOWrite adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def _HasOOOWrite(self, path):$/;" m class:DirectoryWatcher +_HasStorage adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^class _HasStorage(object):$/;" c +_hasSubsecond adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _hasSubsecond = False$/;" v class:TimeMixIn +_hasSubsecond adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _hasSubsecond = False$/;" v class:UTCTime +_hasSubsecond adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _hasSubsecond = True$/;" v class:GeneralizedTime +_has_3dnow adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_3dnow(self):$/;" m class:LinuxCPUInfo +_has_3dnow adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_3dnow(self):$/;" m class:Win32CPUInfo +_has_3dnowext adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_3dnowext(self):$/;" m class:LinuxCPUInfo +_has_3dnowext adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_3dnowext(self):$/;" m class:Win32CPUInfo +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:LossScaleOptimizer +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ _HAS_AGGREGATE_GRAD = False$/;" v class:Optimizer +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:Adadelta +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:Adagrad +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:Adam +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:NonFusedAdam +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:Adamax +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:SGD +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:Nadam +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ _HAS_AGGREGATE_GRAD = False$/;" v class:OptimizerV2 +_HAS_AGGREGATE_GRAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ _HAS_AGGREGATE_GRAD = True$/;" v class:RMSprop +_HAS_APPENGINE adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^ _HAS_APPENGINE = False$/;" v +_HAS_APPENGINE adpepsenv/lib/python3.8/site-packages/google/auth/_oauth2client.py /^ _HAS_APPENGINE = True$/;" v +_has_captured_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _has_captured_ref(self):$/;" m class:DatasetV1Adapter +_has_captured_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _has_captured_ref(self):$/;" m class:DatasetV2 +_has_cpp_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_has_cpp_header = re.compile(r'-[*]-\\s*c[+][+]\\s*-[*]-', re.I).search$/;" v +_has_cycle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _has_cycle(op, state):$/;" f +_has_c_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_has_c_header = re.compile(r'-[*]-\\s*c\\s*-[*]-', re.I).search$/;" v +_has_dataset_or_queue_runner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _has_dataset_or_queue_runner(maybe_tensor):$/;" f +_has_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _has_docstring(f):$/;" f +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ _HAS_DYNAMIC_ATTRIBUTES = True$/;" v class:_DeviceArray +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/baseline.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/boosted_trees.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/boosted_trees_utils.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/dnn.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/dnn_linear_combined.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/head.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/linear.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/metric_keys.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/optimizers.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/parsing_utils.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/canned/prediction_keys.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/estimator.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/estimator_lib.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/export/export.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/export/export_lib.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/export/export_output.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/exporter.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/gc.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/inputs/inputs.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/inputs/numpy_io.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/inputs/pandas_io.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/inputs/queues/feeding_functions.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/inputs/queues/feeding_queue_runner.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/keras.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/model_fn.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/run_config.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/training.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_HAS_DYNAMIC_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/estimator/util.py /^_HAS_DYNAMIC_ATTRIBUTES = True$/;" v +_has_einsum adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^_has_einsum = {}$/;" v +_has_f00f_bug adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_f00f_bug(self):$/;" m class:LinuxCPUInfo +_has_f90_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_has_f90_header = re.compile(r'-[*]-\\s*f90\\s*-[*]-', re.I).search$/;" v +_has_f90_header adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_has_f90_header = re.compile(r'-[*]-\\s*f90\\s*-[*]-', re.I).search$/;" v +_has_fdiv_bug adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_fdiv_bug(self):$/;" m class:LinuxCPUInfo +_has_fix_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_has_fix_header = re.compile(r'-[*]-\\s*fix\\s*-[*]-', re.I).search$/;" v +_has_fix_header adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_has_fix_header = re.compile(r'-[*]-\\s*fix\\s*-[*]-', re.I).search$/;" v +_has_fully_defined_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _has_fully_defined_shape(tensor):$/;" f +_has_f_header adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^_has_f_header = re.compile(r'-[*]-\\s*fortran\\s*-[*]-', re.I).search$/;" v +_has_f_header adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_has_f_header = re.compile(r'-[*]-\\s*fortran\\s*-[*]-', re.I).search$/;" v +_has_ipv6 adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^def _has_ipv6(host):$/;" f +_has_ipv6 adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^def _has_ipv6(host):$/;" f +_has_known_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _has_known_value(dimension_size):$/;" f +_has_level_handler adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _has_level_handler(logger):$/;" f +_has_marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _has_marker(keys, markers):$/;" f function:_best_version file: +_has_metadata adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _has_metadata(dt):$/;" f +_has_mmx adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_mmx(self):$/;" m class:LinuxCPUInfo +_has_mmx adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_mmx(self):$/;" m class:Win32CPUInfo +_has_modifier adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def _has_modifier(self, name):$/;" m class:ModifierContext +_has_mpi adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/mpi_test.py /^_has_mpi =False$/;" v +_has_mutation_or_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _has_mutation_or_trackable(self):$/;" m class:ListWrapper +_has_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/keras/saving/saving_utils.py /^ def _has_name(spec):$/;" f function:_enforce_names_consistency file: +_has_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ def _has_name(spec):$/;" f function:_enforce_names_consistency file: +_has_nchw_support adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _has_nchw_support():$/;" f +_has_no_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def _has_no_variables(sess):$/;" f +_has_pytz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ _has_pytz = False$/;" v +_has_pytz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_datetime.py /^ _has_pytz = True$/;" v +_has_pytz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ _has_pytz = False$/;" v +_has_pytz adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ _has_pytz = True$/;" v +_has_reductions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _has_reductions(self):$/;" m class:PForConfig +_has_route_to_root adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^def _has_route_to_root(criteria, key, all_keys, connected):$/;" f +_has_sfmath adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backend_pgf.py /^def _has_sfmath():$/;" f +_has_sse adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_sse(self):$/;" m class:LinuxCPUInfo +_has_sse adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_sse(self):$/;" m class:Win32CPUInfo +_has_sse2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_sse2(self):$/;" m class:LinuxCPUInfo +_has_sse2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_sse2(self):$/;" m class:Win32CPUInfo +_has_sse3 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_sse3(self):$/;" m class:LinuxCPUInfo +_has_ssse3 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _has_ssse3(self):$/;" m class:LinuxCPUInfo +_has_struct adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def _has_struct(elem):$/;" f +_has_sufficient_memory adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^def _has_sufficient_memory(device, size):$/;" f +_has_tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^_has_tensordot = {}$/;" v +_has_tf_decorator_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^def _has_tf_decorator_attr(obj):$/;" f +_has_uarray adpepsenv/lib/python3.8/site-packages/scipy/_lib/uarray.py /^ _has_uarray = False$/;" v +_has_uarray adpepsenv/lib/python3.8/site-packages/scipy/_lib/uarray.py /^ _has_uarray = _Version(_uarray.__version__) >= _Version("0.5")$/;" v +_has_valid_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/weights_broadcast_ops.py /^def _has_valid_dims(weights_shape, values_shape):$/;" f +_has_valid_nonscalar_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/weights_broadcast_ops.py /^def _has_valid_nonscalar_shape($/;" f +_has_valid_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _has_valid_tensors(self):$/;" m class:TFLiteConverterBaseV1 +_hatch_types adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^_hatch_types = [$/;" v +_have_compatible_glibc adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _have_compatible_glibc(required_major, minimum_minor):$/;" f +_have_compatible_glibc adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _have_compatible_glibc(required_major, minimum_minor):$/;" f +_have_compatible_glibc adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _have_compatible_glibc(required_major, minimum_minor):$/;" f +_have_compatible_manylinux_abi adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _have_compatible_manylinux_abi(arch):$/;" f +_have_compatible_manylinux_abi adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _have_compatible_manylinux_abi(arch):$/;" f +_have_cython adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^def _have_cython():$/;" f +_HAVE_EXCEPTION_CHAINING adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^_HAVE_EXCEPTION_CHAINING = sys.version_info[0] >= 3$/;" v +_have_working_poll adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^def _have_working_poll():$/;" f +_have_working_poll adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^def _have_working_poll():$/;" f +_hbm_oom_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _hbm_oom_event(self, symptoms):$/;" m class:Client +_hc adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _hc(k, cs, rho, omega):$/;" f +_HDF5_EXTENSIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^_HDF5_EXTENSIONS = ['.h5', '.hdf5', '.keras']$/;" v +_hdsd_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^ def _hdsd_1D(data, prob):$/;" f function:hdquantiles_sd file: +_hd_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^ def _hd_1D(data,prob,var):$/;" f function:hdquantiles file: +_Head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^class _Head(object):$/;" c +_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^from tensorflow_estimator.python.estimator.canned.timeseries import head as _head$/;" x +_header_ext_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_header_ext_match = re.compile(r'.*[.](inc|h|hpp)\\Z', re.I).match$/;" v +_header_size_info adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^_header_size_info = {$/;" v +_HEAPSIMULATORTRACE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HEAPSIMULATORTRACE = _descriptor.Descriptor($/;" v +_HEAPSIMULATORTRACE_EVENT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HEAPSIMULATORTRACE_EVENT = _descriptor.Descriptor($/;" v +_HEAPSIMULATORTRACE_EVENT_KIND adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HEAPSIMULATORTRACE_EVENT_KIND = _descriptor.EnumDescriptor($/;" v +_heaviside_with_power adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/arc_cosine_feature_map.py /^ def _heaviside_with_power(self, net, input_features, output_blob, s):$/;" m class:ArcCosineFeatureMap +_height_depth_of adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _height_depth_of(self, char):$/;" m class:DviFont +_held_figure adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^def _held_figure(func, obj, ax=None, **kw):$/;" f +_HelpDialog adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^class _HelpDialog(wx.Dialog):$/;" c +_helper adpepsenv/lib/python3.8/site-packages/scipy/fft/_helper.py /^from ._pocketfft import helper as _helper$/;" x +_helper adpepsenv/lib/python3.8/site-packages/scipy/fftpack/helper.py /^import scipy.fft._pocketfft.helper as _helper$/;" I +_helper adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^ def _helper(a, b, s) -> _compare_return_type:$/;" f function:_compare_scalars_internal file: +_Helper adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^class _Helper(object):$/;" c +_helper_x adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def _helper_x(ax):$/;" f function:shared_axis_remover file: +_helper_y adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def _helper_y(ax):$/;" f function:shared_axis_remover file: +_HelpFlag adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^class _HelpFlag(flags.BooleanFlag):$/;" c +_HelpFullAction adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^class _HelpFullAction(argparse.Action):$/;" c +_HelpfullFlag adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^class _HelpfullFlag(flags.BooleanFlag):$/;" c +_HelpshortFlag adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^class _HelpshortFlag(_HelpFlag):$/;" c +_help_expm_cond_search adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^def _help_expm_cond_search(A, A_norm, X, X_norm, eps, p):$/;" f +_help_float_testing adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dataset.py /^ def _help_float_testing(self, np_dt, dataset_name='vlen'):$/;" m class:TestVlen +_help_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _help_handler(self, args, screen_info=None):$/;" m class:CommandHandlerRegistry +_HELP_MESSAGE adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_HELP_MESSAGE = """\\$/;" v +_help_product_norm_fast adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def _help_product_norm_fast(self, A, B):$/;" m class:TestOnenormest +_help_product_norm_slow adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def _help_product_norm_slow(self, A, B):$/;" m class:TestOnenormest +_help_test_specific_expm_interval_status adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_expm_multiply.py /^ def _help_test_specific_expm_interval_status(self, target_status):$/;" m class:TestExpmActionInterval +_herm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^def _herm(x):$/;" f +_hermitian_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_hermitian_example = '''\\$/;" v +_hertz_to_mel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^def _hertz_to_mel(frequencies_hertz, name=None):$/;" f +_hessian_vector_product adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_impl.py /^def _hessian_vector_product(ys, xs, v):$/;" f +_hex adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _hex = partialmethod(_forward_to_value, hex)$/;" v class:ConcreteArray +_hex adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _hex = concretization_function_error(hex)$/;" v class:UnshapedArray +_hexdigits adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_hexdigits = "0123456789ABCDEFabcdef"$/;" v +_HEXTET_COUNT adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _HEXTET_COUNT = 8$/;" v class:_BaseV6 +_hextobyte adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_hextobyte = dict($/;" v +_HEX_DIGITS adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _HEX_DIGITS = frozenset('0123456789ABCDEFabcdef')$/;" v class:_BaseV6 +_hidden adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _hidden(name):$/;" f +_HIDDEN_ATTRIBUTES adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^_HIDDEN_ATTRIBUTES = {}$/;" v +_hide_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _hide_flag(self, name):$/;" m class:FlagValues +_HIERARCHYPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_HIERARCHYPROTO = _descriptor.Descriptor($/;" v +_HigherOrderTapeGradientFunctions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _HigherOrderTapeGradientFunctions(_TapeGradientFunctions):$/;" c +_Hints adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^class _Hints(object):$/;" c +_hip_do_list adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test_util.py /^_hip_do_list = ([hip_do] if workspace.has_hip_support else [])$/;" v +_hist adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^ def _hist(vals):$/;" f function:histogram file: +_histogram adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _histogram(a, numbins=10, defaultlimits=None, weights=None, printextras=False):$/;" f +_histogram2d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _histogram2d_dispatcher(x, y, bins=None, range=None, normed=None,$/;" f +_histogramdd_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _histogramdd_dispatcher(sample, bins=None, range=None, normed=None,$/;" f +_HISTOGRAMPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^_HISTOGRAMPLUGINDATA = _descriptor.Descriptor($/;" v +_HISTOGRAMPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_HISTOGRAMPROTO = _descriptor.Descriptor($/;" v +_HISTOGRAMPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_HISTOGRAMPROTO = _descriptor.Descriptor($/;" v +_histogram_bin_edges_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _histogram_bin_edges_dispatcher(a, bins=None, range=None, weights=None):$/;" f +_histogram_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _histogram_dispatcher($/;" f +_histogram_fixed_width adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _histogram_fixed_width(values, value_range, nbins, dtype=_dtypes.int32, name=None):$/;" f +_histogram_fixed_width_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _histogram_fixed_width_eager_fallback(values, value_range, nbins, dtype, name, ctx):$/;" f +_histogram_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.histogram import summary as _histogram_summary$/;" x +_HISTORY_FILE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ _HISTORY_FILE_NAME = ".tfdbg_history"$/;" v class:CommandHistory +_hist_bin_auto adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_auto(x, range):$/;" f +_hist_bin_doane adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_doane(x, range):$/;" f +_hist_bin_fd adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_fd(x, range):$/;" f +_hist_bin_rice adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_rice(x, range):$/;" f +_hist_bin_scott adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_scott(x, range):$/;" f +_hist_bin_selectors adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^_hist_bin_selectors = {'stone': _hist_bin_stone,$/;" v +_hist_bin_sqrt adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_sqrt(x, range):$/;" f +_hist_bin_stone adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_stone(x, range):$/;" f +_hist_bin_sturges adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _hist_bin_sturges(x, range):$/;" f +_HLOCOMPUTATIONPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOCOMPUTATIONPROTO = _descriptor.Descriptor($/;" v +_HLOINPUTOUTPUTALIASPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOINPUTOUTPUTALIASPROTO = _descriptor.Descriptor($/;" v +_HLOINPUTOUTPUTALIASPROTO_ALIASENTRYPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOINPUTOUTPUTALIASPROTO_ALIASENTRYPROTO = _descriptor.Descriptor($/;" v +_HLOINSTRUCTIONPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOINSTRUCTIONPROTO = _descriptor.Descriptor($/;" v +_HLOINSTRUCTIONPROTO_SLICEDIMENSIONS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOINSTRUCTIONPROTO_SLICEDIMENSIONS = _descriptor.Descriptor($/;" v +_HLOMODULEGROUPPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOMODULEGROUPPROTO = _descriptor.Descriptor($/;" v +_HLOMODULEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOMODULEPROTO = _descriptor.Descriptor($/;" v +_HLOPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOPROTO = _descriptor.Descriptor($/;" v +_HLOSCHEDULEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOSCHEDULEPROTO = _descriptor.Descriptor($/;" v +_HLOSCHEDULEPROTO_INSTRUCTIONSEQUENCE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOSCHEDULEPROTO_INSTRUCTIONSEQUENCE = _descriptor.Descriptor($/;" v +_HLOSCHEDULEPROTO_SEQUENCESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOSCHEDULEPROTO_SEQUENCESENTRY = _descriptor.Descriptor($/;" v +_HLOSNAPSHOT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_HLOSNAPSHOT = _descriptor.Descriptor($/;" v +_hook adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^_hook = Mounter()$/;" v +_HookedSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class _HookedSession(_WrappedSession):$/;" c +_HookMixin adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^class _HookMixin(object):$/;" c +_HOOKS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^_HOOKS = "hooks"$/;" v +_HookTimer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class _HookTimer(object):$/;" c +_HOOK_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^_HOOK_KEY = "TPUEmbedding_saveable"$/;" v +_hop_by_hop_headers adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_hop_by_hop_headers = frozenset($/;" v +_HORIZONTAL_BAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^_HORIZONTAL_BAR = "======================================"$/;" v +_host_allocator adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^def _host_allocator():$/;" f +_HOTIDREPLICATIONCONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_HOTIDREPLICATIONCONFIGURATION = _descriptor.Descriptor($/;" v +_HOTIDREPLICATIONCONFIGURATION_STATUS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_HOTIDREPLICATIONCONFIGURATION_STATUS = _descriptor.EnumDescriptor($/;" v +_hot_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_hot_data = {'red': ((0., 0.0416, 0.0416),$/;" v +_HPARAMINFO adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_HPARAMINFO = _descriptor.Descriptor($/;" v +_HPARAMINFOSLIST adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_HPARAMINFOSLIST = _descriptor.Descriptor($/;" v +_HPARAMS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_HPARAMS = _descriptor.Descriptor($/;" v +_HPARAMSPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_HPARAMSPLUGINDATA = _descriptor.Descriptor($/;" v +_HPARAMS_HPARAMSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_HPARAMS_HPARAMSENTRY = _descriptor.Descriptor($/;" v +_href adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ _href = re.compile("""$/;" v class:Page +_hs adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _hs(k, cs, rho, omega):$/;" f +_hsv_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_hsv_data = {'red': ((0., 1., 1.),$/;" v +_HTML5_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^_HTML5_REPLACEMENTS = {$/;" v +_HTML5_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^_HTML5_REPLACEMENTS = {$/;" v +_htmlEntityMap adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_htmlEntityMap = dict(zip("gt lt amp nbsp quot apos".split(), '><& "\\''))$/;" v +_htmlEntityMap adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_htmlEntityMap = dict(zip("gt lt amp nbsp quot apos".split(),'><& "\\''))$/;" v +_htmlEntityMap adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_htmlEntityMap = dict(zip("gt lt amp nbsp quot apos".split(), '><& "\\''))$/;" v +_htmlEntityMap adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_htmlEntityMap = dict(zip("gt lt amp nbsp quot apos".split(),'><& "\\''))$/;" v +_HTML_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_HTML_MIMETYPE = "text\/html"$/;" v +_HTML_MIME_TYPE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^_HTML_MIME_TYPE = "text\/html"$/;" v +_html_stripper adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _html_stripper = anyOpenTag.suppress() | anyCloseTag.suppress()$/;" v class:pyparsing_common +_html_stripper adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _html_stripper = anyOpenTag.suppress() | anyCloseTag.suppress()$/;" v class:pyparsing_common +_html_stripper adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _html_stripper = anyOpenTag.suppress() | anyCloseTag.suppress()$/;" v class:pyparsing_common +_html_stripper adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _html_stripper = anyOpenTag.suppress() | anyCloseTag.suppress()$/;" v class:pyparsing_common +_html_sub adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def _html_sub(m):$/;" f function:stashedHTML2text file: +_HTTPConnection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^from .packages.six.moves.http_client import HTTPConnection as _HTTPConnection$/;" x +_HTTPConnection adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^from .packages.six.moves.http_client import HTTPConnection as _HTTPConnection$/;" x +_HTTPError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^from pip._vendor.urllib3.exceptions import HTTPError as _HTTPError$/;" x +_HTTPError adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^from urllib3.exceptions import HTTPError as _HTTPError$/;" x +_http_get_download adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def _http_get_download(session, link):$/;" f +_hub_dir adpepsenv/lib/python3.8/site-packages/torch/hub.py /^_hub_dir = None$/;" v +_hvdsplit_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _hvdsplit_dispatcher(ary, indices_or_sections):$/;" f +_hypersphere_area adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _hypersphere_area(dim, radius):$/;" f +_hz_to_erb adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _hz_to_erb(hz):$/;" f +_h_arrows adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _h_arrows(self, length):$/;" m class:Quiver +_h_cubic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_cubic(self, r):$/;" m class:Rbf +_h_gaussian adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_gaussian(self, r):$/;" m class:Rbf +_h_inverse_multiquadric adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_inverse_multiquadric(self, r):$/;" m class:Rbf +_h_linear adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_linear(self, r):$/;" m class:Rbf +_h_multiquadric adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_multiquadric(self, r):$/;" m class:Rbf +_h_quintic adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_quintic(self, r):$/;" m class:Rbf +_h_thin_plate adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _h_thin_plate(self, r):$/;" m class:Rbf +_I adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^_I = cast['F'](1j)$/;" v +_i0A adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_i0A = [$/;" v +_i0B adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_i0B = [$/;" v +_i0_1 adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _i0_1(x):$/;" f +_i0_2 adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _i0_2(x):$/;" f +_I0_COEF_LARGE adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_I0_COEF_LARGE = [0.39894228, 0.1328592e-1, 0.225319e-2, -0.157565e-2, 0.916281e-2,$/;" v +_I0_COEF_SMALL adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_I0_COEF_SMALL = [1.0, 3.5156229, 3.0899424, 1.2067492, 0.2659732, 0.360768e-1, 0.45813e-2]$/;" v +_i0_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _i0_dispatcher(x):$/;" f +_I1_COEF_LARGE adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_I1_COEF_LARGE = [0.39894228, -0.3988024e-1, -0.362018e-2, 0.163801e-2, -0.1031555e-1,$/;" v +_I1_COEF_SMALL adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^_I1_COEF_SMALL = [0.5, 0.87890594, 0.51498869, 0.15084934, 0.2658733e-1, 0.301532e-2, 0.32411e-3/;" v +_IAM_API_ROOT_URI adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^_IAM_API_ROOT_URI = "https:\/\/iamcredentials.googleapis.com\/v1"$/;" v +_IAM_ENDPOINT adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_IAM_ENDPOINT = ($/;" v +_IAM_IDTOKEN_ENDPOINT adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_IAM_IDTOKEN_ENDPOINT = ($/;" v +_IAM_SCOPE adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_IAM_SCOPE = ["https:\/\/www.googleapis.com\/auth\/iam"]$/;" v +_IAM_SIGN_ENDPOINT adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_IAM_SIGN_ENDPOINT = ($/;" v +_IBM_DOUBLE_DOUBLE_BE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IBM_DOUBLE_DOUBLE_BE = (['301', '235', '157', '064', '124', '000', '000', '000'] +$/;" v +_IBM_DOUBLE_DOUBLE_LE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IBM_DOUBLE_DOUBLE_LE = (['000', '000', '000', '124', '064', '157', '235', '301'] +$/;" v +_icon adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _icon(self, name):$/;" m class:NavigationToolbar2QT +_icon adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _icon(name):$/;" m class:NavigationToolbar2Wx +_icon_extension adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ _icon_extension = '-symbolic.svg'$/;" v class:ToolbarGTK3 +_icon_extension adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ _icon_extension = '.gif'$/;" v class:ToolbarTk +_icon_extension adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ _icon_extension = '.png'$/;" v class:ToolContainerBase +_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^import scipy.linalg._interpolative as _id$/;" I +_id adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^def _id(x):$/;" f +_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _id(self):$/;" m class:Operation +_idat adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^class _idat:$/;" c +_IDENTIFIER adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _IDENTIFIER = re.compile(r'[^\\d\\W]\\w*')$/;" v class:Tokenizer +_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ _identifier = 0$/;" v class:BaseStagingArea +_identifiers adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^_identifiers = frozenset(string.ascii_lowercase)$/;" v +_identifier_chars adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^_identifier_chars = set(string.ascii_lowercase + string.ascii_uppercase + string.digits)$/;" v +_IDENTIFIER_OR_NUMBER adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _IDENTIFIER_OR_NUMBER = re.compile(r'\\w+')$/;" v class:Tokenizer +_identify_gradient_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def _identify_gradient_grad(op, dy):$/;" f +_identify_gradient_grad_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def _identify_gradient_grad_ref(op, dy):$/;" f +_identify_keys_and_nested adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _identify_keys_and_nested(layout):$/;" f member:Figure.subplot_mosaic file: +_identify_ridge_lines adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _identify_ridge_lines(matr, max_distances, gap_thresh):$/;" f +_identity adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _identity(x): return x$/;" f +_identity adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^ def _identity(x):$/;" f function:_squaring file: +_identity adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _identity(x):$/;" f +_Identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _Identity(data, name=None):$/;" f +_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^def _identity(x):$/;" f +_IDENTITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_IDENTITY = "identity"$/;" v +_identity adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _identity(logits):$/;" f +_identity adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^_identity = lambda x: x$/;" f +_IdentityCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _IdentityCategoricalColumn($/;" c +_identityToUnicodeCMap adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ _identityToUnicodeCMap = b"""\/CIDInit \/ProcSet findresource begin$/;" v class:PdfFile +_IDENTITY_FAMILY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_IDENTITY_FAMILY = {_IDENTITY, _SCALED_IDENTITY}$/;" v +_identity_getter adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _identity_getter(op):$/;" f +_identity_jvp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^def _identity_jvp(attr_tuple, inputs, outputs, tangents):$/;" f +_identity_metric_nested adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^def _identity_metric_nested(name, input_tensors):$/;" f +_identity_metric_single adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^def _identity_metric_single(name, input_tensor):$/;" f +_identity_with_grad_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def _identity_with_grad_check(x):$/;" f function:create_identity_with_grad_check_fn file: +_identity_with_nan_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def _identity_with_nan_gradients(x):$/;" f function:create_identity_with_nan_gradients_fn file: +_ident_like adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _ident_like(A):$/;" f +_idf adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^ def _idf(data):$/;" f function:idealfourths file: +_IDF_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^_IDF_NAME = "idf"$/;" v +_IDF_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_IDF_NAME = "idf"$/;" v +_IdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _IdGrad(_, grad):$/;" f +_idle_draw_cntx adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _idle_draw_cntx(self):$/;" m class:FigureCanvasBase +_idna_encode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def _idna_encode(name):$/;" f +_idna_encode adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def _idna_encode(name):$/;" f +_IdNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _IdNGrad(_, *grad):$/;" f +_idx_at_pos adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^ def _idx_at_pos(self, pos):$/;" m class:CharRNN +_id_list_supported_reducers adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ _id_list_supported_reducers = [$/;" v class:SparseLookup +_id_score_list_supported_reducers adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ _id_score_list_supported_reducers = [$/;" v class:SparseLookup +_id_tap_dep_batching_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _id_tap_dep_batching_rule(batched_args, batch_dims):$/;" f +_id_tap_dep_masking_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _id_tap_dep_masking_rule(operands, operands_logical_shapes):$/;" f +_id_tap_dep_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _id_tap_dep_transpose_rule(cts, arg_res, arg_tap):$/;" f +_IEEE_DOUBLE_BE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IEEE_DOUBLE_BE = ['301', '235', '157', '064', '124', '000', '000', '000']$/;" v +_IEEE_DOUBLE_LE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IEEE_DOUBLE_LE = _IEEE_DOUBLE_BE[::-1]$/;" v +_IEEE_QUAD_PREC_BE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IEEE_QUAD_PREC_BE = ['300', '031', '326', '363', '105', '100', '000', '000',$/;" v +_IEEE_QUAD_PREC_LE adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_IEEE_QUAD_PREC_LE = _IEEE_QUAD_PREC_BE[::-1]$/;" v +_iermess adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^_iermess = {$/;" v +_iermess2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^_iermess2 = {$/;" v +_If adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _If(self, t):$/;" m class:Unparser +_If adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _If(_FunctionCaller):$/;" c +_if adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _if(cond, input, Tout, then_branch, else_branch, output_shapes=[], name=None):$/;" f +_IfExp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _IfExp(self, t):$/;" m class:Unparser +_ifft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _ifft(self, x):$/;" m class:_BaseLinearOperatorCirculant +_ifft2d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _ifft2d_grad(_, grad):$/;" f +_ifft3d_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _ifft3d_grad(_, grad):$/;" f +_ifft_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _ifft_grad(_, grad):$/;" f +_IFFT_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^_IFFT_OP = {1: fft_ops.ifft, 2: fft_ops.ifft2d, 3: fft_ops.ifft3d}$/;" v +_IfGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _IfGrad(op, *grads): # pylint: disable=invalid-name$/;" f +_if_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _if_eager_fallback(cond, input, Tout, then_branch, else_branch, output_shapes, name, ctx):$/;" f +_if_ge_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _if_ge_zero(value, true_fn, false_fn):$/;" f +_IF_KWARGS_URL_ENCODE_AST adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_IF_KWARGS_URL_ENCODE_AST = _prefix_names(_IF_KWARGS_URL_ENCODE_CODE)$/;" v +_IF_KWARGS_URL_ENCODE_CODE adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_IF_KWARGS_URL_ENCODE_CODE = """\\$/;" v +_if_refresh_overridden_call_and_emit_deprec adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^def _if_refresh_overridden_call_and_emit_deprec(locator):$/;" f +_if_scalar_type_as adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _if_scalar_type_as(g, self, tensor):$/;" f +_IF_SHALLOW_IS_SEQ_INPUT_MUST_BE_SEQ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_IF_SHALLOW_IS_SEQ_INPUT_MUST_BE_SEQ = ($/;" v +_if_spawn_run_and_exit adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def _if_spawn_run_and_exit():$/;" f +_IgammacGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _IgammacGrad(op, grad):$/;" f +_IgammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _IgammaGrad(op, grad):$/;" f +_iglob adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^def _iglob(path_glob):$/;" f +_iglob adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def _iglob(pathname, recursive):$/;" f +_ignorecase adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^class _ignorecase(list):$/;" c +_IGNORED_ERRORS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^_IGNORED_ERRORS = ($/;" v +_IgnoreElemList adpepsenv/lib/python3.8/site-packages/jax/core.py /^class _IgnoreElemList(list):$/;" c +_IgnoreErrorsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/error_ops.py /^class _IgnoreErrorsDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_ignore_patterns adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ def _ignore_patterns(path, names):$/;" f function:ignore_patterns file: +_ignore_rref_leak adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^_ignore_rref_leak = True$/;" v +_ilabel_to_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _ilabel_to_state(labels, num_labels, ilabel_log_probs):$/;" f +_ILLEGAL_XML_CHARS_REGEX adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^_ILLEGAL_XML_CHARS_REGEX = re.compile($/;" v +_ilp64_opt_info_mixin adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class _ilp64_opt_info_mixin:$/;" c +_imag adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _imag(self):$/;" m class:spmatrix +_imag adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _imag(self):$/;" m class:_data_matrix +_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^import matplotlib._image as _image$/;" I +_ImageBase adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^class _ImageBase(martist.Artist, cm.ScalarMappable):$/;" c +_ImageComparisonBase adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^class _ImageComparisonBase:$/;" c +_ImageDimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _ImageDimensions(image, rank):$/;" f +_IMAGEPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^_IMAGEPLUGINDATA = _descriptor.Descriptor($/;" v +_image_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _image_data_format = _config.get('image_data_format', image_data_format())$/;" v +_IMAGE_DATA_FORMAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend_config.py /^_IMAGE_DATA_FORMAT = 'channels_last'$/;" v +_image_data_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _image_data_impl(self, ctx, blob_key):$/;" m class:MetricsPlugin +_image_directories adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _image_directories(func):$/;" f +_IMAGE_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops.py /^_IMAGE_DTYPES = frozenset([$/;" v +_image_projective_transform_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops.py /^def _image_projective_transform_grad(op, grad):$/;" f +_image_projective_transform_v3_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops.py /^def _image_projective_transform_v3_grad(op, grad):$/;" f +_image_resize_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _image_resize_transformer(parent, node, full_name, name, logs):$/;" f +_image_response_for_run adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _image_response_for_run(self, ctx, experiment, run, tag, sample):$/;" m class:ImagesPlugin +_image_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.image import summary as _image_summary$/;" x +_ImagGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ImagGrad(_, grad):$/;" f +_imagingcms adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ _imagingcms = deferred_error(ex)$/;" v +_imagingft_not_installed adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^class _imagingft_not_installed:$/;" c +_imag_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _imag_dispatcher(val):$/;" f +_IMGHDR_TO_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^_IMGHDR_TO_MIMETYPE = {$/;" v +_IMGHDR_TO_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^_IMGHDR_TO_MIMETYPE = {$/;" v +_IMGHDR_TO_MIMETYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^_IMGHDR_TO_MIMETYPE = {$/;" v +_immediate_mode adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^_immediate_mode = False$/;" v +_immediate_root_folder adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^_immediate_root_folder = ''$/;" v +_immediate_workspace_name adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^_immediate_workspace_name = "_CAFFE2_IMMEDIATE"$/;" v +_imp adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ import imp as _imp$/;" I +_imp adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ import imp as _imp$/;" I +_impl adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^from . import _fitpack_impl as _impl$/;" x +_impl adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^import scipy.interpolate._fitpack_impl as _impl$/;" I +_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors.py /^from tensorflow.python.framework import errors_impl as _impl$/;" x +_implementation adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/help.py /^def _implementation():$/;" f +_implementation adpepsenv/lib/python3.8/site-packages/requests/help.py /^def _implementation():$/;" f +_implementation_type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _implementation_type = 'cpp'$/;" v +_implementation_type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _implementation_type = 'python'$/;" v +_implementation_type adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^_implementation_type = os.getenv('PROTOCOL_BUFFERS_PYTHON_IMPLEMENTATION',$/;" v +_implementation_version adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^_implementation_version = int(_implementation_version_str)$/;" v +_implementation_version_str adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^_implementation_version_str = os.getenv($/;" v +_implements_predict_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_predict_batch_hooks(self):$/;" m class:Callback +_implements_predict_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_predict_batch_hooks(self):$/;" m class:ProgbarLogger +_implements_test_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_test_batch_hooks(self):$/;" m class:Callback +_implements_test_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_test_batch_hooks(self):$/;" m class:ProgbarLogger +_implements_train_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_train_batch_hooks(self):$/;" m class:Callback +_implements_train_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_train_batch_hooks(self):$/;" m class:ModelCheckpoint +_implements_train_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_train_batch_hooks(self):$/;" m class:ProgbarLogger +_implements_train_batch_hooks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _implements_train_batch_hooks(self):$/;" m class:TensorBoard +_Import adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Import(self, t):$/;" m class:Unparser +_import adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^ def _import(self):$/;" m class:ModuleWrapper +_IMPORTED_DYNDEPS adpepsenv/lib/python3.8/site-packages/caffe2/python/dyndep.py /^_IMPORTED_DYNDEPS = set()$/;" v +_importer adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_importer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_importer adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_importer adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_importer adpepsenv/lib/python3.8/site-packages/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_importer adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_importer = _SixMetaPathImporter(__name__)$/;" v +_ImportFrom adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _ImportFrom(self, t):$/;" m class:Unparser +_ImportHookChainedLoader adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^class _ImportHookChainedLoader:$/;" c +_ImportHookLoader adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^class _ImportHookLoader:$/;" c +_imports_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _imports_graph_def():$/;" f function:function_from_graph_def file: +_import_cffi adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _import_cffi():$/;" f +_import_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _import_config(self):$/;" m class:Context +_import_dotted_name adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _import_dotted_name(name):$/;" f +_import_func adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^def _import_func(func, backend, default=None):$/;" f +_import_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.framework.importer import import_graph_def as _import_graph_def$/;" x +_import_graph_def_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _import_graph_def_internal( # pylint: disable=invalid-name$/;" f +_import_lazy adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^def _import_lazy():$/;" f +_import_lazy_calls adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy.py /^_import_lazy_calls = []$/;" v +_import_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _import_meta_graph(self):$/;" m class:DatasetSerializationTestBase +_import_meta_graph_with_return_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def _import_meta_graph_with_return_elements(meta_graph_or_file,$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^def _import_module(name):$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^def _import_module(name):$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^def _import_module(name):$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^def _import_module(name):$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/six.py /^def _import_module(name):$/;" f +_import_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _import_module(self, module_name):$/;" m class:PackageExporter +_import_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^def _import_module(name):$/;" f +_import_module_from_library adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _import_module_from_library(module_name, path, is_python_module):$/;" f +_IMPORT_RENAME_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_main.py /^_IMPORT_RENAME_DEFAULT = False$/;" v +_import_submodules adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def _import_submodules(self):$/;" m class:_ModuleInitCodeBuilder +_in1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _in1d_dispatcher(ar1, ar2, assume_unique=None, invert=None):$/;" f +_INACTIVE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^_INACTIVE = object()$/;" v +_InactiveRpcError adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _InactiveRpcError(grpc.RpcError, grpc.Call, grpc.Future):$/;" c +_inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _inbound_nodes(self):$/;" m class:Layer +_inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _inbound_nodes(self, value):$/;" m class:Layer +_inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _inbound_nodes(self):$/;" m class:Layer +_inbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _inbound_nodes(self, value):$/;" m class:Layer +_InceptionModule adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/convnet_benchmarks.py /^def _InceptionModule($/;" f +_InceptionModule adpepsenv/lib/python3.8/site-packages/caffe2/python/convnet_benchmarks.py /^def _InceptionModule($/;" f +_included_frames adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^def _included_frames(paths, frame_format):$/;" f +_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _include_dirs = [$/;" v +_include_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _include_dirs = [d.replace('\/', os.sep) for d in _include_dirs]$/;" v +_include_misc adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _include_misc(self, name, value):$/;" m class:Distribution +_include_pattern adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def _include_pattern(self, pattern, anchor=True, prefix=None,$/;" m class:Manifest +_INCLUDE_QCONFIG_PROPAGATE_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/default_mappings.py /^_INCLUDE_QCONFIG_PROPAGATE_LIST = {$/;" v +_INCLUDE_QCONFIG_PROPAGATE_LIST adpepsenv/lib/python3.8/site-packages/torch/quantization/quantization_mappings.py /^_INCLUDE_QCONFIG_PROPAGATE_LIST = {$/;" v +_INCLUDE_SYSTEM_SITE_PACKAGES_REGEX adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^_INCLUDE_SYSTEM_SITE_PACKAGES_REGEX = re.compile($/;" v +_IncompatibleKeys adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^class _IncompatibleKeys(namedtuple('IncompatibleKeys', ['missing_keys', 'unexpected_keys'])):$/;" c +_increase_eval_step_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _increase_eval_step_op(iterations_per_loop):$/;" f +_increase_ragged_rank_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _increase_ragged_rank_to(rt_input, ragged_rank, row_splits_dtype):$/;" f +_increase_rank_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _increase_rank_to(t, rank):$/;" f +_increment_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training_util.py /^def _increment_global_step(increment, graph=None):$/;" f +_indent adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _indent(self):$/;" m class:PrettyPrinter +_independent_tags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _independent_tags(interpreter, py_version, platforms):$/;" f +_independent_tags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _independent_tags(interpreter, py_version, platforms):$/;" f +_Index adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Index(self, t):$/;" m class:Unparser +_index adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _index(self):$/;" m class:ZipProvider +_index adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _index(self):$/;" m class:ZipProvider +_index adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _index(self, plugin_name, run_tag_filter, data_class_filter):$/;" m class:MultiplexerDataProvider +_Indexable adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^class _Indexable(object):$/;" c +_IndexedSlicesToTensorNoWarning adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _IndexedSlicesToTensorNoWarning(indexed_slices):$/;" f +_indexed_case_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _indexed_case_helper(branch_fns,$/;" f +_indexed_case_verify_and_canonicalize_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _indexed_case_verify_and_canonicalize_args(branch_fns, default,$/;" f +_indexed_slices_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^def _indexed_slices_to_tensor(value, dtype=None, name=None, as_ref=False):$/;" f +_Indexer adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_Indexer = collections.namedtuple("_Indexer", [$/;" v +_IndexLookupAccumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^class _IndexLookupAccumulator($/;" c +_IndexLookupCombiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^class _IndexLookupCombiner(base_preprocessing_layer.Combiner):$/;" c +_IndexUpdateHelper adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^class _IndexUpdateHelper:$/;" c +_IndexUpdateRef adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^class _IndexUpdateRef:$/;" c +_index_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _index_array(i, aval, x):$/;" f +_index_fill_reshape_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _index_fill_reshape_helper(g, self, dim, index):$/;" f +_INDEX_FIXERS adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^_INDEX_FIXERS = {$/;" v +_index_hash_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _index_hash_ref(X):$/;" f member:TorchIntegration.test_index_hash_op file: +_index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _index_impl(self, ctx, experiment):$/;" m class:AudioPlugin +_index_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _index_impl(self, ctx, experiment):$/;" m class:ImagesPlugin +_INDEX_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_INDEX_KEY = 'index'$/;" v +_index_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _index_map(original):$/;" f member:_TapeGradientFunctions._shuffle_forward_outputs file: +_index_sampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _index_sampler(self):$/;" m class:DataLoader +_index_tensor_with_indices_list adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _index_tensor_with_indices_list(tensor, indices):$/;" f +_index_to_gather adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _index_to_gather(x_shape, idx, normalize_indices=True):$/;" f +_IndicatorColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _IndicatorColumn(_DenseColumn, _SequenceDenseColumn,$/;" c +_indicators adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _indicators(A, b, c, c0, x, y, z, tau, kappa):$/;" f +_indicator_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _indicator_column(categorical_column):$/;" f +_indicator_labels_mean adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _indicator_labels_mean(labels, weights=None, name=None):$/;" f +_indices adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def _indices(ndims):$/;" f +_Indices adpepsenv/lib/python3.8/site-packages/torch/functional.py /^ _Indices = _size$/;" v +_indices_for_axis adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def _indices_for_axis():$/;" f +_indices_for_nelems adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^def _indices_for_nelems(nelems):$/;" f +_indices_product adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _indices_product(indices: _Indices) -> List[List[int]]:$/;" f +_IndividualSpecifier adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^class _IndividualSpecifier(BaseSpecifier):$/;" c +_IndividualSpecifier adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^class _IndividualSpecifier(BaseSpecifier):$/;" c +_IndividualSpecifier adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^class _IndividualSpecifier(BaseSpecifier):$/;" c +_INEFFICIENT_INIT_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^_INEFFICIENT_INIT_WARNING = ($/;" v +_inequality adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _inequality(self, other, op, op_name, bad_scalar_msg):$/;" m class:_cs_matrix +_INEQUALITY_SPLIT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_INEQUALITY_SPLIT = 'inequality'$/;" v +_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_INF = float('inf')$/;" v +_inf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ _inf = ()$/;" v class:Real +_inf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ _inf = _plusInf, _minusInf$/;" v class:Real +_infeed_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _infeed_abstract_eval(token, *, shapes, partitions):$/;" f +_infeed_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _infeed_translation_rule(c, token, *, shapes, partitions):$/;" f +_infer adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _infer(self, request):$/;" m class:WhatIfToolPlugin +_InferBlobDevice adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _InferBlobDevice(model):$/;" f +_INFERENCERESULT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_INFERENCERESULT = _descriptor.Descriptor($/;" v +_INFERENCETASK adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_INFERENCETASK = _descriptor.Descriptor($/;" v +_INFERENCE_MIN_SIZE adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/detectron_keypoints.py /^_INFERENCE_MIN_SIZE = 0 # cfg.KRCNN.INFERENCE_MIN_SIZE$/;" v +_inference_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _inference_name(n):$/;" f +_INFERENCE_ON_TPU_MODE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_INFERENCE_ON_TPU_MODE = '_inference_on_tpu'$/;" v +_INFERENCE_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^_INFERENCE_PREFIX = "__inference_"$/;" v +_inferno_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_inferno_data = [[0.001462, 0.000466, 0.013866],$/;" v +_infer_column_defaults adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _infer_column_defaults(filenames, num_cols, field_delim, use_quote_delim,$/;" f +_infer_column_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _infer_column_names(filenames, field_delim, use_quote_delim, file_io_fn):$/;" f +_infer_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _infer_device_name(self, device_name, node_name):$/;" m class:DebugDumpDir +_infer_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^def _infer_device_name(graph_def):$/;" f +_infer_fft_length_for_irfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _infer_fft_length_for_irfft(input_tensor, fft_rank):$/;" f +_infer_fft_length_for_rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _infer_fft_length_for_rfft(input_tensor, fft_rank):$/;" f +_infer_frame_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/shape_ops.py /^def _infer_frame_shape(signal, frame_length, frame_step, pad_end, axis):$/;" f +_infer_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _infer_graph(self, inputs, clusters):$/;" m class:KMeans +_infer_hints_allowing_override adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def _infer_hints_allowing_override(op1, op2, hints):$/;" f +_infer_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _infer_inputs(self, layer_node_id, convert_to_shapes=False):$/;" m class:KerasObjectLoader +_infer_matching_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def _infer_matching_dtype(tensors, dtype_hierarchy):$/;" f +_infer_mutants_handler adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _infer_mutants_handler(self, request):$/;" m class:WhatIfToolPlugin +_infer_mutants_impl adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _infer_mutants_impl(self, feature_name, example_index, inference_addresses,$/;" m class:WhatIfToolPlugin +_infer_num_gpus_per_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def _infer_num_gpus_per_worker(devices):$/;" f +_infer_output_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _infer_output_signature(self, inputs, args, kwargs, input_masks):$/;" m class:Layer +_infer_param_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def _infer_param_shape(self, param):$/;" m class:ModelHelper +_infer_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _infer_shape(self):$/;" m class:TensorArray +_infer_shapes adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/transform.py /^def _infer_shapes(pred_net, inputs):$/;" f +_infer_shape_from_initializer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def _infer_shape_from_initializer(self):$/;" m class:LayerParameter +_infer_state_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _infer_state_dtype(explicit_dtype, state):$/;" f +_infer_steps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _infer_steps(self, steps, dataset):$/;" m class:DataHandler +_infer_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _infer_type(str_val, na_value, prev_type):$/;" f +_infer_var_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def _infer_var_name(var):$/;" f +_InfiniteConstantSampler adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class _InfiniteConstantSampler(Sampler):$/;" c +_infinite_like adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _infinite_like(tensor):$/;" f +_INFINITY adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_INFINITY = 'Infinity'$/;" v +_INFINITY adpepsenv/lib/python3.8/site-packages/tensorboard/backend/json_util.py /^_INFINITY = float("inf")$/;" v +_inflate_claims adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def _inflate_claims(self, request):$/;" m class:GrantTypeBase +_inflate_expr adpepsenv/lib/python3.8/site-packages/torch/utils/bundled_inputs.py /^def _inflate_expr(arg: T, ref: str) -> Tuple[Union[T, torch.Tensor], str]:$/;" f +_info adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _info(obj, output=sys.stdout):$/;" f +_info_from_string adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _info_from_string(info_string):$/;" f +_info_toast adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _info_toast(self, message):$/;" m class:CursesUI +_INFO_TOAST_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _INFO_TOAST_COLOR_PAIR = ($/;" v class:CursesUI +_info_to_string adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _info_to_string(info):$/;" f +_INF_TIMEOUT adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_INF_TIMEOUT = 1e9$/;" v +_init adpepsenv/lib/python3.8/site-packages/jax/numpy/fft.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/jax/numpy/linalg.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/jax/numpy/__init__.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/jax/__init__.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _init(self):$/;" m class:Colormap +_init adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _init(self):$/;" m class:LinearSegmentedColormap +_init adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _init(self):$/;" m class:ListedColormap +_init adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _init(self):$/;" m class:Quiver +_init adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _init(self):$/;" m class:QuiverKey +_init adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _init(self, child):$/;" m class:TransformWrapper +_init adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def _init(self, dtype):$/;" m class:finfo +_init adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def _init(self, name):$/;" m class:Type +_init adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/status_codes.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^ def _init(self, _):$/;" m class:LifoQueue +_init adpepsenv/lib/python3.8/site-packages/requests/status_codes.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/metric_utils.py /^def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ def _init():$/;" f +_init adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^ def _init(self, _):$/;" m class:LifoQueue +_InitDataType adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _InitDataType():$/;" f +_initialization_lock adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_initialization_lock = threading.Lock()$/;" v +_initialize adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _initialize():$/;" f +_initialize adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def _initialize(self):$/;" m class:TrapezoidMapTriFinder +_initialize adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _initialize(g=globals()):$/;" f +_initialize adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _initialize(g=globals()):$/;" f +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _initialize(self):$/;" m class:_TRTEngineResource +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _initialize(self, init_op, sess):$/;" m class:DatasetSerializationTestBase +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _initialize(self, args, kwds, add_initializers_to=None):$/;" m class:Function +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _initialize(self):$/;" m class:QuantileAccumulator +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def _initialize(self):$/;" m class:TreeEnsemble +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _initialize(self):$/;" m class:_InitializeClustersOpFactory +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _initialize(self):$/;" m class:IdTableWithHashBuckets +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _initialize(self):$/;" m class:InitializableLookupTableBase +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _initialize(self):$/;" m class:StaticVocabularyTable +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _initialize(self):$/;" m class:_RestoredResource +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def _initialize(self):$/;" m class:_Initializer +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def _initialize(self):$/;" m class:EventFileWriter +_initialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _initialize(self):$/;" m class:CapturableResource +_InitializeClustersHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^class _InitializeClustersHook(tf.compat.v1.train.SessionRunHook):$/;" c +_InitializeClustersOpFactory adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^class _InitializeClustersOpFactory(object):$/;" c +_initialized adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_initialized = 0$/;" v +_initialized adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_initialized = False$/;" v +_INITIALIZED_TPU_SYSTEMS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^_INITIALIZED_TPU_SYSTEMS = {}$/;" v +_InitializeLoader adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def _InitializeLoader(self):$/;" m class:DirectoryWatcher +_InitializeModels adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _InitializeModels(gpu_id):$/;" f function:Parallelize_BMUF file: +_InitializeParamUpdate adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _InitializeParamUpdate(gpu_id):$/;" f function:Parallelize_BMUF file: +_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _initializer(self):$/;" m class:DistributedIteratorV1 +_Initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^class _Initializer(tracking.CapturableResource):$/;" c +_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_ops.py /^ def _initializer(shape, dtype=dtypes.float32, partition_info=None):$/;" f function:_load_and_remap_matrix_initializer file: +_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _initializer():$/;" f member:CapturableResource._list_functions_for_serialization file: +_initializer_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _initializer_op(self):$/;" m class:AutoCastVariable +_initializer_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _initializer_op(self, initializer_op):$/;" m class:AutoCastVariable +_InitializeValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _InitializeValues(self, values):$/;" m class:WhileContext +_initialize_argparsers adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _initialize_argparsers(self):$/;" m class:LocalCLIDebugWrapperSession +_initialize_feasible adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _initialize_feasible(lb, ub):$/;" f +_initialize_function_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _initialize_function_spec(self):$/;" m class:ConcreteFunction +_initialize_impersonated_credentials adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def _initialize_impersonated_credentials(self):$/;" m class:Credentials +_initialize_loaded_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _initialize_loaded_nodes(self):$/;" m class:Loader +_initialize_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _initialize_local(self, cluster_resolver, devices=None):$/;" m class:CollectiveAllReduceExtended +_initialize_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _initialize_local(self,$/;" m class:ParameterServerStrategyExtended +_initialize_logical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _initialize_logical_devices(self):$/;" m class:Context +_initialize_master_working_set adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _initialize_master_working_set():$/;" f +_initialize_master_working_set adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _initialize_master_working_set():$/;" f +_initialize_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _initialize_multi_worker(self, cluster_resolver):$/;" m class:CollectiveAllReduceExtended +_initialize_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _initialize_multi_worker(self, devices):$/;" m class:MirroredExtended +_initialize_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _initialize_multi_worker(self, cluster_resolver):$/;" m class:ParameterServerStrategyExtended +_initialize_outfeed_receiver adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _initialize_outfeed_receiver($/;" f +_initialize_params adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/arc_cosine_feature_map.py /^ def _initialize_params(self, w_name, b_name, w_init=None, b_init=None,$/;" m class:ArcCosineFeatureMap +_initialize_physical_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _initialize_physical_devices(self):$/;" m class:Context +_initialize_single_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _initialize_single_worker(self, devices):$/;" m class:MirroredExtended +_initialize_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _initialize_strategy(self, cluster_resolver):$/;" m class:CollectiveAllReduceExtended +_initialize_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _initialize_strategy(self, devices):$/;" m class:MirroredExtended +_initialize_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _initialize_strategy(self,$/;" m class:ParameterServerStrategyExtended +_initialize_toggle_watch_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _initialize_toggle_watch_state(self, toggle_watches):$/;" m class:EventListenerTestServicer +_initialize_uninitialized_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _initialize_uninitialized_variables(self, initializers):$/;" m class:Function +_initialize_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _initialize_variables(session):$/;" f +_initialize_variables adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/head_utils.py /^def _initialize_variables(test_case, scaffold):$/;" f +_initialize_x_y adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _initialize_x_y(self, z):$/;" m class:QuadContourSet +_initializing_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def _initializing_creator(next_creator, **kwargs):$/;" f member:CheckpointManager.save file: +_InitialMetadataFlags adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _InitialMetadataFlags(int):$/;" c +_initial_blinding_factor adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _initial_blinding_factor(self) -> int:$/;" m class:AbstractKey +_INITIAL_LOSS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_INITIAL_LOSS = 1e7$/;" v +_initial_nodes adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _initial_nodes(n):$/;" f +_initial_nodes_a adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _initial_nodes_a(n, k):$/;" f +_initial_nodes_b adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _initial_nodes_b(n, k):$/;" f +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def _initial_style_jaxpr(fun, in_avals):$/;" f function:omnistaging_disabler file: +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _initial_style_jaxpr(fun, in_avals):$/;" f +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def _initial_style_jaxpr(fun, in_avals):$/;" f function:omnistaging_disabler file: +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^def _initial_style_jaxpr(fun, in_avals):$/;" f +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _initial_style_jaxpr(fun: Callable, in_tree, in_avals):$/;" f function:omnistaging_disabler file: +_initial_style_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _initial_style_jaxpr(fun: Callable, in_tree, in_avals):$/;" f +_initial_style_jaxprs_with_common_consts adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _initial_style_jaxprs_with_common_consts(funs: Sequence[Callable],$/;" f function:omnistaging_disabler file: +_initial_style_jaxprs_with_common_consts adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _initial_style_jaxprs_with_common_consts(funs: Sequence[Callable],$/;" f +_initial_style_open_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _initial_style_open_jaxpr(fun: Callable, in_tree, in_avals):$/;" f function:omnistaging_disabler file: +_initial_style_open_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _initial_style_open_jaxpr(fun: Callable, in_tree, in_avals):$/;" f +_initial_style_staging adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _initial_style_staging() -> bool:$/;" f +_INITIAL_TPU_SYSTEM_TIMEOUT_IN_MS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_INITIAL_TPU_SYSTEM_TIMEOUT_IN_MS = 300 * 1000 # 5 mins$/;" v +_initial_values adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^ def _initial_values(self, tr_radius):$/;" m class:IterativeSubproblem +_init_attrs adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _init_attrs(self, **kwargs):$/;" m class:MMFile +_init_axis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _init_axis(self):$/;" m class:_AxesBase +_init_axis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _init_axis(self):$/;" m class:GeoAxes +_init_axis adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _init_axis(self):$/;" m class:PolarAxes +_init_axis adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def _init_axis(self):$/;" m class:SkewXAxes +_init_axis adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _init_axis(self):$/;" m class:Axes3D +_init_axis_artists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def _init_axis_artists(self, axes=None):$/;" m class:Axes +_init_axis_artists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _init_axis_artists(self):$/;" m class:AxesZero +_init_axis_artists adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _init_axis_artists(self, axes=None):$/;" m class:Axes +_init_batch_counters adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _init_batch_counters(self):$/;" m class:Model +_init_batch_counters adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _init_batch_counters(self):$/;" m class:Model +_init_callbacks adpepsenv/lib/python3.8/site-packages/absl/app.py /^_init_callbacks = collections.deque()$/;" v +_init_call_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _init_call_fn_args(self):$/;" m class:Layer +_init_call_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _init_call_fn_args(self):$/;" m class:Layer +_init_constant_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _init_constant_op(self, v, dtype):$/;" m class:AdagradOptimizer +_init_counter adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^_init_counter = 0$/;" v +_init_counter_lock adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^_init_counter_lock = threading.Lock()$/;" v +_init_decoder adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _init_decoder(self):$/;" m class:HTTPResponse +_init_decoder adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _init_decoder(self):$/;" m class:HTTPResponse +_init_distributed_function_cache_if_not_compiled adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _init_distributed_function_cache_if_not_compiled(self):$/;" m class:Model +_init_distributed_setting_from_environment_var adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _init_distributed_setting_from_environment_var(self, tf_config):$/;" m class:RunConfig +_init_distributed_setting_from_environment_var_with_master adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _init_distributed_setting_from_environment_var_with_master($/;" m class:RunConfig +_init_dnn_linear_combined_estimator adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _init_dnn_linear_combined_estimator(head, linear_feature_columns,$/;" f +_init_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _init_draw(self):$/;" m class:Animation +_init_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _init_draw(self):$/;" m class:ArtistAnimation +_init_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _init_draw(self):$/;" m class:FuncAnimation +_init_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _init_dtype(self):$/;" m class:LinearOperator +_init_field_blobs_as_empty adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def _init_field_blobs_as_empty(self, init_net):$/;" m class:DBFileReader +_init_freq_conv_axes adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _init_freq_conv_axes(in1, in2, mode, axes, sorted_axes=False):$/;" f +_init_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _init_from_args(self, maximum_iterations, parallel_iterations, back_prop,$/;" m class:WhileContext +_init_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def _init_from_args(self, name, shared_name): # pylint: disable=invalid-name$/;" m class:CriticalSection +_init_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _init_from_args(self,$/;" m class:ResourceVariable +_init_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _init_from_args(self,$/;" m class:RefVariable +_init_from_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def _init_from_args(self, queue=None, enqueue_ops=None, close_op=None,$/;" m class:QueueRunner +_init_from_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _init_from_checkpoint(ckpt_dir_or_file, assignment_map):$/;" f +_init_from_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _init_from_metadata(cls, metadata):$/;" m class:RevivedInputLayer +_init_from_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _init_from_metadata(cls, metadata):$/;" m class:RevivedLayer +_init_from_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _init_from_metadata(cls, metadata):$/;" m class:RevivedNetwork +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _init_from_proto(self, context_def, import_scope=None):$/;" m class:CondContext +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _init_from_proto(self, context_def, import_scope=None):$/;" m class:WhileContext +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _init_from_proto(self, variable_def, import_scope=None):$/;" m class:ResourceVariable +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _init_from_proto(self, variable_def, import_scope=None):$/;" m class:RefVariable +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def _init_from_proto(self, queue_runner_def, import_scope=None):$/;" m class:QueueRunner +_init_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^ def _init_from_proto(self, queue_runner_def):$/;" m class:_FeedingQueueRunner +_init_full_group_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _init_full_group_test(self, **kwargs):$/;" m class:DistributedTest._DistTestBase +_init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _init_func():$/;" f member:_CopyToDeviceDataset.__init__ file: +_init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _init_func():$/;" f member:_PerDeviceGenerator.__init__ file: +_init_function adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def _init_function(self, r):$/;" m class:Rbf +_init_global_constants adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _init_global_constants(self):$/;" m class:LayerModelHelper +_init_global_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_global_step(self, global_step=USE_DEFAULT):$/;" m class:Supervisor +_init_global_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _init_global_test(self):$/;" m class:DistributedTest._DistTestBase +_init_graph_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _init_graph_network(self, inputs, outputs):$/;" m class:Functional +_init_gridlines adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _init_gridlines(self, grid_helper=None):$/;" m class:Axes +_init_group_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _init_group_test(self, **kwargs):$/;" m class:DistributedTest._DistTestBase +_init_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/dyndep.py /^def _init_impl(path, trigger_lazy=True):$/;" f +_init_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_init_op(self, init_op=USE_DEFAULT, init_feed_dict=None):$/;" m class:Supervisor +_init_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _init_input(self):$/;" m class:ReadlineUI +_init_is_better adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _init_is_better(self, mode, threshold, threshold_mode):$/;" m class:ReduceLROnPlateau +_init_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _init_label(self, **kwargs):$/;" m class:AxisArtist +_init_layout adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _init_layout(self):$/;" m class:CursesUI +_init_legend_box adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _init_legend_box(self, handles, labels, markerfirst=True):$/;" m class:Legend +_init_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _init_length(self, request_method):$/;" m class:HTTPResponse +_init_length adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _init_length(self, request_method):$/;" m class:HTTPResponse +_init_line adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _init_line(self):$/;" m class:AxisArtist +_init_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_local_init_op(self, local_init_op=USE_DEFAULT):$/;" m class:Supervisor +_init_locators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def _init_locators(self):$/;" m class:Grid +_init_locators adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def _init_locators(self):$/;" m class:ImageGrid +_init_metadata adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def _init_metadata(metadata: Optional[Metadata] = None,$/;" m class:_BaseMultiCallable +_init_metric_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _init_metric_attributes(self):$/;" m class:Model +_init_model adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def _init_model(self):$/;" m class:Seq2SeqModelCaffe2 +_init_multigpu_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _init_multigpu_helper(self):$/;" m class:DistributedTest._DistTestBase +_init_name adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def _init_name(self, name):$/;" m class:DBFileReader +_init_nd_shape_and_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_helper.py /^def _init_nd_shape_and_axes(x, shape, axes):$/;" f +_init_nd_shape_and_axes adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _init_nd_shape_and_axes(x, shape, axes):$/;" f +_init_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^ def _init_net(self):$/;" m class:TestPredictor +_init_non_posix adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _init_non_posix(vars):$/;" f +_init_nt adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def _init_nt():$/;" f +_init_offsetText adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _init_offsetText(self, direction):$/;" m class:AxisArtist +_init_output adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def _init_output(output, capacity, global_init_net, global_exit_net):$/;" f +_init_packet adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _init_packet(self, pl):$/;" m class:Vf +_init_parse adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def _init_parse(self):$/;" m class:VariableSet +_init_parse_var adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def _init_parse_var(self, name, value):$/;" m class:VariableSet +_init_pfor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _init_pfor(self, parent_pfor, indices, cond_stacked, inputs,$/;" m class:WhileOp +_init_posix adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _init_posix(vars):$/;" f +_init_posix adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def _init_posix():$/;" f +_init_process_group adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _init_process_group(store, rank, world_size):$/;" f +_init_profile_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _init_profile_batch(self, profile_batch):$/;" m class:TensorBoard +_init_reader_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^ def _init_reader_schema(self, *args, **kwargs):$/;" m class:CachedReader +_init_reader_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def _init_reader_schema(self, field_names=None):$/;" m class:DBFileReader +_init_ready_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_ready_op(self,$/;" m class:Supervisor +_init_read_gz adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _init_read_gz(self):$/;" m class:_Stream +_init_record adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def _init_record(self):$/;" m class:FileOperator +_init_regex adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^def _init_regex():$/;" f +_init_rpc_backend adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^ def _init_rpc_backend($/;" f +_init_rpc_states adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _init_rpc_states(agent):$/;" f +_init_run_config_from_worker_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^def _init_run_config_from_worker_context(config, worker_context):$/;" f +_init_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_saver(self, saver=USE_DEFAULT):$/;" m class:Supervisor +_init_scratch adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _init_scratch(self):$/;" m class:BatchFeeder +_init_script_module adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _init_script_module():$/;" f +_init_session_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_session_manager(self, session_manager=None):$/;" m class:Supervisor +_init_set_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _init_set_name(self, name, zero_based=True):$/;" m class:Layer +_init_set_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _init_set_name(self, name, zero_based=True):$/;" m class:Layer +_init_set_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _init_set_name(self, name, zero_based=True):$/;" m class:Functional +_init_set_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _init_set_name(self, name):$/;" m class:Layer +_init_set_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _init_set_name(self, name, zero_based=True):$/;" m class:OptimizerV2 +_init_stream_request_mixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _init_stream_request_mixin($/;" m class:_StreamRequestMixin +_init_stream_request_mixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def _init_stream_request_mixin($/;" m class:_InterceptedStreamRequestMixin +_init_stream_response_mixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _init_stream_response_mixin(self, preparation: asyncio.Task):$/;" m class:_StreamResponseMixin +_init_stream_response_mixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def _init_stream_response_mixin(self) -> None:$/;" m class:_InterceptedStreamResponseMixin +_init_summary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _init_summary_op(self, summary_op=USE_DEFAULT):$/;" m class:Supervisor +_init_tests adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _init_tests():$/;" f +_init_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _init_ticks(self, **kwargs):$/;" m class:AxisArtist +_init_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _init_toolbar(self):$/;" m class:NavigationToolbar2 +_init_unary_response_mixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _init_unary_response_mixin(self, response_task: asyncio.Task):$/;" m class:_UnaryResponseMixin +_init_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _init_values(self):$/;" m class:WhileV2 +_init_values_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _init_values_from_proto(self, values_def, import_scope=None):$/;" m class:ControlFlowContext +_init_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def _init_writer(self, model):$/;" m class:TensorBoard +_init_write_gz adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _init_write_gz(self):$/;" m class:_Stream +_inject_authenticated_headers adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ def _inject_authenticated_headers(self, headers, bearer_token=None):$/;" m class:OAuthClientAuthHandler +_inject_authenticated_request_body adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ def _inject_authenticated_request_body(self, request_body):$/;" m class:OAuthClientAuthHandler +_inline_everything adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def _inline_everything(fn):$/;" f +_inline_literals adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _inline_literals(jaxpr, constvals):$/;" f +_InMemoryEnsembleGrower adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _InMemoryEnsembleGrower(_EnsembleGrower):$/;" c +_InnerAppendData adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def _InnerAppendData(self, prev_col_values, data, col_index):$/;" m class:DataTable +_inner_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^ def _inner_factory(pylist, dtype, shape, name=None): # pylint: disable=unused-argument$/;" f function:constant_value file: +_inner_partitions adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _inner_partitions(jaxpr, expected_num_parts: Optional[int]):$/;" f +_inplace_binary_method adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _inplace_binary_method(ufunc, name):$/;" f +_inplace_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/inplace_ops.py /^def _inplace_helper(x, i, v, op):$/;" f +_INPUT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^_INPUT = _descriptor.Descriptor($/;" v +_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/training.py /^from tensorflow.python.training import input as _input$/;" x +_INPUTARRAY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_INPUTARRAY = _descriptor.Descriptor($/;" v +_INPUTARRAYSHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_INPUTARRAYSHAPE = _descriptor.Descriptor($/;" v +_InputMutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/lift_to_graph.py /^_InputMutation = collections.namedtuple($/;" v +_InputName adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _InputName(inp):$/;" f member:TfTrtIntegrationTestBase._VerifyConnections file: +_INPUTOPDETAILS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_INPUTOPDETAILS = _descriptor.Descriptor($/;" v +_InputPipeline adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _InputPipeline(object):$/;" c +_INPUTPIPELINEANALYSISRECOMMENDATION adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_INPUTPIPELINEANALYSISRECOMMENDATION = _descriptor.Descriptor($/;" v +_INPUTPIPELINEANALYSISRESULT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_INPUTPIPELINEANALYSISRESULT = _descriptor.Descriptor($/;" v +_INPUTPIPELINEMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_INPUTPIPELINEMETADATA = _descriptor.Descriptor($/;" v +_INPUTPIPELINEMETADATA_INPUTPIPELINETYPE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_INPUTPIPELINEMETADATA_INPUTPIPELINETYPE = _descriptor.EnumDescriptor($/;" v +_INPUTPIPELINESTAT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_INPUTPIPELINESTAT = _descriptor.Descriptor($/;" v +_INPUTPIPELINESTATS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_INPUTPIPELINESTATS = _descriptor.Descriptor($/;" v +_INPUTPIPELINESTAT_ITERATORSTATSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_INPUTPIPELINESTAT_ITERATORSTATSENTRY = _descriptor.Descriptor($/;" v +_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/batch_box_cox_test.py /^def _inputs(draw):$/;" f +_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ops_test.py /^def _inputs(draw):$/;" f +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def _inputs(self):$/;" m class:_DirectedInterleaveDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def _inputs(self):$/;" m class:_ChooseFastestDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:ConcatenateDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:DatasetSource +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:DatasetV1Adapter +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:DatasetV2 +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:UnaryDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:ZipDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _inputs(self):$/;" m class:_VariantDataset +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _inputs(self):$/;" m class:_PerDeviceGenerator +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _inputs(self):$/;" m class:_ReincarnatedPerDeviceGenerator +_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _inputs(self):$/;" m class:TFRecordDatasetV2 +_Inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _Inputs(op, xs_set):$/;" f +_Inputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _Inputs(object):$/;" c +_InputsWithStoppingSignals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _InputsWithStoppingSignals(_Inputs):$/;" c +_inputs_swap_needed adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _inputs_swap_needed(mode, shape1, shape2, axes=None):$/;" f +_inputs_with_flattening adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _inputs_with_flattening(pfor_input, input_indices):$/;" f +_INPUTTIMEBREAKDOWN adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_INPUTTIMEBREAKDOWN = _descriptor.Descriptor($/;" v +_input_avals adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _input_avals(args: Sequence[TfVal], in_shapes: Sequence[Optional[str]]) -> Sequence[core.Abs/;" f +_input_builder_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _input_builder_fun(model):$/;" f function:bmuf_process file: +_input_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_input_doc = ($/;" v +_input_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_input_dtype = lambda *args, **_: dtypes.canonicalize_dtype(args[0].dtype)$/;" f +_input_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def _input_error():$/;" f function:accumulate_n file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _input_fn(input_context):$/;" f member:DistributionTestBase._input_fn_to_test_input_context file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_float_labels file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_multi_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_multi_dim_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_one_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_multi_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_multi_dim_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_one_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _input_fn():$/;" f member:BaseDNNWarmStartingTest.setUp file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _input_fn():$/;" f member:BaseLinearClassifierPredictTest.testSparseCombiner file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _input_fn():$/;" f member:BaseLinearRegressorEvaluationTest.test_evaluation_for_multiple_feature_columns_mix file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _input_fn():$/;" f member:BaseLinearRegressorEvaluationTest.test_evaluation_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _input_fn():$/;" f member:BaseLinearRegressorPredictTest.testSparseCombiner file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _input_fn():$/;" f member:BaseLinearWarmStartingTest.setUp file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_float_labels file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_multi_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_multi_dim_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNClassifierEvaluateTest.test_one_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_multi_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_multi_dim_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNRegressorEvaluateTest.test_one_dim file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseDNNWarmStartingTest.setUp file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearRegressorPartitionerTest.testDefaultPartitionerWithMultiplePsReplicas file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearClassifierPredictTest.testSparseCombiner file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearRegressorEvaluationTest.test_evaluation_for_multiple_feature_columns_mix file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearRegressorEvaluationTest.test_evaluation_weights file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearRegressorPartitionerTest.testPartitioner file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearRegressorPredictTest.testSparseCombiner file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _input_fn():$/;" f member:BaseLinearWarmStartingTest.setUp file: +_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _input_fn(ctx):$/;" f member:TPUEstimator._call_input_fn file: +_input_fn_to_test_input_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _input_fn_to_test_input_context(self, dataset_or_callable_fn,$/;" m class:DistributionTestBase +_input_index adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def _input_index(op, handle):$/;" f +_input_padding adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _input_padding(self, kernel_size, dilation, padding):$/;" m class:_ConvTransposeNd +_input_receiver_fn_name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^def _input_receiver_fn_name(name):$/;" f +_INPUT_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^_INPUT_SIZE = (10,)$/;" v +_INPUT_TREE_SMALLER_THAN_SHALLOW_TREE adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_INPUT_TREE_SMALLER_THAN_SHALLOW_TREE = ($/;" v +_input_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _input_types(self):$/;" m class:Operation +_input_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _input_workers(self):$/;" m class:CollectiveAllReduceExtended +_input_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _input_workers(self):$/;" m class:MirroredExtended +_input_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _input_workers(self):$/;" m class:OneDeviceExtended +_input_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _input_workers(self):$/;" m class:ParameterServerStrategyExtended +_input_workers_with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _input_workers_with_options(self, options=None):$/;" m class:CollectiveAllReduceExtended +_input_workers_with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _input_workers_with_options(self, options=None):$/;" m class:MirroredExtended +_input_workers_with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _input_workers_with_options(self, options=None):$/;" m class:OneDeviceExtended +_input_workers_with_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _input_workers_with_options(self, options=None):$/;" m class:ParameterServerStrategyExtended +_InReplicaThreadMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^class _InReplicaThreadMode(_ThreadMode):$/;" c +_insecure_channel_credentials adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^_insecure_channel_credentials = grpc.ChannelCredentials($/;" v +_insecure_channel_credentials_sentinel adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^_insecure_channel_credentials_sentinel = object()$/;" v +_insert_ancillary_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _insert_ancillary_layers(model, ancillary_layers, metrics_names, new_nodes):$/;" f +_insert_aval_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _insert_aval_axes(aval, axes: AxisNamePos, axis_sizes):$/;" f +_insert_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _insert_dispatcher(arr, obj, values, axis=None):$/;" f +_insert_explicit_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _insert_explicit_zero(self, M, i, j):$/;" m class:TestBSRNonCanonical +_insert_explicit_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _insert_explicit_zero(self, M, i, j):$/;" m class:TestCOONonCanonical +_insert_explicit_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _insert_explicit_zero(self, M, i, j):$/;" m class:_NonCanonicalCompressedMixin +_insert_fc_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def _insert_fc_ops(self, net, params, outputs, version):$/;" m class:FC +_insert_fc_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def _insert_fc_ops(self, net, features, params, outputs, version):$/;" m class:FCWithBootstrap +_insert_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _insert_layers(self, layers, relevant_nodes=None):$/;" m class:Functional +_insert_many adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _insert_many(self, i, j, x):$/;" m class:_cs_matrix +_insert_masked_print adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _insert_masked_print(self):$/;" m class:MaskedArray +_inside_op_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _inside_op_range(self, idx):$/;" m class:TensorTracer +_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_inspect.py /^import inspect as _inspect$/;" I +_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^from tensorflow.python.util import tf_inspect as _inspect$/;" x +_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_inspect.py /^import inspect as _inspect$/;" I +_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import inspect as _inspect$/;" I +_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import inspect as _inspect$/;" I +_inspect_cell_array adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def _inspect_cell_array(ndarray):$/;" f +_inspect_summary_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _inspect_summary_cache(self, cache, replica_id, step_num, output_stream,$/;" m class:TensorTracer +_inspect_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _inspect_tensor(tensor):$/;" f member:TensorTracer._inspect_summary_cache file: +_install adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^_install = orig.install$/;" v +_InstallRequirementBackedCandidate adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^class _InstallRequirementBackedCandidate(Candidate):$/;" c +_install_as_egg adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def _install_as_egg(self, destination_eggdir, zf):$/;" m class:Wheel +_INSTALL_FIG_OBSERVER adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^_INSTALL_FIG_OBSERVER = False$/;" v +_install_setup_requires adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^def _install_setup_requires(attrs):$/;" f +_install_signal_handler adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _install_signal_handler(self, signal_number, signal_name):$/;" m class:TensorBoard +_install_wheel adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _install_wheel($/;" f +_instance adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _instance = None # a reference to an open dialog singleton$/;" v class:_HelpDialog +_instance adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^ _instance = None$/;" v class:EndOfOctets +_instance adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ _instance = None$/;" v class:NoValue +_InstanceNorm adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^class _InstanceNorm(_NormBase):$/;" c +_instances adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ _instances = {}$/;" v class:_ArgumentParserCache +_instance_count_by_class adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/memory_tests/memory_test_util.py /^def _instance_count_by_class():$/;" f +_instance_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _instance_tags(self, run, tag):$/;" m class:MeshPlugin +_instance_tag_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _instance_tag_metadata(self, run, instance_tag):$/;" m class:MeshPlugin +_instantiate_template adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^def _instantiate_template(module_interface_cls):$/;" f +_instantiate_zeros adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _instantiate_zeros(arg, tan):$/;" f +_instring_re adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ _instring_re = re.compile(br'[()\\\\]')$/;" v class:Type1Font +_instrument_layer_creation adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _instrument_layer_creation(self):$/;" m class:Layer +_instrument_symbolic_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _instrument_symbolic_tensors(self,$/;" m class:_DumpingCallback +_int adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _int = partialmethod(_forward_to_value, int)$/;" v class:ConcreteArray +_int adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _int = concretization_function_error(int, True)$/;" v class:UnshapedArray +_int adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_int = {np.integer}$/;" v +_int adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _int(aval):$/;" f +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _int = staticmethod(chebint)$/;" v class:Chebyshev +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _int = staticmethod(hermint)$/;" v class:Hermite +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _int = staticmethod(hermeint)$/;" v class:HermiteE +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _int = staticmethod(lagint)$/;" v class:Laguerre +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _int = staticmethod(legint)$/;" v class:Legendre +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _int = staticmethod(polyint)$/;" v class:Polynomial +_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _int(c, m, k, lbnd, scl):$/;" m class:ABCPolyBase +_int adpepsenv/lib/python3.8/site-packages/torch/types.py /^_int = builtins.int$/;" v +_INT32VALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_INT32VALUE = _descriptor.Descriptor($/;" v +_int32_max adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^_int32_max = _np.iinfo(_np.int32).max$/;" v +_Int64Codec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _Int64Codec(object):$/;" c +_INT64LIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_INT64LIST = _descriptor.Descriptor($/;" v +_INT64VALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_INT64VALUE = _descriptor.Descriptor($/;" v +_int64_max adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^_int64_max = _np.iinfo(_np.int64).max$/;" v +_INT64_TYPES adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_INT64_TYPES = frozenset([descriptor.FieldDescriptor.CPPTYPE_INT64,$/;" v +_IntegerGreaterThan adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _IntegerGreaterThan(Constraint):$/;" c +_IntegerInterval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _IntegerInterval(Constraint):$/;" c +_IntegerLessThan adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _IntegerLessThan(Constraint):$/;" c +_INTEGER_CHECKERS adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_INTEGER_CHECKERS = (type_checkers.Uint32ValueChecker(),$/;" v +_integer_pow_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _integer_pow_dtype_rule(x, *, y):$/;" f +_integer_pow_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _integer_pow_jvp(g, x, *, y):$/;" f +_integer_pow_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _integer_pow_taylor(primals_in, series_in, *, y):$/;" f +_integer_pow_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _integer_pow_translation_rule(c, x, *, y):$/;" f +_integer_repr adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _integer_repr(x, vdt, comp):$/;" f +_integral_types adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^_integral_types = _dispatch_dtypes((torch.uint8, torch.int8, torch.int16, torch.int32, torch.int/;" v +_integrate_rk12 adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^def _integrate_rk12(x0, y0, dmap, f, maxlength):$/;" f +_INTEL_EXTENDED_12B adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_INTEL_EXTENDED_12B = ['000', '000', '000', '000', '240', '242', '171', '353',$/;" v +_INTEL_EXTENDED_16B adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_INTEL_EXTENDED_16B = ['000', '000', '000', '000', '240', '242', '171', '353',$/;" v +_Intent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _Intent(object):$/;" c +_intentcallbackpattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_intentcallbackpattern = re.compile(r'intent\\s*\\(.*?\\bcallback\\b', re.I)$/;" v +_Interactive adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Interactive(self, tree):$/;" m class:Unparser +_InteractiveConsole adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^class _InteractiveConsole(code.InteractiveInterpreter):$/;" c +_interactive_bk adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^from matplotlib.rcsetup import interactive_bk as _interactive_bk$/;" x +_InterceptedStreamRequestMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class _InterceptedStreamRequestMixin:$/;" c +_InterceptedStreamResponseMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class _InterceptedStreamResponseMixin:$/;" c +_InterceptedUnaryResponseMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class _InterceptedUnaryResponseMixin:$/;" c +_intercept_at adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def _intercept_at(self, thunk, index, context):$/;" m class:_ServicePipeline +_INTERCONNECTLINK adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_INTERCONNECTLINK = _descriptor.Descriptor($/;" v +_interleave adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def _interleave(xs, ys):$/;" f +_interleave adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _interleave(a, b, axis):$/;" f +_interleave adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _interleave(self, iterators, cycle_length):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_interleave adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _interleave(self, iterators, cycle_length):$/;" m class:TFRecordDatasetTestBase +_InterleaveOps adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _InterleaveOps(model):$/;" f +_Intermediate adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Intermediate(_Node):$/;" c +_InternalAddFileDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _InternalAddFileDescriptor(self, file_desc):$/;" m class:DescriptorPool +_InternalConstructMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^def _InternalConstructMessage(full_name):$/;" f +_InternalFindFileContainingSymbol adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _InternalFindFileContainingSymbol(self, symbol):$/;" m class:DescriptorPool +_InternalRPCPickler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^class _InternalRPCPickler:$/;" c +_InternalTPUContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^class _InternalTPUContext(object):$/;" c +_InternalUnpackAny adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _InternalUnpackAny(msg):$/;" f +_internal_attr_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^def _internal_attr_name(name):$/;" f +_internal_cleanup_on_success adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _internal_cleanup_on_success(self, function, *args, **kwargs):$/;" m class:TestCase +_internal_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def _internal_close(self):$/;" m class:EventFileWriter +_internal_create_key adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^_internal_create_key = object()$/;" v +_internal_declare_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def _internal_declare_key_flags(flag_names,$/;" f +_internal_get adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def _internal_get(self, index):$/;" m class:UnknownFieldSet +_internal_input_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _internal_input_layer(features,$/;" f +_internal_operation_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _internal_operation_seed(self):$/;" m class:Context +_internal_py_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def _internal_py_func(func,$/;" f +_internal_rpc_pickler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^_internal_rpc_pickler = _InternalRPCPickler()$/;" v +_internal_test_spilu_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def _internal_test_spilu_smoketest(self):$/;" m class:TestSplu +_internal_test_splu_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def _internal_test_splu_smoketest(self):$/;" m class:TestSplu +_INTERN_TABLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_INTERN_TABLE = {$/;" v +_INTERN_TABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_INTERN_TABLE = {$/;" v +_interpd_ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^_interpd_ = {$/;" v +_interpolate adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def _interpolate(value):$/;" f member:VariableSet.interpolate file: +_interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def _interpolate(name, dim, interpolate_mode):$/;" f +_interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _interpolate(name, dim, interpolate_mode):$/;" f +_interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def _interpolate(name, dim, interpolate_mode):$/;" f +_interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _interpolate(name, dim, interpolate_mode):$/;" f +_interpolated_poly adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _interpolated_poly(xvals, fvals, x):$/;" f +_InterpolateFunctionError adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _InterpolateFunctionError(object):$/;" c +_interpolate_get_scales adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _interpolate_get_scales(g, scale_factor, dim):$/;" f +_interpolate_get_scales_and_mode adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _interpolate_get_scales_and_mode(g, input, size, scale_factor, mode , align_corners):$/;" f +_interpolate_get_scales_if_available adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _interpolate_get_scales_if_available(g, scales):$/;" f +_interpolate_multikeys adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _interpolate_multikeys(self, x, y, tri_index=None,$/;" m class:TriInterpolator +_interpolate_single_key adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _interpolate_single_key(self, return_key, tri_index, x, y):$/;" m class:CubicTriInterpolator +_interpolate_single_key adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _interpolate_single_key(self, return_key, tri_index, x, y):$/;" m class:LinearTriInterpolator +_interpolate_single_key adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def _interpolate_single_key(self, return_key, tri_index, x, y):$/;" m class:TriInterpolator +_interpolate_size_to_scales adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _interpolate_size_to_scales(g, input, output_size, dim):$/;" f +_interpolate_warning adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _interpolate_warning(interpolate_mode):$/;" f +_interpolation adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ _interpolation = 'nearest'$/;" v class:FigureImage +_INTERPOLATION_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_INTERPOLATION_PATTERN = re.compile(_INTERPOLATION_REGEX, re.DOTALL)$/;" v +_INTERPOLATION_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_INTERPOLATION_REGEX = r"^(.*?)({tag})".format(tag=_TAG_REGEX)$/;" v +_Interpolator1D adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^class _Interpolator1D(object):$/;" c +_Interpolator1DWithDerivatives adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^class _Interpolator1DWithDerivatives(_Interpolator1D):$/;" c +_interpreter_backend_factory adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _interpreter_backend_factory():$/;" f +_interpreter_name adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _interpreter_name():$/;" f +_interpreter_name adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _interpreter_name():$/;" f +_interpreter_version_protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _interpreter_version_protos(*args, **kwargs):$/;" f +_interpreter_version_protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _interpreter_version_protos_and_services(*args, **kwargs):$/;" f +_interpreter_version_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _interpreter_version_services(*args, **kwargs):$/;" f +_interpreter_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ from tensorflow.lite.python.interpreter_wrapper import _pywrap_tensorflow_interpreter_wrapper /;" x +_interpreter_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ from tflite_runtime import _pywrap_tensorflow_interpreter_wrapper as _interpreter_wrapper$/;" x +_interpret_fun adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _interpret_fun(fun: lu.WrappedFun,$/;" f +_interpret_jaxpr adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _interpret_jaxpr(jaxpr: core.ClosedJaxpr, *args: TfVal) -> Sequence[TfVal]:$/;" f +_interpret_subtrace adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _interpret_subtrace(main: core.MainTrace,$/;" f +_interp_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _interp_dispatcher(x, xp, fp, left=None, right=None, period=None):$/;" f +_interp_grid adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _interp_grid(X):$/;" f member:Axes._pcolorargs file: +_interrupt_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _interrupt_handler(self, signal_num, frame):$/;" m class:CursesUI +_intersect1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _intersect1d_dispatcher($/;" f +_intersect1d_sorted_mask adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _intersect1d_sorted_mask(ar1, ar2, return_indices=False):$/;" f +_INTERVAL adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_INTERVAL = _descriptor.Descriptor($/;" v +_Interval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Interval(Constraint):$/;" c +_interval_contains_close adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def _interval_contains_close(interval, val, rtol=1e-10):$/;" f +_interval_to_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def _interval_to_canonical(cls, cfun, lb, ub, keep_feasible):$/;" m class:CanonicalConstraint +_IntOutputFloatOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_IntOutputFloatOutputOutput = collections.namedtuple($/;" v +_int_classes adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^from torch._six import int_classes as _int_classes$/;" x +_int_ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_int_ctypes = ['long', 'longlong', 'int', 'short', 'byte']$/;" v +_INT_DTYPES adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_INT_DTYPES = {$/;" v +_INT_DTYPES adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_INT_DTYPES = {$/;" v +_int_gauge_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_int_gauge_methods = [$/;" v +_INT_OR_FLOAT adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_INT_OR_FLOAT = six.integer_types + (float,)$/;" v +_INT_OR_FLOAT adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_INT_OR_FLOAT = six.integer_types + (float,)$/;" v +_int_overflow adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^def _int_overflow(x, msg=None):$/;" f +_INT_TYPES adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_INT_TYPES = frozenset([descriptor.FieldDescriptor.CPPTYPE_INT32,$/;" v +_InvalidateLookupTables adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _InvalidateLookupTables(self):$/;" m class:Net +_invalidates_cache adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^def _invalidates_cache(f):$/;" f +_invalidate_cache adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _invalidate_cache(self):$/;" m class:rrulebase +_invalidate_internal adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _invalidate_internal(self, value, invalidating_node):$/;" m class:CompositeGenericTransform +_invalidate_internal adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _invalidate_internal(self, value, invalidating_node):$/;" m class:TransformNode +_InvalidELFFileHeader adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ class _InvalidELFFileHeader(ValueError):$/;" c class:_ELFFileHeader +_InvalidELFFileHeader adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ class _InvalidELFFileHeader(ValueError):$/;" c class:_ELFFileHeader +_invalid_origin adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _invalid_origin(origin, lenw):$/;" f +_INVALID_SCOPE_CHAR adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_INVALID_SCOPE_CHAR = re.compile("[^A-Za-z0-9_.\\\\\/>-]")$/;" v +_INVALID_SCOPE_CHARACTERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_INVALID_SCOPE_CHARACTERS = re.compile(r"[^-_\/.A-Za-z0-9]")$/;" v +_INVALID_TAG_CHARACTERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_op_util.py /^_INVALID_TAG_CHARACTERS = re.compile(r'[^-\/\\w\\.]')$/;" v +_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _inverse(self, y):$/;" m class:Bijector +_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^ def _inverse(self, y):$/;" m class:Identity +_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _inverse(input, adjoint=False, name=None): # pylint:disable=redefined-builtin$/;" f +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:AbsTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:AffineTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:CatTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:ExpTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:LowerCholeskyTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:PowerTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:SigmoidTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:SoftmaxTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:StackTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:StickBreakingTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:TanhTransform +_inverse adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inverse(self, y):$/;" m class:Transform +_INVERSES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^_INVERSES = {}$/;" v +_InverseTransform adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^class _InverseTransform(Transform):$/;" c +_inverse_block_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_block_diag(block_diag_operator):$/;" f +_inverse_block_lower_triangular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_block_lower_triangular(block_lower_triangular_operator):$/;" f +_inverse_circulant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_circulant(circulant_operator):$/;" f +_inverse_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_diag(diag_operator):$/;" f +_inverse_document_frequency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def _inverse_document_frequency(self, document_counts, num_documents):$/;" m class:_CategoryEncodingCombiner +_inverse_event_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _inverse_event_shape(self, output_shape):$/;" m class:Bijector +_inverse_event_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _inverse_event_shape_tensor(self, output_shape):$/;" m class:Bijector +_inverse_householder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_householder(householder_operator):$/;" f +_inverse_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_identity(identity_operator):$/;" f +_inverse_inverse_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_inverse_linear_operator(linop_inversion):$/;" f +_inverse_kronecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_kronecker(kronecker_operator):$/;" f +_inverse_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_linear_operator(linop):$/;" f +_inverse_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _inverse_log_det_jacobian(self, y):$/;" m class:Bijector +_inverse_log_det_jacobian adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^ def _inverse_log_det_jacobian(self, y):$/;" m class:Identity +_inverse_poly_zero adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _inverse_poly_zero(a, b, c, d, fa, fb, fc, fd):$/;" f +_inverse_registrations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import inverse_registrations as _inverse_registrations$/;" x +_inverse_scaled_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/inverse_registrations.py /^def _inverse_scaled_identity(identity_operator):$/;" f +_inverse_squaring_helper adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _inverse_squaring_helper(T0, theta):$/;" f +_inverse_tester adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def _inverse_tester(norm_instance, vals):$/;" f +_inverse_transform adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ def _inverse_transform(x, lmbda):$/;" f member:TestYeojohnson.test_lmbda_None file: +_inverse_typemap adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^_inverse_typemap = {1: 1, 2: 3, 3: 2, 4: 4}$/;" v +_inverted_res_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^def _inverted_res_block(inputs, expansion, stride, alpha, filters, block_id):$/;" f +_inverted_res_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def _inverted_res_block(x, expansion, filters, kernel_size, stride, se_ratio,$/;" f +_invert_topology adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def _invert_topology(self):$/;" m class:Topology +_InvGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _InvGrad(op, grad):$/;" f +_InvGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _InvGradGrad(op, grad):$/;" f +_InvocationProtocolContext adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _InvocationProtocolContext(interfaces.GRPCInvocationContext):$/;" c +_invoke adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _invoke(self) -> ResponseType:$/;" m class:UnaryUnaryCall +_invoke adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _invoke($/;" m class:InterceptedStreamStreamCall +_invoke adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _invoke($/;" m class:InterceptedStreamUnaryCall +_invoke adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _invoke(self, interceptors: Sequence[UnaryUnaryClientInterceptor],$/;" m class:InterceptedUnaryStreamCall +_invoke adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _invoke(self, interceptors: Sequence[UnaryUnaryClientInterceptor],$/;" m class:InterceptedUnaryUnaryCall +_invoke adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def _invoke($/;" m class:_ValgrindWrapper +_invoke_callbacks adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def _invoke_callbacks(self):$/;" m class:Future +_invoke_input_fn_and_record_structure adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _invoke_input_fn_and_record_structure(self):$/;" m class:_InputPipeline +_invoke_rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _invoke_rpc(to, func, rpc_type, args=None, kwargs=None, rpc_timeout=UNSET_RPC_TIMEOUT):$/;" f +_invoke_rpc adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^def _invoke_rpc(rref, rpc_api, func_name, *args, **kwargs):$/;" f +_inv_call adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _inv_call(self, y):$/;" m class:Transform +_inv_transform adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _inv_transform(self, a):$/;" m class:SymLogNorm +_inv_z adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _inv_z(self, z):$/;" m class:Normal +_in_cached_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ _in_cached_state = False$/;" v class:MutationSentinel +_in_constructor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _in_constructor(self):$/;" m class:ActivityAnalyzer +_in_functional_construction_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^def _in_functional_construction_mode(layer, inputs, args, kwargs, input_list): # pylint: disabl/;" f +_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _in_graph_mode(self):$/;" m class:DistributedVariable +_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _in_graph_mode(self):$/;" m class:TPUShardedVariable +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _in_multi_worker_mode(self):$/;" m class:CollectiveAllReduceExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _in_multi_worker_mode(self):$/;" m class:StrategyExtendedV2 +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _in_multi_worker_mode(self):$/;" m class:_DefaultDistributionExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _in_multi_worker_mode(self):$/;" m class:MirroredExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _in_multi_worker_mode(self):$/;" m class:OneDeviceExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _in_multi_worker_mode(self):$/;" m class:ParameterServerStrategyExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _in_multi_worker_mode(self):$/;" m class:TPUExtended +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _in_multi_worker_mode(self):$/;" m class:Model +_in_multi_worker_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _in_multi_worker_mode(self):$/;" m class:Model +_in_op_degree adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _in_op_degree(op):$/;" f function:topological_sort file: +_in_place_subclassed_model_reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _in_place_subclassed_model_reset(model):$/;" f +_in_proc_script_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def _in_proc_script_path():$/;" f +_IODATATYPE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^_IODATATYPE = _descriptor.EnumDescriptor($/;" v +_iota adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _iota(*, dtype, shape, dimension):$/;" f +_iota_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _iota_abstract_eval(*, dtype, shape, dimension):$/;" f +_iota_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _iota_translation_rule(c, dtype, shape, dimension):$/;" f +_IPAddressBase adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _IPAddressBase(_TotalOrderingMixin):$/;" c +_ipaddress_match adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^def _ipaddress_match(ipname, host_ip):$/;" f +_ipaddress_match adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^def _ipaddress_match(ipname, host_ip):$/;" f +_ipapi adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^ from IPython import ipapi as _ipapi$/;" x function:enable_ipython_completer file: +_ipmt_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _ipmt_dispatcher(rate, per, nper, pv, fv=None, when=None):$/;" f +_IPv4Constants adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _IPv4Constants(object):$/;" c +_IPv6Constants adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _IPv6Constants(object):$/;" c +_ipv6_part adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ipv6_part = Regex(r'[0-9a-fA-F]{1,4}').setName("hex_integer")$/;" v class:pyparsing_common +_ipv6_part adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _ipv6_part = Regex(r'[0-9a-fA-F]{1,4}').setName("hex_integer")$/;" v class:pyparsing_common +_ipv6_part adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ipv6_part = Regex(r'[0-9a-fA-F]{1,4}').setName("hex_integer")$/;" v class:pyparsing_common +_ipv6_part adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _ipv6_part = Regex(r'[0-9a-fA-F]{1,4}').setName("hex_integer")$/;" v class:pyparsing_common +_ipython_key_completions_ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def _ipython_key_completions_(self):$/;" m class:MappingHDF5 +_ip_hsd adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _ip_hsd(A, b, c, c0, alpha0, beta, maxiter, disp, tol, sparse, lstsq,$/;" f +_ip_int_from_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _ip_int_from_prefix(cls, prefixlen):$/;" m class:_IPAddressBase +_ip_int_from_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _ip_int_from_string(cls, ip_str):$/;" m class:_BaseV4 +_ip_int_from_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _ip_int_from_string(cls, ip_str):$/;" m class:_BaseV6 +_IP_REGISTERED adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^_IP_REGISTERED = None$/;" v +_IQR_GROSS_WARN_THRESHOLD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^_IQR_GROSS_WARN_THRESHOLD = 0.25$/;" v +_IQR_WARN_THRESHOLD adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^_IQR_WARN_THRESHOLD = 0.1$/;" v +_irfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _irfft(input_tensor, fft_length=None, name=None):$/;" f function:_irfft_wrapper file: +_irfft_grad_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _irfft_grad_helper(rank, rfft_fn):$/;" f +_irfft_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def _irfft_transpose(t, fft_lengths):$/;" f +_irfft_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _irfft_wrapper(ifft_fn, fft_rank, default_name):$/;" f +_irr_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _irr_dispatcher(values):$/;" f +_IsBackpropagatable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _IsBackpropagatable(tensor):$/;" f +_isclose_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _isclose_dispatcher(a, b, rtol=None, atol=None, equal_nan=None):$/;" f +_iscomplex adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _iscomplex(x) -> bool:$/;" f +_isconst adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _isconst(x):$/;" f +_isconstant adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^def _isconstant(v):$/;" f +_IsControlInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _IsControlInput(input_name):$/;" f +_isdeleted adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ def _isdeleted(obj): return not shiboken.isValid(obj)$/;" f function:_setup_pyqt4 file: +_isdeleted adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ def _isdeleted(obj): return not shiboken2.isValid(obj)$/;" f function:_setup_pyqt5 file: +_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _isdir(self, fspath):$/;" m class:ZipProvider +_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _isdir(self, path):$/;" m class:DefaultProvider +_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _isdir(self, path):$/;" m class:NullProvider +_isdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ _isdir = _has = lambda self, path: False$/;" v class:EmptyProvider +_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _isdir(self, fspath):$/;" m class:ZipProvider +_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _isdir(self, path):$/;" m class:DefaultProvider +_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _isdir(self, path):$/;" m class:NullProvider +_isdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ _isdir = _has = lambda self, path: False$/;" v class:EmptyProvider +_IsDirectory adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^def _IsDirectory(parent, item):$/;" f +_isdst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _isdst(self, dt, fold_naive=True):$/;" m class:tzlocal +_isdst adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _isdst(self, dt):$/;" m class:tzrangebase +_isEmpty2d adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _isEmpty2d(arr):$/;" f +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, p):$/;" m class:gumbel_r_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, p):$/;" m class:levy_l_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, p, df):$/;" m class:chi2_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, p, nu):$/;" m class:nakagami_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:cauchy_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:expon_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:kstwobign_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:logistic_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:norm_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q):$/;" m class:rayleigh_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, a):$/;" m class:invgamma_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, a, c):$/;" m class:gengamma_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, c):$/;" m class:genextreme_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, c):$/;" m class:genpareto_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, df):$/;" m class:t_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, kappa):$/;" m class:laplace_asymmetric_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, n):$/;" m class:ksone_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, q, n):$/;" m class:kstwo_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, x):$/;" m class:gumbel_l_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, x, b):$/;" m class:exponpow_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, x, beta):$/;" m class:gennorm_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _isf(self, x, beta):$/;" m class:halfgennorm_gen +_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _isf(self, q, *args):$/;" m class:rv_generic +_IsFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _IsFunction(graph):$/;" f +_IsGPUBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _IsGPUBlob(model, blob_name):$/;" f +_isHookExceptionOk adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def _isHookExceptionOk(self, e):$/;" m class:JitTestCase +_IsIDEEPBlob adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _IsIDEEPBlob(model, blob_name):$/;" f +_isin_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _isin_dispatcher(element, test_elements, assume_unique=None, invert=None):$/;" f +_isin_slow adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def _isin_slow(a, b):$/;" f member:TestSetOps.test_isin file: +_iskeyword adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^from keyword import iskeyword as _iskeyword$/;" x +_IsListParameter adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _IsListParameter(arg):$/;" f +_IsListValue adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _IsListValue(v):$/;" f +_IsMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^def _IsMap(value):$/;" f +_IsMapEntry adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _IsMapEntry(field):$/;" f +_IsMapEntry adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _IsMapEntry(field):$/;" f +_IsMapField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _IsMapField(field):$/;" f +_IsMessageMapField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _IsMessageMapField(field):$/;" f +_IsMessageSetExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def _IsMessageSetExtension(field):$/;" f +_IsMessageSetExtension adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _IsMessageSetExtension(field):$/;" f +_IsNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _IsNets(nets_or_steps):$/;" f +_iso8601_timestamp adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^def _iso8601_timestamp(timestamp):$/;" f +_isolate_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _isolate_state(func, get_state, set_state):$/;" f +_IsOpFree adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _IsOpFree(op):$/;" f member:WhileContext._MaybeAddControlDependency file: +_IsotonicRegressionGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _IsotonicRegressionGrad(op, grad_output, grad_segments):$/;" f +_IsotonicRegressionOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_IsotonicRegressionOutput = collections.namedtuple($/;" v +_IsPartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _IsPartitionedCall(op):$/;" f +_ispath adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _ispath(p):$/;" f +_isposneginf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _isposneginf(infinity, x, out):$/;" f +_IsPresent adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _IsPresent(item):$/;" f +_IsProto3Syntax adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _IsProto3Syntax(message):$/;" m class:_Parser +_isrecursive adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def _isrecursive(pattern):$/;" f +_IsRepeatedContainer adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/protobuf/compare.py /^def _IsRepeatedContainer(value):$/;" f +_isscalar adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^def _isscalar(x):$/;" f +_IsScalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _IsScalar(x):$/;" f +_IsStrictUtf8Check adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _IsStrictUtf8Check(field):$/;" f +_isstring adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^def _isstring(var):$/;" f +_issubclass adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def _issubclass(a, b):$/;" f +_isunsized adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _isunsized(dtype):$/;" f +_isurl adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _isurl(self, path):$/;" m class:DataSource +_IsValidPath adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _IsValidPath(message_descriptor, path):$/;" f +_IsWrapperMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^def _IsWrapperMessage(message_descriptor):$/;" f +_iswritemode adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _iswritemode(self, mode):$/;" m class:DataSource +_iszip adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _iszip(self, filename):$/;" m class:DataSource +_is_32bit adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_32bit(self):$/;" m class:CPUInfoBase +_is_32bit adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _is_32bit():$/;" f +_is_64bit adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_64bit(self):$/;" m class:CPUInfoBase +_is_absl_fatal_record adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _is_absl_fatal_record(log_record):$/;" f +_is_activation_post_process adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def _is_activation_post_process(module):$/;" f +_is_advanced_int_indexer adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _is_advanced_int_indexer(idx):$/;" f +_is_allow_float adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _is_allow_float(self):$/;" m class:QuantizationMode +_is_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^def _is_all_finite(grads):$/;" f +_is_all_finite adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^def _is_all_finite(grads):$/;" f +_is_all_integral adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^ def _is_all_integral(scalars):$/;" f function:_arange_cast_helper file: +_is_Alpha adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Alpha(self):$/;" m class:LinuxCPUInfo +_is_Am486 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Am486(self):$/;" m class:Win32CPUInfo +_is_Am5x86 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Am5x86(self):$/;" m class:Win32CPUInfo +_is_AMD adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMD(self):$/;" m class:LinuxCPUInfo +_is_AMD adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMD(self):$/;" m class:Win32CPUInfo +_is_AMD64 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMD64(self):$/;" m class:LinuxCPUInfo +_is_AMD64 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMD64(self):$/;" m class:Win32CPUInfo +_is_AMDK5 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMDK5(self):$/;" m class:Win32CPUInfo +_is_AMDK6 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMDK6(self):$/;" m class:Win32CPUInfo +_is_AMDK6_2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMDK6_2(self):$/;" m class:Win32CPUInfo +_is_AMDK6_3 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMDK6_3(self):$/;" m class:Win32CPUInfo +_is_AMDK7 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AMDK7(self):$/;" m class:Win32CPUInfo +_is_array_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _is_array_like(obj): # pylint: disable=invalid-name$/;" f +_is_array_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _is_array_like(v):$/;" f member:GenericArrayLikeDataAdapter.can_handle file: +_is_ascii_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/filesystem.py /^def _is_ascii_encoding(encoding):$/;" f +_is_ast_false adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _is_ast_false(node):$/;" f +_is_ast_str adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _is_ast_str(node):$/;" f +_is_ast_true adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _is_ast_true(node):$/;" f +_is_Athlon64 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Athlon64(self):$/;" m class:LinuxCPUInfo +_is_AthlonHX adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonHX(self):$/;" m class:LinuxCPUInfo +_is_AthlonK6 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonK6(self):$/;" m class:LinuxCPUInfo +_is_AthlonK6_2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonK6_2(self):$/;" m class:LinuxCPUInfo +_is_AthlonK6_3 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonK6_3(self):$/;" m class:LinuxCPUInfo +_is_AthlonK7 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonK7(self):$/;" m class:LinuxCPUInfo +_is_AthlonMP adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_AthlonMP(self):$/;" m class:LinuxCPUInfo +_is_atomic_nested adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _is_atomic_nested(nested):$/;" f function:convert_inner_node_data file: +_is_atomic_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _is_atomic_shape(input_shape):$/;" f function:convert_shapes file: +_is_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_attrs = _pywrap_utils.IsAttrs$/;" v +_is_attrs_instance adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _is_attrs_instance(obj):$/;" f +_is_axes_leaf adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _is_axes_leaf(entry):$/;" f +_is_binary_build adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _is_binary_build() -> bool:$/;" f +_is_boolean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _is_boolean(self):$/;" m class:ndarray +_is_bound_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def _is_bound_method(fn):$/;" f +_is_broken_pipe_error adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def _is_broken_pipe_error(exc_class, exc):$/;" f +_is_broken_pipe_error adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def _is_broken_pipe_error(exc_class, exc):$/;" f +_is_building_keras_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def _is_building_keras_layer():$/;" f +_is_builtin_or_stdlib_module adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^def _is_builtin_or_stdlib_module(module: types.ModuleType) -> bool:$/;" f +_is_bytes_like adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def _is_bytes_like(obj):$/;" f +_is_callable_members_only adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _is_callable_members_only(cls):$/;" f +_is_callable_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^def _is_callable_object(obj):$/;" f +_is_Celeron adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Celeron(self):$/;" m class:LinuxCPUInfo +_is_cert adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _is_cert(item):$/;" f +_is_cert adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _is_cert(item):$/;" f +_is_checkpoint_valid adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def _is_checkpoint_valid():$/;" f +_is_check_link adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^_is_check_link = (os.getenv('TF_NP_CHECK_LINK', 'False') in$/;" v +_is_chief adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def _is_chief(self):$/;" m class:_WorkerContext +_is_classification_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _is_classification_head(head):$/;" f member:BoostedTreesEstimator.__init__ file: +_is_closed adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _is_closed(self):$/;" m class:_MonitoredSession +_is_closed_polygon adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^def _is_closed_polygon(X):$/;" f +_is_compatible_param_kind adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _is_compatible_param_kind(a, b):$/;" f +_is_complete adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _is_complete(self):$/;" m class:_MultiThreadedRendezvous +_is_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _is_composite(v):$/;" f member:CompositeTensorDataAdapter.can_handle file: +_is_composite_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_composite_tensor = _pywrap_utils.IsCompositeTensor$/;" v +_is_compressed adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def _is_compressed(self):$/;" m class:_CombinedResponse +_is_compressed_file adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _is_compressed_file(f) -> bool:$/;" f +_is_connection_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def _is_connection_error(self, err):$/;" m class:Retry +_is_connection_error adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def _is_connection_error(self, err):$/;" m class:Retry +_is_constant_gast_2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^def _is_constant_gast_2(node):$/;" f +_is_constant_gast_3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^def _is_constant_gast_3(node):$/;" f +_is_constant_tensor_list adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _is_constant_tensor_list(node):$/;" f +_is_convertible_to_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def _is_convertible_to_dtype(dtype):$/;" f +_is_convertible_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _is_convertible_to_tensor(value):$/;" f +_is_Core2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Core2(self):$/;" m class:LinuxCPUInfo +_is_Core2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Core2(self):$/;" m class:Win32CPUInfo +_is_cpusparcv7 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_cpusparcv7(self):$/;" m class:SunOSCPUInfo +_is_cpusparcv8 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_cpusparcv8(self):$/;" m class:SunOSCPUInfo +_is_cpusparcv9 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_cpusparcv9(self):$/;" m class:SunOSCPUInfo +_is_cuda_file adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _is_cuda_file(path: str) -> bool:$/;" f +_is_current adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _is_current(self, file_path, zip_path):$/;" m class:ZipProvider +_is_current adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _is_current(self, file_path, zip_path):$/;" m class:ZipProvider +_is_current_explicit_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _is_current_explicit_device(device_type):$/;" f +_is_current_pin_satisfying adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _is_current_pin_satisfying(self, name, criterion):$/;" m class:Resolution +_is_default_attr_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _is_default_attr_value(op_def, attr_name, attr_value):$/;" f +_is_dev adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def _is_dev(self):$/;" m class:Version +_is_device_list_single_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def _is_device_list_single_worker(devices):$/;" f +_is_directory adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _is_directory(self, path):$/;" m class:ZipResourceFinder +_is_directory adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ _is_directory = staticmethod(os.path.isdir)$/;" v class:ResourceFinder +_is_disabled_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _is_disabled_thread(self):$/;" m class:BaseDebugWrapperSession +_is_disk_usage_reset_each_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _is_disk_usage_reset_each_run(self):$/;" m class:BaseDebugWrapperSession +_is_disk_usage_reset_each_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _is_disk_usage_reset_each_run(self):$/;" m class:LocalCLIDebugWrapperSession +_is_distributed_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _is_distributed_dataset(ds):$/;" f +_is_dunder adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _is_dunder(name):$/;" f +_is_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _is_eager(self):$/;" m class:RaggedTensor +_is_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _is_eager(self):$/;" m class:StructuredTensor +_is_egg_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _is_egg_path(path):$/;" f +_is_egg_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _is_egg_path(path):$/;" f +_is_ellipsis_gast_2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^def _is_ellipsis_gast_2(node):$/;" f +_is_ellipsis_gast_3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/gast_util.py /^def _is_ellipsis_gast_3(node):$/;" f +_is_empty_2d adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _is_empty_2d(arr):$/;" f +_is_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def _is_enabled():$/;" f +_is_EV4 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_EV4(self):$/;" m class:LinuxCPUInfo +_is_EV5 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_EV5(self):$/;" m class:LinuxCPUInfo +_is_EV56 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_EV56(self):$/;" m class:LinuxCPUInfo +_is_even adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^_is_even = lambda x: x % 2 == 0$/;" f +_is_exception adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _is_exception(obj):$/;" f +_is_fake_quant_script_module adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^def _is_fake_quant_script_module(mod):$/;" f +_is_feature_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^ def _is_feature_layer(self):$/;" m class:DenseFeatures +_is_feature_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^ def _is_feature_layer(self):$/;" m class:SequenceFeatures +_is_filepath adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def _is_filepath(output_stream):$/;" f +_is_flag_file_directive adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _is_flag_file_directive(self, flag_string):$/;" m class:FlagValues +_is_fp adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_fp(value):$/;" f +_is_framework_filename adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _is_framework_filename(filename):$/;" f +_is_fromfile_compatible adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^def _is_fromfile_compatible(stream):$/;" f +_is_frozen_graph adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import is_frozen_graph as _is_frozen_graph$/;" x +_is_full_circle_deg adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^def _is_full_circle_deg(thetamin, thetamax):$/;" f +_is_full_circle_rad adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^def _is_full_circle_rad(thetamin, thetamax):$/;" f +_is_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _is_function(self, name):$/;" m class:Graph +_is_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def _is_function(x):$/;" f +_is_gcc adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/unixccompiler.py /^ def _is_gcc(self, compiler_name):$/;" m class:UnixCCompiler +_is_generator_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^def _is_generator_like(data):$/;" f +_is_google_env adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _is_google_env():$/;" f +_is_gputrace_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _is_gputrace_device(self, device_name):$/;" m class:Timeline +_is_gpu_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^def _is_gpu_device(device):$/;" f +_is_graph_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _is_graph_file(file_name):$/;" f +_is_graph_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^ def _is_graph_model(layer):$/;" f function:get_input_shape_and_dtype file: +_is_graph_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _is_graph_network(layer):$/;" f +_is_gridspec_layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def _is_gridspec_layoutbox(self):$/;" m class:LayoutBox +_is_guaranteed_const adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _is_guaranteed_const(tensor):$/;" f +_is_Hammer adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Hammer(self):$/;" m class:LinuxCPUInfo +_is_hdf5_filepath adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _is_hdf5_filepath(filepath):$/;" f +_is_hostmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _is_hostmask(self, ip_str):$/;" m class:_BaseV4 +_is_i386 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i386(self):$/;" m class:DarwinCPUInfo +_is_i386 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i386(self):$/;" m class:SunOSCPUInfo +_is_i386 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i386(self):$/;" m class:Win32CPUInfo +_is_i386 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ _is_i386 = _not_impl$/;" v class:LinuxCPUInfo +_is_i486 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i486(self):$/;" m class:LinuxCPUInfo +_is_i486 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i486(self):$/;" m class:Win32CPUInfo +_is_i586 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i586(self):$/;" m class:LinuxCPUInfo +_is_i586 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i586(self):$/;" m class:Win32CPUInfo +_is_i686 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i686(self):$/;" m class:LinuxCPUInfo +_is_i686 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_i686(self):$/;" m class:Win32CPUInfo +_is_identity adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _is_identity(item):$/;" f +_is_identity adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _is_identity(item):$/;" f +_is_id_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^def _is_id_list(input_record):$/;" f +_is_id_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _is_id_list(input_record):$/;" f member:TestLayers.test_get_key file: +_is_id_score_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^def _is_id_score_list(input_record):$/;" f +_is_id_score_list adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _is_id_score_list(input_record):$/;" f member:TestLayers.test_get_key file: +_is_injective adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _is_injective(self):$/;" m class:Bijector +_is_int16x8_target_required adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _is_int16x8_target_required(self):$/;" m class:QuantizationMode +_is_int8_target_required adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _is_int8_target_required(self):$/;" m class:QuantizationMode +_is_integer_like_by_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _is_integer_like_by_dtype(dt):$/;" f +_is_integer_type adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^def _is_integer_type(instance):$/;" f +_is_integral adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _is_integral(dtype):$/;" f member:spmatrix.mean file: +_is_Intel adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Intel(self):$/;" m class:LinuxCPUInfo +_is_Intel adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Intel(self):$/;" m class:Win32CPUInfo +_is_intent_callback adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _is_intent_callback(vdecl):$/;" f +_is_interesting_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _is_interesting_op(self, op):$/;" m class:TensorTracer +_is_int_arraylike adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _is_int_arraylike(x):$/;" f +_is_int_type adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _is_int_type(x):$/;" f +_is_in_app_main adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _is_in_app_main():$/;" f +_is_in_bad_fork adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_is_in_bad_fork = getattr(torch._C, "_cuda_isInBadFork", lambda: False)$/;" v +_is_in_control_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _is_in_control_flow(self, op):$/;" m class:TensorTracer +_is_in_keras_style_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^def _is_in_keras_style_scope():$/;" f +_is_in_outmost_while_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _is_in_outmost_while_loop(self, op):$/;" m class:TensorTracer +_is_in_placeholders adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _is_in_placeholders(op, func_arg_placeholders):$/;" f +_is_IP19 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP19(self): return self.__machine(19)$/;" m class:IRIXCPUInfo +_is_IP20 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP20(self): return self.__machine(20)$/;" m class:IRIXCPUInfo +_is_IP21 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP21(self): return self.__machine(21)$/;" m class:IRIXCPUInfo +_is_IP22 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP22(self): return self.__machine(22)$/;" m class:IRIXCPUInfo +_is_IP22_4k adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP22_4k(self): return self.__machine(22) and self._is_r4000()$/;" m class:IRIXCPUInfo +_is_IP22_5k adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP22_5k(self): return self.__machine(22) and self._is_r5000()$/;" m class:IRIXCPUInfo +_is_IP24 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP24(self): return self.__machine(24)$/;" m class:IRIXCPUInfo +_is_IP25 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP25(self): return self.__machine(25)$/;" m class:IRIXCPUInfo +_is_IP26 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP26(self): return self.__machine(26)$/;" m class:IRIXCPUInfo +_is_IP27 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP27(self): return self.__machine(27)$/;" m class:IRIXCPUInfo +_is_IP28 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP28(self): return self.__machine(28)$/;" m class:IRIXCPUInfo +_is_IP30 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP30(self): return self.__machine(30)$/;" m class:IRIXCPUInfo +_is_IP32 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP32(self): return self.__machine(32)$/;" m class:IRIXCPUInfo +_is_IP32_10k adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP32_10k(self): return self.__machine(32) and self._is_r10000()$/;" m class:IRIXCPUInfo +_is_IP32_5k adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_IP32_5k(self): return self.__machine(32) and self._is_r5000()$/;" m class:IRIXCPUInfo +_is_Itanium adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Itanium(self):$/;" m class:LinuxCPUInfo +_is_iterable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _is_iterable(obj):$/;" f +_is_iterable_not_string_like adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def _is_iterable_not_string_like(x):$/;" f function:_validate_linestyle file: +_is_jit_enabled adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _is_jit_enabled():$/;" f +_is_keras_symbolic_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _is_keras_symbolic_tensor(x):$/;" f +_is_key_file_encrypted adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^def _is_key_file_encrypted(key_file):$/;" f +_is_key_file_encrypted adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def _is_key_file_encrypted(key_file):$/;" f +_is_kind_number adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_is_kind_number = re.compile(r'\\d+_').match$/;" v +_is_known_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _is_known_dtype(dt):$/;" f +_is_known_loaded_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def _is_known_loaded_type(f, module_name, entity_name):$/;" f +_is_known_signed_by_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _is_known_signed_by_dtype(dt):$/;" f +_is_known_unsigned_by_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _is_known_unsigned_by_dtype(dt):$/;" f +_is_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _is_layer(self):$/;" m class:Layer +_is_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _is_layer(self):$/;" m class:Layer +_is_layer_name_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def _is_layer_name_unique(self, layer):$/;" m class:Sequential +_is_legacy adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ _is_legacy = False$/;" v class:BackwardCFunction +_is_legacy_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _is_legacy_layer(self):$/;" m class:Layer +_is_legacy_zip_format adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _is_legacy_zip_format(filename):$/;" f +_is_linux_armhf adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _is_linux_armhf():$/;" f +_is_linux_armhf adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _is_linux_armhf():$/;" f +_is_linux_i686 adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _is_linux_i686():$/;" f +_is_linux_i686 adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _is_linux_i686():$/;" f +_is_list_of_scalars adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _is_list_of_scalars(inp):$/;" m class:ListsOfScalarsDataAdapter +_is_list_of_str adpepsenv/lib/python3.8/site-packages/pip/_internal/pyproject.py /^def _is_list_of_str(obj):$/;" f +_is_literal adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^def _is_literal(o):$/;" f +_is_loaded adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^_is_loaded = True$/;" v +_is_local_repository adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def _is_local_repository(cls, repo):$/;" m class:VersionControl +_is_loop_edge adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _is_loop_edge(op):$/;" f function:topological_sort file: +_is_loop_invariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _is_loop_invariant(tensor, inputs, outputs):$/;" f +_is_magic adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _is_magic(x: str) -> bool:$/;" f +_is_manylinux_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _is_manylinux_compatible(name, glibc_version):$/;" f +_is_manylinux_compatible adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _is_manylinux_compatible(name, glibc_version):$/;" f +_is_manylinux_compatible adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _is_manylinux_compatible(name, glibc_version):$/;" f +_is_manylinux_compatible adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _is_manylinux_compatible(name, arch, glibc_version):$/;" f +_is_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_mapping = _pywrap_utils.IsMapping$/;" v +_is_mapping_view adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_mapping_view = _pywrap_utils.IsMappingView$/;" v +_is_math_fn adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _is_math_fn(fn):$/;" f +_is_method_retryable adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def _is_method_retryable(self, method):$/;" m class:Retry +_is_method_retryable adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def _is_method_retryable(self, method):$/;" m class:Retry +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUAutoPolicy +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUDistributedVariable +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUMirroredVariable +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUOnReadPolicy +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUOnWritePolicy +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUSyncOnReadVariable +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _is_mirrored(self):$/;" m class:TPUVariableMixin +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _is_mirrored(self):$/;" m class:AutoPolicy +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _is_mirrored(self):$/;" m class:OnReadPolicy +_is_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _is_mirrored(self):$/;" m class:VariablePolicy +_is_model adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def _is_model(layer):$/;" f +_is_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def _is_module(obj):$/;" f +_is_multiple_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _is_multiple_state(state_size):$/;" f +_is_mutable_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_mutable_mapping = _pywrap_utils.IsMutableMapping$/;" v +_is_namedtuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _is_namedtuple(instance, strict=False):$/;" f +_is_named_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^def _is_named_tuple(instance):$/;" f +_is_natively_supported adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^def _is_natively_supported(x):$/;" f +_is_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _is_ndarray(value):$/;" f +_is_new_style_class adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _is_new_style_class(cls):$/;" f +_is_Nocona adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Nocona(self):$/;" m class:LinuxCPUInfo +_is_none adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_none(x):$/;" f +_is_none_or_undef adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _is_none_or_undef(value):$/;" f +_is_non_absl_fatal_record adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _is_non_absl_fatal_record(log_record):$/;" f +_is_non_interactive_terminal_ipython adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^def _is_non_interactive_terminal_ipython(ip):$/;" f +_is_nth_color adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _is_nth_color(c):$/;" f +_is_numeric_column adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _is_numeric_column(feature_column):$/;" f +_is_observer_script_module adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def _is_observer_script_module(mod, obs_type_name):$/;" f +_is_of_known_loaded_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/conversion.py /^def _is_of_known_loaded_module(f, module_name):$/;" f +_is_onnx_list adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _is_onnx_list(value):$/;" f +_is_ophint_converted adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.op_hint import is_ophint_converted as _is_ophint_converted$/;" x +_is_Opteron adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Opteron(self):$/;" m class:LinuxCPUInfo +_is_packed adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _is_packed(dtype):$/;" f +_is_packed_list adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_packed_list(list_value):$/;" f +_is_padded_shape_compatible_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _is_padded_shape_compatible_with(padded_shape, input_component_shape):$/;" f +_is_path adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _is_path(name_or_buffer):$/;" f +_is_PCA56 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PCA56(self):$/;" m class:LinuxCPUInfo +_is_Pentium adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Pentium(self):$/;" m class:LinuxCPUInfo +_is_Pentium adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Pentium(self):$/;" m class:Win32CPUInfo +_is_PentiumII adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumII(self):$/;" m class:LinuxCPUInfo +_is_PentiumII adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumII(self):$/;" m class:Win32CPUInfo +_is_PentiumIII adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumIII(self):$/;" m class:LinuxCPUInfo +_is_PentiumIII adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumIII(self):$/;" m class:Win32CPUInfo +_is_PentiumIV adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumIV(self):$/;" m class:LinuxCPUInfo +_is_PentiumIV adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumIV(self):$/;" m class:Win32CPUInfo +_is_PentiumM adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumM(self):$/;" m class:LinuxCPUInfo +_is_PentiumM adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumM(self):$/;" m class:Win32CPUInfo +_is_PentiumMMX adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumMMX(self):$/;" m class:LinuxCPUInfo +_is_PentiumMMX adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumMMX(self):$/;" m class:Win32CPUInfo +_is_PentiumPro adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumPro(self):$/;" m class:LinuxCPUInfo +_is_PentiumPro adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_PentiumPro(self):$/;" m class:Win32CPUInfo +_is_per_channel_script_obs_instance adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def _is_per_channel_script_obs_instance(module):$/;" f +_is_platform_dependent adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _is_platform_dependent(self, url):$/;" m class:SimpleScrapingLocator +_is_png adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _is_png(contents, name=None):$/;" f +_is_post adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def _is_post(self):$/;" m class:Version +_is_Power_Macintosh adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Power_Macintosh(self):$/;" m class:DarwinCPUInfo +_is_ppc adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc(self):$/;" m class:DarwinCPUInfo +_is_ppc403 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc403(self): return self.__machine(403)$/;" m class:DarwinCPUInfo +_is_ppc505 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc505(self): return self.__machine(505)$/;" m class:DarwinCPUInfo +_is_ppc601 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc601(self): return self.__machine(601)$/;" m class:DarwinCPUInfo +_is_ppc602 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc602(self): return self.__machine(602)$/;" m class:DarwinCPUInfo +_is_ppc603 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc603(self): return self.__machine(603)$/;" m class:DarwinCPUInfo +_is_ppc603e adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc603e(self): return self.__machine('603e')$/;" m class:DarwinCPUInfo +_is_ppc604 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc604(self): return self.__machine(604)$/;" m class:DarwinCPUInfo +_is_ppc604e adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc604e(self): return self.__machine('604e')$/;" m class:DarwinCPUInfo +_is_ppc620 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc620(self): return self.__machine(620)$/;" m class:DarwinCPUInfo +_is_ppc630 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc630(self): return self.__machine(630)$/;" m class:DarwinCPUInfo +_is_ppc740 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc740(self): return self.__machine(740)$/;" m class:DarwinCPUInfo +_is_ppc7400 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc7400(self): return self.__machine(7400)$/;" m class:DarwinCPUInfo +_is_ppc7450 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc7450(self): return self.__machine(7450)$/;" m class:DarwinCPUInfo +_is_ppc750 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc750(self): return self.__machine(750)$/;" m class:DarwinCPUInfo +_is_ppc801 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc801(self): return self.__machine(801)$/;" m class:DarwinCPUInfo +_is_ppc821 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc821(self): return self.__machine(821)$/;" m class:DarwinCPUInfo +_is_ppc823 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc823(self): return self.__machine(823)$/;" m class:DarwinCPUInfo +_is_ppc860 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ppc860(self): return self.__machine(860)$/;" m class:DarwinCPUInfo +_is_pre adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def _is_pre(self):$/;" m class:Version +_is_Prescott adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_Prescott(self):$/;" m class:LinuxCPUInfo +_is_private adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def _is_private(self, path, name, obj=None):$/;" m class:PublicAPIVisitor +_is_prng_key adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _is_prng_key(key: jnp.ndarray) -> bool:$/;" f +_is_protocol adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _is_protocol = True$/;" v class:Protocol +_is_ps_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _is_ps_failure(error):$/;" f +_is_py2_name_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^def _is_py2_name_constant(node):$/;" f +_is_python_source_dir adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def _is_python_source_dir(d):$/;" f +_is_r10000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r10000(self): return self.__cputype(10000)$/;" m class:IRIXCPUInfo +_is_r12000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r12000(self): return self.__cputype(12000)$/;" m class:IRIXCPUInfo +_is_r2000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r2000(self): return self.__cputype(2000)$/;" m class:IRIXCPUInfo +_is_r3000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r3000(self): return self.__cputype(3000)$/;" m class:IRIXCPUInfo +_is_r3900 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r3900(self): return self.__cputype(3900)$/;" m class:IRIXCPUInfo +_is_r4000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4000(self): return self.__cputype(4000)$/;" m class:IRIXCPUInfo +_is_r4100 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4100(self): return self.__cputype(4100)$/;" m class:IRIXCPUInfo +_is_r4300 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4300(self): return self.__cputype(4300)$/;" m class:IRIXCPUInfo +_is_r4400 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4400(self): return self.__cputype(4400)$/;" m class:IRIXCPUInfo +_is_r4600 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4600(self): return self.__cputype(4600)$/;" m class:IRIXCPUInfo +_is_r4650 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r4650(self): return self.__cputype(4650)$/;" m class:IRIXCPUInfo +_is_r5000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r5000(self): return self.__cputype(5000)$/;" m class:IRIXCPUInfo +_is_r6000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r6000(self): return self.__cputype(6000)$/;" m class:IRIXCPUInfo +_is_r8000 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_r8000(self): return self.__cputype(8000)$/;" m class:IRIXCPUInfo +_is_range_request_processable adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def _is_range_request_processable(self, environ):$/;" m class:ETagResponseMixin +_is_read_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def _is_read_error(self, err):$/;" m class:Retry +_is_read_error adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def _is_read_error(self, err):$/;" m class:Retry +_is_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^def _is_real(A):$/;" f +_is_recursionerror adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _is_recursionerror(e):$/;" f +_is_ref_dtype adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def _is_ref_dtype(self):$/;" m class:DType +_is_ref_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def _is_ref_dtype(self):$/;" m class:DType +_is_rorion adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_rorion(self): return self.__cputype('orion')$/;" m class:IRIXCPUInfo +_is_rpc_state_active adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _is_rpc_state_active(state):$/;" f +_is_running_on_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def _is_running_on_cpu():$/;" f +_is_running_on_cpu adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _is_running_on_cpu(self, is_export_mode):$/;" m class:_InternalTPUContext +_is_run_feed_keys_info_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _is_run_feed_keys_info_file(file_name):$/;" f +_is_run_fetches_info_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _is_run_fetches_info_file(file_name):$/;" f +_is_scalar adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _is_scalar(m):$/;" f member:MaskedArray.__getitem__ file: +_is_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _is_scalar(x):$/;" f +_is_scalar_from_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _is_scalar_from_shape(shape):$/;" f +_is_scalar_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _is_scalar_helper(self, static_shape, dynamic_shape_fn):$/;" m class:Distribution +_is_script adpepsenv/lib/python3.8/site-packages/idna/core.py /^def _is_script(cp, script):$/;" f +_is_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def _is_script(cp, script):$/;" f +_is_script_method adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _is_script_method(module):$/;" f +_is_script_module adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _is_script_module(module):$/;" f +_is_self_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def _is_self_handle(self, x):$/;" m class:CriticalSection +_is_sequence adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _is_sequence(x):$/;" f +_is_sequence adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ from operator import isSequenceType as _is_sequence$/;" x +_is_serialized_node_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _is_serialized_node_data(nested):$/;" f function:convert_inner_node_data file: +_is_shape_and_default_value_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/utils.py /^def _is_shape_and_default_value_compatible(default_value, shape):$/;" f +_is_shape_component adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _is_shape_component(value):$/;" f function:convert_shapes file: +_is_shared_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/load_library.py /^def _is_shared_object(filename):$/;" f +_is_shell adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _is_shell(self, executable):$/;" m class:ScriptMaker +_is_sig_mismatch_an_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^_is_sig_mismatch_an_error = ($/;" v +_is_simplex adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/stats/dirichlet.py /^def _is_simplex(x):$/;" f +_is_singleCPU adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_singleCPU(self):$/;" m class:IRIXCPUInfo +_is_singleCPU adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_singleCPU(self):$/;" m class:LinuxCPUInfo +_is_singleCPU adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_singleCPU(self):$/;" m class:Win32CPUInfo +_is_singleton_reshape adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _is_singleton_reshape(old, new):$/;" f +_is_single_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ def _is_single_object(obj):$/;" f function:_deserialize_nested_config file: +_is_slice_none adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _is_slice_none(idx):$/;" f +_is_sorted adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _is_sorted(dims, op_name, name):$/;" f +_is_sorted adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def _is_sorted(self, x):$/;" m class:Line2D +_is_sparc adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_sparc(self):$/;" m class:SunOSCPUInfo +_is_sparcstation5 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_sparcstation5(self):$/;" m class:SunOSCPUInfo +_is_sparcv9 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_sparcv9(self):$/;" m class:SunOSCPUInfo +_is_special_functional_bound_op adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^def _is_special_functional_bound_op(fn):$/;" f +_is_split_static adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_split_static(split_size_or_sizes, _outputs):$/;" f +_is_stateful_pfor_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _is_stateful_pfor_op(op):$/;" f +_is_statically_shaped adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _is_statically_shaped(element_spec):$/;" f +_is_step_time_stable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _is_step_time_stable(self):$/;" m class:IterationCountEstimator +_is_string_like adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^def _is_string_like(obj):$/;" f +_is_subnet_of adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _is_subnet_of(a, b):$/;" m class:_BaseNetwork +_is_subplotspec_layoutbox adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def _is_subplotspec_layoutbox(self):$/;" m class:LayoutBox +_is_subscribed_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _is_subscribed_identity(tensor):$/;" f +_is_subshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _is_subshape(left, right):$/;" f +_is_subshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _is_subshape(left, right):$/;" f +_is_sun4 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_sun4(self):$/;" m class:SunOSCPUInfo +_is_sunfire adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_sunfire(self):$/;" m class:SunOSCPUInfo +_is_SUNW adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_SUNW(self):$/;" m class:SunOSCPUInfo +_is_supported_ragged_values_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _is_supported_ragged_values_type(value):$/;" f +_is_suspicious_attribute adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _is_suspicious_attribute(testCaseClass, name):$/;" f +_is_symbolic_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _is_symbolic_tensor(x):$/;" f +_is_symbolic_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^def _is_symbolic_tensor(x):$/;" f +_is_symbol_for_any_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _is_symbol_for_any_size(symbol):$/;" f +_is_syntax_valid adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^def _is_syntax_valid(filepath):$/;" f +_is_syntax_valid adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^def _is_syntax_valid(filepath):$/;" f +_is_temp_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distributed_file_utils.py /^def _is_temp_dir(dirpath, strategy):$/;" f +_is_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _is_tensor(v):$/;" f member:TensorLikeDataAdapter.can_handle file: +_is_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _is_tensor(x):$/;" f +_is_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _is_tensor(t):$/;" f +_is_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_tensor(x):$/;" f +_is_tensorflow_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _is_tensorflow_object(obj):$/;" f function:assert_no_new_tensors.decorator file: +_is_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _is_tensor_list(t):$/;" f +_is_tensor_list adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_tensor_list(x):$/;" f +_is_tensor_or_composite adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _is_tensor_or_composite(v):$/;" f member:CompositeTensorDataAdapter.can_handle file: +_is_tfval adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _is_tfval(v: TfVal) -> bool:$/;" f +_is_torchscript_zip adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _is_torchscript_zip(zip_file):$/;" f +_is_tpu_multi_host adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _is_tpu_multi_host(strategy):$/;" f +_is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def _is_tpu_strategy(strategy):$/;" f +_is_tpu_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_util_v2.py /^def _is_tpu_strategy(strategy):$/;" f +_is_trainable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _is_trainable(tensor):$/;" f +_is_trainable_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def _is_trainable_variable(obj):$/;" f +_is_transparent adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _is_transparent(rgb_or_rgba):$/;" f +_is_trivial adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^def _is_trivial(node):$/;" f +_is_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^def _is_tuple(obj):$/;" f +_is_type_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _is_type_dispatcher(x):$/;" f +_is_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_is_type_spec = _pywrap_utils.IsTypeSpec$/;" v +_is_type_subset adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _is_type_subset(a, b):$/;" f +_is_ucs4 adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^_is_ucs4 = len("\\U0010FFFF") == 1$/;" v +_is_ucs4 adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^_is_ucs4 = len("\\U0010FFFF") == 1$/;" v +_is_ultra adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra(self):$/;" m class:SunOSCPUInfo +_is_ultra1 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra1(self):$/;" m class:SunOSCPUInfo +_is_ultra2 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra2(self):$/;" m class:SunOSCPUInfo +_is_ultra250 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra250(self):$/;" m class:SunOSCPUInfo +_is_ultra30 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra30(self):$/;" m class:SunOSCPUInfo +_is_ultra4 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra4(self):$/;" m class:SunOSCPUInfo +_is_ultra5 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra5(self):$/;" m class:SunOSCPUInfo +_is_ultra5_10 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra5_10(self):$/;" m class:SunOSCPUInfo +_is_ultra60 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra60(self):$/;" m class:SunOSCPUInfo +_is_ultra80 adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultra80(self):$/;" m class:SunOSCPUInfo +_is_ultraenterprice adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultraenterprice(self):$/;" m class:SunOSCPUInfo +_is_ultraenterprice10k adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_ultraenterprice10k(self):$/;" m class:SunOSCPUInfo +_is_undefined_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _is_undefined_dimension(d):$/;" f +_is_undefok adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^def _is_undefok(arg, undefok_names):$/;" f +_is_under_xla_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def _is_under_xla_context():$/;" f +_is_unknown_shapes_allowed adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _is_unknown_shapes_allowed(self):$/;" m class:TFLiteConverterBase +_is_unknown_shapes_allowed adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _is_unknown_shapes_allowed(self):$/;" m class:TFLiteConverterBaseV1 +_is_unpacked_egg adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _is_unpacked_egg(path):$/;" f +_is_unpacked_egg adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _is_unpacked_egg(path):$/;" f +_is_upgrade_allowed adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _is_upgrade_allowed(self, req):$/;" m class:Resolver +_is_upper_triangular adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _is_upper_triangular(A):$/;" f +_is_url_like_archive adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _is_url_like_archive(url):$/;" f +_is_user_excluded_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _is_user_excluded_op(self, op):$/;" m class:TensorTracer +_is_user_included_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _is_user_included_op(self, op):$/;" m class:TensorTracer +_is_using_tunnel adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def _is_using_tunnel(self):$/;" m class:HTTPConnection +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:BucketizedColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:CrossedColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:EmbeddingColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:FeatureColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:HashedCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:IdentityCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:IndicatorColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:NumericColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:SequenceCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:SharedEmbeddingColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:VocabularyFileCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:VocabularyListCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _is_v2_column(self):$/;" m class:WeightedCategoricalColumn +_is_v2_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/sequence_feature_column.py /^ def _is_v2_column(self):$/;" m class:SequenceNumericColumn +_is_valid_classification_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def _is_valid_classification_signature(signature_def):$/;" f +_is_valid_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _is_valid_float(str_val, float_dtype):$/;" f +_is_valid_int32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _is_valid_int32(str_val):$/;" f +_is_valid_int64 adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _is_valid_int64(str_val):$/;" f +_is_valid_predict_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def _is_valid_predict_signature(signature_def):$/;" f +_is_valid_regression_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def _is_valid_regression_signature(signature_def):$/;" f +_is_valid_shortcut adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _is_valid_shortcut(self, key):$/;" m class:HelpGTK3 +_is_value adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _is_value(x):$/;" f +_is_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^def _is_variable(obj):$/;" f +_is_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _is_variable(x):$/;" f +_is_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _is_variable_op(op):$/;" f +_is_worker_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _is_worker_failure(error):$/;" f +_is_XEON adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _is_XEON(self):$/;" m class:LinuxCPUInfo +_is_Xeon adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ _is_Xeon = _is_XEON$/;" v class:LinuxCPUInfo +_is_zipfile adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _is_zipfile(f) -> bool:$/;" f +_iter adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _iter(self):$/;" m class:rrule +_iter adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _iter(self):$/;" m class:rruleset +_iter adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _iter: ClassVar[Optional[staticmethod]] = None$/;" v class:ShapedArray +_iter adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _iter(tracer):$/;" f +_iter adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_iter = 100$/;" v +_iter adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def _iter(obj):$/;" f function:_iter_filter file: +_IterableDatasetFetcher adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^class _IterableDatasetFetcher(_BaseDatasetFetcher):$/;" c +_IterableDatasetStopIteration adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^_IterableDatasetStopIteration = namedtuple('_IterableDatasetStopIteration', ['worker_id'])$/;" v +_IterableInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _IterableInput(DistributedDatasetInterface):$/;" c +_iterable_of_int adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _iterable_of_int(x, name=None):$/;" f +_ITERATIONS_PER_LOOP_VALUE_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^_ITERATIONS_PER_LOOP_VALUE_REGEX = re.compile($/;" v +_ITERATIONS_PER_LOOP_VAR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_ITERATIONS_PER_LOOP_VAR = 'iterations_per_loop'$/;" v +_iterative_classical_gram_schmidt adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _iterative_classical_gram_schmidt(Q, x, xnorm, max_iterations=2):$/;" f +_ITERATORMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_ITERATORMETADATA = _descriptor.Descriptor($/;" v +_ITERATORMETADATA_PARAMSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_ITERATORMETADATA_PARAMSENTRY = _descriptor.Descriptor($/;" v +_IteratorSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^class _IteratorSaveable(BaseSaverBuilder.SaveableObject):$/;" c +_ITERATORSTAT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_ITERATORSTAT = _descriptor.Descriptor($/;" v +_iterator_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _iterator_transformer(parent, node, full_name, name, logs):$/;" f +_iterinfo adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class _iterinfo(object):$/;" c +_iteritems adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _iteritems(self):$/;" m class:ParseResults +_iteritems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _iteritems( self ):$/;" m class:ParseResults +_iteritems adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _iteritems(self):$/;" m class:ParseResults +_iteritems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _iteritems( self ):$/;" m class:ParseResults +_iterkeys adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _iterkeys(self):$/;" m class:ParseResults +_iterkeys adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _iterkeys( self ):$/;" m class:ParseResults +_iterkeys adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _iterkeys(self):$/;" m class:ParseResults +_iterkeys adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _iterkeys( self ):$/;" m class:ParseResults +_itervalues adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _itervalues(self):$/;" m class:ParseResults +_itervalues adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _itervalues( self ):$/;" m class:ParseResults +_itervalues adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _itervalues(self):$/;" m class:ParseResults +_itervalues adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _itervalues( self ):$/;" m class:ParseResults +_iter_all_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _iter_all_nodes(self):$/;" m class:Loader +_iter_basic_lines adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _iter_basic_lines():$/;" f function:make_line_iter file: +_iter_break_from_left_to_right adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _iter_break_from_left_to_right(self):$/;" m class:CompositeAffine2D +_iter_break_from_left_to_right adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _iter_break_from_left_to_right(self):$/;" m class:CompositeGenericTransform +_iter_break_from_left_to_right adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _iter_break_from_left_to_right(self):$/;" m class:Transform +_iter_cached adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _iter_cached(self):$/;" m class:rrulebase +_iter_chunked adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _iter_chunked(x0, x1, chunksize=4, inc=1):$/;" f +_iter_collection adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _iter_collection(self, gc, master_transform, all_transforms,$/;" m class:RendererBase +_iter_collection_raw_paths adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _iter_collection_raw_paths(self, master_transform, paths,$/;" m class:RendererBase +_iter_collection_uses_per_path adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _iter_collection_uses_per_path(self, paths, all_transforms,$/;" m class:RendererBase +_iter_data adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^def _iter_data(data):$/;" f +_iter_decode_generator adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def _iter_decode_generator(input, decoder):$/;" f +_iter_decode_generator adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def _iter_decode_generator(input, decoder):$/;" f +_iter_encoded adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^def _iter_encoded(iterable, charset):$/;" f +_iter_encode_generator adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^def _iter_encode_generator(input, encode):$/;" f +_iter_encode_generator adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^def _iter_encode_generator(input, encode):$/;" f +_iter_filter adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def _iter_filter(condition, allow_unknown=False, condition_msg=None,$/;" f +_iter_found_candidates adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def _iter_found_candidates($/;" m class:Factory +_iter_hashitems adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _iter_hashitems(self):$/;" m class:ImmutableDictMixin +_iter_hashitems adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _iter_hashitems(self):$/;" m class:ImmutableMultiDictMixin +_iter_hashitems adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _iter_hashitems(self):$/;" m class:ImmutableOrderedMultiDict +_iter_jit_values adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^_iter_jit_values = _iter_filter(lambda o: o is None or isinstance(o, torch._C.Value),$/;" v +_iter_module_files adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^def _iter_module_files():$/;" f +_iter_None_tensors adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^_iter_None_tensors = _iter_filter(lambda o: o is None or isinstance(o, torch.Tensor),$/;" v +_iter_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _iter_slices(full_shape, num_slices, slice_dim):$/;" f +_iter_tensors adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^_iter_tensors = _iter_filter(lambda x: isinstance(x, torch.Tensor), condition_msg="Tensors",$/;" v +_iter_tensors_permissive adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^_iter_tensors_permissive = _iter_filter(lambda x: isinstance(x, torch.Tensor),$/;" v +_iter_valid_files adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def _iter_valid_files(directory, white_list_formats, follow_links):$/;" f +_itGen adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def _itGen(self, smaller_shape, larger_shape):$/;" m class:ResizeBilinearOpTestBase +_iuc adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _iuc(x, y):$/;" f +_ix__dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^def _ix__dispatcher(*args):$/;" f +_izip_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _izip_fields(iterable):$/;" f +_izip_fields_flat adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _izip_fields_flat(iterable):$/;" f +_izip_records adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _izip_records(seqarrays, fill_value=None, flatten=True):$/;" f +_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def _jac(self, x):$/;" m class:BroydenTridiagonal +_jacobian adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _jacobian(self, input, num_out):$/;" m class:NNTestCase +_jax adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^from . import jax as _jax$/;" x +_JAX adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^_JAX = None$/;" v +_jaxpr_resources adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _jaxpr_resources(jaxpr, resource_env) -> Set[ResourceAxisName]:$/;" f +_jaxpr_vars adpepsenv/lib/python3.8/site-packages/jax/core.py /^def _jaxpr_vars(jaxpr):$/;" f +_JAX_ARRAY_TYPES adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_JAX_ARRAY_TYPES = (DeviceArray, core.Tracer)$/;" v +_jax_message_append adpepsenv/lib/python3.8/site-packages/jax/_src/traceback_util.py /^_jax_message_append = ($/;" v +_jax_type adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def _jax_type(value):$/;" f +_jax_types adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^_jax_types = [$/;" v +_jet_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_jet_data = {'red': ((0.00, 0, 0),$/;" v +_jit_caching_layer adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^_jit_caching_layer: weakref.WeakKeyDictionary = weakref.WeakKeyDictionary()$/;" v +_jit_compile adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _jit_compile(name,$/;" f +_JIT_DISABLE adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_JIT_DISABLE = os.environ.get("PYTORCH_JIT_DISABLE", False)$/;" v +_jit_function_overload_caching adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^_jit_function_overload_caching: weakref.WeakKeyDictionary = weakref.WeakKeyDictionary()$/;" v +_jit_internal adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^import torch._jit_internal as _jit_internal$/;" I +_jit_internal adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^import torch._jit_internal as _jit_internal$/;" I +_jit_is_disabled adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _jit_is_disabled():$/;" f +_JIT_STATS adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_JIT_STATS = os.environ.get("PYTORCH_JIT_STATS", False)$/;" v +_JIT_TIME adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_JIT_TIME = os.environ.get("PYTORCH_JIT_TIME", False) # CUDA-only timing$/;" v +_jit_unwrap_structured adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def _jit_unwrap_structured(obj):$/;" f +_JOBDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^_JOBDEF = _descriptor.Descriptor($/;" v +_JOBDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^_JOBDEF = _descriptor.Descriptor($/;" v +_JOBDEF_TASKSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^_JOBDEF_TASKSENTRY = _descriptor.Descriptor($/;" v +_JOBDEF_TASKSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^_JOBDEF_TASKSENTRY = _descriptor.Descriptor($/;" v +_JOBDEVICEFILTERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_JOBDEVICEFILTERS = _descriptor.Descriptor($/;" v +_JOBDEVICEFILTERS_TASKSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_JOBDEVICEFILTERS_TASKSENTRY = _descriptor.Descriptor($/;" v +_job_semaphore adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^_job_semaphore = None$/;" v +_JOB_WORKER_STRING_IDENTIFIER adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^_JOB_WORKER_STRING_IDENTIFIER = "\/job:worker"$/;" v +_join adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _join(parts):$/;" f member:Rule._compile_builder file: +_joind adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ _joind = {$/;" v class:GraphicsContextCairo +_joind adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _joind = {'bevel': wx.JOIN_BEVEL,$/;" v class:GraphicsContextWx +_JoinedStr adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _JoinedStr(self, t):$/;" m class:Unparser +_join_by_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _join_by_dispatcher($/;" f +_join_cond_outputs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _join_cond_outputs(jaxprs, all_res_avals, res_aval_indices_per_jaxpr,$/;" f +_join_cond_pe_staged_jaxpr_inputs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _join_cond_pe_staged_jaxpr_inputs(jaxprs, all_res_avals,$/;" f +_join_cuda_home adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _join_cuda_home(*paths) -> str:$/;" f +_join_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _join_dispatcher(sep, seq):$/;" f +_join_field_name adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def _join_field_name(prefix, suffix):$/;" f +_join_modules adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^def _join_modules(module1, module2):$/;" f +_join_processes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _join_processes(self, fn):$/;" m class:MultiProcessTestCase +_join_rocm_home adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _join_rocm_home(*paths) -> str:$/;" f +_jpeg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _jpeg():$/;" f function:decode_image file: +_json adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^import json as _json$/;" I +_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ import json as _json$/;" I +_json adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^ import simplejson as _json$/;" I +_JSONEncoder adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^class _JSONEncoder(json.JSONEncoder):$/;" c +_JSONModule adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/json.py /^class _JSONModule(object):$/;" c +_json_decode adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def _json_decode(o):$/;" f +_JSON_FILE_PRIVATE_KEY adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^_JSON_FILE_PRIVATE_KEY = "private_key"$/;" v +_JSON_FILE_PRIVATE_KEY_ID adpepsenv/lib/python3.8/site-packages/google/auth/crypt/base.py /^_JSON_FILE_PRIVATE_KEY_ID = "private_key_id"$/;" v +_json_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from google.protobuf import json_format as _json_format$/;" x +_JSON_INDENT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^ _JSON_INDENT = 2$/;" v class:JsonFormatter +_JSON_MIMETYPES adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_JSON_MIMETYPES = set(["application\/json", "application\/json+protobuf",])$/;" v +_just_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _just_dispatcher(a, width, fillchar=None):$/;" f +_jvp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _jvp(fun: lu.WrappedFun, primals, tangents):$/;" f +_jvp_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^def _jvp_dispatch(op_name,$/;" f +_jvp_exact_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_jvp_exact_shapes = function.defun($/;" v +_jvp_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^def _jvp_helper(op_name, attr_tuple, inputs, outputs, tangents):$/;" f +_jvp_helper_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^def _jvp_helper_wrapper(op_name, attr_tuple, inputs, outputs, tangents,$/;" f +_jvp_relaxed_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_jvp_relaxed_shapes = function.defun($/;" v +_jwtrs1 adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^_jwtrs1 = None$/;" v +_JWT_GRANT_TYPE adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^_JWT_GRANT_TYPE = "urn:ietf:params:oauth:grant-type:jwt-bearer"$/;" v +_jwt_rs1_signing_algorithm adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def _jwt_rs1_signing_algorithm():$/;" f +_kci_kc adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def _kci_kc(_x):$/;" f member:TestKolmogorov.test_round_trip file: +_kde_method adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _kde_method(X, coords):$/;" f member:Axes.violinplot file: +_kde_subclass1 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^class _kde_subclass1(stats.gaussian_kde):$/;" c +_kde_subclass2 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^class _kde_subclass2(stats.gaussian_kde):$/;" c +_kde_subclass3 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^class _kde_subclass3(stats.gaussian_kde):$/;" c +_kde_subclass4 adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^class _kde_subclass4(stats.gaussian_kde):$/;" c +_KEEP_ALIVE_SECS adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_KEEP_ALIVE_SECS = 600$/;" v +_keep_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _keep_fields(base, keep_names, usemask=True, asrecarray=False):$/;" f +_kendall_p_exact adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _kendall_p_exact(n, c):$/;" f +_keras adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python import keras as _keras$/;" x +_KerasTensorIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^class _KerasTensorIterator(object):$/;" c +_KERAS_BACKEND adpepsenv/lib/python3.8/site-packages/keras_preprocessing/__init__.py /^_KERAS_BACKEND = None$/;" v +_KERAS_CALL_CONTEXT_FUNCTION adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/keras_deps.py /^_KERAS_CALL_CONTEXT_FUNCTION = None$/;" v +_keras_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _keras_dir = os.environ.get('KERAS_HOME')$/;" v +_keras_dir adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ _keras_dir = os.path.join(_keras_base_dir, '.keras')$/;" v +_KERAS_SAVED_MODEL_STILL_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/save.py /^_KERAS_SAVED_MODEL_STILL_EXPERIMENTAL = True$/;" v +_keras_saving_utils adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.keras.saving import saving_utils as _keras_saving_utils$/;" x +_KERAS_STYLE_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^_KERAS_STYLE_SCOPE = False$/;" v +_KERAS_TENSORS_ENABLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^_KERAS_TENSORS_ENABLED = True$/;" v +_keras_tensor_symbolic_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _keras_tensor_symbolic_call(self, inputs, input_masks, args, kwargs):$/;" m class:Layer +_KERAS_UTILS adpepsenv/lib/python3.8/site-packages/keras_preprocessing/__init__.py /^_KERAS_UTILS = None$/;" v +_KERNELDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^_KERNELDEF = _descriptor.Descriptor($/;" v +_KERNELDEF_ATTRCONSTRAINT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^_KERNELDEF_ATTRCONSTRAINT = _descriptor.Descriptor($/;" v +_KERNELLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^_KERNELLIST = _descriptor.Descriptor($/;" v +_KERNELREPORT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^_KERNELREPORT = _descriptor.Descriptor($/;" v +_kernels adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^_kernels = {$/;" v +_KERNELSTATSDB adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^_KERNELSTATSDB = _descriptor.Descriptor($/;" v +_kernel_constraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def _kernel_constraint(self, kernel):$/;" m class:RadialConstraint +_kernel_label_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _kernel_label_map(self, op_to_kernel_label_map):$/;" m class:Graph +_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ _key = None # type: Union[CmpKey, LegacyCmpKey]$/;" v class:_BaseVersion +_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _key(self):$/;" m class:ContextValueCache +_keycode_lookup adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ _keycode_lookup = {$/;" v class:FigureCanvasTk +_keypress adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _keypress(self, event):$/;" m class:TextBox +_keys_impl adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _keys_impl(self):$/;" m class:CombinedMultiDict +_keyword adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _keyword(self, t):$/;" m class:Unparser +_key_fields adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^_key_fields = ($/;" v +_key_fields adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^_key_fields = ($/;" v +_KEY_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _KEY_MAP = {$/;" v class:CursesUI +_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _key_press(self, event):$/;" m class:ToolManager +_KEY_REGEX adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^_KEY_REGEX = re.compile($/;" v +_key_to_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _key_to_state(alg, key):$/;" f member:Generator.split file: +_KEY_WHEN_PREDICTIONS_IS_A_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_KEY_WHEN_PREDICTIONS_IS_A_TENSOR = '_key_when_predictions_is_a_tensor'$/;" v +_KIND adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_KIND = _descriptor.EnumDescriptor($/;" v +_kind_func adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _kind_func(string):$/;" f +_kind_list adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^_kind_list = ['b', 'u', 'i', 'f', 'c', 'S', 'U', 'V', 'O', 'M', 'm']$/;" v +_kind_name adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _kind_name(dtype):$/;" f +_kind_to_stem adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^_kind_to_stem = {$/;" v +_ki_k adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def _ki_k(_x):$/;" f member:TestKolmogorov.test_round_trip file: +_kk adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^ def _kk(_x):$/;" f function:_kolmogn_p file: +_kl_bernoulli_bernoulli adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^def _kl_bernoulli_bernoulli(a, b, name=None):$/;" f +_kl_bernoulli_bernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_bernoulli_bernoulli(p, q):$/;" f +_kl_bernoulli_poisson adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_bernoulli_poisson(p, q):$/;" f +_kl_beta_beta adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^def _kl_beta_beta(d1, d2, name=None):$/;" f +_kl_beta_beta adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_beta(p, q):$/;" f +_kl_beta_continuous_bernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_continuous_bernoulli(p, q):$/;" f +_kl_beta_exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_exponential(p, q):$/;" f +_kl_beta_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_gamma(p, q):$/;" f +_kl_beta_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_infinity(p, q):$/;" f +_kl_beta_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_normal(p, q):$/;" f +_kl_beta_uniform adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_beta_uniform(p, q):$/;" f +_kl_binomial_binomial adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_binomial_binomial(p, q):$/;" f +_kl_categorical_categorical adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^def _kl_categorical_categorical(a, b, name=None):$/;" f +_kl_categorical_categorical adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_categorical_categorical(p, q):$/;" f +_kl_cauchy_cauchy adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_cauchy_cauchy(p, q):$/;" f +_kl_continuous_bernoulli_continuous_bernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_continuous_bernoulli_continuous_bernoulli(p, q):$/;" f +_kl_continuous_bernoulli_exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_continuous_bernoulli_exponential(p, q):$/;" f +_kl_continuous_bernoulli_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_continuous_bernoulli_infinity(p, q):$/;" f +_kl_continuous_bernoulli_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_continuous_bernoulli_normal(p, q):$/;" f +_kl_continuous_bernoulli_uniform adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_continuous_bernoulli_uniform(p, q):$/;" f +_kl_dirichlet_dirichlet adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^def _kl_dirichlet_dirichlet(d1, d2, name=None):$/;" f +_kl_dirichlet_dirichlet adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_dirichlet_dirichlet(p, q):$/;" f +_kl_divergence adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _kl_divergence(self, other):$/;" m class:Distribution +_kl_div_log_target_impl adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _kl_div_log_target_impl(g, input, target):$/;" f +_kl_div_non_log_target_impl adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _kl_div_non_log_target_impl(g, input, target):$/;" f +_kl_expfamily_expfamily adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_expfamily_expfamily(p, q):$/;" f +_kl_exponential_exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_exponential_exponential(p, q):$/;" f +_kl_exponential_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_exponential_gamma(p, q):$/;" f +_kl_exponential_gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_exponential_gumbel(p, q):$/;" f +_kl_exponential_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_exponential_infinity(p, q):$/;" f +_kl_exponential_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_exponential_normal(p, q):$/;" f +_kl_gamma_exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gamma_exponential(p, q):$/;" f +_kl_gamma_gamma adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^def _kl_gamma_gamma(g0, g1, name=None):$/;" f +_kl_gamma_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gamma_gamma(p, q):$/;" f +_kl_gamma_gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gamma_gumbel(p, q):$/;" f +_kl_gamma_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gamma_infinity(p, q):$/;" f +_kl_gamma_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gamma_normal(p, q):$/;" f +_kl_geometric_geometric adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_geometric_geometric(p, q):$/;" f +_kl_gumbel_gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gumbel_gumbel(p, q):$/;" f +_kl_gumbel_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gumbel_infinity(p, q):$/;" f +_kl_gumbel_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_gumbel_normal(p, q):$/;" f +_kl_halfnormal_halfnormal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_halfnormal_halfnormal(p, q):$/;" f +_kl_independent_independent adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_independent_independent(p, q):$/;" f +_kl_laplace_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_laplace_infinity(p, q):$/;" f +_kl_laplace_laplace adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_laplace_laplace(p, q):$/;" f +_kl_laplace_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_laplace_normal(p, q):$/;" f +_kl_lowrankmultivariatenormal_lowrankmultivariatenormal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_lowrankmultivariatenormal_lowrankmultivariatenormal(p, q):$/;" f +_kl_lowrankmultivariatenormal_multivariatenormal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_lowrankmultivariatenormal_multivariatenormal(p, q):$/;" f +_KL_MEMOIZE adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^_KL_MEMOIZE = {} # Memoized version mapping many specific (type, type) pairs to functions.$/;" v +_kl_multivariatenormal_lowrankmultivariatenormal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_multivariatenormal_lowrankmultivariatenormal(p, q):$/;" f +_kl_multivariatenormal_multivariatenormal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_multivariatenormal_multivariatenormal(p, q):$/;" f +_kl_normal_gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_normal_gumbel(p, q):$/;" f +_kl_normal_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_normal_infinity(p, q):$/;" f +_kl_normal_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^def _kl_normal_normal(n_a, n_b, name=None):$/;" f +_kl_normal_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_normal_normal(p, q):$/;" f +_kl_onehotcategorical_onehotcategorical adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_onehotcategorical_onehotcategorical(p, q):$/;" f +_kl_pareto_exponential adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_pareto_exponential(p, q):$/;" f +_kl_pareto_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_pareto_gamma(p, q):$/;" f +_kl_pareto_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_pareto_infinity(p, q):$/;" f +_kl_pareto_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_pareto_normal(p, q):$/;" f +_kl_pareto_pareto adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_pareto_pareto(p, q):$/;" f +_kl_poisson_infinity adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_poisson_infinity(p, q):$/;" f +_kl_poisson_poisson adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_poisson_poisson(p, q):$/;" f +_KL_REGISTRY adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^_KL_REGISTRY = {} # Source of truth mapping a few general (type, type) pairs to functions.$/;" v +_kl_transformed_transformed adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_transformed_transformed(p, q):$/;" f +_kl_uniform_beta adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_beta(p, q):$/;" f +_kl_uniform_continuous_bernoulli adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_continuous_bernoulli(p, q):$/;" f +_kl_uniform_exponetial adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_exponetial(p, q):$/;" f +_kl_uniform_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_gamma(p, q):$/;" f +_kl_uniform_gumbel adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_gumbel(p, q):$/;" f +_kl_uniform_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_normal(p, q):$/;" f +_kl_uniform_pareto adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_pareto(p, q):$/;" f +_kl_uniform_uniform adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _kl_uniform_uniform(p, q):$/;" f +_kmc2_multiple_centers adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _kmc2_multiple_centers(self):$/;" m class:_InitializeClustersOpFactory +_kmeans adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _kmeans(obs, guess, thresh=1e-5):$/;" f +_kmeans_plus_plus adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _kmeans_plus_plus(self):$/;" m class:_InitializeClustersOpFactory +_known_len_tf_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _known_len_tf_for_stmt($/;" f +_known_len_tf_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _known_len_tf_for_stmt(iter_,$/;" f +_known_opset_version adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _known_opset_version = 9$/;" v class:Caffe2Backend +_KNOWN_TYPES adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^_KNOWN_TYPES = {}$/;" v +_known_working_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^_known_working_ops = [$/;" v +_KNV0 adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _KNV0(B, ker_pole, transfer_matrix, j, poles):$/;" f +_KNV0_loop adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _KNV0_loop(ker_pole, transfer_matrix, poles, B, maxiter, rtol):$/;" f +_kolmogn adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogn(n, x, cdf=True):$/;" f +_kolmogni adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogni(n, p, q):$/;" f +_kolmogn_DMTW adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogn_DMTW(n, d, cdf=True):$/;" f +_kolmogn_p adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogn_p(n, x):$/;" f +_kolmogn_PelzGood adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogn_PelzGood(n, x, cdf=True):$/;" f +_kolmogn_Pomeranz adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _kolmogn_Pomeranz(n, x, cdf=True):$/;" f +_kpl_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^_kpl_gauge = monitoring.StringGauge($/;" v +_kpoints adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _kpoints(data, k):$/;" f +_kpp adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _kpp(data, k):$/;" f +_krandinit adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _krandinit(data, k):$/;" f +_kratio adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _kratio(m, k_ratio):$/;" f +_KroneckerProduct adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _KroneckerProduct(b1, b2):$/;" f function:_MatrixSquareRootGrad file: +_kron_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _kron_dispatcher(a, b):$/;" f +_kth_arnoldi_iteration adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _kth_arnoldi_iteration(k, A, M, V, H):$/;" f +_KUBERNETES_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ _KUBERNETES_API_CLIENT_INSTALLED = False$/;" v +_KUBERNETES_API_CLIENT_INSTALLED adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^_KUBERNETES_API_CLIENT_INSTALLED = True$/;" v +_kurtosis adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _kurtosis(data):$/;" f +_kwargs_to_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def _kwargs_to_dict(self, node):$/;" m class:CallTreeTransformer +_kwmark adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^_kwmark = (_HashedTuple,)$/;" v +_k_ki adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def _k_ki(_p):$/;" f member:TestKolmogi.test_round_trip file: +_K_MAX adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ _K_MAX = 100 # A very high value for real usage. Expect 1, 2, maybe 3.$/;" v class:TOMS748Solver +_K_MIN adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ _K_MIN = 1$/;" v class:TOMS748Solver +_l adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_clib.py /^_l = old_build_clib.user_options$/;" v +_L adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_L = Literal$/;" v +_L adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_L = Literal$/;" v +_L adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_L = Literal$/;" v +_L adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_L = Literal$/;" v +_l1_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _l1_loss(self):$/;" m class:_SDCAModel +_L2LossGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _L2LossGrad(op, grad):$/;" f +_l2_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _l2_loss(self):$/;" m class:_SDCAModel +_l2_loss_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _l2_loss_flops(graph, node):$/;" f +_l2_normalize_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _l2_normalize_data(cls, inputs):$/;" m class:KMeans +_LABEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_LABEL = _descriptor.Descriptor($/;" v +_labels_is_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def _labels_is_sparse():$/;" f function:_clean_out_of_range_indices file: +_LABELS_WARNING adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/summary.py /^_LABELS_WARNING = ($/;" v +_label_from_arg adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _label_from_arg(y, default_name):$/;" f +_label_ids adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def _label_ids(self, labels):$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +_LABEL_NONE_ERR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^_LABEL_NONE_ERR_MSG = ($/;" v +_LABEL_SHAPE_ERR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^_LABEL_SHAPE_ERR_MSG = ($/;" v +_Lambda adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Lambda(self, t):$/;" m class:Unparser +_language_re adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ _language_re = re.compile($/;" v class:UserAgentParser +_lapack_alias adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^_lapack_alias = {$/;" v +_lapl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def _lapl(self):$/;" m class:CommonStateObject +_laplace adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _laplace(key, shape, dtype):$/;" f +_laplacian_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_laplacian.py /^def _laplacian_dense(graph, normed=False, axis=0):$/;" f +_laplacian_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_laplacian.py /^def _laplacian_sparse(graph, normed=False, axis=0):$/;" f +_largest_export_versions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _largest_export_versions(n):$/;" f +_largest_integer_by_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _largest_integer_by_dtype(dt):$/;" f +_large_compatible_negative adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^def _large_compatible_negative(tensor_type):$/;" f +_LARGE_SPARSE_NUM_ELEMENTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^_LARGE_SPARSE_NUM_ELEMENTS = 100000000$/;" v +_LARGE_VARIABLE_NUM_ELEMENTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^_LARGE_VARIABLE_NUM_ELEMENTS = 1e9$/;" v +_LAST_GLIBC_MINOR adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^_LAST_GLIBC_MINOR = collections.defaultdict(lambda: 50) # type: Dict[int, int]$/;" v +_last_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _last_id(self):$/;" m class:Graph +_last_returned_call_from_interceptors adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ _last_returned_call_from_interceptors = Optional[_base_call.UnaryStreamCall]$/;" v class:InterceptedStreamStreamCall +_last_returned_call_from_interceptors adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ _last_returned_call_from_interceptors = Optional[_base_call.UnaryStreamCall]$/;" v class:InterceptedUnaryStreamCall +_latest_checkpoints_changed adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _latest_checkpoints_changed(configs, run_path_pairs):$/;" f +_latest_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _latest_ckpt(self):$/;" m class:DatasetSerializationTestBase +_latin1_encode adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ _latin1_encode = operator.methodcaller("encode", "latin1")$/;" v +_lattice_upper_bounds adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^_lattice_upper_bounds = _make_lattice_upper_bounds()$/;" v +_launch_cli adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _launch_cli(self):$/;" m class:LocalCLIDebugWrapperSession +_LAX_COMPARATORS adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^_LAX_COMPARATORS = (lax.eq_p, lax.ge_p, lax.gt_p, lax.le_p, lax.lt_p, lax.ne_p)$/;" v +_lax_max_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _lax_max_taylor_rule(primal_in, series_in):$/;" f +_lax_min_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _lax_min_taylor_rule(primal_in, series_in):$/;" f +_lax_sort_multiple_array_first_arg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^_lax_sort_multiple_array_first_arg = ($/;" v +_lax_sort_multiple_array_shape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^_lax_sort_multiple_array_shape = (100,)$/;" v +_layered_LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def _layered_LSTM($/;" f +_layerinfo adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^def _layerinfo(file):$/;" f +_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _layers(self):$/;" m class:TrackableDataStructure +_layer_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _layer_checkpoint_dependencies(self):$/;" m class:Functional +_layer_name_for_i adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def _layer_name_for_i(self, i):$/;" m class:_SubclassModel +_layer_norm_grad_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^def _layer_norm_grad_ref(axis, gout_full, norm, mean_full, stdev_full, X_full):$/;" f +_layer_norm_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^def _layer_norm_ref(axis, epsilon, X):$/;" f +_layer_norm_with_affine_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/layer_norm_op_test.py /^def _layer_norm_with_affine_ref(axis, epsilon, X, gamma, beta):$/;" f +_LAYER_REGISTRY adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^_LAYER_REGISTRY = {}$/;" v +_LAYER_UNDEFINED_CONFIG_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^_LAYER_UNDEFINED_CONFIG_KEY = 'layer was saved without config'$/;" v +_LAYOUT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_LAYOUT = _descriptor.Descriptor($/;" v +_layout adpepsenv/lib/python3.8/site-packages/torch/types.py /^_layout = torch.layout$/;" v +_layout adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def _layout(self, results: List[common.Measurement]):$/;" m class:Compare +_layoutboxobjnum adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^_layoutboxobjnum = itertools.count()$/;" v +_LAYOUTPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_LAYOUTPROTO = _descriptor.Descriptor($/;" v +_lazily_initialize adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ def _lazily_initialize(self):$/;" m class:PersistentOpEvaluator +_lazy adpepsenv/lib/python3.8/site-packages/tensorboard/compat/__init__.py /^import tensorboard.lazy as _lazy$/;" I +_lazy adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^from tensorboard import lazy as _lazy$/;" x +_LazyBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _LazyBuilder(object):$/;" c +_lazyclassproperty adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _lazyclassproperty(object):$/;" c +_lazyclassproperty adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _lazyclassproperty(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class _LazyDescr(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class _LazyDescr(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class _LazyDescr(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class _LazyDescr(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/six.py /^class _LazyDescr(object):$/;" c +_LazyDescr adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class _LazyDescr(object):$/;" c +_LazyDtypes adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class _LazyDtypes:$/;" c +_LazyEvalTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _LazyEvalTensor(core.Tensor):$/;" c +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyLoader adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.util.lazy_loader import LazyLoader as _LazyLoader$/;" x +_LazyModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class _LazyModule(types.ModuleType):$/;" c +_LazyModule adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class _LazyModule(types.ModuleType):$/;" c +_LazyModule adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class _LazyModule(types.ModuleType):$/;" c +_LazyModule adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class _LazyModule(types.ModuleType):$/;" c +_LazyModule adpepsenv/lib/python3.8/site-packages/six.py /^class _LazyModule(types.ModuleType):$/;" c +_LazyModule adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class _LazyModule(types.ModuleType):$/;" c +_lazyselect adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def _lazyselect(condlist, choicelist, arrays, default=0):$/;" f +_LazyTickList adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^class _LazyTickList:$/;" c +_lazywhere adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def _lazywhere(cond, arrays, f, fillvalue=None, f2=None):$/;" f +_lazy_call adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _lazy_call(callable):$/;" f +_lazy_force_computation adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _lazy_force_computation(aval: core.ShapedArray,$/;" f +_LAZY_IMPORTED_DYNDEPS adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep.py /^_LAZY_IMPORTED_DYNDEPS = set()$/;" v +_lazy_init adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _lazy_init():$/;" f +_lazy_init adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def _lazy_init(self):$/;" m class:Measurement +_lazy_init_scale_growth_tracker adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _lazy_init_scale_growth_tracker(self, dev):$/;" m class:GradScaler +_LAZY_LOADING adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_LAZY_LOADING = False$/;" v +_LAZY_LOADING_MODULE_TEXT_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_LAZY_LOADING_MODULE_TEXT_TEMPLATE = """$/;" v +_lazy_new adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _lazy_new(cls, *args, **kwargs):$/;" f +_lazy_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _lazy_read(self, op):$/;" m class:BaseResourceVariable +_lazy_tensor_creator_converter adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^def _lazy_tensor_creator_converter(value, dtype=None, name=None, as_ref=False):$/;" f +_lbracket adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _lbracket = "["$/;" v class:URL +_lbracket adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _lbracket = b"["$/;" v class:BytesURL +_lcm adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _lcm(a, b):$/;" f +_lcpl adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def _lcpl(self):$/;" m class:CommonStateObject +_ld adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^from .._lib import doccer as _ld$/;" x +_ldl_construct_tri_factor adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_ldl.py /^def _ldl_construct_tri_factor(lu, swap_vec, pivs, lower=True):$/;" f +_ldl_get_d_and_l adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_ldl.py /^def _ldl_get_d_and_l(ldu, pivs, lower=True, hermitian=True):$/;" f +_ldl_sanitize_ipiv adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_ldl.py /^def _ldl_sanitize_ipiv(a, lower=True):$/;" f +_leading_term adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def _leading_term(self) -> Tuple['Mon', int]:$/;" m class:Poly +_leading_trailing adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _leading_trailing(a, edgeitems, index=()):$/;" f +_LEADING_WHITESPACE adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^_LEADING_WHITESPACE = re.compile(r'\\s*')$/;" v +_LEADING_ZEROS_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_LEADING_ZEROS_DOC="""\\$/;" v +_LEAF adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_LEAF = _descriptor.Descriptor($/;" v +_LeakyReluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _LeakyReluGrad(op, grad):$/;" f +_LeakyReluGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _LeakyReluGradGrad(op, grad):$/;" f +_LearnableFakeQuantize adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^class _LearnableFakeQuantize(nn.Module):$/;" c +_LearnableFakeQuantizePerChannelOp adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^class _LearnableFakeQuantizePerChannelOp(torch.autograd.Function):$/;" c +_LearnableFakeQuantizePerTensorOp adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^class _LearnableFakeQuantizePerTensorOp(torch.autograd.Function):$/;" c +_LearnedUnigramCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_LearnedUnigramCandidateSamplerOutput = collections.namedtuple($/;" v +_LEARNINGRATE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_LEARNINGRATE = _descriptor.Descriptor($/;" v +_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^_LEARNING_RATE = 0.3$/;" v +_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^_LEARNING_RATE = 0.05$/;" v +_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^_LEARNING_RATE = 0.2$/;" v +_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^_LEARNING_RATE = 0.05$/;" v +_LEARNING_RATE_INJECTION adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^_LEARNING_RATE_INJECTION = "lr_injection"$/;" v +_least_upper_bound adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def _least_upper_bound(*nodes):$/;" f +_LeftShift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _LeftShift(x):$/;" f +_left_delim adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _left_delim = set(r"( [ \\{ < \\lfloor \\langle \\lceil".split())$/;" v class:Parser +_LEGACYPADDING adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^_LEGACYPADDING = _descriptor.EnumDescriptor($/;" v +_LegacyRebatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^class _LegacyRebatchDataset(dataset_ops.UnaryDataset):$/;" c +_LegacySnapshotDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^class _LegacySnapshotDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_legacy_cmpkey adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def _legacy_cmpkey(version):$/;" f +_legacy_cmpkey adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def _legacy_cmpkey(version):$/;" f +_legacy_cmpkey adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def _legacy_cmpkey(version):$/;" f +_legacy_cmpkey adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def _legacy_cmpkey(version):$/;" f +_legacy_fetch_build_egg adpepsenv/lib/python3.8/site-packages/setuptools/installer.py /^def _legacy_fetch_build_egg(dist, req):$/;" f +_LEGACY_GZIP_COMPRESSION_VALS adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^_LEGACY_GZIP_COMPRESSION_VALS = frozenset(range(10))$/;" v +_legacy_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _legacy_key(s):$/;" f +_legacy_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _legacy_load(f, map_location, pickle_module, **pickle_load_args):$/;" f +_LEGACY_MANYLINUX_MAP adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^_LEGACY_MANYLINUX_MAP = {$/;" v +_legacy_print_templates adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^_legacy_print_templates = dict($/;" v +_legacy_save adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _legacy_save(obj, f, pickle_module, pickle_protocol) -> None:$/;" f +_legacy_version_component_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^_legacy_version_component_re = re.compile(r"(\\d+ | [a-z]+ | \\.| -)", re.VERBOSE)$/;" v +_legacy_version_component_re adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^_legacy_version_component_re = re.compile(r"(\\d+ | [a-z]+ | \\.| -)", re.VERBOSE)$/;" v +_legacy_version_component_re adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^_legacy_version_component_re = re.compile($/;" v +_legacy_version_component_re adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^_legacy_version_component_re = re.compile(r"(\\d+ | [a-z]+ | \\.| -)", re.VERBOSE)$/;" v +_legacy_version_replacement_map adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^_legacy_version_replacement_map = {$/;" v +_legacy_version_replacement_map adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^_legacy_version_replacement_map = {$/;" v +_legacy_version_replacement_map adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^_legacy_version_replacement_map = {$/;" v +_legacy_version_replacement_map adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^_legacy_version_replacement_map = {$/;" v +_legacy_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/hdf5_format.py /^def _legacy_weights(layer):$/;" f +_legacy_zip_load adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _legacy_zip_load(filename, model_dir, map_location):$/;" f +_legal_cookie_chars adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_legal_cookie_chars = ($/;" v +_legal_cookie_chars_re adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_legal_cookie_chars_re = br"[\\w\\d!#%&\\'~_`><@,:\/\\$\\*\\+\\-\\.\\^\\|\\)\\(\\?\\}\\{\\=]"$/;" v +_len adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def _len(self, ignored_tracer):$/;" m class:ShapedArray +_len adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _len(g, self):$/;" f +_len adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _len(g, self):$/;" f +_lengths_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _lengths_ref(X, Y):$/;" f member:TorchIntegration._test_lengths_op file: +_length_split_op_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/length_split_op_test.py /^ def _length_split_op_ref(self, input_lengths, n_split_array):$/;" m class:TestLengthSplitOperator +_len_guards adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def _len_guards(M):$/;" f +_len_range_fill adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/filler_ops_test.py /^ def _len_range_fill(lengths):$/;" f member:TestFillerOperator.test_lengths_range_fill file: +_LEN_TABLE_NAME_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_LEN_TABLE_NAME_PREFIX = len(_TABLE_NAME_PREFIX)$/;" v +_lerp adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/compressor.py /^def _lerp(x, x0, x1, y0, y1):$/;" f +_LessThan adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _LessThan(Constraint):$/;" c +_less_equal_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _less_equal_flops(graph, node):$/;" f +_less_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _less_flops(graph, node):$/;" f +_less_to_canonical adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def _less_to_canonical(cls, cfun, ub, keep_feasible):$/;" m class:CanonicalConstraint +_level_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_level_names = {$/;" v +_LEVEL_PROTO_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^_LEVEL_PROTO_MAP = {$/;" v +_LgammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _LgammaGrad(op, grad):$/;" f +_lhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _lhp(x, y):$/;" f +_LIBDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_LIBDEF = _descriptor.Descriptor($/;" v +_libs_with_msvc_and_fortran adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def _libs_with_msvc_and_fortran(self, fcompiler, c_libraries,$/;" m class:build_ext +_lib_atlas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_atlas = ['atlas_r']$/;" v class:atlas_info +_lib_atlas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_atlas = _lib_names$/;" v class:atlas_3_10_info +_lib_atlas adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_atlas = _lib_names$/;" v class:atlas_3_10_threads_info +_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_dirs = [$/;" v +_lib_dirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_dirs = [d.replace('\/', os.sep) for d in _lib_dirs]$/;" v +_lib_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_lapack = ['alapack_r']$/;" v class:atlas_info +_lib_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_lapack = ['lapack']$/;" v class:atlas_info +_lib_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_lapack = _lib_names$/;" v class:atlas_3_10_info +_lib_lapack adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_lapack = _lib_names$/;" v class:atlas_3_10_threads_info +_lib_mkl adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_mkl = ['mkl_rt']$/;" v class:mkl_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['accelerate', 'veclib']$/;" v class:accelerate_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['amd']$/;" v class:amd_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['blas']$/;" v class:blas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['blis']$/;" v class:blis_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['f77blas', 'cblas']$/;" v class:atlas_blas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['f77blas', 'cblas']$/;" v class:atlas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['flame']$/;" v class:flame_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['lapack']$/;" v class:lapack_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['lapack_atlas'] + atlas_info._lib_names$/;" v class:lapack_atlas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['lapack_atlas'] + atlas_threads_info._lib_names$/;" v class:lapack_atlas_threads_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['openblas', 'lapack']$/;" v class:openblas_clapack_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['openblas']$/;" v class:openblas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['openblas']$/;" v class:openblas_lapack_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['openblas64']$/;" v class:openblas_ilp64_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['openblas64_']$/;" v class:openblas64__info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['ptf77blas', 'ptcblas']$/;" v class:atlas_blas_threads_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['ptf77blas', 'ptcblas']$/;" v class:atlas_threads_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['satlas']$/;" v class:atlas_3_10_blas_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['satlas']$/;" v class:atlas_3_10_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['tatlas']$/;" v class:atlas_3_10_blas_threads_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['tatlas']$/;" v class:atlas_3_10_threads_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['umfpack']$/;" v class:umfpack_info +_lib_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _lib_names = ['X11']$/;" v class:x11_info +_lift_linearized adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _lift_linearized(jaxpr, primal_avals, consts, io_tree, out_pvals, *py_args):$/;" f +_lift_single_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def _lift_single_variable(old_variable, graph, variable_holder):$/;" f +_lift_unlifted_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^def _lift_unlifted_variables(graph, variable_holder):$/;" f +_limit_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^def _limit_rational(val, max_val):$/;" f +_limit_signed_rational adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^def _limit_signed_rational(val, max_val, min_val):$/;" f +_linalg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^from tensorflow.python.ops.linalg import linalg_impl as _linalg$/;" x +_linalgRealType adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _linalgRealType(t):$/;" f +_linalg_error_extobj adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^_linalg_error_extobj = _determine_error_states()$/;" v +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _line = staticmethod(chebline)$/;" v class:Chebyshev +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _line = staticmethod(hermline)$/;" v class:Hermite +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _line = staticmethod(hermeline)$/;" v class:HermiteE +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _line = staticmethod(lagline)$/;" v class:Laguerre +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _line = staticmethod(legline)$/;" v class:Legendre +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _line = staticmethod(polyline)$/;" v class:Polynomial +_line adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _line(off, scl):$/;" m class:ABCPolyBase +_LINE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_LINE = _descriptor.Descriptor($/;" v +_line2d_seg_dist adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def _line2d_seg_dist(p1, p2, p0):$/;" f +_LinearModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _LinearModel(base.Layer):$/;" c +_LinearModelLayer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class _LinearModelLayer(tf.keras.layers.Layer):$/;" c +_LinearSolveTuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^class _LinearSolveTuple(collections.namedtuple($/;" c +_LinearWithBias adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^class _LinearWithBias(Linear):$/;" c +_linear_anneal adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def _linear_anneal(t):$/;" m class:SWALR +_linear_banded_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _linear_banded_jac(t, y, a):$/;" f +_linear_func adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _linear_func(t, y, a):$/;" f +_linear_indices_and_weights adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^def _linear_indices_and_weights(coordinate):$/;" f +_linear_ix adpeps/utils/tlist.py /^ def _linear_ix(self, i, j):$/;" m class:TList +_linear_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _linear_jac(t, y, a):$/;" f +_linear_learning_rate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _linear_learning_rate(num_linear_feature_columns):$/;" f +_LINEAR_LEARNING_RATE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^_LINEAR_LEARNING_RATE = 0.005$/;" v +_linear_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _linear_model_fn(features,$/;" f +_linear_model_fn_builder_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _linear_model_fn_builder_v2(units,$/;" f +_linear_model_fn_v2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _linear_model_fn_v2(features,$/;" f +_linear_operator_algebra adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import linear_operator_algebra as _linear_operator_algebra$/;" x +_linear_operator_difference adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _linear_operator_difference(fun, x0, f0, h, method):$/;" f +_linear_predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _linear_predictions(self, examples):$/;" m class:_SDCAModel +_linear_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _linear_solve(*args: TfVal, const_lengths, jaxprs, _in_avals, _out_aval):$/;" f +_linear_solve_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _linear_solve_abstract_eval(*args, const_lengths, jaxprs):$/;" f +_linear_solve_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _linear_solve_batching_rule(args, dims, axis_name, const_lengths, jaxprs):$/;" f +_linear_solve_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _linear_solve_transpose_rule(cotangent, *primals, const_lengths, jaxprs):$/;" f +_linecache_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/inspect_utils.py /^_linecache_lock = threading.Lock()$/;" v +_LINENO_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _LINENO_HEAD = "lineno"$/;" v class:ProfileAnalyzer +_LineSearchError adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^class _LineSearchError(RuntimeError):$/;" c +_LineSearchResults adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^class _LineSearchResults(NamedTuple):$/;" c +_LineSearchState adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^class _LineSearchState(NamedTuple):$/;" c +_linesearch_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _linesearch_powell(func, p, xi, tol=1e-3,$/;" f +_lineText adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _lineText(self, f, l):$/;" m class:TextLineDatasetTestBase +_LINE_COST_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _LINE_COST_ATTR = cli_shared.COLOR_CYAN$/;" v class:ProfileAnalyzer +_line_for_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _line_for_search(x0, alpha, lower_bound, upper_bound):$/;" f +_line_func_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def _line_func_1(self, x):$/;" m class:TestLineSearch +_line_func_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def _line_func_2(self, x):$/;" m class:TestLineSearch +_line_inspector adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^_line_inspector = martist.ArtistInspector(mlines.Line2D)$/;" v +_line_marker_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _line_marker_path = Path([[0.0, -1.0], [0.0, 1.0]])$/;" v class:MarkerStyle +_LINE_NUM_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _LINE_NUM_ATTR = cli_shared.COLOR_YELLOW$/;" v class:ProfileAnalyzer +_line_param_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^_line_param_aliases = [list(d)[0] for d in _line_inspector.aliasd.values()]$/;" v +_line_param_names adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^_line_param_names = _line_inspector.get_setters()$/;" v +_line_parse adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^def _line_parse(line):$/;" f +_LINE_PREFIX_1_2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_LINE_PREFIX_1_2 = re.compile('\\n \\\\|')$/;" v +_LINE_PREFIX_PRE_1_2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_LINE_PREFIX_PRE_1_2 = re.compile('\\n ')$/;" v +_line_re adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^_line_re = re.compile(br"^(.*?)$", re.MULTILINE)$/;" v +_line_search_wolfe12 adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _line_search_wolfe12(f, fprime, xk, pk, gfk, old_fval, old_old_fval,$/;" f +_Link adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^class _Link(object):$/;" c +_link adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def _link (self, body,$/;" m class:config +_link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _link(self, body, headers, include_dirs, libraries, library_dirs,$/;" m class:config +_LINKAGE_METHODS adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_LINKAGE_METHODS = {'single': 0, 'complete': 1, 'average': 2, 'centroid': 3,$/;" v +_linklocal_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _linklocal_network = IPv4Network('169.254.0.0\/16')$/;" v class:_IPv4Constants +_linklocal_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _linklocal_network = IPv6Network('fe80::\/10')$/;" v class:_IPv6Constants +_link_line_colors adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_link_line_colors = list(_link_line_colors_default)$/;" v +_link_line_colors_default adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^_link_line_colors_default = ('C1', 'C2', 'C3', 'C4', 'C5', 'C6', 'C7', 'C8', 'C9')$/;" v +_link_wrapper_lib adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def _link_wrapper_lib(self, objects, output_dir, extra_dll_dir,$/;" m class:Gnu95FCompiler +_linprog_highs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_highs.py /^def _linprog_highs(lp, solver, time_limit=None, presolve=True,$/;" f +_linprog_highs_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_highs_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linprog_highs_ds_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_highs_ds_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linprog_highs_ipm_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_highs_ipm_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linprog_ip adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _linprog_ip(c, c0, A, b, callback, postsolve_args, maxiter=1000, tol=1e-8,$/;" f +_linprog_ip_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_ip_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linprog_rs adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _linprog_rs(c, c0, A, b, x0, callback, postsolve_args,$/;" f +_linprog_rs_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_rs_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linprog_simplex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_simplex.py /^def _linprog_simplex(c, c0, A, b, callback, postsolve_args,$/;" f +_linprog_simplex_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_doc.py /^def _linprog_simplex_doc(c, A_ub=None, b_ub=None, A_eq=None, b_eq=None,$/;" f +_linspace_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def _linspace_dispatcher(start, stop, num=None, endpoint=None, retstep=None,$/;" f +_linux_platforms adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _linux_platforms(is_32bit=_32_BIT_INTERPRETER):$/;" f +_linux_platforms adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _linux_platforms(is_32bit=_32_BIT_INTERPRETER):$/;" f +_linux_platforms adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _linux_platforms(is_32bit=_32_BIT_INTERPRETER):$/;" f +_linux_platforms adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _linux_platforms(is_32bit=_32_BIT_INTERPRETER):$/;" f +_lin_est adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _lin_est(data):$/;" f +_lin_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _lin_fcn(B, x):$/;" f +_lin_fjb adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _lin_fjb(B, x):$/;" f +_lin_fjd adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _lin_fjd(B, x):$/;" f +_List adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _List(self, t):$/;" m class:Unparser +_list adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _list(self, construct_time_series, index):$/;" m class:MultiplexerDataProvider +_list adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _list(g, self):$/;" f +_ListCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _ListCodec(object):$/;" c +_ListComp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _ListComp(self, t):$/;" m class:Unparser +_ListDiffOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_ListDiffOutput = collections.namedtuple($/;" v +_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _listdir(self, fspath):$/;" m class:ZipProvider +_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:DefaultProvider +_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:EmptyProvider +_listdir adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:NullProvider +_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _listdir(self, fspath):$/;" m class:ZipProvider +_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:DefaultProvider +_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:EmptyProvider +_listdir adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _listdir(self, path):$/;" m class:NullProvider +_Listener adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^class _Listener(object):$/;" c +_ListFetchMapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^class _ListFetchMapper(_FetchMapper):$/;" c +_LISTFIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_LISTFIELDS = ('Platform', 'Classifier', 'Obsoletes',$/;" v +_listify_validator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _listify_validator(scalar_validator, allow_stringlist=False, *,$/;" f +_ListIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _ListIntent(_Intent):$/;" c +_LISTMETRICEVALSREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_LISTMETRICEVALSREQUEST = _descriptor.Descriptor($/;" v +_ListOrSeq adpepsenv/lib/python3.8/site-packages/torch/functional.py /^ _ListOrSeq = Sequence[Tensor]$/;" v +_LISTSESSIONGROUPSREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_LISTSESSIONGROUPSREQUEST = _descriptor.Descriptor($/;" v +_LISTSESSIONGROUPSRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_LISTSESSIONGROUPSRESPONSE = _descriptor.Descriptor($/;" v +_LISTTUPLEFIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_LISTTUPLEFIELDS = ('Project-URL',)$/;" v +_LISTVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_LISTVALUE = _descriptor.Descriptor($/;" v +_LISTVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_LISTVALUE = _descriptor.Descriptor($/;" v +_LISTVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_LISTVALUE = _descriptor.Descriptor($/;" v +_ListValueMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ListValueMessageToJsonObject(self, message):$/;" m class:_Printer +_list_all_concrete_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _list_all_concrete_functions(self):$/;" m class:Function +_list_all_concrete_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def _list_all_concrete_functions_for_serialization(self):$/;" m class:Function +_list_all_concrete_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^ def _list_all_concrete_functions_for_serialization(self):$/;" m class:RestoredFunction +_list_extra_dependencies_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _list_extra_dependencies_for_serialization(self, serialization_cache):$/;" m class:Layer +_list_extra_dependencies_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _list_extra_dependencies_for_serialization(self, serialization_cache):$/;" m class:Layer +_list_extra_dependencies_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _list_extra_dependencies_for_serialization(self, serialization_cache):$/;" m class:_DelegatingTrackableMixin +_list_extra_dependencies_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _list_extra_dependencies_for_serialization(self, serialization_cache):$/;" m class:Trackable +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _list_functions_for_serialization(self, serialization_cache):$/;" m class:Layer +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _list_functions_for_serialization(self, serialization_cache):$/;" m class:Layer +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _list_functions_for_serialization(self, serialization_cache):$/;" m class:Model +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _list_functions_for_serialization(self, serialization_cache):$/;" m class:_DelegatingTrackableMixin +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _list_functions_for_serialization(self, unused_serialization_cache):$/;" m class:_RestoredResource +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def _list_functions_for_serialization(self, unused_serialization_cache):$/;" m class:_SignatureMap +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _list_functions_for_serialization(self, serialization_cache):$/;" m class:Trackable +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _list_functions_for_serialization(self, unused_functions):$/;" m class:ListWrapper +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _list_functions_for_serialization(self, unused_serialization_cache):$/;" m class:_DictWrapper +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _list_functions_for_serialization(self, unused_functions):$/;" m class:CapturableResource +_list_functions_for_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _list_functions_for_serialization(self, unused_serialization_cache):$/;" m class:AutoTrackable +_list_function_deps adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _list_function_deps(fdef, library_function_names):$/;" f +_list_inputs_or_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _list_inputs_or_outputs(self,$/;" m class:DebugAnalyzer +_list_keys adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ _list_keys = ['packages', 'ext_modules', 'data_files', 'include_dirs',$/;" v class:Configuration +_list_node_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _list_node_attributes(self, node_name):$/;" m class:DebugAnalyzer +_list_node_dumps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _list_node_dumps(self, node_name):$/;" m class:DebugAnalyzer +_list_product adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _list_product(lst):$/;" f +_list_profile_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^def _list_profile_filter($/;" f +_list_profile_sort_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^def _list_profile_sort_key(profile_datum, sort_by):$/;" f +_list_supported_ops adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^def _list_supported_ops():$/;" f +_list_unsupported_tensor_ops adpepsenv/lib/python3.8/site-packages/torch/jit/unsupported_tensor_ops.py /^def _list_unsupported_tensor_ops():$/;" f +_list_valid_filenames_in_directory adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^def _list_valid_filenames_in_directory(directory, white_list_formats, split,$/;" f +_list_with_default adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^def _list_with_default(out_size, defaults):$/;" f +_LiteAggregateOperand adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^class _LiteAggregateOperand(_LiteOperand):$/;" c +_LiteFuncCall adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^class _LiteFuncCall(object):$/;" c +_LiteOperand adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^class _LiteOperand(object):$/;" c +_Literal adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _Literal(typing._FinalTypingBase, _root=True):$/;" c +_LiteralForm adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _LiteralForm(typing._SpecialForm, _root=True):$/;" c +_LiteralMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _LiteralMeta(typing.TypingMeta):$/;" c +_LITERALPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_LITERALPROTO = _descriptor.Descriptor($/;" v +_LiteSingleOperand adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^class _LiteSingleOperand(_LiteOperand):$/;" c +_ll adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework import load_library as _ll$/;" x +_ll adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework import load_library as _ll$/;" x +_ln2 adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^_ln2 = nx.log(2.0)$/;" v +_lnB adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _lnB(alpha):$/;" f +_load adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _load(self):$/;" m class:_FileOpeners +_load adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _load(self):$/;" m class:BLP1Decoder +_load adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _load(self):$/;" m class:BLP2Decoder +_load adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^ def _load(self):$/;" m class:BufrStubImageFile +_load adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^ def _load(self):$/;" m class:FITSStubImageFile +_load adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^ def _load(self):$/;" m class:GribStubImageFile +_load adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^ def _load(self):$/;" m class:HDF5StubImageFile +_load adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def _load(self):$/;" m class:StubImageFile +_load adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ def _load(self):$/;" m class:WmfStubImageFile +_load adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _load(self):$/;" m class:TarFile +_load adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _load(self):$/;" m class:PthDistributions +_load adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.saved_model.load import load as _load$/;" x +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def _load(self):$/;" m class:LazyLoader +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _load(self) -> Callable[..., ops.Operation]:$/;" m class:Adagrad +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _load(self) -> Callable[..., ops.Operation]:$/;" m class:Adam +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _load(self) -> Callable[..., ops.Operation]:$/;" m class:SGD +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _load(self) -> Callable[..., ops.Operation]:$/;" m class:_Optimizer +_load adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^ def _load(self):$/;" m class:LazyLoader +_load adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _load(zip_file, map_location, pickle_module, pickle_file='data.pkl', **pickle_load_args):$/;" f +_loadarff adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^def _loadarff(ofile):$/;" f +_LoadDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^class _LoadDataset(dataset_ops.DatasetSource):$/;" c +_loader_impl adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.saved_model import loader_impl as _loader_impl$/;" x +_LoadInternal adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def _LoadInternal(self):$/;" m class:DirectoryWatcher +_LoadPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^ def _LoadPath(self, path):$/;" m class:DirectoryLoader +_loads adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _loads(self, obj):$/;" m class:TestPickling +_LoadStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _LoadStatus(object):$/;" c +_loads_v0 adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def _loads_v0(self, request, data):$/;" m class:Serializer +_loads_v1 adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def _loads_v1(self, request, data):$/;" m class:Serializer +_loads_v2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def _loads_v2(self, request, data):$/;" m class:Serializer +_loads_v3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def _loads_v3(self, request, data):$/;" m class:Serializer +_loads_v4 adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/serialize.py /^ def _loads_v4(self, request, data):$/;" m class:Serializer +_LoadTest adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def _LoadTest(keep_device, device_type, gpu_id, blobs, loadAll):$/;" f member:TestLoadSaveBase.load_save file: +_loadtxt_chunksize adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^_loadtxt_chunksize = 50000$/;" v +_load_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _load_all(self):$/;" m class:Loader +_load_all_device_dumps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_all_device_dumps(self, partition_graphs, validate):$/;" m class:DebugDumpDir +_load_and_remap_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_ops.py /^def _load_and_remap_matrix(ckpt_path,$/;" f +_load_and_remap_matrix_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_ops.py /^def _load_and_remap_matrix_initializer(ckpt_path,$/;" f +_load_and_run_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def _load_and_run_model(self,$/;" m class:TestSavedModelBase +_load_array_isstrarray adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def _load_array_isstrarray(self, a):$/;" m class:TomlDecoder +_load_attr_from_module adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _load_attr_from_module(module, func_name):$/;" f +_load_bitmap adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^def _load_bitmap(filename):$/;" f +_load_bitmaps adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def _load_bitmaps(self, metrics):$/;" m class:PcfFontFile +_load_check_case adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def _load_check_case(name, files, case):$/;" f +_load_client_cert_chain adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _load_client_cert_chain(keychain, *paths):$/;" f +_load_client_cert_chain adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _load_client_cert_chain(keychain, *paths):$/;" f +_load_config_files adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _load_config_files(self):$/;" m class:Configuration +_load_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^_load_context = LoadContext()$/;" v +_load_core_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_core_metadata(self):$/;" m class:DebugDumpDir +_load_data adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^def _load_data(name):$/;" f +_load_date adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _load_date(val):$/;" f +_load_debugged_source_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def _load_debugged_source_file(file_path, source_file_proto):$/;" f +_load_device_dumps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_device_dumps(self, device_name, device_root):$/;" m class:DebugDumpDir +_load_dispatch adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^_load_dispatch = {}$/;" v +_load_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _load_edges(self):$/;" m class:KerasObjectLoader +_load_edges adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _load_edges(self):$/;" m class:Loader +_load_embedding_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_ops.py /^def _load_embedding_initializer(ckpt_path,$/;" f +_load_encoding adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def _load_encoding(self):$/;" m class:PcfFontFile +_load_entry_from_hubconf adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _load_entry_from_hubconf(m, model):$/;" f +_load_environment_vars adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _load_environment_vars(self):$/;" m class:Configuration +_load_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_execution(self):$/;" m class:DebugDataReader +_load_feeds_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_feeds_info(self):$/;" m class:DebugDumpDir +_load_fetches_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_fetches_info(self):$/;" m class:DebugDumpDir +_load_file adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _load_file(self, variant, fname):$/;" m class:Configuration +_load_form_data adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def _load_form_data(self):$/;" m class:BaseRequest +_load_for_lite_interpreter adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^def _load_for_lite_interpreter(f, map_location=None):$/;" f +_load_from_bytes adpepsenv/lib/python3.8/site-packages/torch/storage.py /^def _load_from_bytes(b):$/;" f +_load_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def _load_from_file(self):$/;" m class:CLIConfig +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict, missing_keys, un/;" m class:_ConvBnNd +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:_NormBase +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:_InstanceNorm +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:Module +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:Linear +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:PackedParameter +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:RNNBase +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:RNNCellBase +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:_ConvNd +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:EmbeddingPackedParams +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:QFunctional +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:Linear +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:LinearPackedParams +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:FakeQuantize +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:HistogramObserver +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:_ObserverBase +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _load_from_state_dict(self, state_dict: Union[Dict[str, torch.Tensor], Dict[str, torch.T/;" m class:PerChannelMinMaxObserver +_load_from_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def _load_from_state_dict(self, state_dict, prefix, local_metadata, strict,$/;" m class:_LearnableFakeQuantize +_load_from_state_dict_script adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _load_from_state_dict_script(self, state_dict: Union[Dict[str, torch.Tensor], Dict[str, /;" m class:PerChannelMinMaxObserver +_load_global_deps adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^def _load_global_deps():$/;" f +_load_global_step_from_checkpoint_dir adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _load_global_step_from_checkpoint_dir(checkpoint_dir):$/;" f +_load_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_graphs(self):$/;" m class:DebugDataReader +_load_graph_def_from_event_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _load_graph_def_from_event_file(event_file_path):$/;" f +_load_graph_execution_traces adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_graph_execution_traces(self):$/;" m class:DebugDataReader +_load_history_from_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _load_history_from_file(self):$/;" m class:CommandHistory +_load_ipython_extension adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _load_ipython_extension(ipython):$/;" f +_load_items_from_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _load_items_from_file(keychain, path):$/;" f +_load_items_from_file adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _load_items_from_file(keychain, path):$/;" f +_load_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _load_layer(self, node_id, identifier, metadata):$/;" m class:KerasObjectLoader +_load_libtiff adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _load_libtiff(self):$/;" m class:TiffImageFile +_load_line_multiline_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def _load_line_multiline_str(self, p):$/;" m class:TomlDecoder +_load_local adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _load_local(hubconf_dir, model, *args, **kwargs):$/;" f +_load_log_message_from_event_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^def _load_log_message_from_event_file(event_file_path):$/;" f +_load_metadata_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_metadata_files(self):$/;" m class:DebugEventsReader +_load_metrics adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def _load_metrics(self):$/;" m class:PcfFontFile +_load_module adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _load_module(self, name: str):$/;" m class:PackageImporter +_load_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _load_nodes(self):$/;" m class:Loader +_load_partition_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _load_partition_graphs(self, client_partition_graphs, validate):$/;" m class:DebugDumpDir +_load_pilfont adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def _load_pilfont(self, filename):$/;" m class:ImageFont +_load_pilfont_data adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def _load_pilfont_data(self, file, image):$/;" m class:ImageFont +_load_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_der(cls, keyfile: bytes) -> 'AbstractKey':$/;" m class:AbstractKey +_load_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_der(cls, keyfile: bytes) -> 'PrivateKey':$/;" m class:PrivateKey +_load_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_der(cls, keyfile: bytes) -> 'PublicKey':$/;" m class:PublicKey +_load_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_pem(cls, keyfile: bytes) -> 'AbstractKey':$/;" m class:AbstractKey +_load_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_pem(cls, keyfile: bytes) -> 'PrivateKey':$/;" m class:PrivateKey +_load_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _load_pkcs1_pem(cls, keyfile: bytes) -> 'PublicKey':$/;" m class:PublicKey +_load_properties adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def _load_properties(self):$/;" m class:PcfFontFile +_load_pyproject adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^def _load_pyproject(source_dir):$/;" f +_load_source_files adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_source_files(self):$/;" m class:DebugDataReader +_load_stack_frames adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _load_stack_frames(self):$/;" m class:DebugDataReader +_load_template adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _load_template(dev_path):$/;" m class:easy_install +_load_tf_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^def _load_tf_config(port):$/;" f +_load_tf_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^def _load_tf_config():$/;" f +_load_unicode_escapes adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _load_unicode_escapes(v, hexbytes, prefix):$/;" f +_load_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _load_variables(self):$/;" m class:TPUEmbedding +_load_variables_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def _load_variables_impl($/;" f +_lobpcg adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _lobpcg(A: Tensor,$/;" f +_loc adpeps/utils/tlist.py /^ _loc = (0,0)$/;" v class:TList +_loc adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ _loc = property(_get_loc, _set_loc)$/;" v class:Legend +_local adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^_local = Local()$/;" v +_LocalCloudTpuClient adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^class _LocalCloudTpuClient(object):$/;" c +_locale_delim_re adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^_locale_delim_re = re.compile(r"[_-]")$/;" v +_LOCALLINKS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_LOCALLINKS = _descriptor.Descriptor($/;" v +_LocalOptimizer adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^class _LocalOptimizer(object):$/;" c +_local_backend adpepsenv/lib/python3.8/site-packages/jaxlib/tpu_client.py /^ _local_backend = None$/;" v class:TpuBackend +_local_backends adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^_local_backends = None$/;" v +_local_backend_factories adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^_local_backend_factories = collections.OrderedDict([$/;" v +_LOCAL_CANCELLATION_DETAILS adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_LOCAL_CANCELLATION_DETAILS = 'Locally cancelled by application!'$/;" v +_LOCAL_CANCELLATION_DETAILS adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^_LOCAL_CANCELLATION_DETAILS = 'Locally cancelled by application!'$/;" v +_LOCAL_CPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^_LOCAL_CPU = "\/device:CPU:0"$/;" v +_LOCAL_DEVICES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_LOCAL_DEVICES = None$/;" v +_local_invoke adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^def _local_invoke(rref, func_name, args, kwargs):$/;" f +_local_invoke_async_execution adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^def _local_invoke_async_execution(rref, func_name, args, kwargs):$/;" f +_LOCAL_MASTER adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_LOCAL_MASTER = ''$/;" v +_LOCAL_MASTERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/remote.py /^_LOCAL_MASTERS = ("", "local")$/;" v +_LOCAL_MASTERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^_LOCAL_MASTERS = ("", "local")$/;" v +_LOCAL_MASTERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_LOCAL_MASTERS = ('', 'local')$/;" v +_LOCAL_MASTERS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^_LOCAL_MASTERS = ('', 'local')$/;" v +_local_optimizer_step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^def _local_optimizer_step(local_optim_rref, autograd_ctx_id):$/;" f +_local_over_kwdict adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _local_over_kwdict($/;" f +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _local_results(self, distributed_value):$/;" m class:StrategyExtendedV2 +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _local_results(self, distributed_value):$/;" m class:_DefaultDistributionExtended +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _local_results(self, val):$/;" m class:MirroredExtended +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _local_results(self, value):$/;" m class:OneDeviceExtended +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _local_results(self, val):$/;" m class:ParameterServerStrategyExtended +_local_results adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _local_results(self, val):$/;" m class:TPUExtended +_local_version_separators adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^_local_version_separators = re.compile(r"[\\._-]")$/;" v +_local_version_separators adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^_local_version_separators = re.compile(r"[\\._-]")$/;" v +_local_version_separators adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^_local_version_separators = re.compile(r"[\\._-]")$/;" v +_local_version_seperators adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^_local_version_seperators = re.compile(r"[\\._-]")$/;" v +_locate adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _locate(self, x):$/;" m class:ColorbarBase +_locate adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def _locate(self, x, y, w, h,$/;" m class:HBoxDivider +_locate_elements_in_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def _locate_elements_in_line(line, indices_list, ref_indices):$/;" f +_LOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_LOCATION = _descriptor.Descriptor($/;" v +_LOCATION_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^_LOCATION_TAG = "location"$/;" v +_Locator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ class _Locator:$/;" c function:test_date_formatter_callable file: +_Lock adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class _Lock(object):$/;" c +_lock adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^_lock = threading.Lock()$/;" v +_lock adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _lock: threading.RLock = threading.RLock()$/;" v class:ChannelCache +_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ _lock = threading.Lock()$/;" v class:BaseStagingArea +_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer_cache.py /^ _lock = threading.RLock()$/;" v class:FileWriterCache +_lock adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^def _lock():$/;" f +_lock_path adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _lock_path(path):$/;" f +_log adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/testing/__init__.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^_log = logging.getLogger(__name__)$/;" v +_log adpepsenv/lib/python3.8/site-packages/numpy/distutils/log.py /^ def _log(self, level, msg, args):$/;" m class:Log +_LOG adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^_LOG = DEBUG_NONE$/;" v +_log adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def _log(self, level, msg, args):$/;" m class:Log +_log adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^def _log(log_level, msg, *args):$/;" f +_log adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _log(type, message, *args, **kwargs):$/;" f +_Log1pGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _Log1pGrad(op, grad):$/;" f +_logaddexp2_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _logaddexp2_jvp(primals, tangents):$/;" f +_logaddexp_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _logaddexp_jvp(primals, tangents):$/;" f +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x):$/;" m class:gumbel_r_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x):$/;" m class:norm_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, a):$/;" m class:powerlaw_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, a, b):$/;" m class:truncnorm_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, c):$/;" m class:fisk_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, c):$/;" m class:genextreme_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, c):$/;" m class:weibull_max_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, c, d):$/;" m class:burr12_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, c, d):$/;" m class:burr_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, h, k):$/;" m class:kappa4_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logcdf(self, x, s):$/;" m class:lognorm_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logcdf(self, k, M, n, N):$/;" m class:hypergeom_gen +_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _logcdf(self, x, *args):$/;" m class:rv_generic +_LOGCOLLECTORCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^_LOGCOLLECTORCONFIG = _descriptor.Descriptor($/;" v +_logcosh adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _logcosh(x):$/;" f function:log_cosh file: +_logdet adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _logdet(matrix, name=None):$/;" f +_logged_cached adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _logged_cached(fmt, func=None):$/;" f +_logged_compatibility_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^_logged_compatibility_check = False$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/callable_util.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_LOGGER adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^_LOGGER = logging.getLogger(__name__)$/;" v +_logger adpepsenv/lib/python3.8/site-packages/tensorboard/util/tb_logging.py /^_logger = logging.getLogger("tensorboard")$/;" v +_logger adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_logger = None$/;" v +_logger adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_logger = None$/;" v +_LoggerLevelsFlag adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class _LoggerLevelsFlag(flags.Flag):$/;" c +_LoggerLevelsParser adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class _LoggerLevelsParser(flags.ArgumentParser):$/;" c +_LoggerLevelsSerializer adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class _LoggerLevelsSerializer(object):$/;" c +_logger_find_caller adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^ def _logger_find_caller(): # pylint: disable=g-wrong-blank-lines$/;" f +_logger_find_caller adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^ def _logger_find_caller(stack_info=False): # pylint: disable=g-wrong-blank-lines$/;" f +_logger_find_caller adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^ def _logger_find_caller(stack_info=False, stacklevel=1): # pylint: disable=g-wrong-blank-line/;" f +_logger_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_logger_lock = threading.Lock()$/;" v +_logging adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import logging as _logging$/;" I +_logging adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import logging as _logging$/;" I +_LOGGINGCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^_LOGGINGCONFIG = _descriptor.Descriptor($/;" v +_LoggingPool adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^class _LoggingPool(object):$/;" c +_LOGGING_FILE_PREFIX adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_LOGGING_FILE_PREFIX = os.path.join('logging', '__init__.')$/;" v +_LogGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _LogGrad(op, grad):$/;" f +_LOGICALBUFFERPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_LOGICALBUFFERPROTO = _descriptor.Descriptor($/;" v +_LOGICALBUFFERPROTO_LOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_LOGICALBUFFERPROTO_LOCATION = _descriptor.Descriptor($/;" v +_logical_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _logical_and(*args):$/;" f +_logical_binary_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _logical_binary_op(tf_fun, x1, x2):$/;" f +_logical_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _logical_equal(x, y):$/;" f +_logical_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _logical_not(x):$/;" f +_logical_op adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _logical_op(np_op, bitwise_op):$/;" f +_logistic adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _logistic(key, shape, dtype):$/;" f +_logistic_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def _logistic_loss(self, labels, logits):$/;" m class:LogisticRegressionHead +_LogitHelper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^class _LogitHelper:$/;" c +_loglogcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _loglogcdf(self, x, c):$/;" m class:genextreme_gen +_logm adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _logm(A):$/;" f +_LogMatrixDeterminantGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _LogMatrixDeterminantGrad(op, _, grad_b):$/;" f +_LogMatrixDeterminantOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_LogMatrixDeterminantOutput = collections.namedtuple($/;" v +_LOGMESSAGE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_LOGMESSAGE = _descriptor.Descriptor($/;" v +_LOGMESSAGE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_LOGMESSAGE = _descriptor.Descriptor($/;" v +_LOGMESSAGE_LEVEL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_LOGMESSAGE_LEVEL = _descriptor.EnumDescriptor($/;" v +_LOGMESSAGE_LEVEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_LOGMESSAGE_LEVEL = _descriptor.EnumDescriptor($/;" v +_logm_force_nonsingular_triangular_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _logm_force_nonsingular_triangular_matrix(T, inplace=False):$/;" f +_logm_superdiag_entry adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _logm_superdiag_entry(l1, l2, t12):$/;" f +_logm_triu adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _logm_triu(T):$/;" f +_LOGNDTR_FLOAT32_LOWER adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_LOGNDTR_FLOAT32_LOWER = np.array(-10, np.float32)$/;" v +_LOGNDTR_FLOAT32_UPPER adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_LOGNDTR_FLOAT32_UPPER = np.array(5, np.float32)$/;" v +_LOGNDTR_FLOAT64_LOWER adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_LOGNDTR_FLOAT64_LOWER = np.array(-20, np.float64)$/;" v +_LOGNDTR_FLOAT64_UPPER adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_LOGNDTR_FLOAT64_UPPER = np.array(8, np.float64)$/;" v +_LOGNORMALDISTRIBUTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_LOGNORMALDISTRIBUTION = _descriptor.Descriptor($/;" v +_lognorm_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _lognorm_logpdf(x, s):$/;" f +_logn_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _logn_dispatcher(n, x):$/;" f +_LogOpGradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _LogOpGradients(op, out_grads, in_grads):$/;" f +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, r):$/;" m class:rayleigh_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:expon_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:gilbrat_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:gumbel_l_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:gumbel_r_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:halfcauchy_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:halflogistic_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:halfnorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:logistic_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:maxwell_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:norm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:semicircular_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x):$/;" m class:wald_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a):$/;" m class:alpha_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a):$/;" m class:dgamma_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a):$/;" m class:gamma_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a):$/;" m class:invgamma_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a):$/;" m class:powerlaw_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, b):$/;" m class:betaprime_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, b):$/;" m class:beta_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, b):$/;" m class:reciprocal_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, b):$/;" m class:truncnorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, b, c):$/;" m class:genexpon_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, c):$/;" m class:exponweib_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, a, c):$/;" m class:gengamma_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, b):$/;" m class:exponpow_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, b):$/;" m class:truncexpon_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, beta):$/;" m class:gennorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, beta):$/;" m class:halfgennorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, beta, m):$/;" m class:crystalball_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:dweibull_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:fatiguelife_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:fisk_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:genextreme_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:genlogistic_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:genpareto_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:gompertz_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:lomax_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:powernorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:rdist_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:weibull_max_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c):$/;" m class:weibull_min_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c, d):$/;" m class:burr12_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, c, d):$/;" m class:burr_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, df):$/;" m class:chi2_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, df):$/;" m class:chi_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, df):$/;" m class:t_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, df, nc):$/;" m class:ncx2_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, dfn, dfd):$/;" m class:f_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, h, k):$/;" m class:kappa4_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, K):$/;" m class:exponnorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, k, s):$/;" m class:mielke_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, kappa):$/;" m class:laplace_asymmetric_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, mu):$/;" m class:invgauss_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, mu):$/;" m class:recipinvgauss_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, nu):$/;" m class:nakagami_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, p, b):$/;" m class:geninvgauss_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, s):$/;" m class:lognorm_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logpdf(self, x, skew):$/;" m class:pearson3_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _logpdf(self, x, *args):$/;" m class:rv_continuous +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, dims, X, mean, row_prec_rt, log_det_rowcov,$/;" m class:matrix_normal_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, x, alpha):$/;" m class:dirichlet_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, x, dim, df, scale, log_det_scale):$/;" m class:invwishart_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, x, dim, df, scale, log_det_scale, C):$/;" m class:wishart_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, x, loc, prec_U, log_pdet, df, dim, rank):$/;" m class:multivariate_t_gen +_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpdf(self, x, mean, prec_U, log_det_cov, rank):$/;" m class:multivariate_normal_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, k, M, n, N):$/;" m class:hypergeom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, k, M, n, r):$/;" m class:nhypergeom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, k, mu):$/;" m class:poisson_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, k, p):$/;" m class:geom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, x, alpha):$/;" m class:yulesimon_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, x, n, a, b):$/;" m class:betabinom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, x, n, p):$/;" m class:binom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, x, n, p):$/;" m class:nbinom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logpmf(self, x, p):$/;" m class:bernoulli_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _logpmf(self, k, *args):$/;" m class:rv_discrete +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpmf(self, x, M, m, n, mxcond, ncond):$/;" m class:multivariate_hypergeom_gen +_logpmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _logpmf(self, x, n, p):$/;" m class:multinomial_gen +_logquasipdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logquasipdf(self, x, p, b):$/;" m class:geninvgauss_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, r):$/;" m class:rayleigh_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x):$/;" m class:expon_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x):$/;" m class:gumbel_l_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x):$/;" m class:norm_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, a, b):$/;" m class:truncnorm_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c):$/;" m class:fisk_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c):$/;" m class:genpareto_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c):$/;" m class:lomax_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c):$/;" m class:weibull_min_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c, d):$/;" m class:burr12_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, c, d):$/;" m class:burr_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _logsf(self, x, s):$/;" m class:lognorm_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logsf(self, k, M, n, N):$/;" m class:hypergeom_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logsf(self, x, alpha):$/;" m class:yulesimon_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logsf(self, x, lambda_):$/;" m class:planck_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _logsf(self, x, p):$/;" m class:geom_gen +_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _logsf(self, x, *args):$/;" m class:rv_generic +_LogSoftmaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _LogSoftmaxGrad(op, grad):$/;" f +_logspace_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def _logspace_dispatcher(start, stop, num=None, endpoint=None, base=None,$/;" f +_logsumexp adpepsenv/lib/python3.8/site-packages/jax/_src/nn/functions.py /^from jax.scipy.special import logsumexp as _logsumexp$/;" x +_loguniform adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _loguniform(self, state):$/;" m class:FuzzedParameter +_LogUniformCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_LogUniformCandidateSamplerOutput = collections.namedtuple($/;" v +_LOGXMAX adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_LOGXMAX = np.log(_XMAX)$/;" v +_LOG_2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_LOG_2 = np.log(2)$/;" v +_LOG_2PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_LOG_2PI = np.log(2 * np.pi)$/;" v +_LOG_2PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_LOG_2PI = np.log(2 * np.pi)$/;" v +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperator +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorAdjoint +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorBlockDiag +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorBlockLowerTriangular +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _log_abs_determinant(self):$/;" m class:_BaseLinearOperatorCirculant +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorComposition +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorDiag +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorHouseholder +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorIdentity +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorScaledIdentity +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorInversion +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorKronecker +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorLowerTriangular +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorLowRankUpdate +_log_abs_determinant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _log_abs_determinant(self):$/;" m class:LinearOperatorPermutation +_log_and_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _log_and_record(self, elapsed_steps, elapsed_time, global_step):$/;" m class:StepCounterHook +_log_and_record adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _log_and_record(self, elapsed_steps, elapsed_time, global_step):$/;" m class:ExamplesPerSecondHook +_log_callargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^def _log_callargs(f, args, kwargs):$/;" f +_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _log_cdf(self, x):$/;" m class:Beta +_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _log_cdf(self, value):$/;" m class:Distribution +_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _log_cdf(self, x):$/;" m class:Laplace +_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _log_cdf(self, x):$/;" m class:Normal +_log_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _log_cdf(self, y):$/;" m class:TransformedDistribution +_log_counter_per_token adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_log_counter_per_token = {}$/;" v +_log_counter_per_token adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_log_counter_per_token = {}$/;" v +_log_device_compatibility_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/device_compatibility_check.py /^def _log_device_compatibility_check(policy_name, gpu_details_list):$/;" f +_log_embeddings adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _log_embeddings(self, epoch):$/;" m class:TensorBoard +_log_epoch_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _log_epoch_metrics(self, epoch, logs):$/;" m class:TensorBoard +_log_err_msg adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _log_err_msg(self, message):$/;" m class:_TrainingExecutor._Evaluator +_log_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _log_flops(graph, node):$/;" f +_log_inputs_per_interval adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _log_inputs_per_interval(self, inputs, force=False):$/;" m class:BatchFeeder +_log_latency adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^def _log_latency(name, log_level):$/;" f +_log_modified_bessel_fn adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^def _log_modified_bessel_fn(x, order=0):$/;" f +_log_ndtr_asymptotic_series adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _log_ndtr_asymptotic_series(x, series_order):$/;" f +_log_ndtr_asymptotic_series adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def _log_ndtr_asymptotic_series(x, series_order):$/;" f +_log_ndtr_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _log_ndtr_jvp(series_order, primals, tangents):$/;" f +_log_ndtr_lower adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _log_ndtr_lower(x, series_order):$/;" f +_log_ndtr_lower adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def _log_ndtr_lower(x, series_order):$/;" f +_log_nfactorial_div_n_pow_n adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _log_nfactorial_div_n_pow_n(n):$/;" f +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _log_normalization(self):$/;" m class:Beta +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _log_normalization(self):$/;" m class:Dirichlet +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _log_normalization(self):$/;" m class:Gamma +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _log_normalization(self):$/;" m class:Laplace +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _log_normalization(self, counts):$/;" m class:Multinomial +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _log_normalization(self):$/;" m class:Normal +_log_normalization adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _log_normalization(self):$/;" m class:StudentT +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def _log_normalizer(self, x):$/;" m class:Bernoulli +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def _log_normalizer(self, x, y):$/;" m class:Beta +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _log_normalizer(self, x):$/;" m class:ContinuousBernoulli +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def _log_normalizer(self, x):$/;" m class:Dirichlet +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def _log_normalizer(self, x):$/;" m class:Exponential +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/exp_family.py /^ def _log_normalizer(self, *natural_params):$/;" m class:ExponentialFamily +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def _log_normalizer(self, x, y):$/;" m class:Gamma +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def _log_normalizer(self, x, y):$/;" m class:Normal +_log_normalizer adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def _log_normalizer(self, x):$/;" m class:Poisson +_LOG_PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_LOG_PI = np.log(np.pi)$/;" v +_log_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_log_prefix = google2_log_prefix$/;" v +_log_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_log_prefix = None # later set to google2_log_prefix$/;" v +_log_preparing_link adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def _log_preparing_link(self, req):$/;" m class:RequirementPreparer +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _log_prob(self, event):$/;" m class:Bernoulli +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _log_prob(self, x):$/;" m class:Beta +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _log_prob(self, k):$/;" m class:Categorical +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _log_prob(self, x):$/;" m class:Dirichlet +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _log_prob(self, counts):$/;" m class:DirichletMultinomial +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _log_prob(self, value):$/;" m class:Distribution +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _log_prob(self, x):$/;" m class:Gamma +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _log_prob(self, x):$/;" m class:Laplace +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _log_prob(self, counts):$/;" m class:Multinomial +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _log_prob(self, x):$/;" m class:Normal +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _log_prob(self, x):$/;" m class:StudentT +_log_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _log_prob(self, y):$/;" m class:TransformedDistribution +_log_signature_report adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def _log_signature_report(signature_def_map, excluded_signatures):$/;" f +_log_skipped_link adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def _log_skipped_link(self, link, reason):$/;" m class:PackageFinder +_LOG_SQRT_2_OVER_PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_LOG_SQRT_2_OVER_PI = -0.22579135264472744$/;" v +_log_state adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^_log_state = threading.local()$/;" v +_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _log_survival_function(self, value):$/;" m class:Distribution +_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def _log_survival_function(self, value):$/;" m class:Exponential +_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _log_survival_function(self, x):$/;" m class:Laplace +_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _log_survival_function(self, x):$/;" m class:Normal +_log_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _log_survival_function(self, y):$/;" m class:TransformedDistribution +_log_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _log_taylor(primals_in, series_in):$/;" f +_log_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _log_tensors(self, tensor_values):$/;" m class:LoggingTensorHook +_log_tensor_details adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert_saved_model.py /^def _log_tensor_details(tensor_info):$/;" f +_log_timer_per_token adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_log_timer_per_token = {}$/;" v +_log_to_stderr adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def _log_to_stderr(self, record):$/;" m class:PythonHandler +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _log_unnormalized_prob(self, x):$/;" m class:Beta +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _log_unnormalized_prob(self, x):$/;" m class:Dirichlet +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _log_unnormalized_prob(self, x):$/;" m class:Gamma +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _log_unnormalized_prob(self, x):$/;" m class:Laplace +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _log_unnormalized_prob(self, counts):$/;" m class:Multinomial +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _log_unnormalized_prob(self, x):$/;" m class:Normal +_log_unnormalized_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _log_unnormalized_prob(self, x):$/;" m class:StudentT +_log_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _log_weights(self, epoch):$/;" m class:TensorBoard +_log_weight_as_image adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _log_weight_as_image(self, weight, weight_name, epoch):$/;" m class:TensorBoard +_long adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^from ._binary import si32le as _long$/;" x +_lookfor_caches adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^_lookfor_caches = {}$/;" v +_lookfor_generate_cache adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _lookfor_generate_cache(module, import_modules, regenerate):$/;" f +_looks_like_package adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def _looks_like_package(path):$/;" m class:PackageFinder +_looks_like_package adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def _looks_like_package(path):$/;" m class:PEP420PackageFinder +_looks_like_path adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def _looks_like_path(name):$/;" f +_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _lookup(self, x=None, y=None, kwargs=None):$/;" m class:Bijector +_lookup adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def _lookup():$/;" f member:LocalStack.__call__ file: +_LookupTableExportOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^_LookupTableExportOutput = collections.namedtuple($/;" v +_LookupTableExportV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^_LookupTableExportV2Output = collections.namedtuple($/;" v +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _lookup_dependency(self, name):$/;" m class:Functional +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _lookup_dependency(self, name):$/;" m class:_DelegatingTrackableMixin +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _lookup_dependency(self, name):$/;" m class:_DynamicLossScaleState +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def _lookup_dependency(self, name):$/;" m class:LossScale +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _lookup_dependency(self, name):$/;" m class:Optimizer +_lookup_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _lookup_dependency(self, name):$/;" m class:Trackable +_lookup_op_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def _lookup_op_type(self, graph_id, op_name):$/;" m class:DebugDataReader +_lookup_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _lookup_reduction(self, t):$/;" m class:PForConfig +_lookup_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _lookup_tensor_name(self, tensor):$/;" m class:_DumpingCallback +_look_for_request adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def _look_for_request(self):$/;" m class:_RequestIterator +_Loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _Loop(NetBuilder):$/;" c +_loopback_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _loopback_network = IPv4Network('127.0.0.0\/8')$/;" v class:_IPv4Constants +_LoopBodyCaptureWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def _LoopBodyCaptureWrapper(func):$/;" f +_LoopBuilder adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class _LoopBuilder(object):$/;" c +_LoopCondGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _LoopCondGrad(_):$/;" f +_LoopScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^class _LoopScope(object):$/;" c +_loop_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _loop_cond(unused_boxes, unused_threshold, output_size, idx):$/;" f function:non_max_suppression_padded_v2 file: +_loop_delay adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _loop_delay(self, *args):$/;" m class:TimedAnimation +_loop_fn_has_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def _loop_fn_has_config(loop_fn):$/;" f +_LOOP_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^_LOOP_OPS = set(["While", "StatelessWhile"])$/;" v +_loop_var_constructs adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def _loop_var_constructs(self, basic_loop_vars):$/;" m class:ControlFlowTransformer +_loose_call adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _loose_call(self, value):$/;" m class:StringConverter +_lorenzian adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test__quad_vec.py /^def _lorenzian(x):$/;" f +_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ _loss = None$/;" v class:_SupervisedOutput +_Loss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class _Loss(Module):$/;" c +_LossRelativeChangeHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^class _LossRelativeChangeHook(tf.compat.v1.train.SessionRunHook):$/;" c +_loss_for_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _loss_for_variable(v):$/;" f member:Layer._handle_weight_regularization file: +_loss_for_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _loss_for_variable(v):$/;" f member:Layer._handle_weight_regularization file: +_loss_smaller adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^def _loss_smaller(best_eval_result, current_eval_result):$/;" f +_loss_weights_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _loss_weights_list(self):$/;" m class:Model +_LowerCholesky adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _LowerCholesky(Constraint):$/;" c +_LowerTriangular adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _LowerTriangular(Constraint):$/;" c +_lower_triangular_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^def _lower_triangular_mask(shape):$/;" f +_LowLevelFile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class _LowLevelFile(object):$/;" c +_LPPoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _LPPoolNd(Module):$/;" c +_LPProblem adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^_LPProblem = namedtuple('_LPProblem', 'c A_ub b_ub A_eq b_eq bounds x0')$/;" v +_LRNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _LRNGrad(op, grad):$/;" f +_LRScheduler adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^class _LRScheduler(object):$/;" c +_lru_cache adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^_lru_cache = getattr(functools, "lru_cache", noop_lru_cache) # type: LruCache$/;" v +_lsb_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _lsb_release_info(self):$/;" m class:LinuxDistribution +_lsoda adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^from . import lsoda as _lsoda$/;" x +_lsoda_int_dtype adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^_lsoda_int_dtype = _lsoda.types.intvar.dtype$/;" v +_LSTM adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def _LSTM($/;" f +_LSTMBlockCellGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_LSTMBlockCellGradOutput = collections.namedtuple($/;" v +_LSTMBlockCellOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^_LSTMBlockCellOutput = collections.namedtuple($/;" v +_LSTMStateTuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^_LSTMStateTuple = collections.namedtuple("LSTMStateTuple", ("c", "h"))$/;" v +_lstm_full adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _lstm_full(g, input, hidden_v, weight_v, has_biases, num_layers, dropout, train, bidirection/;" f +_LSTM_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_LSTM_KEY = 'lstm'$/;" v +_lstm_packed adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _lstm_packed(g, input, batch_sizes, hidden_v, weight_v, has_biases, num_layers, dropout, tra/;" f +_lstsq adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _lstsq(a, b):$/;" f +_lstsq_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _lstsq_dispatcher(a, b, rcond=None):$/;" f +_ltrim_indent adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def _ltrim_indent(indent, remove_width):$/;" f +_lu adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _lu(operand: TfVal, _in_avals, _out_aval):$/;" f +_lu adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _lu(a, permute_l):$/;" f +_LuOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_LuOutput = collections.namedtuple($/;" v +_LUT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^_LUT = {8: 'backspace',$/;" v +_lut adpepsenv/lib/python3.8/site-packages/PIL/ImageOps.py /^def _lut(image, lut):$/;" f +_lu_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_abstract_eval(operand):$/;" f +_lu_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_batching_rule(batched_args, batch_dims):$/;" f +_lu_blocked adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_blocked(a, block_size=128):$/;" f +_lu_cpu_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_cpu_gpu_translation_rule(getrf_impl, c, operand):$/;" f +_lu_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_impl(operand):$/;" f +_lu_impl adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _lu_impl(A, pivot=True, get_infos=False, out=None):$/;" f +_lu_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_jvp_rule(primals, tangents):$/;" f +_lu_no_infos adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _lu_no_infos(A, pivot=True, get_infos=False, out=None):$/;" f +_lu_pivots_body_fn adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_pivots_body_fn(i, permutation_and_swaps):$/;" f +_lu_python adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_python(x):$/;" f +_lu_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_solve(lu, permutation, b, trans):$/;" f +_lu_solve_assertions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _lu_solve_assertions(lower_upper, perm, rhs, validate_args):$/;" f +_lu_solve_core adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_solve_core(lu, permutation, b, trans):$/;" f +_lu_tpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_tpu_translation_rule(c, operand):$/;" f +_lu_unblocked adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _lu_unblocked(a):$/;" f +_lu_with_infos adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _lu_with_infos(A, pivot=True, get_infos=False, out=None):$/;" f +_m adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^ _m = sys.modules.get('distutils.' + _cc + 'compiler')$/;" v +_MACHAR_PARAMS adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^_MACHAR_PARAMS = {$/;" v +_MACHEPS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^_MACHEPS = np.finfo(np.float64).eps$/;" v +_MACHINECONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_MACHINECONFIGURATION = _descriptor.Descriptor($/;" v +_machine_id adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^_machine_id = None$/;" v +_macosx_arch adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _macosx_arch(machine):$/;" f +_macosx_vers adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _macosx_vers(_cache=[]):$/;" f +_macos_arch adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _macos_arch(machine):$/;" f +_macos_vers adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _macos_vers(_cache=[]):$/;" f +_mac_arch adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _mac_arch(arch, is_32bit=_32_BIT_INTERPRETER):$/;" f +_mac_arch adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _mac_arch(arch, is_32bit=_32_BIT_INTERPRETER):$/;" f +_mac_arch adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _mac_arch(arch, is_32bit=_32_BIT_INTERPRETER):$/;" f +_mac_arch adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _mac_arch(arch, is_32bit=_32_BIT_INTERPRETER):$/;" f +_mac_binary_formats adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _mac_binary_formats(version, cpu_arch):$/;" f +_mac_binary_formats adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _mac_binary_formats(version, cpu_arch):$/;" f +_mac_binary_formats adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _mac_binary_formats(version, cpu_arch):$/;" f +_mac_binary_formats adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _mac_binary_formats(version, cpu_arch):$/;" f +_mac_os_check adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ def _mac_os_check():$/;" f +_mac_platforms adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^def _mac_platforms(arch):$/;" f +_mac_platforms adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _mac_platforms(version=None, arch=None):$/;" f +_mac_platforms adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _mac_platforms(version=None, arch=None):$/;" f +_mad_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _mad_1d(x, center, nan_policy):$/;" f +_MAGIC adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^_MAGIC = b"\\0\\0\\1\\0"$/;" v +_MAGIC adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^_MAGIC = b"\\211PNG\\r\\n\\032\\n"$/;" v +_MAGIC adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^_MAGIC = b"P7 332"$/;" v +_magic_methods adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^_magic_methods = ['__subclasscheck__', '__hex__', '__rmul__',$/;" v +_magma_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_magma_data = [[0.001462, 0.000466, 0.013866],$/;" v +_main adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def _main(self, args):$/;" m class:Command +_main adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _main():$/;" f +_main adpepsenv/lib/python3.8/site-packages/pip/__main__.py /^from pip._internal.cli.main import main as _main # isort:skip # noqa$/;" x +_main adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^def _main(args):$/;" f +_MAIN adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_MAIN, _SUBPROCESS = "main", "subprocess"$/;" v +_main_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ _main_dir = _os.path.join(_s, 'tensorflow\/core\/kernels')$/;" v +_main_dir adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ _main_dir = _os.path.join(_s, 'tensorflow\/core\/kernels')$/;" v +_main_trace_for_axis_names adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _main_trace_for_axis_names(main_trace: core.MainTrace,$/;" f +_major_api_version adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_major_api_version = 2$/;" v +_major_api_version adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_major_api_version = 2$/;" v +_major_index_fancy adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _major_index_fancy(self, idx):$/;" m class:_cs_matrix +_major_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _major_slice(self, idx, copy=False):$/;" m class:_cs_matrix +_make adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _make(name, *, shapes=((20, 20), (20, 20)), dtype):$/;" f function:_make_binary_elementwise_harnesses file: +_makearray adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _makearray(a):$/;" f +_MakeBool adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeBool(v, arg_name):$/;" f +_MakeDenseSumOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _MakeDenseSumOps(self, generators, out_base_name):$/;" m class:IR +_makedirs_31 adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/py31compat.py /^def _makedirs_31(path, exist_ok=False):$/;" f +_makedirs_exist_ok adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _makedirs_exist_ok(dir_name):$/;" f +_makedirs_exist_ok adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^def _makedirs_exist_ok(datadir):$/;" f +_MakeEnumValueDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _MakeEnumValueDescriptor(self, value_proto, index):$/;" m class:DescriptorPool +_MakeFieldDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _MakeFieldDescriptor(self, field_proto, message_name, index,$/;" m class:DescriptorPool +_MakeFileDescriptorProto adpepsenv/lib/python3.8/site-packages/google/protobuf/proto_builder.py /^def _MakeFileDescriptorProto(proto_file_name, full_name, field_items):$/;" f +_makefill adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _makefill(self, x, y, kw, kwargs):$/;" m class:_process_plot_var_args +_MakeFloat adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeFloat(v, arg_name):$/;" f +_MakeFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeFunc(v, arg_name):$/;" f +_MakeInt adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeInt(v, arg_name):$/;" f +_makeline adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _makeline(self, x, y, kw, kwargs):$/;" m class:_process_plot_var_args +_MakeList adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _MakeList(input):$/;" f +_MakeMethodDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _MakeMethodDescriptor(self, method_proto, service_name, package, scope,$/;" m class:DescriptorPool +_makenamedict adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _makenamedict(module='numpy'):$/;" f +_makenames_list adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _makenames_list(adict, align):$/;" f +_makeOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _makeOperator(operatorInput, expectedShape):$/;" f +_makeResult adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def _makeResult(self):$/;" m class:TextAndXMLTestRunner +_makeResult adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def _makeResult(self):$/;" m class:TextTestRunner +_MakeSavedModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _MakeSavedModel(self, run_params):$/;" m class:TfTrtIntegrationTestBase +_MakeSavedModelV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _MakeSavedModelV1(self, run_params):$/;" m class:TfTrtIntegrationTestBase +_MakeSavedModelV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _MakeSavedModelV2(self, run_params):$/;" m class:TfTrtIntegrationTestBase +_MakeServiceDescriptor adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _MakeServiceDescriptor(self, service_proto, service_index, scope,$/;" m class:DescriptorPool +_MakeShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeShape(v, arg_name):$/;" f +_MakeSparseSumOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _MakeSparseSumOps(self, generators, out_base_name):$/;" m class:IR +_MakeStr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeStr(v, arg_name):$/;" f +_MakeSumOps adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _MakeSumOps(self, input_name, input_version):$/;" m class:IR +_makeTags adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _makeTags(tagStr, xml,$/;" f +_makeTags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _makeTags(tagStr, xml):$/;" f +_makeTags adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _makeTags(tagStr, xml,$/;" f +_makeTags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _makeTags(tagStr, xml):$/;" f +_MakeTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeTensor(v, arg_name):$/;" f +_maketile adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^def _maketile(file, mode, bbox, channels):$/;" f +_maketup adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _maketup(descr, val):$/;" f +_MakeType adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MakeType(v, arg_name):$/;" f +_make_1d_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _make_1d_state(state_size, seed):$/;" f +_make_abstract_python_scalar adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _make_abstract_python_scalar(typ, _):$/;" f +_make_add_any_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_add_any_harness(name, *, shapes=((2,), (2,)), dtype=np.float32):$/;" f +_make_along_axis_idx adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _make_along_axis_idx(arr_shape, indices, axis):$/;" f +_make_arg adpepsenv/lib/python3.8/site-packages/gast/ast3.py /^ def _make_arg(self, node):$/;" m class:GAstToAst3 +_make_argminmax_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_argminmax_harness(prim,$/;" f +_make_argname_from_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _make_argname_from_tensor_name(name):$/;" f +_make_array adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _make_array(inp):$/;" f member:Figure.subplot_mosaic file: +_make_array_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _make_array_shape(a):$/;" f +_make_assert_msg_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _make_assert_msg_data(sym, x, y, summarize, test_op):$/;" f +_make_authorization_grant_assertion adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def _make_authorization_grant_assertion(self):$/;" m class:IDTokenCredentials +_make_authorization_grant_assertion adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def _make_authorization_grant_assertion(self):$/;" m class:Credentials +_make_authorization_grant_assertion adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def _make_authorization_grant_assertion(self):$/;" m class:IDTokenCredentials +_make_axes_other_param_doc adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^_make_axes_other_param_doc = """$/;" v +_make_axes_param_doc adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^_make_axes_param_doc = """$/;" v +_make_barbs adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _make_barbs(self, u, v, nflags, nbarbs, half_barb, empty_flag, length,$/;" m class:Barbs +_make_base_url adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def _make_base_url(scheme, host, script_root):$/;" m class:EnvironBuilder +_make_binary_elementwise_harnesses adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_binary_elementwise_harnesses(prim,$/;" f +_make_bitcast_convert_type_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_bitcast_convert_type_harness(name,$/;" f +_make_broadcast_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_broadcast_harness(name, *, dtype=np.float32, shape=(2,), sizes=()):$/;" f +_make_broadcast_in_dim_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_broadcast_in_dim_harness(name,$/;" f +_make_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _make_callable(self, feed_arrays, feed_symbols, symbol_vals, session):$/;" m class:GraphExecutionFunction +_make_callable_from_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _make_callable_from_options(self, callable_options):$/;" m class:BaseSession +_make_callable_from_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _make_callable_from_options(self, callable_options):$/;" m class:BaseDebugWrapperSession +_make_callback_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _make_callback_model(self, grouped_model):$/;" m class:Model +_make_candidate_from_dist adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def _make_candidate_from_dist($/;" m class:Factory +_make_candidate_from_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def _make_candidate_from_link($/;" m class:Factory +_make_capacitance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _make_capacitance(self):$/;" m class:LinearOperatorLowRankUpdate +_make_cholesky_arg adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_cholesky_arg(shape, dtype, rng):$/;" f +_make_chunk_iter adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^def _make_chunk_iter(stream, limit, buffer_size):$/;" f +_make_clamp_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_clamp_harness(name,$/;" f +_make_classic_style_pseudo_toolbar adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _make_classic_style_pseudo_toolbar(self):$/;" m class:ToolBase +_make_class_weight_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _make_class_weight_map_fn(class_weight):$/;" f +_make_closed_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _make_closed_jaxpr(traceable: lu.WrappedFun, in_avals: Sequence[core.AbstractValue]):$/;" f +_make_cluster_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def _make_cluster_def(self):$/;" m class:ClusterSpec +_make_cluster_device_filters adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def _make_cluster_device_filters(self):$/;" m class:ClusterDeviceFilters +_make_comparator_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_comparator_harness(name,$/;" f +_make_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^def _make_complex(real, imag):$/;" f +_make_complex_eigvecs adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def _make_complex_eigvecs(w, vin, dtype):$/;" f +_make_complex_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_complex_harness(name, *, shapes=((3, 4), (3, 4)), dtype=np.float32):$/;" f +_make_concatenate_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_concatenate_harness(name,$/;" f +_make_concrete_python_scalar adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^def _make_concrete_python_scalar(t, x):$/;" f +_make_conj_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_conj_harness(name, *, shape=(3, 4), dtype=np.float32, **kwargs):$/;" f +_make_context_fixer adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _make_context_fixer(frame_exc):$/;" f +_make_convert_element_type_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_convert_element_type_harness(name,$/;" f +_make_conv_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_conv_harness(name,$/;" f +_make_conv_test_input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^def _make_conv_test_input($/;" f +_make_cookie_domain adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _make_cookie_domain(domain):$/;" f +_make_cumreduce_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_cumreduce_harness(name,$/;" f +_make_cumulative_reduction adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _make_cumulative_reduction(np_reduction, reduction, fill_nan=False, fill_value=0):$/;" f +_make_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _make_dataset():$/;" f member:DatasetV1._make_one_shot_iterator file: +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:CollectiveAllReduceExtended +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:StrategyExtendedV2 +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:_DefaultDistributionExtended +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:MirroredExtended +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:OneDeviceExtended +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:ParameterServerStrategyExtended +_make_dataset_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _make_dataset_iterator(self, dataset):$/;" m class:TPUExtended +_make_default_http adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^def _make_default_http():$/;" f +_make_dense_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _make_dense_default(self, key, shape, dtype):$/;" m class:_ParseOpParams +_make_deprecate adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _make_deprecate(meth):$/;" f +_make_device_put_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_device_put_harness(name,$/;" f +_make_divisible adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v2.py /^def _make_divisible(v, divisor, min_value=None):$/;" f +_make_div_rem_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_div_rem_harness(prim,$/;" f +_make_dot_general_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_dot_general_harness(name,$/;" f +_make_dynamic_slice_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_dynamic_slice_harness(name,$/;" f +_make_dynamic_update_slice_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_dynamic_update_slice_harness(name,$/;" f +_make_eager_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_eager_execution_function(model, mode):$/;" f +_make_eigvals adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^def _make_eigvals(alpha, beta, homogeneous_eigvals):$/;" f +_make_enqueued_generator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def _make_enqueued_generator(generator,$/;" f +_make_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_execution_function(model, mode):$/;" f +_make_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _make_execution_function(model, mode):$/;" f +_make_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def _make_execution_function(model, mode, class_weight=None):$/;" f +_make_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _make_execution_function(self, mode):$/;" m class:Model +_make_execution_function_without_cloning adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_execution_function_without_cloning(model, mode):$/;" f +_make_execution_function_with_cloning adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_execution_function_with_cloning(model, mode):$/;" f +_make_fail adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _make_fail(name):$/;" f +_make_fake_if_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def _make_fake_if_op(self, true_nodes, false_nodes, output_types):$/;" m class:TestConversion +_make_fake_loop_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def _make_fake_loop_op(self, body_nodes, input_types, output_types):$/;" m class:TestConversion +_make_fast_url_quote adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _make_fast_url_quote(charset="utf-8", errors="strict", safe="\/:", unsafe=""):$/;" f +_make_fft_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_fft_harness(name,$/;" f +_make_filename adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def _make_filename(host, tool):$/;" f +_make_finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_finalize_func(self, finalize_func):$/;" m class:_GroupByReducerDataset +_make_first_argument adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _make_first_argument(rng):$/;" f function:_make_linear_solve_harnesses._make_harness file: +_make_flip_transform adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _make_flip_transform(self, transform):$/;" m class:RendererSVG +_make_full_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def _make_full_path(parent, item):$/;" f function:walk_v2 file: +_make_generalized_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def _make_generalized_cases():$/;" f +_make_getset_interval adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^def _make_getset_interval(method_name, lim_name, attr_name):$/;" f +_make_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _make_getter(captured_getter, captured_previous):$/;" f +_make_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _make_getter(captured_getter, captured_previous):$/;" f +_make_ghost_gridspec_slots adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _make_ghost_gridspec_slots(fig, gs):$/;" f +_make_grads adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def _make_grads(outputs: Sequence[torch.Tensor], grads: Sequence[_OptionalTensor]) -> Tuple[_Opt/;" f +_make_graph_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_graph_execution_function(model, mode):$/;" f +_make_grouped_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^ def _make_grouped_mirrored(values):$/;" f function:update_regroup file: +_make_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^def _make_handle_data(tensor):$/;" f +_make_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _make_harness(name,$/;" f function:_make_linear_solve_harnesses file: +_make_histogram_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def _make_histogram_ops(self, model):$/;" m class:TensorBoard +_make_html_page adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _make_html_page(response, cache_link_parsing=True):$/;" f +_make_iam_token_request adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^def _make_iam_token_request($/;" f +_make_id adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _make_id(self, type, content):$/;" m class:RendererSVG +_make_image adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def _make_image(self, A, in_bbox, out_bbox, clip_bbox, magnification=1.0,$/;" m class:_ImageBase +_make_indexed_slices_indices_types_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _make_indexed_slices_indices_types_match(op_type, branch_graphs):$/;" f +_make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _make_initializable_iterator(self, shared_name=None): # pylint: disable=missing-docstring$/;" m class:DatasetV1 +_make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_initializable_iterator(self, shared_name=None): # pylint: disable=unused-argument$/;" m class:DistributedDatasetV1 +_make_initializable_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_initializable_iterator(self, shared_name=None):$/;" m class:DistributedDatasetsFromFunctionV1 +_make_init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_init_func(self, init_func):$/;" m class:_GroupByReducerDataset +_make_inputs_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _make_inputs_match(branch_graphs, branch_inputs):$/;" f +_make_input_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _make_input_context(self):$/;" m class:CollectiveAllReduceExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _make_input_fn_iterator($/;" m class:CollectiveAllReduceExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _make_input_fn_iterator(self, input_fn, replication_mode):$/;" m class:StrategyExtendedV2 +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _make_input_fn_iterator(self,$/;" m class:_DefaultDistributionExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _make_input_fn_iterator($/;" m class:MirroredExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _make_input_fn_iterator($/;" m class:OneDeviceExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _make_input_fn_iterator($/;" m class:ParameterServerStrategyExtended +_make_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _make_input_fn_iterator($/;" m class:TPUExtended +_make_input_signature_hashable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _make_input_signature_hashable(elem):$/;" f +_make_inset_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^def _make_inset_locator(bounds, trans, parent):$/;" f +_make_int64_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _make_int64_keys(self, shape=()):$/;" m class:Generator +_make_int64_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _make_int64_tensor(value, name):$/;" f +_make_integer_pow_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_integer_pow_harness(name, *, shape=(20, 30), dtype=np.int32, y=3):$/;" f +_make_intermediates_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _make_intermediates_match(branch_graphs, branch_optionals):$/;" f +_make_intermediates_match_xla adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _make_intermediates_match_xla(branch_graphs, branch_intermediates):$/;" f +_make_iota_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_iota_harness(name, *, shape=(2, 3), dtype=np.float32, dimension=0):$/;" f +_make_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_iterator(self):$/;" m class:_SingleWorkerDatasetIterator +_make_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_iterator(self):$/;" m class:_SingleWorkerDatasetIteratorBase +_make_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_iterator(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +_make_jwt adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def _make_jwt(self):$/;" m class:Credentials +_make_jwt_for_audience adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def _make_jwt_for_audience(self, audience):$/;" m class:OnDemandCredentials +_make_key adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _make_key(self, *args, **kwargs):$/;" m class:Figure +_make_keyword_only adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _make_keyword_only(since, name, func=None):$/;" f +_make_key_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_key_func(self, key_func, input_dataset):$/;" m class:_GroupByReducerDataset +_make_key_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_key_func(self, key_func, input_dataset):$/;" m class:_GroupByWindowDataset +_make_lattice_upper_bounds adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def _make_lattice_upper_bounds():$/;" f +_make_layout_margins adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _make_layout_margins(ax, renderer, h_pad, w_pad):$/;" f +_make_linear_solve_harnesses adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_linear_solve_harnesses():$/;" f +_make_master_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _make_master_method(name):$/;" f +_make_master_property adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _make_master_property(name):$/;" f +_make_methods adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def _make_methods(self):$/;" m class:SimpleQueue +_make_mock_run_std_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _make_mock_run_std_server(self):$/;" m class:IndependentWorkerTestBase +_make_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _make_model():$/;" f member:TestDistributionStrategyWithKerasModels.DISABLED_test_distribution_strategy_with_callable_add_loss file: +_make_model_with_add_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _make_model_with_add_loss():$/;" f member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_symbolic_add_loss file: +_make_model_with_add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _make_model_with_add_metric():$/;" f member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_in_call file: +_make_model_with_add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _make_model_with_add_metric():$/;" f member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_outside_call file: +_make_model_with_add_metric_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def _make_model_with_add_metric_object():$/;" f member:TestDistributionStrategyWithKerasModels.test_distribution_strategy_with_add_metric_object file: +_make_module adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _make_module(self, name: str, filename: Optional[str], is_package: bool):$/;" m class:PackageImporter +_make_multiples adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _make_multiples(b):$/;" f +_make_multiplier_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _make_multiplier_matrix(self, conjugate=False):$/;" m class:LinearOperatorScaledIdentity +_make_mutual_tls_http adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^def _make_mutual_tls_http(cert, key):$/;" f +_make_nan_reduction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _make_nan_reduction(np_fun_name, reduction, init_val):$/;" f +_make_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _make_netmask(cls, arg):$/;" m class:_BaseV4 +_make_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _make_netmask(cls, arg):$/;" m class:_BaseV6 +_make_new_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _make_new_nodes(nodes_by_depth, layer_fn, layer_map, tensor_map):$/;" f +_make_node adpepsenv/lib/python3.8/site-packages/gast/gast.py /^def _make_node(Name, Fields, Attributes, Bases):$/;" f +_make_node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _make_node_def(self, graph):$/;" m class:TensorFlowOpLayer +_make_node_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _make_node_key(layer_name, node_index):$/;" f +_make_non_zero adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _make_non_zero(rng):$/;" f function:_make_div_rem_harness file: +_make_nseq_validator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _make_nseq_validator(cls, n=None, allow_none=False):$/;" f +_make_one_arg_signature adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^ def _make_one_arg_signature(tf_arg):$/;" f function:_make_tf_input_signature file: +_make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _make_one_shot_iterator(self): # pylint: disable=missing-docstring$/;" m class:DatasetV1 +_make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_one_shot_iterator(self):$/;" m class:DistributedDatasetsFromFunctionV1 +_make_one_shot_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_one_shot_iterator(self):$/;" m class:DistributedDatasetV1 +_make_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _make_op(self, inputs):$/;" m class:TensorFlowOpLayer +_make_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def _make_op():$/;" f function:_build_case file: +_make_options_dict adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _make_options_dict(precision=None, threshold=None, edgeitems=None,$/;" f +_make_op_method adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _make_op_method(name):$/;" f +_make_output_composite_tensors_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _make_output_composite_tensors_match(op_type, branch_graphs):$/;" f +_make_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def _make_path(self, resource_name):$/;" m class:ResourceFinder +_make_paths adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _make_paths(self, segs, kinds):$/;" m class:ContourSet +_make_permutation_matrix adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def _make_permutation_matrix(perm):$/;" f function:Jax2TfLimitation.lu.custom_assert file: +_make_placeholder_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _make_placeholder_like(shape):$/;" f member:Layer.compute_output_shape file: +_make_placeholder_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _make_placeholder_like(shape):$/;" f member:Layer._symbolic_call file: +_make_placeholder_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _make_placeholder_like(shape):$/;" f member:Layer._symbolic_call file: +_make_polynomials adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _make_polynomials(self):$/;" m class:TestPolySubclassing +_make_pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ _make_pool = staticmethod(_lib.SpawnPool)$/;" v class:ProcessPoolExecutor +_make_pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ _make_pool = staticmethod(_lib.ThreadPool)$/;" v class:ThreadPoolExecutor +_make_pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ _make_pool = staticmethod(_lib.WorkerPool)$/;" v class:MPIPoolExecutor +_make_pos adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def _make_pos(X):$/;" f +_make_pow_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_pow_harness(name,$/;" f +_make_predict_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _make_predict_function(self):$/;" m class:Model +_make_pr_entry adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def _make_pr_entry(self, step, wall_time, data_array):$/;" m class:PrCurvesPlugin +_make_random adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def _make_random(self, npts=20):$/;" m class:TestPCHIP +_make_random_mk adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _make_random_mk(self, m, k):$/;" m class:TestBPolyFromDerivatives +_make_random_spline adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _make_random_spline(n=35, k=3):$/;" f +_make_raw_assign_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def _make_raw_assign_fn(raw_assign_fn): # pylint: disable=missing-docstring$/;" f +_make_readerlike adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def _make_readerlike(stream, byte_order=boc.native_code):$/;" f +_make_readonly adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _make_readonly(x):$/;" m class:TestCTypes +_make_real_imag_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_real_imag_harness(prim, name, *, shape=(2, 3), dtype=np.float32):$/;" f +_make_rebatch_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _make_rebatch_fn(self, dataset, num_workers, num_replicas_in_sync):$/;" m class:DistributedDataset +_make_reducer adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _make_reducer(py_binop, init_val):$/;" f +_make_reducer adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _make_reducer(dtype):$/;" f function:_scatter_add_translation_rule file: +_make_reducer_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_reducer_harness(prim,$/;" f +_make_reduce_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_reduce_func(self, reduce_func, input_dataset):$/;" m class:_GroupByReducerDataset +_make_reduce_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_reduce_func(self, reduce_func, input_dataset):$/;" m class:_GroupByWindowDataset +_make_reduce_window_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_reduce_window_harness(name,$/;" f +_make_replicated_models_with_cloning adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_replicated_models_with_cloning(model, mode):$/;" f +_make_replica_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _make_replica_execution_function(model, mode):$/;" f +_make_replica_group_proto adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _make_replica_group_proto(replica_group):$/;" f +_make_request adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _make_request($/;" m class:HTTPConnectionPool +_make_request adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _make_request($/;" m class:HTTPConnectionPool +_make_reshape_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_reshape_harness(name,$/;" f +_make_rev_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_rev_harness(name, *, shape=(4, 5), dtype=np.float32, dimensions=(0,)):$/;" f +_make_rnn_cell_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^def _make_rnn_cell_fn(units, cell_type=_SIMPLE_RNN_KEY):$/;" f +_make_rnn_direction adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _make_rnn_direction(cls, input_blob, B, W, R, initial_states_and_names, sequence_lens,$/;" m class:Caffe2Backend +_make_rnn_layer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^def _make_rnn_layer(rnn_cell_fn, units, cell_type, return_sequences):$/;" f +_make_rotate_left adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _make_rotate_left(dtype):$/;" f +_make_round_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_round_harness(name,$/;" f +_make_scalar_type adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _make_scalar_type(np_scalar_type):$/;" f +_make_scatter_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_scatter_harness(name,$/;" f +_make_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _make_script(self, entry, filenames, options=None):$/;" m class:ScriptMaker +_make_secondary_locator adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^def _make_secondary_locator(rect, parent):$/;" f +_make_select_and_gather_add_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_select_and_gather_add_harness(name,$/;" f +_make_select_and_scatter_add_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_select_and_scatter_add_harness(name,$/;" f +_make_select_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_select_harness(name,$/;" f +_make_server adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _make_server(self):$/;" m class:TensorBoard +_make_server_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^def _make_server_def(server_or_cluster_def, job_name, task_index, protocol,$/;" f +_make_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^ def _make_shape(shape, prepend):$/;" f function:kron file: +_make_shape_env adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _make_shape_env(args_avals: Sequence[core.AbstractValue],$/;" f +_make_signing_request adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^ def _make_signing_request(self, message):$/;" m class:Signer +_make_slice_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_slice_harness(name,$/;" f +_make_sort_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_sort_harness(name,$/;" f +_make_source_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _make_source_table(self, source_list, is_tf_py_library):$/;" m class:DebugAnalyzer +_make_space adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _make_space(self, percentage):$/;" m class:Parser +_make_sparse adpepsenv/lib/python3.8/site-packages/torch/optim/functional.py /^def _make_sparse(grad, grad_indices, values):$/;" f +_make_specific_exception adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^def _make_specific_exception(node_def, op, message, error_code):$/;" f +_make_specific_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^def _make_specific_exception(node_def, op, message, error_code):$/;" f +_make_spec_file adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/bdist_rpm.py /^ def _make_spec_file(self):$/;" m class:bdist_rpm +_make_spec_file adpepsenv/lib/python3.8/site-packages/setuptools/command/bdist_rpm.py /^ def _make_spec_file(self):$/;" m class:bdist_rpm +_make_spec_file adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_rpm.py /^ def _make_spec_file(self):$/;" m class:bdist_rpm +_make_squeeze_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_squeeze_harness(name, shape=(1, 2), dimensions=(0,), dtype=np.float32):$/;" f +_make_state_from_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _make_state_from_seed(seed, alg):$/;" f +_make_storage adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _make_storage(self, *args, **kwargs):$/;" m class:List +_make_storage adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _make_storage(self, *args, **kwargs):$/;" m class:Mapping +_make_storage adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _make_storage(self, wrapped_list):$/;" m class:ListWrapper +_make_strided_cases adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def _make_strided_cases():$/;" f +_make_str_method adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^def _make_str_method(*args, **kwargs):$/;" f +_make_tag adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def _make_tag(base_dt, val, mdtype, sde=False):$/;" f +_make_tarball adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _make_tarball(base_name, base_dir, compress="gzip", verbose=0, dry_run=0,$/;" f +_make_temp_path adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _make_temp_path(self):$/;" m class:TestDBFileReader +_make_tensor adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _make_tensor(self, params, state):$/;" m class:FuzzedTensor +_make_tensor_into_per_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _make_tensor_into_per_replica(input_tensor):$/;" f +_make_tensor_trace_fun adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _make_tensor_trace_fun(self, tensor_name, tensor_trace_order):$/;" m class:TensorTracer +_make_test_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _make_test_function(self):$/;" m class:Model +_make_tf_args adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^def _make_tf_args(args):$/;" f +_make_tf_input_signature adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^def _make_tf_input_signature(*tf_args) -> List[tf.TensorSpec]:$/;" f +_make_tf_record_iterator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^def _make_tf_record_iterator(file_path):$/;" f +_make_top_k_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_top_k_harness(name,$/;" f +_make_train_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _make_train_function(self):$/;" m class:Model +_make_train_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def _make_train_function(self):$/;" m class:WideDeepModel +_make_train_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def _make_train_step_fn(model, mode, strategy, output_labels):$/;" f +_make_transpose_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_transpose_harness(name,$/;" f +_make_triangular_eigh_operand adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_triangular_eigh_operand(shape, dtype, lower: bool, rng: Rng):$/;" f +_make_triangular_solve_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_triangular_solve_harness(name,$/;" f +_make_tuple_bunch adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^def _make_tuple_bunch(typename, field_names, extra_field_names=None,$/;" f +_make_twin_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _make_twin_axes(self, *args, **kwargs):$/;" m class:_AxesBase +_make_twin_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def _make_twin_axes(self, *args, **kwargs):$/;" m class:SubplotBase +_make_type_validator adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _make_type_validator(cls, *, allow_none=False):$/;" f +_make_unary_elementwise_harness adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^def _make_unary_elementwise_harness(*, prim, shape=(20, 20), dtype):$/;" f +_make_unique_name adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _make_unique_name(seen, name, min_version=0):$/;" f +_make_unique_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _make_unique_name(self, name_uid_map=None, avoid_names=None,$/;" m class:Layer +_make_unique_name adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _make_unique_name(seen: Set[str], name: str, min_version: int = 0):$/;" f +_make_unit_constant adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _make_unit_constant(c): return xb.constant(c, np.zeros((), dtype=np.dtype('bool')))$/;" f +_make_unit_shape adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _make_unit_shape(_): return (xc.Shape.array_shape(np.dtype('bool'), ()),)$/;" f +_make_verts adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _make_verts(self, U, V, angles):$/;" m class:Quiver +_make_window_size_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _make_window_size_func(self, window_size_func):$/;" m class:_GroupByWindowDataset +_make_zipfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _make_zipfile(base_name, base_dir, verbose=0, dry_run=0, logger=None):$/;" f +_malformed adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _malformed(self, offset):$/;" m class:Dvi +_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_manager = None$/;" v +_manager_comm adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_comm(pool, comm, **options):$/;" f +_manager_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_manager_lock = threading.Lock()$/;" v +_manager_service adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_service(pool, **options):$/;" f +_manager_shared adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_shared(pool, comm, tag, workers, **options):$/;" f +_manager_spawn adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_spawn(pool, **options):$/;" f +_manager_split adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_split(pool, comm, root, **options):$/;" f +_manager_thread adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _manager_thread(pool, **options):$/;" f +_manifest_is_not_generated adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _manifest_is_not_generated(self):$/;" m class:sdist +_manifest_is_not_generated adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/sdist.py /^ def _manifest_is_not_generated(self):$/;" m class:sdist +_manifest_normalize adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def _manifest_normalize(self, path):$/;" m class:manifest_maker +_MANUAL_VAR_INIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_MANUAL_VAR_INIT = False$/;" v +_manylinux_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _manylinux_tags(linux, arch):$/;" f +_map adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _map(f, *xs):$/;" f +_map adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^_map = builtins.map$/;" v +_map adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^_map = safe_map$/;" v +_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ _map = {'none': NO_PRUNING, 'pre': PRE_PRUNING, 'post': POST_PRUNING}$/;" v class:PruningMode +_map adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def _map(obj):$/;" f function:_nested_map file: +_MapAndBatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^class _MapAndBatchDataset(dataset_ops.UnaryDataset):$/;" c +_MapDatasetFetcher adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^class _MapDatasetFetcher(_BaseDatasetFetcher):$/;" c +_MAPFIELDENTRY adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_MAPFIELDENTRY = _descriptor.Descriptor($/;" v +_MAPMODES adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_MAPMODES = ("L", "P", "RGBX", "RGBA", "CMYK", "I;16", "I;16L", "I;16B")$/;" v +_MapOnGpuDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^class _MapOnGpuDataset(dataset_ops.UnaryDataset):$/;" c +_mapped_axis_size adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _mapped_axis_size(tree, vals, dims, name, *, kws=False):$/;" f +_mapper adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ _mapper = [(nx.bool_, str2bool, False),$/;" v class:StringConverter +_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_MAPPING = _descriptor.Descriptor($/;" v +_Mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^class _Mapping(collections.namedtuple($/;" c +_MapUnstageNoKeyOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_MapUnstageNoKeyOutput = collections.namedtuple($/;" v +_map_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^def _map_args(call_node, function):$/;" f +_map_captures_to_created_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _map_captures_to_created_tensors(original_captures, resource_map):$/;" f +_map_coordinates adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^def _map_coordinates(input, coordinates, order, mode, cval):$/;" f +_map_fallback adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^def _map_fallback(func, iterable, chunksize=1):$/;" f +_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _map_fn(i):$/;" f function:_convert_tensor_list_gather file: +_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _map_fn(i):$/;" f function:_convert_tensor_list_get_item file: +_map_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _map_fn(*args):$/;" f member:_InputsWithStoppingSignals.insert_stopping_signal file: +_map_function_arguments_to_created_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _map_function_arguments_to_created_inputs(function_arguments, signature_key,$/;" f +_map_graph_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _map_graph_network(inputs, outputs):$/;" f +_map_multiprocess adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^def _map_multiprocess(func, iterable, chunksize=1):$/;" f +_map_multithread adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^def _map_multithread(func, iterable, chunksize=1):$/;" f +_MAP_QUANT_TO_IO_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^_MAP_QUANT_TO_IO_TYPES = {$/;" v +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def _map_resources(self, save_options):$/;" m class:AggregatingVariable +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _map_resources(self, save_options):$/;" m class:ShardedVariableMixin +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _map_resources(self, save_options):$/;" m class:DistributedVariable +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _map_resources(self, save_options):$/;" m class:AutoCastVariable +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _map_resources(self, save_options):$/;" m class:BaseResourceVariable +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _map_resources(self, save_options): # pylint: disable=unused-argument$/;" m class:Trackable +_map_resources adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _map_resources(self, _):$/;" m class:CapturableResource +_map_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^ def _map_reverse(inp):$/;" f function:bidirectional_dynamic_rnn file: +_map_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _map_reverse(inp):$/;" f function:bidirectional_dynamic_rnn file: +_map_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^ def _map_structure(self, pyobj, coders):$/;" m class:StructureCoder +_map_subgraph_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _map_subgraph_network(inputs, outputs):$/;" f +_map_tensor_data adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^_map_tensor_data = _nested_map(lambda x: isinstance(x, torch.Tensor), lambda o: o.data,$/;" v +_MAP_TFLITE_ENUM_TO_TF_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^_MAP_TFLITE_ENUM_TO_TF_TYPES = {$/;" v +_MAP_TF_TO_TFLITE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^_MAP_TF_TO_TFLITE_TYPES = {$/;" v +_map_to_tile adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def _map_to_tile(*args_flat):$/;" f function:vtile file: +_map_virtual_font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _map_virtual_font(self, fontname, font_class, uniindex):$/;" m class:StixFonts +_map_virtual_font adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _map_virtual_font(self, fontname, font_class, uniindex):$/;" m class:UnicodeFonts +_mareconstruct adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _mareconstruct(subtype, baseclass, baseshape, basetype,):$/;" f +_MARGINCHARTCONTENT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_MARGINCHARTCONTENT = _descriptor.Descriptor($/;" v +_MARGINCHARTCONTENT_SERIES adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_MARGINCHARTCONTENT_SERIES = _descriptor.Descriptor($/;" v +_MarkdownStore adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^class _MarkdownStore(threading.local):$/;" c +_MARKDOWN_STORE adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^_MARKDOWN_STORE = _MarkdownStore()$/;" v +_Marker adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _Marker(object):$/;" c +_markers adpepsenv/lib/python3.8/site-packages/rsa/pem.py /^def _markers(pem_marker: FlexiText) -> typing.Tuple[bytes, bytes]:$/;" f +_MARKER_SECTION_BEGIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_MARKER_SECTION_BEGIN = '!!!!!!! section-begin:'$/;" v +_MARKER_SECTION_END adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_MARKER_SECTION_END = '!!!!!!! section-end:'$/;" v +_MarkIfInactive adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^ def _MarkIfInactive(self, path, max_timestamp):$/;" m class:DirectoryLoader +_MarkReachedOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _MarkReachedOps(from_ops, reached_ops, func_graphs):$/;" f +_mark_as_modified adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _mark_as_modified(self, fname, parser):$/;" m class:Configuration +_mark_as_return adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def _mark_as_return(tensor):$/;" f function:mark_as_return file: +_mark_checked adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def _mark_checked(tensor):$/;" f function:mark_checked file: +_mark_every_path adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^def _mark_every_path(markevery, tpath, affine, ax_transform):$/;" f +_mark_func_graph_as_unsaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _mark_func_graph_as_unsaveable(graph, learning_phase):$/;" f +_mark_return_if_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def _mark_return_if_tensor(t):$/;" f member:FunctionScope.ret file: +_mark_skip_if_format_is_uncomparable adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _mark_skip_if_format_is_uncomparable(extension):$/;" f +_mark_uses adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def _mark_uses(self, a: Argument):$/;" m class:Graph +_mark_worker_as_unavailable adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _mark_worker_as_unavailable(self, worker_id, shutdown=False):$/;" m class:_MultiProcessingDataLoaderIter +_MASK adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^_MASK = 0xFFFFFFFF$/;" v +_masked adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _masked(padded_value, logical_shape, dimensions, value=0):$/;" f +_MaskedBinaryOperation adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _MaskedBinaryOperation(_MaskedUFunc):$/;" c +_MaskedPrintOption adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _MaskedPrintOption:$/;" c +_MaskedUFunc adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _MaskedUFunc:$/;" c +_MaskedUnaryOperation adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^class _MaskedUnaryOperation(_MaskedUFunc):$/;" c +_masked_coo adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^def _masked_coo(A, mask):$/;" f +_masked_scan_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _masked_scan_jaxpr(jaxpr, num_consts, num_carry):$/;" f +_masked_softmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def _masked_softmax(self, attention_scores, attention_mask=None):$/;" m class:MultiHeadAttention +_masking_defreducer adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _masking_defreducer(prim, identity):$/;" f +_mask_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _mask_matrix(length):$/;" f function:_rfft_grad_helper._grad file: +_mask_tester adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def _mask_tester(norm_instance, vals):$/;" f +_mask_to_limits adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^def _mask_to_limits(a, limits, inclusive):$/;" f +_mask_to_limits adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _mask_to_limits(a, limits, inclusive):$/;" f +_master_method_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_master_method_list = ("get_shape", "__str__", "shape_as_list")$/;" v +_master_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def _master_process(self, ddp_mode: DdpMode, simulate_uneven_inputs: bool):$/;" m class:DdpUnderDistAutogradTest +_master_property_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_master_property_list = ("device", "dtype", "graph", "name", "op", "shape",$/;" v +_mat adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ from . import matrixlib as _mat$/;" x +_match adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _match(self, pattern, parent, field, child):$/;" m class:AnfTransformer +_Match adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^class _Match(object):$/;" c +_match_all_reduce_for_bwd_pass adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _match_all_reduce_for_bwd_pass(self):$/;" m class:DistributedDataParallel +_match_arbitrary adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_arbitrary(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_axes adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _match_axes(axis_size, out_dims_thunk, out_dim_dests, *in_vals):$/;" f +_match_axes_and_sum adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _match_axes_and_sum(axis_size, out_dims_thunk, out_dim_dests, *in_vals):$/;" f +_match_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_compatible(self, version, constraint, prefix):$/;" m class:LegacyMatcher +_match_compatible adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_compatible(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_eq adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_eq(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_ge adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_ge(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_gt adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_gt(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_hostname adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^def _match_hostname(cert, asserted_hostname):$/;" f +_match_hostname adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^def _match_hostname(cert, asserted_hostname):$/;" f +_match_le adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_le(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_lt adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_lt(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_ne adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def _match_ne(self, version, constraint, prefix):$/;" m class:NormalizedMatcher +_match_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _match_prefix(x, y):$/;" f +_match_unused_params_allreduce adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _match_unused_params_allreduce(self):$/;" m class:DistributedDataParallel +_match_vcs_scheme adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _match_vcs_scheme(url):$/;" f +_math adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^import math as _math$/;" I +_math adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^import math as _math$/;" I +_math_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^from tensorflow.python.ops import math_ops as _math_ops$/;" x +_math_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^from tensorflow.python.ops import math_ops as _math_ops$/;" x +_math_style_dict adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _math_style_dict = dict(displaystyle=0, textstyle=1,$/;" v class:Parser +_matmat adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^ def _matmat(self, X):$/;" m class:_MatrixM1PowerOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:IdentityOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, X):$/;" m class:LinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, X):$/;" m class:MatrixLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_AdjointLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, X):$/;" m class:_CustomLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_PowerLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_ProductLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_ScaledLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_SumLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matmat(self, x):$/;" m class:_TransposedLinearOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _matmat(self, X):$/;" m class:MatrixPowerOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _matmat(self, X):$/;" m class:ProductOperator +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _matmat(self, x):$/;" m class:TestAsLinearOperator.setup_method.make_cases.HasRmatmat +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _matmat(self, x):$/;" m class:test_inheritance.MatmatOnly +_matmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def _matmat(self, X):$/;" m class:MatrixProductOperator +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperator +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _matmul( # pylint:disable=missing-docstring$/;" f +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorAdjoint +_MATMUL adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^_MATMUL = {}$/;" v +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorBlockDiag +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorBlockLowerTriangular +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:_BaseLinearOperatorCirculant +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorComposition +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorDiag +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorFullMatrix +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorHouseholder +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorIdentity +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorScaledIdentity +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorInversion +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorKronecker +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorLowerTriangular +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorLowRankUpdate +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorPermutation +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorToeplitz +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorTridiag +_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _matmul(self, x, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorZeros +_MatmulExtractingThreeDiagonals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatmulExtractingThreeDiagonals(x, y_tr):$/;" f +_MatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MatMulGrad(op, grad):$/;" f +_MatMulGradAgainstFirstOnly adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MatMulGradAgainstFirstOnly(op, grad):$/;" f +_MatMulGradAgainstSecondOnly adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MatMulGradAgainstSecondOnly(op, grad):$/;" f +_matmul_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator(linop_a, linop_b):$/;" f +_matmul_linear_operator_circulant_circulant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_circulant_circulant(linop_a, linop_b):$/;" f +_matmul_linear_operator_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_diag(linop_a, linop_b):$/;" f +_matmul_linear_operator_diag_scaled_identity_left adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_diag_scaled_identity_left($/;" f +_matmul_linear_operator_diag_scaled_identity_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_diag_scaled_identity_right($/;" f +_matmul_linear_operator_diag_tril adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_diag_tril(linop_diag, linop_triangular):$/;" f +_matmul_linear_operator_identity_left adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_identity_left(identity, linop):$/;" f +_matmul_linear_operator_identity_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_identity_right(linop, identity):$/;" f +_matmul_linear_operator_scaled_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_scaled_identity(linop_a, linop_b):$/;" f +_matmul_linear_operator_tril_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_tril_diag(linop_triangular, linop_diag):$/;" f +_matmul_linear_operator_zeros_left adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_zeros_left(zeros, linop):$/;" f +_matmul_linear_operator_zeros_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/matmul_registrations.py /^def _matmul_linear_operator_zeros_right(linop, zeros):$/;" f +_matmul_registrations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import matmul_registrations as _matmul_registrations$/;" x +_matnorm_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_matnorm_doc_callparams_note = \\$/;" v +_matnorm_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_matnorm_doc_default_callparams = """\\$/;" v +_matnorm_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_matnorm_doc_frozen_callparams = ""$/;" v +_matnorm_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_matnorm_doc_frozen_callparams_note = \\$/;" v +_MATRIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_MATRIX = "matrix"$/;" v +_MATRIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^_MATRIX = 'matrix'$/;" v +_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def _matrix(self):$/;" m class:CSRSparseMatrix +_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def _matrix(self):$/;" m class:SparseMatrix +_MatrixBandPartGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixBandPartGrad(op, grad):$/;" f +_MatrixDeterminantGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixDeterminantGrad(op, grad):$/;" f +_MatrixDiagGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagGrad(_, grad):$/;" f +_MatrixDiagPartGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagPartGrad(op, grad):$/;" f +_MatrixDiagPartV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagPartV2Grad(op, grad):$/;" f +_MatrixDiagPartV3Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagPartV3Grad(op, grad):$/;" f +_MatrixDiagV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagV2Grad(op, grad):$/;" f +_MatrixDiagV3Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixDiagV3Grad(op, grad):$/;" f +_MatrixInverseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixInverseGrad(op, grad):$/;" f +_MatrixM1PowerOperator adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^class _MatrixM1PowerOperator(LinearOperator):$/;" c +_MatrixSetDiagGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixSetDiagGrad(op, grad):$/;" f +_MatrixSetDiagGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixSetDiagGradV2(op, grad):$/;" f +_MatrixSetDiagGradV3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MatrixSetDiagGradV3(op, grad):$/;" f +_MatrixSolveGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixSolveGrad(op, grad):$/;" f +_MatrixSolveLsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixSolveLsGrad(op, grad):$/;" f +_MatrixSquareRootGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixSquareRootGrad(op, grad):$/;" f +_MatrixTriangularSolveGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _MatrixTriangularSolveGrad(op, grad):$/;" f +_matrix_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _matrix_conv(self, m1, m2):$/;" m class:ConvolutionOrthogonal1D +_matrix_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _matrix_conv(self, m1, m2):$/;" m class:ConvolutionOrthogonal2D +_matrix_conv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _matrix_conv(self, m1, m2):$/;" m class:ConvolutionOrthogonal3D +_matrix_exp_pade13 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _matrix_exp_pade13(matrix):$/;" f +_matrix_exp_pade3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _matrix_exp_pade3(matrix):$/;" f +_matrix_exp_pade5 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _matrix_exp_pade5(matrix):$/;" f +_matrix_exp_pade7 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _matrix_exp_pade7(matrix):$/;" f +_matrix_exp_pade9 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _matrix_exp_pade9(matrix):$/;" f +_matrix_polynomial_value adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _matrix_polynomial_value(poly, x, zero_power=None):$/;" f +_matrix_power_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _matrix_power_dispatcher(a, n):$/;" f +_matrix_rank_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _matrix_rank_dispatcher(M, tol=None, hermitian=None):$/;" f +_matrix_vector_product_of_stacks adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _matrix_vector_product_of_stacks(A, b):$/;" f +_matstruct_to_dict adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def _matstruct_to_dict(matobj):$/;" f +_matvec adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^ def _matvec(self, x):$/;" m class:_MatrixM1PowerOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^ def _matvec(self, x):$/;" m class:LbfgsInvHessProduct +_matvec adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _matvec(v, alpha, cs, ds):$/;" m class:LowRankMatrix +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def _matvec(self, x):$/;" m class:IterInv +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def _matvec(self, x):$/;" m class:IterOpInv +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def _matvec(self, x):$/;" m class:LuInv +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def _matvec(self, x):$/;" m class:SpLuInv +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def _matvec(self, x):$/;" m class:CheckingLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:IdentityOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:LinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_AdjointLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_CustomLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_PowerLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_ProductLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_ScaledLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_SumLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _matvec(self, x):$/;" m class:_TransposedLinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _matvec(self, x):$/;" m class:MatrixPowerOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _matvec(self, x):$/;" m class:ProductOperator +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _matvec(self, x):$/;" m class:TestAsLinearOperator.setup_method.make_cases.BaseMatlike +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _matvec(self, x):$/;" m class:test_inheritance.Identity +_matvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def _matvec(self, x):$/;" m class:MatrixProductOperator +_matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _matvec(self, x, adjoint=False):$/;" m class:LinearOperator +_matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _matvec(self, x, adjoint=False):$/;" m class:LinearOperatorAdjoint +_matvec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _matvec(self, x, adjoint=False):$/;" m class:LinearOperatorDiag +_matvec_multiply adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _matvec_multiply(a, b):$/;" f +_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MAX = (1 << 32) - 1$/;" v class:Uint32ValueChecker +_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MAX = (1 << 63) - 1$/;" v class:Int64ValueChecker +_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MAX = (1 << 64) - 1$/;" v class:Uint64ValueChecker +_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MAX = 2147483647$/;" v class:Int32ValueChecker +_max adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^_max = builtins.max$/;" v +_max adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_max = builtins.max$/;" v +_max adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_max = builtins.max$/;" v +_max adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^_max = max$/;" v +_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _max(input, axis, keep_dims=False, name=None):$/;" f +_MaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MaxGrad(op, grad):$/;" f +_MaximumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MaximumGrad(op, grad):$/;" f +_MaximumMinimumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MaximumMinimumGrad(op, grad, selector_op):$/;" f +_MaximumMinimumGradInputOnly adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MaximumMinimumGradInputOnly(op, grad, selector_op):$/;" f +_MAXIMUM_CHANNELS adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _MAXIMUM_CHANNELS = int(os.environ[_MAXIMUM_CHANNELS_KEY])$/;" v +_MAXIMUM_CHANNELS_KEY adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^_MAXIMUM_CHANNELS_KEY = "GRPC_PYTHON_MANAGED_CHANNEL_MAXIMUM"$/;" v +_maximum_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _maximum_flops(graph, node):$/;" f +_maximum_minimum adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _maximum_minimum(self, other, npop, op_name, dense_check):$/;" m class:_cs_matrix +_MAXINT32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_MAXINT32 = 2**31 - 1$/;" v +_MAXINT32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^_MAXINT32 = 2**31 - 1$/;" v +_MaxIntraOpParallelismDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _MaxIntraOpParallelismDataset(UnaryUnchangedStructureDataset):$/;" c +_MaxPool3DGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPool3DGrad(op, grad):$/;" f +_MaxPool3DGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPool3DGradGrad(op, grad):$/;" f +_MaxPool3DGradGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPool3DGradGradGrad(op, grad):$/;" f +_MaxPoolGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGrad(op, grad):$/;" f +_MaxPoolGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGradGrad(op, grad):$/;" f +_MaxPoolGradGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGradGradGrad(op, grad):$/;" f +_MaxPoolGradGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGradGradV2(op, grad):$/;" f +_MaxPoolGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGradV2(op, grad):$/;" f +_MaxPoolGradWithArgmax adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MaxPoolGradWithArgmax(op, grad, unused_argmax_grad):$/;" f +_MaxPoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _MaxPoolNd(Module):$/;" c +_MaxPoolWithArgmaxOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_MaxPoolWithArgmaxOutput = collections.namedtuple($/;" v +_MaxUnpoolNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^class _MaxUnpoolNd(Module):$/;" c +_maxvals adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^_maxvals = _typedict()$/;" v +_maxwell adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _maxwell(key, shape, dtype):$/;" f +_max_abs_axis1 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def _max_abs_axis1(X):$/;" f +_max_condition_number_to_be_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _max_condition_number_to_be_non_singular(self):$/;" m class:LinearOperator +_MAX_COPY_SECONDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ _MAX_COPY_SECONDS = 300$/;" v class:SliceAggregator +_MAX_DELAY_SECS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_MAX_DELAY_SECS = 60$/;" v +_MAX_DIM_SIZE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/binary.py /^_MAX_DIM_SIZE = 16 * 1024 ** 2$/;" v +_MAX_DIM_SIZE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/unary.py /^_MAX_DIM_SIZE = 16 * 1024 ** 2$/;" v +_max_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _max_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +_MAX_EXPABLE adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_MAX_EXPABLE = np.log(np.finfo(float).max)$/;" v +_MAX_FLAG adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ _MAX_FLAG = _MAX_FLAG | flag$/;" v +_MAX_FLAG adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^_MAX_FLAG = 0$/;" v +_MAX_INT adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _MAX_INT = sys.maxsize$/;" v +_MAX_INT adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _MAX_INT = sys.maxsize$/;" v +_MAX_INT adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _MAX_INT = sys.maxsize$/;" v +_MAX_INT adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _MAX_INT = sys.maxsize$/;" v +_MAX_INT64 adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^_MAX_INT64 = 2 ** 63 - 1$/;" v +_max_norm adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _max_norm(x):$/;" f +_max_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def _max_pool(name, tuple_fn, ndims, return_indices):$/;" f +_max_pool adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _max_pool(name, tuple_fn, ndims, return_indices):$/;" f +_max_pool1d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _max_pool1d(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +_max_pool2d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _max_pool2d(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +_max_pool3d adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _max_pool3d(input, kernel_size, stride=None, padding=0, dilation=1,$/;" f +_max_pool_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _max_pool_flops(graph, node):$/;" f +_max_pool_grad_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _max_pool_grad_flops(graph, node):$/;" f +_max_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _max_prefixlen = IPV4LENGTH$/;" v class:_BaseV4 +_max_prefixlen adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _max_prefixlen = IPV6LENGTH$/;" v class:_BaseV6 +_MAX_SIGNIFICANT_FIGURES adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^_MAX_SIGNIFICANT_FIGURES = 4$/;" v +_MAX_TENSOR_RANK adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^_MAX_TENSOR_RANK = 254$/;" v +_max_vals adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ _max_vals = {}$/;" v class:iinfo +_MAX_VARINT64_LENGTH_BYTES adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^_MAX_VARINT64_LENGTH_BYTES = 10$/;" v +_MAX_WARNING_LINES adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^_MAX_WARNING_LINES = 5$/;" v +_MAX_WARNING_LINES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_MAX_WARNING_LINES = 5$/;" v +_MaybeAddControlDependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _MaybeAddControlDependency(self, op):$/;" m class:WhileContext +_MaybeCaptured adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _MaybeCaptured(t):$/;" f +_MaybeColocateWith adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _MaybeColocateWith(inputs):$/;" f +_MaybeCompile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _MaybeCompile(scope, op, func, grad_fn):$/;" f +_MaybeDeleteOldCheckpoints adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _MaybeDeleteOldCheckpoints(self, meta_graph_suffix="meta"):$/;" m class:Saver +_MaybeDevice adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _MaybeDevice(device):$/;" f +_MaybePurgeOrphanedData adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _MaybePurgeOrphanedData(self, event):$/;" m class:EventAccumulator +_MaybePurgeOrphanedData adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _MaybePurgeOrphanedData(self, event):$/;" m class:EventAccumulator +_maybe_add_arg_names adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_add_arg_names(self, node, full_name):$/;" m class:_PastaEditVisitor +_maybe_add_call_warning adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_add_call_warning(self, node, full_name, name):$/;" m class:_PastaEditVisitor +_maybe_add_default_serving_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_utils.py /^def _maybe_add_default_serving_output(export_outputs):$/;" f +_maybe_add_main_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _maybe_add_main_op(self, main_op):$/;" m class:SavedModelBuilder +_maybe_add_module_deprecation_warning adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_add_module_deprecation_warning(self, node, full_name, whole_name):$/;" m class:_PastaEditVisitor +_maybe_add_or_remove_bessels_correction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _maybe_add_or_remove_bessels_correction(variance, remove=True):$/;" f member:BatchNormalizationBase._fused_batch_norm file: +_maybe_add_quotes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^ def _maybe_add_quotes(value):$/;" f function:_print_args file: +_maybe_add_serialized_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _maybe_add_serialized_attributes(layer, metadata):$/;" f +_maybe_add_temp_path_cleanup adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _maybe_add_temp_path_cleanup(self, path, cleanup):$/;" m class:TestCase +_maybe_add_warning adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_add_warning(self, node, full_name):$/;" m class:_PastaEditVisitor +_maybe_adjust_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ def _maybe_adjust_weights():$/;" f function:squeeze_or_expand_dimensions file: +_maybe_adjust_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ def _maybe_adjust_weights():$/;" f function:squeeze_or_expand_dimensions file: +_maybe_adjust_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def _maybe_adjust_weights():$/;" f function:_remove_squeezable_dimensions file: +_maybe_assert_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _maybe_assert_dtype(self, x):$/;" m class:Bijector +_maybe_assert_valid_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _maybe_assert_valid_concentration(self, concentration, validate_args):$/;" m class:Beta +_maybe_assert_valid_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _maybe_assert_valid_concentration(self, concentration, validate_args):$/;" m class:Dirichlet +_maybe_assert_valid_concentration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _maybe_assert_valid_concentration(self, concentration, validate_args):$/;" m class:DirichletMultinomial +_maybe_assert_valid_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _maybe_assert_valid_sample(self, x):$/;" m class:Beta +_maybe_assert_valid_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _maybe_assert_valid_sample(self, x):$/;" m class:Dirichlet +_maybe_assert_valid_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _maybe_assert_valid_sample(self, counts):$/;" m class:DirichletMultinomial +_maybe_assert_valid_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _maybe_assert_valid_sample(self, x):$/;" m class:Gamma +_maybe_assert_valid_sample adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _maybe_assert_valid_sample(self, counts):$/;" m class:Multinomial +_maybe_as_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _maybe_as_type_spec(val):$/;" f +_maybe_bool_binop adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _maybe_bool_binop(numpy_fn, lax_fn, bool_lax_fn, lax_doc=False):$/;" f +_maybe_bool_ndarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _maybe_bool_ndarray(idx):$/;" f +_maybe_broadcast_to_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _maybe_broadcast_to_outputs(self, outputs, objects):$/;" m class:Container +_maybe_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _maybe_build(self, inputs):$/;" m class:Layer +_maybe_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _maybe_build(self, inputs):$/;" m class:Layer +_maybe_build adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _maybe_build(self, batch_size: Optional[int]):$/;" m class:TPUEmbedding +_maybe_cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^ def _maybe_cast(elem):$/;" f function:_cast_nested_seqs_to_dtype file: +_maybe_cast_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _maybe_cast_inputs(self, inputs, input_list=None):$/;" m class:Layer +_maybe_cast_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _maybe_cast_inputs(self, inputs):$/;" m class:Layer +_maybe_cast_reduce_op_input adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _maybe_cast_reduce_op_input(g, self):$/;" f +_maybe_change_to_function_call adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_change_to_function_call(self, parent, node, full_name):$/;" m class:_PastaEditVisitor +_maybe_colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _maybe_colocate_with(op, gradient_uid, colocate_gradients_with_ops): # pylint: disable=inva/;" f +_maybe_colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _maybe_colocate_with(self, value):$/;" m class:_GraphTensorArray +_maybe_conj adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_maybe_conj = lambda x: conj(x) if _iscomplex(x) else x$/;" f +_maybe_constant_value_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _maybe_constant_value_string(t):$/;" f +_maybe_convert_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^def _maybe_convert_labels(y_true):$/;" f +_maybe_copy_some_through adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _maybe_copy_some_through():$/;" f function:_rnn_step file: +_maybe_copy_to_context_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^def _maybe_copy_to_context_device(tensor, device_name):$/;" f +_maybe_create_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _maybe_create_attribute(self, name, default_value):$/;" m class:Layer +_maybe_create_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _maybe_create_attribute(self, name, default_value):$/;" m class:Layer +_maybe_create_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _maybe_create_saver(self, saver=None):$/;" m class:_SavedModelBuilder +_maybe_create_save_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _maybe_create_save_counter(self):$/;" m class:Checkpoint +_maybe_create_save_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _maybe_create_save_counter(self):$/;" m class:CheckpointV1 +_maybe_decode_ascii adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _maybe_decode_ascii(bytes_str: Union[bytes, str]) -> str:$/;" f +_maybe_define_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _maybe_define_function(self, args, kwargs):$/;" m class:Function +_maybe_delay adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _maybe_delay(self):$/;" m class:Worker +_maybe_dense_to_sparse adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _maybe_dense_to_sparse(tensor):$/;" f +_maybe_device_put adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _maybe_device_put(x):$/;" f +_maybe_enter_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def _maybe_enter_graph(tensor):$/;" f +_maybe_expand_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _maybe_expand_labels(labels, predictions):$/;" f +_maybe_expand_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^ def _maybe_expand_weights():$/;" f function:squeeze_or_expand_dimensions file: +_maybe_expand_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/util.py /^ def _maybe_expand_weights():$/;" f function:squeeze_or_expand_dimensions file: +_maybe_expand_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^ def _maybe_expand_weights():$/;" f function:_remove_squeezable_dimensions file: +_maybe_fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _maybe_fetch(val):$/;" f member:ClusterCoordinator.fetch file: +_maybe_get_const adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _maybe_get_const(value, desc):$/;" f +_maybe_get_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _maybe_get_dtype(x):$/;" f +_maybe_get_remote_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _maybe_get_remote_value(val):$/;" f +_maybe_get_scalar adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _maybe_get_scalar(value):$/;" f +_maybe_get_static_event_ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _maybe_get_static_event_ndims(self, event_ndims):$/;" m class:Bijector +_maybe_get_static_event_ndims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _maybe_get_static_event_ndims(self):$/;" m class:TransformedDistribution +_maybe_get_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def _maybe_get_unique(name):$/;" f function:_zero_debias file: +_maybe_indices_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_maybe_indices_t = _scalar_or_tuple_2_t[Tensor]$/;" v +_maybe_initialize_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _maybe_initialize_trackable(self):$/;" m class:_DelegatingTrackableMixin +_maybe_initialize_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _maybe_initialize_trackable(self):$/;" m class:Trackable +_maybe_init_progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _maybe_init_progbar(self):$/;" m class:ProgbarLogger +_maybe_load_initial_epoch_from_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _maybe_load_initial_epoch_from_ckpt(self, initial_epoch):$/;" m class:Model +_maybe_load_initial_epoch_from_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _maybe_load_initial_epoch_from_ckpt(self, initial_epoch, mode):$/;" m class:Model +_maybe_lookup_original_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^def _maybe_lookup_original_input_tensor(graph, tensor):$/;" f +_maybe_modify_args adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_modify_args(self, node, full_name, name):$/;" m class:_PastaEditVisitor +_maybe_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^def _maybe_name(obj):$/;" f +_maybe_numpy_1_13_isclose_behavior adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def _maybe_numpy_1_13_isclose_behavior(a, out):$/;" f +_maybe_on_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^def _maybe_on_device(var):$/;" f +_maybe_overwrite_session_config_for_distributed_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _maybe_overwrite_session_config_for_distributed_training(self):$/;" m class:RunConfig +_maybe_overwrite_session_config_for_distributed_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def _maybe_overwrite_session_config_for_distributed_training(self):$/;" m class:RunConfig +_maybe_pad_for_rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _maybe_pad_for_rfft(input_tensor, fft_rank, fft_length, is_reverse=False):$/;" f +_maybe_promote_to_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _maybe_promote_to_int(a):$/;" f +_maybe_raise_value_error adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^def _maybe_raise_value_error(error_msg):$/;" f +_maybe_read_file adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^def _maybe_read_file(filename):$/;" f +_maybe_real adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_maybe_real = lambda x: real(x) if _iscomplex(x) else x$/;" f +_maybe_real adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^def _maybe_real(A, B, tol=None):$/;" f +_maybe_rebuild_remote_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _maybe_rebuild_remote_values(worker, structure):$/;" f +_maybe_remove_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _maybe_remove_file(self):$/;" m class:ModelCheckpoint +_maybe_rename adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def _maybe_rename(self, parent, node, full_name):$/;" m class:_PastaEditVisitor +_maybe_reset_cell_dropout_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _maybe_reset_cell_dropout_mask(self, cell):$/;" m class:RNN +_maybe_rotate_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _maybe_rotate_dims(self, x, rotate_right=False):$/;" m class:TransformedDistribution +_maybe_run_in_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _maybe_run_in_function(fn, run_in_function=False):$/;" f +_maybe_save_assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^def _maybe_save_assets(write_fn, assets_to_add=None):$/;" f +_maybe_select_class_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _maybe_select_class_id(labels, predictions_idx, selected_id=None):$/;" f +_maybe_set_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _maybe_set_handle_data(dtype, handle, tensor):$/;" f +_maybe_set_static_shape_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_ops.py /^def _maybe_set_static_shape_helper(tensor, shape, postfix_tensor):$/;" f +_maybe_shuffle_and_repeat adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _maybe_shuffle_and_repeat($/;" f +_maybe_stacked adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _maybe_stacked(self, cache, inp):$/;" m class:WhileOp +_maybe_static adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _maybe_static(x):$/;" f +_maybe_store adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _maybe_store(t, shared_map_op):$/;" f function:_store_sparse_tensors file: +_maybe_store_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _maybe_store_many_sparse(t, map_op_name, keep_input):$/;" f function:_store_sparse_tensors._maybe_store file: +_maybe_store_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _maybe_store_sparse(t, map_op_name, keep_input):$/;" f function:_store_sparse_tensors._maybe_store file: +_maybe_tensor_shape_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _maybe_tensor_shape_from_tensor(shape):$/;" f +_maybe_validate_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _maybe_validate_matrix(a, validate_args):$/;" f +_maybe_validate_shape_override adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _maybe_validate_shape_override(self, override_shape, base_is_scalar,$/;" m class:TransformedDistribution +_maybe_view_as_subclass adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^def _maybe_view_as_subclass(original_array, new_array):$/;" f +_maybe_warm_start adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _maybe_warm_start(self, checkpoint_path):$/;" m class:Estimator +_maybe_wrap_custom_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _maybe_wrap_custom_getter(custom_getter, old_getter):$/;" f +_maybe_wrap_with_training_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def _maybe_wrap_with_training_arg(self, call_fn):$/;" m class:LayerCallCollection +_maybe_zero adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _maybe_zero(self, ix):$/;" m class:_EagerTensorArray +_MayRemoveGraphSequenceNumber adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _MayRemoveGraphSequenceNumber(self, name):$/;" m class:TfTrtIntegrationTestBase +_may_form_partial_batches adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def _may_form_partial_batches(self, desired_batch_size):$/;" m class:_RebatchDataset +_may_reduce_to_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _may_reduce_to_scalar(keepdims, axis, output):$/;" f +_mc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ _mc_extensions = ['.mc']$/;" v class:MSVCCompiler +_mc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _mc_extensions = ['.mc']$/;" v class:MSVCCompiler +_mc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ _mc_extensions = ['.mc']$/;" v class:MSVCCompiler +_md5 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _md5(path):$/;" f member:EggInfoDistribution.list_installed_files file: +_MDLADAGRADLIGHTPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_MDLADAGRADLIGHTPARAMETERS = _descriptor.Descriptor($/;" v +_mean adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def _mean(a, **args):$/;" f +_mean adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _mean(a, axis=None, dtype=None, out=None, keepdims=False):$/;" f +_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _mean(self, dim, df, scale):$/;" m class:invwishart_gen +_mean adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _mean(self, dim, df, scale):$/;" m class:wishart_gen +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _mean(self):$/;" m class:Bernoulli +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _mean(self):$/;" m class:Beta +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _mean(self):$/;" m class:Dirichlet +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _mean(self):$/;" m class:DirichletMultinomial +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _mean(self):$/;" m class:Distribution +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _mean(self):$/;" m class:Gamma +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _mean(self):$/;" m class:Laplace +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _mean(self):$/;" m class:Multinomial +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _mean(self):$/;" m class:Normal +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _mean(self):$/;" m class:StudentT +_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _mean(self):$/;" m class:Uniform +_MeanAggregator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _MeanAggregator(inputs, segments):$/;" f +_MeanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MeanGrad(op, grad):$/;" f +_mean_and_stdev adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def _mean_and_stdev(x):$/;" f member:TensorFlowBenchmark.run_op_benchmark file: +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ _mean_carrier_measure = 0$/;" v class:Bernoulli +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ _mean_carrier_measure = 0$/;" v class:ContinuousBernoulli +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ _mean_carrier_measure = 0$/;" v class:Exponential +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/exp_family.py /^ def _mean_carrier_measure(self):$/;" m class:ExponentialFamily +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ _mean_carrier_measure = 0$/;" v class:Gamma +_mean_carrier_measure adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ _mean_carrier_measure = 0$/;" v class:Normal +_mean_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _mean_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None):$/;" f +_mean_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _mean_flops(graph, node):$/;" f +_MEAN_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^_MEAN_NAME = 'mean'$/;" v +_mean_runtime_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _mean_runtime_secs(self):$/;" m class:IterationCountEstimator +_mean_step_time_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _mean_step_time_secs(self):$/;" m class:IterationCountEstimator +_Measurement adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^_Measurement = collections.namedtuple($/;" v +_measurements adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _measurements(session_group, metric_name):$/;" f +_measure_list_profile_column_widths adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def _measure_list_profile_column_widths(self, profile_data):$/;" m class:ProfileAnalyzer +_measure_tensor_list_column_widths adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _measure_tensor_list_column_widths(self, data):$/;" m class:DebugAnalyzer +_MEASURE_TIME adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/op_benchmark.py /^_MEASURE_TIME = 1.0$/;" v +_median adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _median(a, axis=None, out=None, overwrite_input=False):$/;" f +_median adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^def _median(a, axis=None, out=None, overwrite_input=False):$/;" f +_median adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _median(self):$/;" m class:Laplace +_median adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def _median(x):$/;" f member:TensorFlowBenchmark.run_op_benchmark file: +_median_absolute_deviation_deprec_msg adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^_median_absolute_deviation_deprec_msg = """$/;" v +_median_bias adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def _median_bias(n):$/;" f +_median_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _median_dispatcher($/;" f +_median_nancheck adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _median_nancheck(data, result, axis, out):$/;" f +_MediumAppendFloat16ArrayToTensorProto adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^def _MediumAppendFloat16ArrayToTensorProto(tensor_proto, proto_values):$/;" f +_MEL_BREAK_FREQUENCY_HERTZ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^_MEL_BREAK_FREQUENCY_HERTZ = 700.0$/;" v +_MEL_HIGH_FREQUENCY_Q adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^_MEL_HIGH_FREQUENCY_Q = 1127.0$/;" v +_mel_to_hertz adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^def _mel_to_hertz(mel_values, name=None):$/;" f +_MEMALLOCATORSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_MEMALLOCATORSTATS = _descriptor.Descriptor($/;" v +_MEMCHUNK adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_MEMCHUNK = _descriptor.Descriptor($/;" v +_memcpy adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _memcpy(axis, num, src, dst, offset):$/;" f +_MEMMAPPEDFILESYSTEMDIRECTORY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^_MEMMAPPEDFILESYSTEMDIRECTORY = _descriptor.Descriptor($/;" v +_MEMMAPPEDFILESYSTEMDIRECTORYELEMENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^_MEMMAPPEDFILESYSTEMDIRECTORYELEMENT = _descriptor.Descriptor($/;" v +_memoize adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _memoize(thunk):$/;" f +_memoize adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^def _memoize(func):$/;" f +_memoize adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def _memoize(key, fn, *args, **kwargs):$/;" f +_memoize adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^def _memoize(f):$/;" f +_memoize_get_funcs adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^def _memoize_get_funcs(func):$/;" f +_MEMORY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_MEMORY = _descriptor.Descriptor($/;" v +_MEMORY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_MEMORY = _descriptor.Descriptor($/;" v +_MEMORYDUMP adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_MEMORYDUMP = _descriptor.Descriptor($/;" v +_MEMORYINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_MEMORYINFO = _descriptor.Descriptor($/;" v +_MEMORYLOGRAWALLOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGRAWALLOCATION = _descriptor.Descriptor($/;" v +_MEMORYLOGRAWDEALLOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGRAWDEALLOCATION = _descriptor.Descriptor($/;" v +_MEMORYLOGSTEP adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGSTEP = _descriptor.Descriptor($/;" v +_MEMORYLOGTENSORALLOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGTENSORALLOCATION = _descriptor.Descriptor($/;" v +_MEMORYLOGTENSORDEALLOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGTENSORDEALLOCATION = _descriptor.Descriptor($/;" v +_MEMORYLOGTENSOROUTPUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_MEMORYLOGTENSOROUTPUT = _descriptor.Descriptor($/;" v +_MEMORYSTATS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_MEMORYSTATS = _descriptor.Descriptor($/;" v +_MEMORYSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_MEMORYSTATS = _descriptor.Descriptor($/;" v +_merge adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^def _merge(old, new):$/;" f +_merge adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvccompiler.py /^def _merge(old, new):$/;" f +_merge adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def _merge(self, start, end, left, right):$/;" m class:LazyZipOverHTTP +_Merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Merge(_Node):$/;" c +_Merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^class _Merge(Layer):$/;" c +_merge adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _merge(self, old, new):$/;" m class:_Mapping +_MergeField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _MergeField(self, tokenizer, message):$/;" m class:_Parser +_MergeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _MergeGrad(op, grad, _):$/;" f +_MergeMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _MergeMessage($/;" f +_MergeMessageField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _MergeMessageField(self, tokenizer, message, field):$/;" m class:_Parser +_MergeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^_MergeOutput = collections.namedtuple($/;" v +_MergeScalarField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _MergeScalarField(self, tokenizer, message, field):$/;" m class:_Parser +_merge_arrays_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _merge_arrays_dispatcher(seqarrays, fill_value=None, flatten=None,$/;" f +_merge_bdims adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^def _merge_bdims(x, y):$/;" f +_merge_branch_residuals adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _merge_branch_residuals(branch_res_avals):$/;" f +_merge_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _merge_call(self, merge_fn, args, kwargs):$/;" m class:ReplicaContextBase +_merge_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def _merge_call(self, fn, args, kwargs):$/;" m class:_MirroredReplicaContext +_merge_call_merge_raises_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _merge_call_merge_raises_fn():$/;" f +_merge_call_raises_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _merge_call_raises_fn():$/;" f +_merge_dicts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _merge_dicts(self, old=None, new=None):$/;" m class:_Mapping +_merge_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _merge_dims(value, outer_axis, inner_axis):$/;" f +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Add +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Average +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Concatenate +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Dot +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Maximum +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Minimum +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Multiply +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:Subtract +_merge_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def _merge_function(self, inputs):$/;" m class:_Merge +_merge_id_lists adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _merge_id_lists(lengths, values):$/;" f member:TorchIntegration.test_merge_id_lists file: +_merge_into_criterion adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _merge_into_criterion(self, requirement, parent):$/;" m class:Resolution +_merge_masks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^def _merge_masks(x, y):$/;" f +_merge_node_kwargs adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^def _merge_node_kwargs(a, b):$/;" f +_merge_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _merge_nrows(nrows, static_nrows, value, dtype, validate):$/;" f +_merge_pool_kwargs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def _merge_pool_kwargs(self, override):$/;" m class:PoolManager +_merge_pool_kwargs adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def _merge_pool_kwargs(self, override):$/;" m class:PoolManager +_merge_predict_export_outputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def _merge_predict_export_outputs(self, all_estimator_spec):$/;" m class:MultiHead +_merge_raises_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _merge_raises_fn():$/;" f +_merge_row_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _merge_row_partitions(row_partitions, value, rank, dtype, validate):$/;" f +_merge_run_options adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _merge_run_options(self, options, incoming_options):$/;" m class:_HookedSession +_merge_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _merge_shapes(shape_list, enqueue_many):$/;" f +_merge_static_and_dynamic_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _merge_static_and_dynamic_indices(treedef, static_idx, dynamic_idx):$/;" f +_merge_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^def _merge_tensors(t1, t2, name, validate):$/;" f +_merge_tensor_signatures adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _merge_tensor_signatures(self, signatures):$/;" m class:TensorTracer +_merge_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _merge_tolerance(tol, default):$/;" f +_mesh adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _mesh(self):$/;" m class:ColorbarBase +_mesh adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _mesh(self):$/;" m class:ColorbarBase +_meshgrid adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _meshgrid(*tensors):$/;" f +_meshgrid_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _meshgrid_dispatcher(*xi, copy=None, sparse=None, indexing=None):$/;" f +_MESHPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^_MESHPLUGINDATA = _descriptor.Descriptor($/;" v +_MESHPLUGINDATA_CONTENTTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^_MESHPLUGINDATA_CONTENTTYPE = _descriptor.EnumDescriptor($/;" v +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from google.protobuf import message as _message$/;" x +_MESSAGE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ _MESSAGE = textwrap.dedent($/;" v class:_ListIntent +_Message adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^class _Message(object):$/;" c +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^from google.protobuf import message as _message$/;" x +_message adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^from google.protobuf import message as _message$/;" x +_MESSAGEOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_MESSAGEOPTIONS = _descriptor.Descriptor($/;" v +_MessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _MessageToJsonObject(self, message):$/;" m class:_Printer +_MESSAGETYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_MESSAGETYPE = _descriptor.Descriptor($/;" v +_message_cbk adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _message_cbk(self, event):$/;" m class:StatusbarBase +_message_checking_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _message_checking_func(self, task_type, task_id):$/;" m class:_ProcFunc +_MESSAGE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ _MESSAGE_TEMPLATE = textwrap.dedent($/;" v class:UploadIntent +_MESSAGE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ _MESSAGE_TEMPLATE = textwrap.dedent($/;" v class:_DeleteExperimentIntent +_MESSAGE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ _MESSAGE_TEMPLATE = textwrap.dedent($/;" v class:_ExportIntent +_MESSAGE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ _MESSAGE_TEMPLATE = textwrap.dedent($/;" v class:_UpdateMetadataIntent +_MESSAGE_TOS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^_MESSAGE_TOS = u"""\\$/;" v +_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/__init__.py /^from tensorboard.plugins.projector import metadata as _metadata$/;" x +_METADATA_DEFAULT_TIMEOUT adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^ _METADATA_DEFAULT_TIMEOUT = 3$/;" v +_METADATA_FLAVOR_HEADER adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_METADATA_FLAVOR_HEADER = "metadata-flavor"$/;" v +_METADATA_FLAVOR_VALUE adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_METADATA_FLAVOR_VALUE = "Google"$/;" v +_METADATA_HEADERS adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_METADATA_HEADERS = {_METADATA_FLAVOR_HEADER: _METADATA_FLAVOR_VALUE}$/;" v +_METADATA_IP_ROOT adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_METADATA_IP_ROOT = "http:\/\/{}".format($/;" v +_METADATA_ROOT adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/_metadata.py /^_METADATA_ROOT = "http:\/\/{}\/computeMetadata\/v1\/".format(_GCE_METADATA_HOST)$/;" v +_metadata_sent_observer adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _metadata_sent_observer(self):$/;" m class:_StreamRequestMixin +_METADATA_STRING_MAPPING adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^_METADATA_STRING_MAPPING = {$/;" v +_METADATA_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _METADATA_SUFFIX = ".metadata"$/;" v class:DebugEventsReader +_metadata_to_str adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^def _metadata_to_str(key, value):$/;" f +_metadatum adpepsenv/lib/python3.8/site-packages/grpc/beta/_metadata.py /^def _metadatum(beta_key, beta_value):$/;" f +_Metadatum adpepsenv/lib/python3.8/site-packages/grpc/beta/_metadata.py /^_Metadatum = collections.namedtuple('_Metadatum', ($/;" v +_METAGRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_METAGRAPHDEF = _descriptor.Descriptor($/;" v +_METAGRAPHDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_METAGRAPHDEF = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_COLLECTIONDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_METAGRAPHDEF_COLLECTIONDEFENTRY = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_COLLECTIONDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_METAGRAPHDEF_COLLECTIONDEFENTRY = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_METAINFODEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_METAGRAPHDEF_METAINFODEF = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_METAINFODEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_METAGRAPHDEF_METAINFODEF = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_METAINFODEF_FUNCTIONALIASESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_METAGRAPHDEF_METAINFODEF_FUNCTIONALIASESENTRY = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_METAINFODEF_FUNCTIONALIASESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_METAGRAPHDEF_METAINFODEF_FUNCTIONALIASESENTRY = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_SIGNATUREDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_METAGRAPHDEF_SIGNATUREDEFENTRY = _descriptor.Descriptor($/;" v +_METAGRAPHDEF_SIGNATUREDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_METAGRAPHDEF_SIGNATUREDEFENTRY = _descriptor.Descriptor($/;" v +_METANETDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_METANETDEF = _descriptor.Descriptor($/;" v +_meta_graph_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.core.protobuf import meta_graph_pb2 as _meta_graph_pb2$/;" x +_METHOD adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^_METHOD = _descriptor.Descriptor($/;" v +_METHODDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_METHODDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_MethodImplementation adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/utilities.py /^class _MethodImplementation(face.MethodImplementation,$/;" c +_METHODOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_METHODOPTIONS = _descriptor.Descriptor($/;" v +_METHODOPTIONS_IDEMPOTENCYLEVEL adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_METHODOPTIONS_IDEMPOTENCYLEVEL = _descriptor.EnumDescriptor($/;" v +_METHOD_BASENAMES adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ _METHOD_BASENAMES = ("name", "version", "author", "author_email",$/;" v class:DistributionMetadata +_method_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _method_wrapper(self, *args, **kwargs):$/;" f function:disable_multi_worker file: +_method_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _method_wrapper(self, *args, **kwargs):$/;" f function:no_automatic_dependency_tracking file: +_meth_func adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _meth_func = "__func__"$/;" v +_meth_func adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _meth_func = "__func__"$/;" v +_meth_func adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _meth_func = "__func__"$/;" v +_meth_func adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _meth_func = "__func__"$/;" v +_meth_func adpepsenv/lib/python3.8/site-packages/six.py /^ _meth_func = "__func__"$/;" v +_meth_func adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _meth_func = "__func__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _meth_self = "__self__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _meth_self = "__self__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _meth_self = "__self__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _meth_self = "__self__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/six.py /^ _meth_self = "__self__"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _meth_self = "im_self"$/;" v +_meth_self adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _meth_self = "__self__"$/;" v +_METRICENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_METRICENTRY = _descriptor.Descriptor($/;" v +_MetricIdentifier adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^_MetricIdentifier = collections.namedtuple("_MetricIdentifier", "group tag")$/;" v +_METRICINFO adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_METRICINFO = _descriptor.Descriptor($/;" v +_METRICINFOSLIST adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_METRICINFOSLIST = _descriptor.Descriptor($/;" v +_MetricMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_MetricMethod = collections.namedtuple('MetricMethod', 'create delete get_cell')$/;" v +_METRICNAME adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_METRICNAME = _descriptor.Descriptor($/;" v +_METRICS adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_METRICS = {$/;" v +_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ _metrics = None$/;" v class:_SupervisedOutput +_MetricStats adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^class _MetricStats(object):$/;" c +_METRICS_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/metric_utils.py /^_METRICS_MAPPING = {}$/;" v +_METRICS_NAMES adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_METRICS_NAMES = list(_METRICS.keys())$/;" v +_METRICVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_METRICVALUE = _descriptor.Descriptor($/;" v +_METRIC_ALIAS adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_METRIC_ALIAS = dict((alias, name)$/;" v +_mgc_stat adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _mgc_stat(distx, disty):$/;" f +_mhg_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mhg_doc_callparams_note = """\\$/;" v +_mhg_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mhg_doc_default_callparams = """\\$/;" v +_mhg_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mhg_doc_frozen_callparams = ""$/;" v +_mhg_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mhg_doc_frozen_callparams_note = \\$/;" v +_MICROS_PER_SECOND adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_MICROS_PER_SECOND = 1000000$/;" v +_migrate_audio_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_audio_value(value):$/;" f +_migrate_audio_value adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def _migrate_audio_value(value):$/;" f +_migrate_graph_event adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_graph_event(old_event):$/;" f +_migrate_histogram_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_histogram_value(value):$/;" f +_migrate_histogram_value adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def _migrate_histogram_value(value):$/;" f +_migrate_hparams_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_hparams_value(value):$/;" f +_migrate_image_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_image_value(value):$/;" f +_migrate_image_value adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def _migrate_image_value(value):$/;" f +_migrate_pr_curve_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_pr_curve_value(value):$/;" f +_migrate_scalar_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_scalar_value(value):$/;" f +_migrate_scalar_value adpepsenv/lib/python3.8/site-packages/tensorboard/data_compat.py /^def _migrate_scalar_value(value):$/;" f +_migrate_summary_event adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_summary_event(event, initial_metadata):$/;" f +_migrate_text_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_text_value(value):$/;" f +_migrate_value adpepsenv/lib/python3.8/site-packages/tensorboard/dataclass_compat.py /^def _migrate_value(value, initial_metadata):$/;" f +_MILLIS_PER_SECOND adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_MILLIS_PER_SECOND = 1000$/;" v +_mime_split_re adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^_mime_split_re = re.compile(r"\/|(?:\\s*;\\s*)")$/;" v +_MIME_TYPES adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^_MIME_TYPES = {$/;" v +_MIN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MIN = -(1 << 63)$/;" v class:Int64ValueChecker +_MIN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MIN = -2147483648$/;" v class:Int32ValueChecker +_MIN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MIN = 0$/;" v class:Uint32ValueChecker +_MIN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _MIN = 0$/;" v class:Uint64ValueChecker +_min adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^_min = builtins.min$/;" v +_min adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_min = builtins.min$/;" v +_min adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_min = builtins.min$/;" v +_min adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^_min = min$/;" v +_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _min(input, axis, keep_dims=False, name=None):$/;" f +_MinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MinGrad(op, grad):$/;" f +_MiniAlexNetNoDropout adpepsenv/lib/python3.8/site-packages/caffe2/python/model_device_test.py /^ def _MiniAlexNetNoDropout(self, order):$/;" m class:TestMiniAlexNet +_minimize adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _minimize(loss, global_step=None, var_list=None):$/;" f function:mock_optimizer file: +_minimize adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _minimize(loss, global_step):$/;" f member:BaseLinearClassifierTrainingTest._mock_optimizer file: +_minimize adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _minimize(loss, global_step=None, var_list=None):$/;" f member:BaseLinearRegressorTrainingTest._mock_optimizer file: +_minimize_bfgs adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_bfgs(fun, x0, args=(), jac=None, callback=None,$/;" f +_minimize_cg adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_cg(fun, x0, args=(), jac=None, callback=None,$/;" f +_minimize_cobyla adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^def _minimize_cobyla(fun, x0, args=(), constraints=(),$/;" f +_minimize_dogleg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^def _minimize_dogleg(fun, x0, args=(), jac=None, hess=None,$/;" f +_minimize_lbfgsb adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^def _minimize_lbfgsb(fun, x0, args=(), jac=None, bounds=None,$/;" f +_minimize_neldermead adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_neldermead(func, x0, args=(), callback=None,$/;" f +_minimize_newtoncg adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_newtoncg(fun, x0, args=(), jac=None, hess=None, hessp=None,$/;" f +_minimize_powell adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_powell(func, x0, args=(), callback=None, bounds=None,$/;" f +_minimize_scalar_bounded adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_scalar_bounded(func, bounds, args=(),$/;" f +_minimize_scalar_brent adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_scalar_brent(func, brack=None, args=(),$/;" f +_minimize_scalar_golden adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _minimize_scalar_golden(func, brack=None, args=(),$/;" f +_minimize_slsqp adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^def _minimize_slsqp(func, x0, args=(), jac=None, bounds=None,$/;" f +_minimize_tnc adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^def _minimize_tnc(fun, x0, args=(), jac=None, bounds=None,$/;" f +_minimize_trustregion_constr adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^def _minimize_trustregion_constr(fun, x0, args, grad,$/;" f +_minimize_trustregion_exact adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^def _minimize_trustregion_exact(fun, x0, args=(), jac=None, hess=None,$/;" f +_minimize_trust_krylov adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_krylov.py /^def _minimize_trust_krylov(fun, x0, args=(), jac=None, hess=None, hessp=None,$/;" f +_minimize_trust_ncg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_ncg.py /^def _minimize_trust_ncg(fun, x0, args=(), jac=None, hess=None, hessp=None,$/;" f +_minimize_trust_region adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^def _minimize_trust_region(fun, x0, args=(), jac=None, hess=None, hessp=None,$/;" f +_MinimumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MinimumGrad(op, grad):$/;" f +_minimum_control_deps adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _minimum_control_deps(outputs):$/;" f +_MINIMUM_COUNT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/summary.py /^_MINIMUM_COUNT = 1e-7$/;" v +_minimum_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _minimum_flops(graph, node):$/;" f +_minimum_jaxlib_version adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^_minimum_jaxlib_version = (0, 1, 59)$/;" v +_mini_batch_sync_updates_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _mini_batch_sync_updates_op(self, update_in_steps, cluster_centers_var,$/;" m class:KMeans +_mini_batch_training_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _mini_batch_training_op(self, inputs, cluster_idx_list, cluster_centers,$/;" m class:KMeans +_minmax_mixin adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^class _minmax_mixin(object):$/;" c +_minmax_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _minmax_translation_rule(c, x, y, *, minmax=None, cmp=None):$/;" f +_MinOrMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MinOrMaxGrad(op, grad):$/;" f +_minor_index_fancy adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _minor_index_fancy(self, idx):$/;" m class:_cs_matrix +_minor_reduce adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _minor_reduce(self, ufunc, data=None):$/;" m class:_cs_matrix +_minor_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _minor_slice(self, idx, copy=False):$/;" m class:_cs_matrix +_minusInf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ _minusInf = float('-inf')$/;" v class:Real +_minvals adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^_minvals = _typedict()$/;" v +_MIN_CONFIDENCE_INTERVAL adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^_MIN_CONFIDENCE_INTERVAL = 25e-9 # 25 ns$/;" v +_MIN_DIM_SIZE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/binary.py /^_MIN_DIM_SIZE = 16$/;" v +_MIN_DIM_SIZE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/unary.py /^_MIN_DIM_SIZE = 16$/;" v +_min_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _min_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +_MIN_HELP_WIDTH adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^_MIN_HELP_WIDTH = 40 # Minimal "sane" width of help output. We assume that any$/;" v +_min_int adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _min_int(low, high):$/;" f +_MIN_LOG adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_MIN_LOG = -708$/;" v +_MIN_LOGDIR_POLL_INTERVAL_SECS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^_MIN_LOGDIR_POLL_INTERVAL_SECS = 5$/;" v +_min_matrix_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _min_matrix_dim(self):$/;" m class:BaseLinearOperatorIdentity +_min_matrix_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _min_matrix_dim(self):$/;" m class:LinearOperatorZeros +_min_matrix_dim_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _min_matrix_dim_tensor(self):$/;" m class:BaseLinearOperatorIdentity +_min_matrix_dim_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _min_matrix_dim_tensor(self):$/;" m class:LinearOperatorZeros +_min_max_special_cases adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^_min_max_special_cases = tuple($/;" v +_min_max_test_util adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def _min_max_test_util(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +_min_or_max adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _min_or_max(self, axis, out, min_or_max):$/;" m class:_minmax_mixin +_min_or_max_axis adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _min_or_max_axis(self, axis, min_or_max):$/;" m class:_minmax_mixin +_min_or_max_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _min_or_max_filter(input, size, footprint, structure, output, mode,$/;" f +_MIN_RUN_SEC adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_MIN_RUN_SEC = 1$/;" v +_MIN_TENSORBOARD_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin_loader.py /^_MIN_TENSORBOARD_VERSION = pkg_resources.parse_version("2.2.0")$/;" v +_min_vals adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ _min_vals = {}$/;" v class:iinfo +_MirroredReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^class _MirroredReplicaContext(distribute_lib.ReplicaContext):$/;" c +_MirroredReplicaThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^class _MirroredReplicaThread(threading.Thread):$/;" c +_MirroredSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class _MirroredSaveable(saveable_object.SaveableObject):$/;" c +_mirrored_cross_device_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^def _mirrored_cross_device_ops(all_reduce_alg, num_packs):$/;" f +_MirrorPadGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MirrorPadGrad(op, grad):$/;" f +_MirrorPadGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _MirrorPadGradGrad(op, grad):$/;" f +_mirr_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _mirr_dispatcher(values, finance_rate, reinvest_rate):$/;" f +_MISMATCHED_LABEL_DIM_ERR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^_MISMATCHED_LABEL_DIM_ERR_MSG = ($/;" v +_MISSING adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_MISSING = object()$/;" v +_Missing adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^class _Missing(object):$/;" c +_missing adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_missing = _Missing()$/;" v +_missing_ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^class _missing_ctypes:$/;" c +_missing_error adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/fitbit.py /^ def _missing_error(r):$/;" f function:fitbit_compliance_fix file: +_missing_raise adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _missing_raise():$/;" f +_missing_run_error_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^def _missing_run_error_response(request):$/;" f +_missing_run_error_response adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^def _missing_run_error_response(request):$/;" f +_missing_token_type adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/linkedin.py /^ def _missing_token_type(r):$/;" f function:linkedin_compliance_fix file: +_missing_token_type adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/weibo.py /^ def _missing_token_type(r):$/;" f function:weibo_compliance_fix file: +_missing_warn adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^def _missing_warn():$/;" f +_MixedStructOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_MixedStructOutput = collections.namedtuple($/;" v +_mixed_ipv6_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _mixed_ipv6_address = ("::ffff:" + ipv4_address).setName("mixed IPv6 address")$/;" v class:pyparsing_common +_mixed_ipv6_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _mixed_ipv6_address = ("::ffff:" + ipv4_address).setName("mixed IPv6 address")$/;" v class:pyparsing_common +_mixed_ipv6_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _mixed_ipv6_address = ("::ffff:" + ipv4_address).setName("mixed IPv6 address")$/;" v class:pyparsing_common +_mixed_ipv6_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _mixed_ipv6_address = ("::ffff:" + ipv4_address).setName("mixed IPv6 address")$/;" v class:pyparsing_common +_mixed_requires_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _mixed_requires_grad(cls, t1, t2):$/;" m class:DistAutogradTest +_MIXIN adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^_MIXIN = _descriptor.Descriptor($/;" v +_Mixin2to3 adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^from distutils.util import Mixin2to3 as _Mixin2to3$/;" x +_mjci_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^ def _mjci_1D(data, p):$/;" f function:mjci file: +_MkldnnConvNd adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^class _MkldnnConvNd(torch.jit.ScriptModule):$/;" c +_mkstemp adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _mkstemp(*args, **kw):$/;" f +_mkstemp adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _mkstemp(*args, **kw):$/;" f +_mk_dual_path_wrapper adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _mk_dual_path_wrapper(name):$/;" m class:AbstractSandbox +_mk_query adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _mk_query(name):$/;" m class:AbstractSandbox +_mk_single_path_wrapper adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _mk_single_path_wrapper(name, original=None):$/;" m class:AbstractSandbox +_mk_single_with_return adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _mk_single_with_return(name):$/;" m class:AbstractSandbox +_mle adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _mle(x, brack):$/;" f function:boxcox_normmax file: +_mlir_quantize adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert import mlir_quantize as _mlir_quantize$/;" x +_mlir_sparsify adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert import mlir_sparsify as _mlir_sparsify$/;" x +_mls_taps adpepsenv/lib/python3.8/site-packages/scipy/signal/_max_len_seq.py /^_mls_taps = {2: [1], 3: [2], 4: [3], 5: [3], 6: [5], 7: [6], 8: [7, 6, 1],$/;" v +_MockFunction adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^class _MockFunction:$/;" c +_MockOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^class _MockOp(object):$/;" c +_MOCK_IMPL adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^_MOCK_IMPL = """\\$/;" v +_mock_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _mock_optimizer(self, expected_loss=None):$/;" m class:BaseLinearClassifierTrainingTest +_mock_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _mock_optimizer(self, expected_loss=None):$/;" m class:BaseLinearRegressorTrainingTest +_mock_run_std_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _mock_run_std_server(*args, **kwargs):$/;" f member:IndependentWorkerTestBase._make_mock_run_std_server file: +_modattrs adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^_modattrs = globals()$/;" v +_Mode adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^class _Mode(str, Enum):$/;" c +_mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _mode(self, p, b):$/;" m class:geninvgauss_gen +_mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _mode(self, dim, df, scale):$/;" m class:invwishart_gen +_mode adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _mode(self, dim, df, scale):$/;" m class:wishart_gen +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _mode(self, mode):$/;" m class:Context +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _mode(self):$/;" m class:Bernoulli +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _mode(self):$/;" m class:Beta +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _mode(self):$/;" m class:Categorical +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _mode(self):$/;" m class:Dirichlet +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _mode(self):$/;" m class:Distribution +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _mode(self):$/;" m class:Gamma +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _mode(self):$/;" m class:Laplace +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _mode(self):$/;" m class:Normal +_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _mode(self):$/;" m class:StudentT +_mode1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _mode1D(a):$/;" f function:mode file: +_mode1D adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def _mode1D(a):$/;" f function:mode file: +_modedict adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^_modedict = {'valid': 0, 'same': 1, 'full': 2}$/;" v +_MODEINFO adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_MODEINFO = {$/;" v +_MODELCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_MODELCONFIG = _descriptor.Descriptor($/;" v +_MODELCONFIGLIST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_MODELCONFIGLIST = _descriptor.Descriptor($/;" v +_ModelDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _ModelDataset(UnaryUnchangedStructureDataset):$/;" c +_MODELDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_MODELDEF = _descriptor.Descriptor($/;" v +_MODELFLAGS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_MODELFLAGS = _descriptor.Descriptor($/;" v +_MODELFLAGS_MODELCHECK adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_MODELFLAGS_MODELCHECK = _descriptor.Descriptor($/;" v +_ModelFn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^class _ModelFn(object):$/;" c +_ModelFnWrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _ModelFnWrapper(object):$/;" c +_MODELINFO adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_MODELINFO = _descriptor.Descriptor($/;" v +_MODELSERVERCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_MODELSERVERCONFIG = _descriptor.Descriptor($/;" v +_MODELSERVICE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^_MODELSERVICE = _descriptor.ServiceDescriptor($/;" v +_MODELSPEC adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^_MODELSPEC = _descriptor.Descriptor($/;" v +_MODELTYPE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_MODELTYPE = _descriptor.EnumDescriptor($/;" v +_MODELVERSIONSTATUS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_MODELVERSIONSTATUS = _descriptor.Descriptor($/;" v +_MODELVERSIONSTATUS_STATE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_MODELVERSIONSTATUS_STATE = _descriptor.EnumDescriptor($/;" v +_model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _model_build_fun(self, model, loss_scale):$/;" m class:ParallelizeBMUFTest +_model_build_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _model_build_fun(model, loss_scale):$/;" f function:bmuf_process file: +_model_dir adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ def _model_dir(self, model):$/;" m class:ModelDownloader +_MODEL_DIR_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_MODEL_DIR_KEY = 'model_dir'$/;" v +_model_flags_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^from tensorflow.lite.toco import model_flags_pb2 as _model_flags_pb2$/;" x +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineClassifier.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineClassifierV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineEstimatorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineRegressor.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BaselineRegressorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BoostedTreesClassifier.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BoostedTreesEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _model_fn(features, labels, mode, config):$/;" f member:BoostedTreesRegressor.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNClassifier.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNClassifierV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNEstimatorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNRegressor.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNRegressorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedClassifier.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedClassifierV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedEstimatorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedRegressor.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f member:DNNLinearCombinedRegressorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _model_fn(features, labels, mode, config):$/;" f function:_init_dnn_linear_combined_estimator file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearClassifier.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearClassifierV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearEstimatorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearRegressor.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _model_fn(features, labels, mode, config):$/;" f member:LinearRegressorV2.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def _model_fn(features, labels, mode, config):$/;" f member:RNNEstimator.__init__ file: +_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _model_fn(features, labels, mode, config, params):$/;" f member:TPUEstimator._augment_model_fn file: +_model_fn_from_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _model_fn_from_saved_model(self, features, labels, mode):$/;" m class:SavedModelEstimator +_model_handler adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_font_manager.py /^def _model_handler(_):$/;" f +_model_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def _model_loss(model,$/;" f +_model_ready adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def _model_ready(self, sess):$/;" m class:SessionManager +_model_ready_for_local_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def _model_ready_for_local_init(self, sess):$/;" m class:SessionManager +_model_start_state_placeholders adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def _model_start_state_placeholders(self,$/;" m class:TimeSeriesRegressor +_model_step adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _model_step(self, model):$/;" m class:DistributedTest._DistTestBase +_model_step_with_zero_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _model_step_with_zero_grad(self, model):$/;" m class:DistributedTest._DistTestBase +_model_to_estimator_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/estimator/__init__.py /^_model_to_estimator_usage_gauge = monitoring.BoolGauge($/;" v +_model_to_graph adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _model_to_graph(model, args, verbose=False,$/;" f +_model_utils adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/saved_model_utils.py /^from tensorflow_estimator.python.estimator.canned.timeseries import model_utils as _model_utils$/;" x +_modes adpepsenv/lib/python3.8/site-packages/PIL/ImageMode.py /^_modes = None$/;" v +_MODES adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^_MODES = {$/;" v +_MODE_CONV adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^_MODE_CONV = {$/;" v +_mode_from_name adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _mode_from_name(mode):$/;" f +_mode_from_name_dict adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^_mode_from_name_dict = {'v': 0,$/;" v +_mode_interp_constant_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_mode_interp_constant_doc = ($/;" v +_mode_interp_mirror_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_mode_interp_mirror_doc = ($/;" v +_mode_multiple_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_mode_multiple_doc = ($/;" v +_mode_reflect_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_mode_reflect_doc = ($/;" v +_ModifiedDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _ModifiedDecoder(wire_type, decode_value, modify_value):$/;" f +_ModifiedEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _ModifiedEncoder(wire_type, encode_value, compute_value_size, modify_value):$/;" f +_ModifiedSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _ModifiedSizer(compute_value_size, modify_value):$/;" f +_MODIFIER_KEYS adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^_MODIFIER_KEYS = [$/;" v +_modify_class adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _modify_class(class_object, testcases, naming_type):$/;" f +_modify_model_input_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _modify_model_input_type(model, inference_input_type=dtypes.float32):$/;" f +_modify_model_io_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import modify_model_io_type as _modify_model_io_type$/;" x +_modify_model_output_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _modify_model_output_type(model, inference_output_type=dtypes.float32):$/;" f +_Module adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Module(self, tree):$/;" m class:Unparser +_MODULEDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_MODULEDEF = _descriptor.Descriptor($/;" v +_ModuleInitCodeBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^class _ModuleInitCodeBuilder(object):$/;" c +_ModuleNode adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class _ModuleNode(_PathNode):$/;" c +_ModuleObjectAndName adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^class _ModuleObjectAndName($/;" c +_modules_containing_builtins adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^_modules_containing_builtins = (torch, torch._C._nn, torch._C._fft, torch._C._linalg) # type: i/;" v +_ModuleWrapper adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .h5py_warnings import ModuleWrapper as _ModuleWrapper$/;" x +_module_dir adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^_module_dir = None$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_dir adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_module_dir = _module_util.get_parent_dir_for_name(_estimator_module)$/;" v +_module_exists adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _module_exists(self, module_name: str) -> bool:$/;" m class:PackageExporter +_module_is_already_provided adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _module_is_already_provided(self, qualified_name: str) -> bool:$/;" m class:PackageExporter +_module_lock adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^_module_lock = RLock()$/;" v +_module_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^_module_lock = threading.Lock()$/;" v +_module_num adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/util.py /^_module_num = 5403$/;" v +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_util adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.tools import module_util as _module_util$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/activations/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/densenet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/efficientnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/imagenet_utils/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_resnet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_v3/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v3/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/nasnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet50/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg16/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg19/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/xception/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/backend/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/callbacks/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/boston_housing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar10/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar100/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/fashion_mnist/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/imdb/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/mnist/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/reuters/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/estimator/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/preprocessing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/models/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/schedules/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/image/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/sequence/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/text/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/regularizers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/utils/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/scikit_learn/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/activations/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/densenet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/efficientnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/imagenet_utils/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_resnet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_v3/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v3/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/nasnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet50/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet_v2/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg16/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg19/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/xception/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/backend/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/callbacks/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/boston_housing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar10/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar100/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/fashion_mnist/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/imdb/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/mnist/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/reuters/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/estimator/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/preprocessing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/models/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/schedules/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/image/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/sequence/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/text/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/regularizers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/utils/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/scikit_learn/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/export/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/inputs/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/v1.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/export/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/inputs/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/experimental/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/v1.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_module_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/__init__.py /^from tensorflow.python.util import module_wrapper as _module_wrapper$/;" x +_mod_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _mod_dispatcher(a, values):$/;" f +_mod_export_version adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _mod_export_version(n):$/;" f +_mom0_sc adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _mom0_sc(self, m, *args):$/;" m class:rv_continuous +_mom1_sc adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _mom1_sc(self, m, *args):$/;" m class:rv_continuous +_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _moment(a, moment, axis):$/;" f +_moment adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _moment(data, n, mu=None):$/;" f +_moments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _moments(self, inputs, reduction_axes, keep_dims):$/;" m class:BatchNormalizationBase +_MomentumHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _MomentumHandler(_OptimizerHandler):$/;" c +_MOMENTUMPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_MOMENTUMPARAMETERS = _descriptor.Descriptor($/;" v +_moment_from_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _moment_from_stats(n, mu, mu2, g1, g2, moment_func, args):$/;" f +_mom_integ0 adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _mom_integ0(self, x, m, *args):$/;" m class:rv_continuous +_mom_integ1 adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _mom_integ1(self, q, m, *args):$/;" m class:rv_continuous +_MonitoredSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class _MonitoredSession(object):$/;" c +_monitoring adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.eager import monitoring as _monitoring$/;" x +_MONITORREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_MONITORREQUEST = _descriptor.Descriptor($/;" v +_MONITORRESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_MONITORRESPONSE = _descriptor.Descriptor($/;" v +_monkey_patch_test_result_for_unexpected_passes adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _monkey_patch_test_result_for_unexpected_passes():$/;" f +_monoids adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^_monoids = {$/;" v +_MONOLITHIC_BUILD adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as _MONOLITHIC_BUILD$/;" x +_monomorphic_dim adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^_monomorphic_dim = MonomorphicDim()$/;" v +_monotonize_cdf adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def _monotonize_cdf(self, value):$/;" m class:TransformedDistribution +_monte_carlo_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def _monte_carlo_step(self):$/;" m class:BasinHoppingRunner +_most_general_compatible_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _most_general_compatible_type(spec):$/;" f +_most_recent_entry adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def _most_recent_entry():$/;" f function:cache file: +_motion adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _motion(self, event):$/;" m class:Button +_motion adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _motion(self, event):$/;" m class:TextBox +_MOTOROLA_EXTENDED_12B adpepsenv/lib/python3.8/site-packages/numpy/core/setup_common.py /^_MOTOROLA_EXTENDED_12B = ['300', '031', '000', '000', '353', '171',$/;" v +_mouse_mode_command_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _mouse_mode_command_handler(self, args, screen_info=None):$/;" m class:CursesUI +_mouse_move adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _mouse_move(self, event):$/;" m class:ToolPan +_mouse_move adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _mouse_move(self, event):$/;" m class:ToolZoom +_MOUSE_SCROLL_DELAY_MS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _MOUSE_SCROLL_DELAY_MS = 100$/;" v class:CursesUI +_moveaxis adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _moveaxis(src, dst, x):$/;" f +_moveaxis_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _moveaxis_dispatcher(a, source, destination):$/;" f +_movechars adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _movechars(s, src, dst):$/;" f +_MovedItems adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class _MovedItems(_LazyModule):$/;" c +_MovedItems adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class _MovedItems(_LazyModule):$/;" c +_MovedItems adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class _MovedItems(_LazyModule):$/;" c +_MovedItems adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class _MovedItems(_LazyModule):$/;" c +_MovedItems adpepsenv/lib/python3.8/site-packages/six.py /^class _MovedItems(_LazyModule):$/;" c +_MovedItems adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class _MovedItems(_LazyModule):$/;" c +_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_moved_attributes = [$/;" v +_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_moved_attributes = [$/;" v +_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_moved_attributes = [$/;" v +_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_moved_attributes = [$/;" v +_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_moved_attributes = [$/;" v +_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _moved_attributes = []$/;" v class:_LazyModule +_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_moved_attributes = [$/;" v +_MoveFileEx adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _MoveFileEx = ctypes.windll.kernel32.MoveFileExW$/;" v +_MoveFileTransacted adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _MoveFileTransacted = ctypes.windll.kernel32.MoveFileTransactedW$/;" v +_MOVEFILE_REPLACE_EXISTING adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _MOVEFILE_REPLACE_EXISTING = 0x1$/;" v +_MOVEFILE_WRITE_THROUGH adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ _MOVEFILE_WRITE_THROUGH = 0x8$/;" v +_moveSizeSpec adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def _moveSizeSpec(self, **kwargs):$/;" m class:ConstructedAsn1Type +_move_axis_to_0 adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _move_axis_to_0(a, axis):$/;" f +_move_data_entries adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def _move_data_entries(destination_eggdir, dist_data):$/;" m class:Wheel +_move_dim_to_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def _move_dim_to_end(tensor, dim_index, rank):$/;" f function:softmax_cross_entropy_with_logits_v2_helper file: +_move_install_requirements_markers adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _move_install_requirements_markers(self):$/;" m class:Distribution +_move_path_to_path_or_stream adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _move_path_to_path_or_stream(src, dst):$/;" f +_move_to_front adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _move_to_front(lst: Sequence, to_move: Sequence[bool]) -> Sequence:$/;" f +_MPI_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ _MPI_AVAILABLE = False$/;" v +_MPI_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_MPI_AVAILABLE = True$/;" v +_mpl_version adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^from matplotlib import __version__ as _mpl_version$/;" x +_mpmath_wrightomega adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_mpmath.py /^def _mpmath_wrightomega(z, dps):$/;" f +_mrreconstruct adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^def _mrreconstruct(subtype, baseclass, baseshape, basetype,):$/;" f +_msg adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^_msg = ('scipy.{0} is deprecated and will be removed in SciPy 2.0.0, '$/;" v +_msgs adpepsenv/lib/python3.8/site-packages/scipy/integrate/odepack.py /^_msgs = {2: "Integration successful.",$/;" v +_msort_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _msort_dispatcher(a):$/;" f +_MSSSIM_WEIGHTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^_MSSSIM_WEIGHTS = (0.0448, 0.2856, 0.3001, 0.2363, 0.1333)$/;" v +_msvc14_find_vc2015 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def _msvc14_find_vc2015():$/;" f +_msvc14_find_vc2017 adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def _msvc14_find_vc2017():$/;" f +_msvc14_find_vcvarsall adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def _msvc14_find_vcvarsall(plat_spec):$/;" f +_msvc14_get_vc_env adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^def _msvc14_get_vc_env(plat_spec):$/;" f +_msvc9_suppress_errors adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^_msvc9_suppress_errors = ($/;" v +_MSVCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^from distutils.msvc9compiler import MSVCCompiler as _MSVCCompiler$/;" x +_MSVCCompiler adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvccompiler.py /^from distutils.msvccompiler import MSVCCompiler as _MSVCCompiler$/;" x +_MSVCRVER_TO_FULLVER adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^_MSVCRVER_TO_FULLVER = {}$/;" v +_MS_RE adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_angle_helper.py /^_MS_RE = ($/;" v +_mtx adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ _mtx = np.identity(3)$/;" v class:IdentityTransform +_mt_fft adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_multithreading.py /^def _mt_fft(x):$/;" f +_MU adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ _MU = 0.5$/;" v class:TOMS748Solver +_mul adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _mul(scalar, tree):$/;" f +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _mul = staticmethod(chebmul)$/;" v class:Chebyshev +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _mul = staticmethod(hermmul)$/;" v class:Hermite +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _mul = staticmethod(hermemul)$/;" v class:HermiteE +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _mul = staticmethod(lagmul)$/;" v class:Laguerre +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _mul = staticmethod(legmul)$/;" v class:Legendre +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _mul = staticmethod(polymul)$/;" v class:Polynomial +_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _mul(c1, c2):$/;" m class:ABCPolyBase +_mul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _mul(x, y, name=None):$/;" f +_mul12 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _mul12(x, y):$/;" f +_mul2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _mul2(x, y):$/;" f +_mul2012 adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _mul2012(num1, num2):$/;" f +_MulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MulGrad(op, grad):$/;" f +_MulNoNanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _MulNoNanGrad(op, grad):$/;" f +_multiarray_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^import numpy.core._multiarray_tests as _multiarray_tests$/;" I +_multiarray_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^import numpy.core._multiarray_tests as _multiarray_tests$/;" I +_multicast_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _multicast_network = IPv4Network('224.0.0.0\/4')$/;" v class:_IPv4Constants +_multicast_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _multicast_network = IPv6Network('ff00::\/8')$/;" v class:_IPv6Constants +_MultiClassHeadWithSoftmaxCrossEntropyLoss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^class _MultiClassHeadWithSoftmaxCrossEntropyLoss(_Head):$/;" c +_multiclass_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _multiclass_head($/;" f +_MultiDeviceAddN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _MultiDeviceAddN(tensor_list, gradient_uid):$/;" f +_MultiDeviceReplicator adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^class _MultiDeviceReplicator(object):$/;" c +_multidot_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _multidot_dispatcher(arrays, *, out=None):$/;" f +_MULTIGRAPHNODEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_MULTIGRAPHNODEPROTO = _descriptor.Descriptor($/;" v +_MULTIINFERENCELOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_MULTIINFERENCELOG = _descriptor.Descriptor($/;" v +_MULTIINFERENCEREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_MULTIINFERENCEREQUEST = _descriptor.Descriptor($/;" v +_MULTIINFERENCERESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_MULTIINFERENCERESPONSE = _descriptor.Descriptor($/;" v +_MultiIOSubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class _MultiIOSubclassModel(models.Model):$/;" c +_MultiIOSubclassModelCustomBuild adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class _MultiIOSubclassModelCustomBuild(models.Model):$/;" c +_multilabelmarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def _multilabelmarginloss_reference(input, target):$/;" f +_MultilinearModel adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^class _MultilinearModel(Model):$/;" c +_MULTILINECHARTCONTENT adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_MULTILINECHARTCONTENT = _descriptor.Descriptor($/;" v +_multiline_check adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _multiline_check(self, text):$/;" m class:ImageDraw +_multiline_split adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def _multiline_split(self, text):$/;" m class:ImageDraw +_multiline_split adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def _multiline_split(self, text):$/;" m class:FreeTypeFont +_multimarginloss_reference adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def _multimarginloss_reference(input, target_idx, p, margin, weight):$/;" f +_multinomial_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_multinomial_doc_callparams_note = \\$/;" v +_multinomial_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_multinomial_doc_default_callparams = """\\$/;" v +_multinomial_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_multinomial_doc_frozen_callparams = ""$/;" v +_multinomial_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_multinomial_doc_frozen_callparams_note = \\$/;" v +_multinomial_sample_note adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^_multinomial_sample_note = """For each batch of counts, `value = [n_0, ...$/;" v +_multipart_boundary_re adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_multipart_boundary_re = re.compile("^[ -~]{0,200}[!-~]$")$/;" v +_MultipleMatch adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _MultipleMatch(ParseElementEnhance):$/;" c +_MultipleMatch adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _MultipleMatch(ParseElementEnhance):$/;" c +_MultipleMatch adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _MultipleMatch(ParseElementEnhance):$/;" c +_MultipleMatch adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _MultipleMatch(ParseElementEnhance):$/;" c +_multiply adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def _multiply(self, x, y):$/;" m class:MultiplyLayer +_multiply_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _multiply_dispatcher(a, i):$/;" f +_multiply_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^def _multiply_gradient(gradient, scale):$/;" f +_MultiProcessingDataLoaderIter adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class _MultiProcessingDataLoaderIter(_BaseDataLoaderIter):$/;" c +_MultiStepStopAfterNEvalsHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^class _MultiStepStopAfterNEvalsHook(session_run_hook.SessionRunHook):$/;" c +_MultiStepStopAtStepHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^class _MultiStepStopAtStepHook(session_run_hook.SessionRunHook):$/;" c +_MultiThreadedRendezvous adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _MultiThreadedRendezvous(_Rendezvous, grpc.Call, grpc.Future): # pylint: disable=too-many/;" c +_multivariate_normal adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _multivariate_normal(key, mean, cov, shape, dtype, method) -> jnp.ndarray:$/;" f +_MultiWorkerEarlyStoppingHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^class _MultiWorkerEarlyStoppingHook(session_run_hook.SessionRunHook):$/;" c +_multi_class_head_with_softmax_cross_entropy_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _multi_class_head_with_softmax_cross_entropy_loss($/;" f +_multi_dot adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _multi_dot(arrays, order, i, j, precision):$/;" f +_multi_dot adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _multi_dot(arrays, order, i, j, out=None):$/;" f +_multi_dot_matrix_chain_order adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _multi_dot_matrix_chain_order(arrays, return_costs=False):$/;" f +_multi_dot_matrix_chain_order adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _multi_dot_matrix_chain_order(arrays, return_costs=False):$/;" f +_multi_dot_three adpepsenv/lib/python3.8/site-packages/jax/_src/third_party/numpy/linalg.py /^def _multi_dot_three(A, B, C, precision):$/;" f +_multi_dot_three adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _multi_dot_three(A, B, C, out=None):$/;" f +_multi_slice adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _multi_slice(arr,$/;" f +_multi_svd_norm adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _multi_svd_norm(x, row_axis, col_axis, op):$/;" f +_multi_worker_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _multi_worker_concat(v, strategy):$/;" f +_multi_worker_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def _multi_worker_session(kwargs):$/;" f +_multi_worker_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def _multi_worker_test(test_method):$/;" f +_mult_function adpeps/utils/nested.py /^ def _mult_function(A, B, *args):$/;" f member:Nested.mult file: +_mul_const adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _mul_const(dtype):$/;" f +_mul_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _mul_dispatch(x, y, name=None):$/;" f +_mul_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _mul_flops(graph, node):$/;" f +_mul_inverse adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _mul_inverse(r, x, y):$/;" f +_mul_multimatrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def _mul_multimatrix(self, other):$/;" m class:dia_matrix +_mul_multivector adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _mul_multivector(self, other):$/;" m class:spmatrix +_mul_multivector adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _mul_multivector(self,other):$/;" m class:bsr_matrix +_mul_multivector adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _mul_multivector(self, other):$/;" m class:_cs_matrix +_mul_multivector adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _mul_multivector(self, other):$/;" m class:coo_matrix +_mul_multivector adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _mul_multivector(self, other):$/;" m class:dok_matrix +_mul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _mul_scalar(self, other):$/;" m class:spmatrix +_mul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _mul_scalar(self, other):$/;" m class:_data_matrix +_mul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _mul_scalar(self, other):$/;" m class:dok_matrix +_mul_scalar adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _mul_scalar(self, other):$/;" m class:lil_matrix +_mul_sparse_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _mul_sparse_matrix(self, other):$/;" m class:spmatrix +_mul_sparse_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _mul_sparse_matrix(self, other):$/;" m class:bsr_matrix +_mul_sparse_matrix adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _mul_sparse_matrix(self, other):$/;" m class:_cs_matrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _mul_vector(self, other):$/;" m class:spmatrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _mul_vector(self, other):$/;" m class:bsr_matrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _mul_vector(self, other):$/;" m class:_cs_matrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _mul_vector(self, other):$/;" m class:coo_matrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def _mul_vector(self, other):$/;" m class:dia_matrix +_mul_vector adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _mul_vector(self, other):$/;" m class:dok_matrix +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n):$/;" m class:halflogistic_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n):$/;" m class:moyal_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n):$/;" m class:norm_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n):$/;" m class:rv_histogram +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, a, b):$/;" m class:betaprime_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, a, b):$/;" m class:reciprocal_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, a, b):$/;" m class:truncnorm_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, a, b, c, z):$/;" m class:gausshyper_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, a, c):$/;" m class:gengamma_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, b):$/;" m class:rice_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, b):$/;" m class:truncexpon_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, beta, m):$/;" m class:crystalball_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:dweibull_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:fisk_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:genextreme_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:genpareto_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:invweibull_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:loglaplace_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:rdist_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:weibull_max_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c):$/;" m class:weibull_min_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c, d):$/;" m class:burr12_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c, d):$/;" m class:burr_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, c, d):$/;" m class:trapezoid_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, dfn, dfd, nc):$/;" m class:ncf_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, k, s):$/;" m class:mielke_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _munp(self, n, p, b):$/;" m class:geninvgauss_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _munp(self, n, a):$/;" m class:zipf_gen +_munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _munp(self, n, *args):$/;" m class:rv_generic +_must_record_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _must_record_gradient():$/;" f +_must_restore_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ _must_restore_from_config = False$/;" v class:Layer +_must_restore_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ _must_restore_from_config = True$/;" v class:PreprocessingLayer +_MutableDenseHashTable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^class _MutableDenseHashTable(lookup_ops.LookupInterface):$/;" c +_mutate adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _mutate(self, candidate):$/;" m class:DifferentialEvolutionSolver +_mutate_outputs adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/install_lib.py /^ def _mutate_outputs(self, has_any, build_cmd, cmd_option, output_dir):$/;" m class:install_lib +_mutation_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _mutation_lock(self):$/;" m class:Graph +_MUTATION_LOCK_GROUP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_MUTATION_LOCK_GROUP = 0$/;" v +_MutualTlsAdapter adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^class _MutualTlsAdapter(requests.adapters.HTTPAdapter):$/;" c +_mvn_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvn_doc_callparams_note = \\$/;" v +_mvn_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvn_doc_default_callparams = """\\$/;" v +_mvn_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvn_doc_frozen_callparams = ""$/;" v +_mvn_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvn_doc_frozen_callparams_note = \\$/;" v +_mvt_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvt_doc_callparams_note = \\$/;" v +_mvt_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvt_doc_default_callparams = \\$/;" v +_mvt_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_mvt_doc_frozen_callparams_note = \\$/;" v +_my_metric adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _my_metric(x, y):$/;" f member:TestCdist.test_cdist_custom_notdouble file: +_my_metric adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _my_metric(x, y):$/;" f member:TestPdist.test_pdist_custom_notdouble file: +_my_metric adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _my_metric(x, y, arg, kwarg=1, kwarg2=2):$/;" f member:TestCdist.test_cdist_extra_args file: +_my_metric adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^ def _my_metric(x, y, arg, kwarg=1, kwarg2=2):$/;" f member:TestPdist.test_pdist_extra_args file: +_naive_B adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _naive_B(x, k, i, t):$/;" f +_naive_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _naive_eval(x, t, c, k):$/;" f +_naive_eval_2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _naive_eval_2(x, t, c, k):$/;" f +_naive_isdst adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def _naive_isdst(self, dt, transitions):$/;" m class:tzrangebase +_naive_is_dst adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _naive_is_dst(self, dt):$/;" m class:tzlocal +_naive_rfft adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def _naive_rfft(x, fft_lengths):$/;" f +_Name adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Name(self, t):$/;" m class:Unparser +_name adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _name(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ _name = 'absl_forkserver'$/;" v class:AbslForkServerContext +_name adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def _name(self, target: Target) -> str:$/;" m class:Graph +_NAMEATTRLIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_NAMEATTRLIST = _descriptor.Descriptor($/;" v +_NAMEATTRLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_NAMEATTRLIST = _descriptor.Descriptor($/;" v +_NAMEATTRLIST_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_NAMEATTRLIST_ATTRENTRY = _descriptor.Descriptor($/;" v +_NAMEATTRLIST_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_NAMEATTRLIST_ATTRENTRY = _descriptor.Descriptor($/;" v +_NameBasedRestoreCoordinator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _NameBasedRestoreCoordinator(object):$/;" c +_NameConstant adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _NameConstant(self, t):$/;" m class:Unparser +_NAMED adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^_NAMED = object()$/;" v +_NAMEDDEVICE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^_NAMEDDEVICE = _descriptor.Descriptor($/;" v +_NamedExpr adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _NamedExpr(self, tree):$/;" m class:Unparser +_namedict adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^_namedict = None$/;" v +_NAMEDTENSORPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^_NAMEDTENSORPROTO = _descriptor.Descriptor($/;" v +_NamedTupleCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _NamedTupleCodec(object):$/;" c +_NAMEDTUPLEVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_NAMEDTUPLEVALUE = _descriptor.Descriptor($/;" v +_NAMEDTUPLEVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_NAMEDTUPLEVALUE = _descriptor.Descriptor($/;" v +_named_backends adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^_named_backends = {$/;" v +_named_call_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _named_call_translation_rule(c, axis_env, in_nodes, name_stack, *,$/;" f +_NAMED_DICT_KEY adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^_NAMED_DICT_KEY = 'testcase_name'$/;" v +_named_members adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _named_members(self, get_members_fn, prefix='', recurse=True):$/;" m class:Module +_namefromtype adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^_namefromtype = {'S1': 'character',$/;" v +_NAMESCOPE_SEPARATOR adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^_NAMESCOPE_SEPARATOR = '\/'$/;" v +_namespace_handlers adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_namespace_handlers = None$/;" v +_namespace_handlers adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_namespace_handlers = None$/;" v +_namespace_packages adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_namespace_packages = None$/;" v +_namespace_packages adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_namespace_packages = None$/;" v +_Namespace_TestStringOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_Namespace_TestStringOutputOutput = collections.namedtuple($/;" v +_names_with_underscore adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_names_with_underscore = ['__version__', '__git_version__', '__compiler_version__', '__cxx11_abi/;" v +_names_with_underscore adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_names_with_underscore = ['__version__', '__git_version__', '__compiler_version__', '__cxx11_abi/;" v +_name_based_attribute_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _name_based_attribute_restore(self, checkpoint):$/;" m class:_DelegatingTrackableMixin +_name_based_attribute_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _name_based_attribute_restore(self, checkpoint):$/;" m class:Trackable +_name_based_restores adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _name_based_restores(self):$/;" m class:_DelegatingTrackableMixin +_name_based_restores adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _name_based_restores(self):$/;" m class:Trackable +_NAME_COLUMN_WIDTH adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^ _NAME_COLUMN_WIDTH = 20$/;" v class:ReadableFormatter +_name_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _name_element(self, index):$/;" m class:List +_name_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _name_element(self, key):$/;" m class:Mapping +_name_element adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _name_element(self, key):$/;" m class:_DictWrapper +_name_from_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _name_from_scope_name(name):$/;" f +_name_get adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _name_get(dtype):$/;" f +_name_includes_bit_suffix adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _name_includes_bit_suffix(dtype):$/;" f +_NAME_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^_NAME_KEY = "_tpu_embedding_layer"$/;" v +_name_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _name_list(tensor_list):$/;" f +_NAME_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_NAME_REGEX = r"[A-Za-z0-9_.][A-Za-z0-9_.\\-\/]*?"$/;" v +_name_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_rep.py /^ def _name_scope(self):$/;" m class:Caffe2Rep +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _name_scope(self):$/;" m class:Layer +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _name_scope(self):$/;" m class:Layer +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _name_scope(self):$/;" m class:Layer +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _name_scope(self, name=None, values=None):$/;" m class:Bijector +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _name_scope(self, name=None, values=None):$/;" m class:Distribution +_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _name_scope(self, name=None):$/;" m class:LinearOperator +_name_scope_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _name_scope_transformer(parent, node, full_name, name, logs):$/;" f +_name_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def _name_slot_variable(variable_path, slot_name):$/;" f function:_slot_variable_naming_for_optimizer file: +_name_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _name_stack(self):$/;" m class:Graph +_name_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _name_stack(self, name_stack):$/;" m class:Graph +_NAME_TO_SYMBOL_MAPPING adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^_NAME_TO_SYMBOL_MAPPING = dict()$/;" v +_NAN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_NAN = _POS_INF * 0$/;" v +_NAN adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_NAN = 'NaN'$/;" v +_nan adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^ def _nan(args):$/;" f function:_expm file: +_nanargmax_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanargmax_dispatcher(a, axis=None):$/;" f +_nanargmin_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanargmin_dispatcher(a, axis=None):$/;" f +_NANARG_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_NANARG_DOC = """\\$/;" v +_nancumprod_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nancumprod_dispatcher(a, axis=None, dtype=None, out=None):$/;" f +_nancumsum_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nancumsum_dispatcher(a, axis=None, dtype=None, out=None):$/;" f +_nanmax_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmax_dispatcher(a, axis=None, out=None, keepdims=None):$/;" f +_nanmean_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmean_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None):$/;" f +_nanmedian adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmedian(a, axis=None, out=None, overwrite_input=False):$/;" f +_nanmedian1d adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmedian1d(arr1d, overwrite_input=False):$/;" f +_nanmedian_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmedian_dispatcher($/;" f +_nanmedian_small adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmedian_small(a, axis=None, out=None, overwrite_input=False):$/;" f +_nanmin_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanmin_dispatcher(a, axis=None, out=None, keepdims=None):$/;" f +_NANOS_PER_MICROSECOND adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_NANOS_PER_MICROSECOND = 1000$/;" v +_NANOS_PER_MILLISECOND adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_NANOS_PER_MILLISECOND = 1000000$/;" v +_NANOS_PER_SECOND adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_NANOS_PER_SECOND = 1000000000$/;" v +_nanpercentile_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanpercentile_dispatcher(a, q, axis=None, out=None, overwrite_input=None,$/;" f +_nanprod_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanprod_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None):$/;" f +_nanquantile_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanquantile_1d(arr1d, q, overwrite_input=False, interpolation='linear'):$/;" f +_nanquantile_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanquantile_dispatcher(a, q, axis=None, out=None, overwrite_input=None,$/;" f +_nanquantile_unchecked adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanquantile_unchecked(a, q, axis=None, out=None, overwrite_input=False,$/;" f +_nanquantile_ureduce_func adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanquantile_ureduce_func(a, q, axis=None, out=None, overwrite_input=False,$/;" f +_nanstd_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanstd_dispatcher($/;" f +_nansum_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nansum_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None):$/;" f +_nanvar_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nanvar_dispatcher($/;" f +_nan_like adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _nan_like(c, operand):$/;" f +_nan_mask adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _nan_mask(a, out=None):$/;" f +_nan_reduction adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _nan_reduction(a, name, jnp_reduction, init_val, nan_if_all_nan,$/;" f +_nan_to_num_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _nan_to_num_dispatcher(x, copy=None, nan=None, posinf=None, neginf=None):$/;" f +_native_handle adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _native_handle(self):$/;" m class:Interpreter +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def _natural_params(self):$/;" m class:Bernoulli +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def _natural_params(self):$/;" m class:Beta +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _natural_params(self):$/;" m class:ContinuousBernoulli +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def _natural_params(self):$/;" m class:Dirichlet +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def _natural_params(self):$/;" m class:Exponential +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/exp_family.py /^ def _natural_params(self):$/;" m class:ExponentialFamily +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def _natural_params(self):$/;" m class:Gamma +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def _natural_params(self):$/;" m class:Normal +_natural_params adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def _natural_params(self):$/;" m class:Poisson +_NAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_NAUPD_ERRORS = {'d': DNAUPD_ERRORS,$/;" v +_navigate_mode adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _navigate_mode(self):$/;" m class:_Mode +_navigate_screen_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _navigate_screen_output(self, command):$/;" m class:CursesUI +_NAVIGATION_BACK_COMMAND adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _NAVIGATION_BACK_COMMAND = "prev"$/;" v class:CursesUI +_NAVIGATION_FORWARD_COMMAND adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _NAVIGATION_FORWARD_COMMAND = "next"$/;" v class:CursesUI +_NAVIGATION_WARNING_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _NAVIGATION_WARNING_COLOR_PAIR = ($/;" v class:CursesUI +_nbo adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ _nbo = '<'$/;" v +_nbool_correspond_all adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _nbool_correspond_all(u, v, w=None):$/;" f +_nbool_correspond_ft_tf adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _nbool_correspond_ft_tf(u, v, w=None):$/;" f +_nbytes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _nbytes(arr):$/;" f +_nbytes_full adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def _nbytes_full(fmt, nlines):$/;" f +_nc adpepsenv/lib/python3.8/site-packages/setuptools/command/install.py /^ _nc = dict(new_commands)$/;" v class:install +_NCCL_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ _NCCL_AVAILABLE = False$/;" v +_NCCL_AVAILABLE adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_NCCL_AVAILABLE = True$/;" v +_NCHWToNHWC adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _NCHWToNHWC(self, np_value):$/;" m class:BiasAddTestBase +_ncx2_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _ncx2_cdf(x, df, nc):$/;" f +_ncx2_log_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _ncx2_log_pdf(x, df, nc):$/;" f +_ncx2_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _ncx2_pdf(x, df, nc):$/;" f +_NDArrayNear adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _NDArrayNear(self, ndarray1, ndarray2, err):$/;" m class:TensorFlowTestCase +_ndarray_constant_handler adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _ndarray_constant_handler(c, val, canonicalize_types=True):$/;" f +_ndat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^_ndat = np.array([[0.6244, np.nan, 0.2692, 0.0116, np.nan, 0.1170],$/;" v +_ndat_ones adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^_ndat_ones = np.array([[0.6244, 1.0, 0.2692, 0.0116, 1.0, 0.1170],$/;" v +_ndat_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^_ndat_zeros = np.array([[0.6244, 0.0, 0.2692, 0.0116, 0.0, 0.1170],$/;" v +_ndigits adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_ndigits = {'f': 5, 'd': 12, 'F': 5, 'D': 12}$/;" v +_ndigits adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^_ndigits = {'f': 3, 'd': 11, 'F': 3, 'D': 11}$/;" v +_ndim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_ndim = np.ndim$/;" v +_ndim adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^_ndim = getattr(_from_nx.ndim, '__wrapped__', _from_nx.ndim)$/;" v +_ndims_from_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _ndims_from_shape(shape):$/;" f +_ndim_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _ndim_dispatcher(a):$/;" f +_ndptr adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^class _ndptr(_ndptr_base):$/;" c +_ndptr_base adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ _ndptr_base = ctypes.c_void_p$/;" v +_ndptr_base adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ _ndptr_base = object$/;" v +_ndtr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _ndtr(x):$/;" f +_ndtr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def _ndtr(x):$/;" f +_Ndtr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_grad.py /^def _Ndtr(x):$/;" f +_ndtri adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _ndtri(p):$/;" f +_ndtri adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^def _ndtri(p):$/;" f +_NdtriGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _NdtriGrad(op, grad):$/;" f +_nd_check_interp adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _nd_check_interp(self, kind='linear'):$/;" m class:TestInterp1D +_nd_check_shape adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _nd_check_shape(self, kind='linear'):$/;" m class:TestInterp1D +_nd_convolution adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/conv_test.py /^ def _nd_convolution($/;" m class:TestConvolution +_NearestNeighborsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^_NearestNeighborsOutput = collections.namedtuple($/;" v +_nearest_indices_and_weights adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^def _nearest_indices_and_weights(coordinate):$/;" f +_nearest_real_complex_idx adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _nearest_real_complex_idx(fro, to, which):$/;" f +_needs_add_docstring adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^def _needs_add_docstring(obj):$/;" f +_needs_build adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^def _needs_build(obj, cc_args, extra_postargs, pp_opts):$/;" f +_needs_hiding adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^def _needs_hiding(mod_name):$/;" f +_NEEDS_LOADING adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^_NEEDS_LOADING = object()$/;" v +_needs_no_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^def _needs_no_arguments(python_callable):$/;" f +_needs_param adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^_needs_param = set()$/;" v +_needs_refcount adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^_needs_refcount = skipif(not HAS_REFCOUNT, "python has no sys.getrefcount")$/;" v +_need_link adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _need_link(self, objects, output_file):$/;" m class:CCompiler +_neg adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _neg(x, name=None):$/;" f +_neg2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _neg2(x):$/;" f +_negation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _negation(f):$/;" f +_NEGATIVE_INFINITY adpepsenv/lib/python3.8/site-packages/tensorboard/backend/json_util.py /^_NEGATIVE_INFINITY = float("-inf")$/;" v +_NegGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _NegGrad(_, grad):$/;" f +_neg_byteorder adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _neg_byteorder(self, a):$/;" m class:TestClip +_neg_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _neg_flops(graph, node):$/;" f +_NEG_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_NEG_INF = -_POS_INF$/;" v +_NEG_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^_NEG_INF = -_POS_INF$/;" v +_NEG_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_NEG_INF = float('-inf')$/;" v +_NEG_INFINITY adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_NEG_INFINITY = '-Infinity'$/;" v +_neg_llf adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _neg_llf(lmbda, data):$/;" f function:yeojohnson_normmax file: +_NestedDescriptorBase adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^class _NestedDescriptorBase(DescriptorBase):$/;" c +_NestedVariant adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _NestedVariant(composite_tensor.CompositeTensor):$/;" c +_nested_map adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def _nested_map(condition, fn, condition_msg=None):$/;" f +_nested_python_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _nested_python_udf(t1, t2, dst):$/;" m class:DistAutogradTest +_nested_row_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _nested_row_partitions(self):$/;" m class:RaggedTensor +_nested_rpc_call_backward_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _nested_rpc_call_backward_error(t1, t2, dst):$/;" m class:DistAutogradTest +_nest_where adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^ def _nest_where(vals, cases):$/;" f function:matrix_exponential file: +_NETDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_NETDEF = _descriptor.Descriptor($/;" v +_netmask_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _netmask_cache = {}$/;" v class:_BaseV4 +_netmask_cache adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _netmask_cache = {}$/;" v class:_BaseV6 +_NETSMAP adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_NETSMAP = _descriptor.Descriptor($/;" v +_net_instance_cancel adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^ def _net_instance_cancel(net_instance):$/;" f member:TestOperators.test_safe_dequeue_blob__raises_exception_when_hang file: +_net_names_used adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ _net_names_used = set()$/;" v class:Net +_NEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_NEUPD_ERRORS = {'d': DNEUPD_ERRORS,$/;" v +_NEUPD_WHICH adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_NEUPD_WHICH = ['LM', 'SM', 'LR', 'SR', 'LI', 'SI']$/;" v +_new adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _new(self, im):$/;" m class:Image +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def _new(self, *args, **kwargs):$/;" m class:Bernoulli +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def _new(self, *args, **kwargs):$/;" m class:Binomial +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def _new(self, *args, **kwargs):$/;" m class:Categorical +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _new(self, *args, **kwargs):$/;" m class:ContinuousBernoulli +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def _new(self, *args, **kwargs):$/;" m class:Multinomial +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def _new(self, *args, **kwargs):$/;" m class:NegativeBinomial +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def _new(self, *args, **kwargs):$/;" m class:OneHotCategorical +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def _new(self, *args, **kwargs):$/;" m class:LogitRelaxedBernoulli +_new adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def _new(self, *args, **kwargs):$/;" m class:ExpRelaxedCategorical +_NewCheckpointListenerForEvaluate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _NewCheckpointListenerForEvaluate($/;" c +_NewClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ class _NewClass(func_or_class): # pylint: disable=missing-docstring$/;" c function:deprecated_alias file: +_newnames adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _newnames(datatype, order):$/;" f +_newNode adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _newNode(g, opname, outputs, *args, **kwargs):$/;" f +_NEWPROFILESESSIONREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_NEWPROFILESESSIONREQUEST = _descriptor.Descriptor($/;" v +_NEWPROFILESESSIONRESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_NEWPROFILESESSIONRESPONSE = _descriptor.Descriptor($/;" v +_newton adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _newton(n, x_initial, maxit=5):$/;" f +_newton_quadratic adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _newton_quadratic(ab, fab, d, fd, k):$/;" f +_new_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def _new_conn(self):$/;" m class:HTTPConnection +_new_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _new_conn(self):$/;" m class:HTTPConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _new_conn(self):$/;" m class:HTTPSConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/ntlmpool.py /^ def _new_conn(self):$/;" m class:NTLMConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ def _new_conn(self):$/;" m class:SOCKSConnection +_new_conn adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def _new_conn(self):$/;" m class:HTTPConnection +_new_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _new_conn(self):$/;" m class:HTTPConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _new_conn(self):$/;" m class:HTTPSConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/urllib3/contrib/ntlmpool.py /^ def _new_conn(self):$/;" m class:NTLMConnectionPool +_new_conn adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ def _new_conn(self):$/;" m class:SOCKSConnection +_new_duck_type_and_implements adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^def _new_duck_type_and_implements():$/;" f +_new_epoch_decorator adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def _new_epoch_decorator(thefunc):$/;" f +_new_local_optimizer adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^def _new_local_optimizer(optim_cls, local_params_rref, *args, **kwargs):$/;" f +_new_mark_used adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _new_mark_used(self, *args, **kwargs):$/;" f +_new_pool adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def _new_pool(self, scheme, host, port, request_context=None):$/;" m class:PoolManager +_new_pool adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def _new_pool(self, scheme, host, port, request_context=None):$/;" m class:PoolManager +_new_process_group_helper adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _new_process_group_helper(world_size,$/;" f +_new_reader adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def _new_reader(self, reader_init_net):$/;" m class:Pipe +_new_request adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _new_request(self):$/;" m class:_BlobRequestSender +_new_request adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _new_request(self):$/;" m class:_ScalarBatchedRequestSender +_new_request adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _new_request(self):$/;" m class:_TensorBatchedRequestSender +_new_script_local_optimizer adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^def _new_script_local_optimizer(optim_cls, local_params_rref, *args, **kwargs):$/;" f +_new_shared adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def _new_shared(cls, size):$/;" m class:_StorageBase +_new_shared adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def _new_shared(self, int) -> 'Storage':$/;" m class:Storage +_new_writer adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def _new_writer(self, writer_schema, writer_init_net):$/;" m class:Pipe +_new__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _new__getattribute__(self, key):$/;" f +_new__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _new__init__(self, wrapped_value, tf_should_use_helper):$/;" f +_new__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^def _new__setattr__(self, key, value):$/;" f +_next adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def _next(self):$/;" m class:_Rendezvous +_next adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _next(self):$/;" m class:_MultiThreadedRendezvous +_next adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _next(self):$/;" m class:_Rendezvous +_next adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _next(self):$/;" m class:_SingleThreadedRendezvous +_next adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def _next(self):$/;" m class:_RequestIterator +_next adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def _next(self, tokens, tp):$/;" m class:FortranFormatParser +_next adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _next(self):$/;" m class:_RangeWrapper +_NextAfterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _NextAfterGrad(op, grad):$/;" f +_NextIteration adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _NextIteration(data, name=None):$/;" f +_NextIterationGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _NextIterationGrad(_, grad):$/;" f +_next_actual_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_actual_batch(self, label_key_provided=False):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_next_available_idx adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _next_available_idx(g):$/;" f function:_add_single_target_ifneeded file: +_next_chunk adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _next_chunk(self):$/;" m class:_RangeWrapper +_next_csv_row adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^def _next_csv_row(filenames, num_cols, field_delim, use_quote_delim, header,$/;" f +_next_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _next_data(self):$/;" m class:_BaseDataLoaderIter +_next_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _next_data(self):$/;" m class:_MultiProcessingDataLoaderIter +_next_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _next_data(self):$/;" m class:_SingleProcessDataLoaderIter +_next_device_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^_next_device_number = 0$/;" v +_next_device_number_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^_next_device_number_lock = threading.Lock()$/;" v +_next_expected_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_expected_batch(self, file_indices, batch_size, num_epochs,$/;" m class:TFRecordDatasetTestBase +_next_expected_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_expected_batch(self,$/;" m class:MakeBatchedFeaturesDatasetTestBase +_next_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _next_func(string_handle):$/;" f member:_CopyToDeviceDataset.__init__ file: +_next_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _next_func(string_handle):$/;" f member:_PerDeviceGenerator.__init__ file: +_next_id adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^_next_id = 0$/;" v +_next_index adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _next_index(self):$/;" m class:_BaseDataLoaderIter +_next_instance_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def _next_instance_key(self):$/;" m class:CollectiveReplicaLauncher +_next_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _next_internal(self):$/;" m class:OwnedIterator +_next_in_mro adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _next_in_mro(cls): # noqa$/;" f +_next_iterations adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _next_iterations(self, global_step, final_step):$/;" m class:_TPUStopAtStepHook +_next_kxv adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def _next_kxv(kxv):$/;" f function:_gamma_one._body_fn file: +_next_rank adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _next_rank(self):$/;" m class:DistAutogradTest +_next_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_record(file_indices):$/;" f member:MakeBatchedFeaturesDatasetTestBase._next_expected_batch file: +_next_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_record(file_indices):$/;" f member:TFRecordDatasetTestBase._next_expected_batch file: +_next_record_interleaved adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_record_interleaved(file_indices, cycle_length):$/;" f member:MakeBatchedFeaturesDatasetTestBase._next_expected_batch file: +_next_record_interleaved adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _next_record_interleaved(file_indices, cycle_length):$/;" f member:TFRecordDatasetTestBase._next_expected_batch file: +_next_resource_id adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^_next_resource_id = 0$/;" v +_next_response adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _next_response(self):$/;" m class:_SingleThreadedRendezvous +_next_unique_token adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def _next_unique_token(self):$/;" m class:FuncRegistry +_ngettext adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^def _ngettext(n, singular, plural):$/;" f +_NHWCToNCHW adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _NHWCToNCHW(self, np_value):$/;" m class:BiasAddTestBase +_nipy_spectral_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_nipy_spectral_data = {$/;" v +_nlines adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def _nlines(fmt, size):$/;" f member:HBInfo.from_data file: +_nnlf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _nnlf(self, x, *args):$/;" m class:rv_continuous +_nnlf_and_penalty adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _nnlf_and_penalty(self, x, args):$/;" m class:rv_continuous +_nn_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn.py /^from tensorflow.python.ops import nn_grad as _nn_grad$/;" x +_nn_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn.py /^from tensorflow.python.ops import nn_ops as _nn_ops$/;" x +_NoConvergence adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^class _NoConvergence(Exception):$/;" c +_NODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_NODE = _descriptor.Descriptor($/;" v +_Node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _Node(_Convertible):$/;" c +_NODEDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^_NODEDEF = _descriptor.Descriptor($/;" v +_NODEDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^_NODEDEF = _descriptor.Descriptor($/;" v +_NodeDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _NodeDef(op_type, name, attrs=None):$/;" f +_NODEDEF_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^_NODEDEF_ATTRENTRY = _descriptor.Descriptor($/;" v +_NODEDEF_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^_NODEDEF_ATTRENTRY = _descriptor.Descriptor($/;" v +_NODEDEF_EXPERIMENTALDEBUGINFO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^_NODEDEF_EXPERIMENTALDEBUGINFO = _descriptor.Descriptor($/;" v +_NODEDEF_EXPERIMENTALDEBUGINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^_NODEDEF_EXPERIMENTALDEBUGINFO = _descriptor.Descriptor($/;" v +_NODEDEF_NAME_RE adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ _NODEDEF_NAME_RE = re.compile($/;" v class:BaseSession +_NODEEXECSTATS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_NODEEXECSTATS = _descriptor.Descriptor($/;" v +_NODEEXECSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_NODEEXECSTATS = _descriptor.Descriptor($/;" v +_NODEMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_NODEMETADATA = _descriptor.Descriptor($/;" v +_NODEOUTPUT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_NODEOUTPUT = _descriptor.Descriptor($/;" v +_NODEOUTPUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_NODEOUTPUT = _descriptor.Descriptor($/;" v +_NODEPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_NODEPROTO = _descriptor.Descriptor($/;" v +_nodes adpepsenv/lib/python3.8/site-packages/gast/gast.py /^_nodes = ($/;" v +_NodeState adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^class _NodeState(object):$/;" c +_NodeState adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^class _NodeState(object):$/;" c +_node_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _node_def(from_node_def, export_scope, unbound_inputs, clear_devices=False):$/;" f +_node_def_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.core.framework import node_def_pb2 as _node_def_pb2$/;" x +_node_getitem adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _node_getitem(self, k):$/;" f +_node_matches_argspec adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def _node_matches_argspec(node, func):$/;" f +_node_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^def _node_name(n):$/;" f +_NODE_NAME_FILTER_FLAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^_NODE_NAME_FILTER_FLAG = "node_name_filter"$/;" v +_node_sets_self_attribute adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _node_sets_self_attribute(self, node):$/;" m class:ActivityAnalyzer +_NonAtrousConvolution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^class _NonAtrousConvolution(object):$/;" c +_NonCanonicalCompressedMixin adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _NonCanonicalCompressedMixin(_NonCanonicalMixin):$/;" c +_NonCanonicalCSMixin adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _NonCanonicalCSMixin(_NonCanonicalCompressedMixin):$/;" c +_NonCanonicalMixin adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _NonCanonicalMixin(object):$/;" c +_noncentral_chi_cdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _noncentral_chi_cdf(x, df, nc, dps=None):$/;" f +_noncentral_chi_pdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _noncentral_chi_pdf(t, df, nc):$/;" f +_nondiff_methods adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_nondiff_methods = ["all", "any", "argmax", "argmin", "argpartition", "argsort",$/;" v +_NonEagerInputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _NonEagerInputs(op, xs_set):$/;" f +_NoneCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _NoneCodec(object):$/;" c +_nonempty_prod adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^_nonempty_prod = functools.partial(functools.reduce, operator.mul)$/;" v +_nonempty_sum adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^_nonempty_sum = functools.partial(functools.reduce, operator.add)$/;" v +_NONEVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_NONEVALUE = _descriptor.Descriptor($/;" v +_NONEVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_NONEVALUE = _descriptor.Descriptor($/;" v +_none_or_positive_arg adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _none_or_positive_arg(x, name):$/;" f +_NONE_SUBSCRIPTION adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/utilities.py /^_NONE_SUBSCRIPTION = _Subscription(base.Subscription.Kind.NONE, None, None,$/;" v +_none_to_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^def _none_to_default(inputs, default):$/;" f +_none_to_empty_2d adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def _none_to_empty_2d(arg):$/;" f +_NonImplementedMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _NonImplementedMethod(self, method_name, rpc_controller, callback):$/;" m class:_ServiceBuilder +_nonlin_line_search adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _nonlin_line_search(func, x, Fx, dx, search_type='armijo', rdiff=1e-8,$/;" f +_nonlin_wrapper adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _nonlin_wrapper(name, jac):$/;" f +_Nonlocal adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Nonlocal(self, t):$/;" m class:Unparser +_NonMaxSuppressionV4Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_NonMaxSuppressionV4Output = collections.namedtuple($/;" v +_NonMaxSuppressionV5Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_NonMaxSuppressionV5Output = collections.namedtuple($/;" v +_nonmonotone_line_search_cheng adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def _nonmonotone_line_search_cheng(f, x_k, d, f_k, C, Q, eta,$/;" f +_nonmonotone_line_search_cruz adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def _nonmonotone_line_search_cruz(f, x_k, d, prev_fs, eta,$/;" f +_nonneg_int_or_fail adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def _nonneg_int_or_fail(n, var_name, strict=True):$/;" f +_NonSerializableDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^class _NonSerializableDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_nonzero adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _nonzero(self, k, *args):$/;" m class:rv_discrete +_nonzero_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _nonzero_dispatcher(a):$/;" f +_NONZERO_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_NONZERO_DOC = """\\$/;" v +_nonzero_range adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^def _nonzero_range(arr):$/;" f +_non_append_mutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _non_append_mutation(self):$/;" m class:ListWrapper +_non_append_mutation adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _non_append_mutation(self, value):$/;" m class:ListWrapper +_non_atrous_convolution adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _non_atrous_convolution($/;" f +_NON_AUTOPACKABLE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^_NON_AUTOPACKABLE_TYPES = set(np.core.numerictypes.ScalarType)$/;" v +_non_compliant_param_name adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/instagram.py /^ def _non_compliant_param_name(url, headers, data):$/;" f function:instagram_compliance_fix file: +_non_compliant_param_name adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/linkedin.py /^ def _non_compliant_param_name(url, headers, data):$/;" f function:linkedin_compliance_fix file: +_non_compliant_param_name adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/slack.py /^ def _non_compliant_param_name(url, headers, data):$/;" f function:slack_compliance_fix file: +_non_decade_format adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _non_decade_format(self, sign_string, base, fx, usetex):$/;" m class:LogFormatterMathtext +_non_decade_format adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _non_decade_format(self, sign_string, base, fx, usetex):$/;" m class:LogFormatterSciNotation +_non_linear_param_search adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _non_linear_param_search(self):$/;" m class:HistogramObserver +_non_none_constant_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^def _non_none_constant_value(v):$/;" f +_NON_OK_CALL_REPRESENTATION adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_NON_OK_CALL_REPRESENTATION = ('<{} of RPC that terminated with:\\n'$/;" v +_NON_OK_RENDEZVOUS_REPR_FORMAT adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_NON_OK_RENDEZVOUS_REPR_FORMAT = ('<{} of RPC that terminated with:\\n'$/;" v +_NON_SCRIPTABLE_REMOTE_MODULE_MODULE adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^_NON_SCRIPTABLE_REMOTE_MODULE_MODULE = ($/;" v +_non_slot_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _non_slot_variables(self):$/;" m class:Optimizer +_non_string_or_bytes_iterable adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _non_string_or_bytes_iterable(obj):$/;" f +_non_zero_expiration adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/mailchimp.py /^ def _non_zero_expiration(r):$/;" f function:mailchimp_compliance_fix file: +_noop_context adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^def _noop_context():$/;" f +_nop adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _nop(self, _):$/;" m class:Dvi +_NoReturn adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _NoReturn(typing._FinalTypingBase, _root=True):$/;" c +_NoReturnMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _NoReturnMeta(typing.TypingMeta):$/;" c +_norm adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _norm(x, ord, axis: Union[None, Tuple[int, ...], int], keepdims):$/;" f +_norm adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _norm(x):$/;" f +_normal adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _normal(key, shape, dtype) -> jnp.ndarray:$/;" f +_NORMALDISTRIBUTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_NORMALDISTRIBUTION = _descriptor.Descriptor($/;" v +_normalization adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _normalization(norm, forward):$/;" f +_normalize adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _normalize(x, y):$/;" f +_normalize adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def _normalize(key, size, axis): # Includes last index.$/;" f member:GridSpecBase.__getitem__ file: +_normalize adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _normalize(name):$/;" f member:CharsetAccept._value_matches file: +_normalize adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _normalize(hostname):$/;" f function:host_is_trusted file: +_NormalizeDuration adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def _NormalizeDuration(self, seconds, nanos):$/;" m class:Duration +_normalized_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_normalized_key = _pep_440_key$/;" v +_normalized_keys adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def _normalized_keys(self, section, items):$/;" m class:Configuration +_normalized_like adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^def _normalized_like(A, B):$/;" f +_normalized_outrows adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _normalized_outrows(outrows):$/;" f +_NormalizeFullyQualifiedName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def _NormalizeFullyQualifiedName(name):$/;" f +_NormalizeNamescope adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def _NormalizeNamescope(namescope):$/;" m class:ModelHelper +_normalize_by_window_size adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def _normalize_by_window_size(dims, strides, padding):$/;" f +_normalize_cached adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _normalize_cached(filename, _cache={}):$/;" f +_normalize_cached adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _normalize_cached(filename, _cache={}):$/;" f +_normalize_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/multi_gpu_utils.py /^def _normalize_device_name(name):$/;" f +_normalize_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^def _normalize_docstring(docstring):$/;" f +_normalize_external adpepsenv/lib/python3.8/site-packages/h5py/_hl/filters.py /^def _normalize_external(external):$/;" f +_normalize_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _normalize_feature_columns(feature_columns):$/;" f +_normalize_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _normalize_feature_columns(feature_columns):$/;" f +_normalize_field adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^def _normalize_field(field_or_type_or_blob, keep_blobs=True):$/;" f +_normalize_field_name_to_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _normalize_field_name_to_tuple(name: 'FieldName') -> Sequence[str]:$/;" f +_normalize_float adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _normalize_float(x):$/;" f +_normalize_font_family adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def _normalize_font_family(family):$/;" f +_normalize_grid_string adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _normalize_grid_string(layout):$/;" m class:Figure +_normalize_grpc_url adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def _normalize_grpc_url(self, address):$/;" m class:GrpcDebugWrapperSession +_normalize_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^def _normalize_host(host, scheme):$/;" f +_normalize_host adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def _normalize_host(host, scheme):$/;" f +_normalize_host adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^def _normalize_host(host, scheme):$/;" f +_normalize_host adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def _normalize_host(host, scheme):$/;" f +_normalize_hparams adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def _normalize_hparams(hparams):$/;" f +_normalize_index adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _normalize_index(index, axis_size):$/;" f +_normalize_lang adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def _normalize_lang(value):$/;" f +_normalize_line_endings adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^def _normalize_line_endings(source):$/;" f +_normalize_matvec adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _normalize_matvec(f):$/;" f +_normalize_mime adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def _normalize_mime(value):$/;" f +_normalize_mode adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _normalize_mode(im, initial_call=False):$/;" f +_normalize_name adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^def _normalize_name(name):$/;" f +_normalize_namescope adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^def _normalize_namescope(namescope):$/;" f +_normalize_netloc adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def _normalize_netloc(scheme, netloc):$/;" f function:extract_path_info file: +_normalize_numpy_value adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def _normalize_numpy_value(value):$/;" f +_normalize_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def _normalize_outputs(outputs, function_name, signature_key):$/;" f +_normalize_palette adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _normalize_palette(im, palette, info):$/;" f +_normalize_path adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^def _normalize_path(path):$/;" f +_normalize_sequence adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_support.py /^def _normalize_sequence(input, rank):$/;" f +_normalize_shortcut adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _normalize_shortcut(self, key):$/;" m class:HelpGTK3 +_normalize_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _normalize_string(string):$/;" f +_normalize_string adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _normalize_string(string):$/;" f +_normalize_string adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _normalize_string(string):$/;" f +_normalize_string adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _normalize_string(string):$/;" f +_normalize_tags adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def _normalize_tags(self, tags):$/;" m class:ModelHelper +_normalize_tolerance adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _normalize_tolerance(tol):$/;" f +_normalize_value_destination_pairs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _normalize_value_destination_pairs(value_destination_pairs):$/;" f +_normalize_version adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _normalize_version(version):$/;" m class:Distribution +_normalize_whitespace adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^def _normalize_whitespace(text):$/;" f +_NormalizingCombiner adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^class _NormalizingCombiner(base_preprocessing_layer.Combiner):$/;" c +_normal_a_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def _normal_a_cell(ip, p, filters, block_id=None):$/;" f +_normal_cdf adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/random/util.py /^ def _normal_cdf(x):$/;" f function:test_truncated_normal file: +_normal_real adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _normal_real(key, shape, dtype) -> jnp.ndarray:$/;" f +_NormBase adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^class _NormBase(Module):$/;" c +_normed_hermite_e_n adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^def _normed_hermite_e_n(x, n):$/;" f +_normed_hermite_n adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^def _normed_hermite_n(x, n):$/;" f +_normplot adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _normplot(method, x, la, lb, plot=None, N=80):$/;" f +_norm_abs_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def _norm_abs_path(file_path):$/;" f +_norm_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _norm_angle(a):$/;" f +_norm_cdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_cdf(x):$/;" f +_norm_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _norm_dispatcher(x, ord=None, axis=None, keepdims=None):$/;" f +_norm_factor adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _norm_factor(p, k):$/;" f +_norm_ilogcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_ilogcdf(y):$/;" f +_norm_isf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_isf(q):$/;" f +_norm_logcdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_logcdf(x):$/;" f +_norm_logcdfprime adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_logcdfprime(z):$/;" f +_norm_logpdf adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _norm_logpdf(x):$/;" f +_norm_logpdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_logpdf(x):$/;" f +_norm_logpdf_constant adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^_norm_logpdf_constant = np.log(np.sqrt(2 * np.pi))$/;" v +_norm_logsf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_logsf(x):$/;" f +_NORM_MAP adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^_NORM_MAP = {None: 0, 'backward': 0, 'ortho': 1, 'forward': 2}$/;" v +_norm_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_pdf(x):$/;" f +_norm_pdf_C adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^_norm_pdf_C = np.sqrt(2*np.pi)$/;" v +_norm_pdf_logC adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^_norm_pdf_logC = np.log(_norm_pdf_C)$/;" v +_norm_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_ppf(q):$/;" f +_norm_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _norm_sf(x):$/;" f +_norm_text_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _norm_text_angle(a):$/;" f +_norm_to_list_of_layers adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def _norm_to_list_of_layers(maybe_layers):$/;" f +_NoStatePredictOutput adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^class _NoStatePredictOutput(export_lib.PredictOutput):$/;" c +_not adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _not(x):$/;" f +_notclose adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _notclose(fs, rtol=_rtol, atol=_xtol):$/;" f +_NotExpired adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ _NotExpired = lambda x: x.step < event.step$/;" f member:EventAccumulator._Purge file: +_NotExpired adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ _NotExpired = lambda x: x.step < event.step$/;" f member:EventAccumulator._Purge file: +_NotFoundError adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.framework.errors_impl import NotFoundError as _NotFoundError$/;" x +_nothing adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _nothing():$/;" f +_NotHTML adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class _NotHTML(Exception):$/;" c +_NotHTTP adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^class _NotHTTP(Exception):$/;" c +_notify_change_observers adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _notify_change_observers(self):$/;" m class:TextBox +_notify_is_used adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _notify_is_used(self):$/;" m class:ExecutionStep +_notify_submit_observers adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _notify_submit_observers(self):$/;" m class:TextBox +_notify_used adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def _notify_used(self):$/;" m class:Task +_NotIPython adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ class _NotIPython(Exception):$/;" c function:install_repl_displayhook file: +_NotNone adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^def _NotNone(v):$/;" f +_NotOkStatusException adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^class _NotOkStatusException(Exception):$/;" c +_notuple_allreduce_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _notuple_allreduce_translation_rule(prim, c, *args, axis_name, axis_env,$/;" f +_not_a_knot adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _not_a_knot(x, k):$/;" f +_not_equal_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _not_equal_flops(graph, node):$/;" f +_not_impl adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _not_impl(self): pass$/;" m class:DarwinCPUInfo +_not_impl adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _not_impl(self): pass$/;" m class:IRIXCPUInfo +_not_impl adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _not_impl(self): pass$/;" m class:LinuxCPUInfo +_not_impl adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _not_impl(self): pass$/;" m class:SunOSCPUInfo +_not_impl adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _not_impl(self): pass$/;" m class:Win32CPUInfo +_NOT_IMPLEMENTED adpepsenv/lib/python3.8/site-packages/jax/numpy/fft.py /^_NOT_IMPLEMENTED = []$/;" v +_NOT_IMPLEMENTED adpepsenv/lib/python3.8/site-packages/jax/numpy/linalg.py /^_NOT_IMPLEMENTED = []$/;" v +_not_implemented adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _not_implemented(fun):$/;" f +_NOT_IMPLEMENTED adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_NOT_IMPLEMENTED = ['argpartition']$/;" v +_not_implemented adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^ def _not_implemented(self, *args, **kwargs):$/;" f function:install_method file: +_NOT_IMPLEMENTED_DESC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_NOT_IMPLEMENTED_DESC = """$/;" v +_NoValue adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^_NoValue = _NoValueType()$/;" v +_NoValueType adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^class _NoValueType:$/;" c +_no_arg_unpack adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def _no_arg_unpack(self) -> NoReturn:$/;" m class:Proxy +_no_control_flow adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def _no_control_flow(self) -> NoReturn:$/;" m class:Proxy +_no_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _no_dependency(self, value):$/;" m class:_DelegatingTrackableMixin +_no_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _no_dependency(self, value):$/;" m class:Trackable +_no_dependency adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def _no_dependency(self, value):$/;" m class:AutoTrackable +_no_duplicate_dims adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _no_duplicate_dims(dims, op_name, name):$/;" f +_no_global_under_regular_virtualenv adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def _no_global_under_regular_virtualenv():$/;" f +_no_global_under_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def _no_global_under_venv():$/;" f +_no_grad_embedding_renorm_ adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _no_grad_embedding_renorm_(weight, input, max_norm, norm_type):$/;" f +_no_grad_fill_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _no_grad_fill_(tensor, val):$/;" f +_no_grad_normal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _no_grad_normal_(tensor, mean, std):$/;" f +_no_grad_trunc_normal_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _no_grad_trunc_normal_(tensor, mean, std, a, b):$/;" f +_no_grad_uniform_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _no_grad_uniform_(tensor, a, b):$/;" f +_no_grad_zero_ adpepsenv/lib/python3.8/site-packages/torch/nn/init.py /^def _no_grad_zero_(tensor):$/;" f +_no_init adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _no_init(self, *args, **kwargs):$/;" f member:_ProtocolMeta.__init__ file: +_no_init adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _no_init(self, *args, **kwargs):$/;" f member:Protocol.__init_subclass__ file: +_no_op_train_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^def _no_op_train_fn(loss):$/;" f +_no_slots_copy adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _no_slots_copy(dct):$/;" f +_no_tracing adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _no_tracing(func):$/;" f +_NO_VALUE adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^_NO_VALUE = object()$/;" v +_np adpepsenv/lib/python3.8/site-packages/scipy/constants/constants.py /^import numpy as _np$/;" I +_np adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^import numpy as _np$/;" I +_np adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^import numpy as _np$/;" I +_np adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^import numpy as _np$/;" I +_np adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^import numpy as _np # Avoids becoming a part of public Tensorflow API.$/;" I +_np adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/types.py /^import numpy as _np # Avoids becoming a part of public Tensorflow API.$/;" I +_np adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^import numpy as _np # Avoids becoming a part of public Tensorflow API.$/;" I +_np adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^import numpy as _np$/;" I +_npBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _npBias(self, inputs, bias):$/;" m class:BiasAddTestBase +_nper_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _nper_dispatcher(rate, pmt, pv, fv=None, when=None):$/;" f +_NPMIPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^_NPMIPLUGINDATA = _descriptor.Descriptor($/;" v +_npv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _npv_dispatcher(rate, values):$/;" f +_np_array adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _np_array(obj, dtype=None, **kwargs):$/;" f +_np_asarray adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_np_asarray = partial(_np_array, copy=False)$/;" v +_np_bfloat16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_bfloat16 = pywrap_tensorflow.TF_bfloat16_type()$/;" v +_np_bfloat16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_bfloat16 = _pywrap_bfloat16.TF_bfloat16_type()$/;" v +_np_conv_ok adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _np_conv_ok(volume, kernel, mode):$/;" f +_np_doc_form adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^_np_doc_form = os.getenv('TF_NP_DOC_FORM', '1.16')$/;" v +_np_doc_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _np_doc_helper(f, np_f, np_fun_name=None, unsupported_params=None,$/;" f +_np_qint16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_qint16 = np.dtype([("qint16", np.int16)])$/;" v +_np_qint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_qint16 = np.dtype([("qint16", np.int16)])$/;" v +_np_qint32 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_qint32 = np.dtype([("qint32", np.int32)])$/;" v +_np_qint32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_qint32 = np.dtype([("qint32", np.int32)])$/;" v +_np_qint8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_qint8 = np.dtype([("qint8", np.int8)])$/;" v +_np_qint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_qint8 = np.dtype([("qint8", np.int8)])$/;" v +_np_quint16 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_quint16 = np.dtype([("quint16", np.uint16)])$/;" v +_np_quint16 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_quint16 = np.dtype([("quint16", np.uint16)])$/;" v +_np_quint8 adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_np_quint8 = np.dtype([("quint8", np.uint8)])$/;" v +_np_quint8 adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_np_quint8 = np.dtype([("quint8", np.uint8)])$/;" v +_np_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _np_signature(f):$/;" f +_NP_TO_APPEND_FN adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^_NP_TO_APPEND_FN = {$/;" v +_NP_TO_APPEND_FN adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^ _NP_TO_APPEND_FN = {$/;" v +_NP_TO_TF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_NP_TO_TF = frozenset($/;" v +_NP_TO_TF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_NP_TO_TF = {$/;" v +_NQuad adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^class _NQuad(object):$/;" c +_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def _nrows(tensor, out_type=dtypes.int32):$/;" f +_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _nrows(tensor, out_type=dtypes.int32):$/;" f +_nrows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_where_op.py /^def _nrows(rt_input, out_type):$/;" f +_nspkg_tmpl adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ _nspkg_tmpl = ($/;" v class:Installer +_nspkg_tmpl_multi adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ _nspkg_tmpl_multi = ($/;" v class:Installer +_nt adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^from . import numerictypes as _nt$/;" x +_NthElementGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _NthElementGrad(op, grad):$/;" f +_nth_slice adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _nth_slice(i, ndim):$/;" f +_ntuple adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^def _ntuple(n):$/;" f +_ntuple_from_first adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/utils.py /^def _ntuple_from_first(n):$/;" f +_nt_quote_args adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _nt_quote_args(args: Optional[List[str]]) -> List[str]:$/;" f +_null adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^_null = '\\x00'.encode('ascii') # encoding to ASCII for Python 3$/;" v +_Null adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^_Null = object()$/;" v +_null adpepsenv/lib/python3.8/site-packages/requests/utils.py /^_null = '\\x00'.encode('ascii') # encoding to ASCII for Python 3$/;" v +_Null adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^_Null = object()$/;" v +_null2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^_null2 = _null * 2$/;" v +_null2 adpepsenv/lib/python3.8/site-packages/requests/utils.py /^_null2 = _null * 2$/;" v +_null3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^_null3 = _null * 3$/;" v +_null3 adpepsenv/lib/python3.8/site-packages/requests/utils.py /^_null3 = _null * 3$/;" v +_nullcontext adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ class _nullcontext(object):$/;" c +_nullcontext adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ from contextlib import nullcontext as _nullcontext$/;" x +_nullcontext adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^def _nullcontext():$/;" f +_NULLCONTEXT adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^_NULLCONTEXT = _nullcontext()$/;" v +_NullToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _NullToken(object):$/;" c +_NullToken adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _NullToken(object):$/;" c +_NullToken adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _NullToken(object):$/;" c +_NullToken adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _NullToken(object):$/;" c +_NULLVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_NULLVALUE = _descriptor.EnumDescriptor($/;" v +_null_scope adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/mailchimp.py /^ def _null_scope(r):$/;" f function:mailchimp_compliance_fix file: +_Num adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Num(self, t):$/;" m class:Unparser +_num adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_num = _int | _float | _complex$/;" v +_num adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^import numpy as _num$/;" I +_numbers adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^import numbers as _numbers$/;" I +_numbers adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^import numbers as _numbers$/;" I +_NUMBER_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^_NUMBER_PATTERN = re.compile(r"[-+]?(\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?")$/;" v +_NUMBER_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^_NUMBER_REGEX = re.compile(r"[-+]?([0-9][-+0-9eE\\.]+|nan|inf)(\\s|,|\\])")$/;" v +_number_with_underscores adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^_number_with_underscores = re.compile('([0-9])(_([0-9]))*')$/;" v +_numdiff_2d adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^def _numdiff_2d(func, x, y, dx=0, dy=0, eps=1e-8):$/;" f +_numel adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _numel(self):$/;" m class:LBFGS +_numerical_jacobian adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _numerical_jacobian(self, module, input: _TensorOrTensors, jacobian_input=True, jacobian/;" m class:NNTestCase +_NumericColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _NumericColumn(_DenseColumn,$/;" c +_numerictypes adpepsenv/lib/python3.8/site-packages/numpy/core/_add_newdocs.py /^from numpy.core import numerictypes as _numerictypes$/;" x +_numeric_arrays adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _numeric_arrays(arrays, kinds='buifc'):$/;" f +_numeric_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _numeric_column(key,$/;" f +_numeric_dtypes adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^_numeric_dtypes = ($/;" v +_numeric_methods adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _numeric_methods(ufunc, name):$/;" f +_NUMERIC_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_NUMERIC_PREFIX = re.compile(r'(\\d+(\\.\\d+)*)')$/;" v +_numpy adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _numpy(self):$/;" m class:_EagerTensorBase +_NumpyIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _NumpyIterator(object):$/;" c +_NumpyScalar adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class _NumpyScalar(ScalarShape): pass$/;" c +_numpy_array_constant adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _numpy_array_constant(builder, value, canonicalize_types=True):$/;" f +_NUMPY_DEFAULT_EDGE_ITEMS adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^_NUMPY_DEFAULT_EDGE_ITEMS = 3$/;" v +_NUMPY_INCOMPATIBLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_NUMPY_INCOMPATIBLE = frozenset($/;" v +_numpy_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class _numpy_info(system_info):$/;" c +_numpy_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _numpy_internal(self):$/;" m class:_EagerTensorBase +_NUMPY_OMISSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^_NUMPY_OMISSION = "...,"$/;" v +_numpy_signature_re adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/util.py /^_numpy_signature_re = re.compile(r'^([\\w., ]+=)?\\s*[\\w\\.]+\\([\\w\\W]*\\)$')$/;" v +_numpy_tester adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^def _numpy_tester():$/;" f +_NUMPY_TYPE_TO_ENUM adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^_NUMPY_TYPE_TO_ENUM = {$/;" v +_nums_to_str adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _nums_to_str(*args):$/;" f +_NumTypeFields adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _NumTypeFields(arg):$/;" f +_num_between_graph_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _num_between_graph_workers(self):$/;" m class:CollectiveAllReduce +_num_between_graph_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def _num_between_graph_workers(self):$/;" m class:CrossDeviceOps +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_BucketizedColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_CategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_CrossedColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_HashedCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_IdentityCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_SequenceCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_VocabularyFileCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_VocabularyListCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _num_buckets(self):$/;" m class:_WeightedCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:BucketizedColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:CrossedColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:HashedCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:IdentityCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:SequenceCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:VocabularyFileCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:VocabularyListCategoricalColumn +_num_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _num_buckets(self):$/;" m class:WeightedCategoricalColumn +_num_buffers adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _num_buffers: int = 1 # number of buffers used to represent the value.$/;" v class:AbstractValue +_NUM_CLASS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^_NUM_CLASS = 2$/;" v +_NUM_CORES_TO_COMPUTATION_SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^_NUM_CORES_TO_COMPUTATION_SHAPE = {$/;" v +_NUM_DISPLAY_UNTRACED_FUNCTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^_NUM_DISPLAY_UNTRACED_FUNCTIONS = 5$/;" v +_num_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _num_elements(grad):$/;" f +_num_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _num_elements(self):$/;" m class:_EagerTensorBase +_num_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def _num_elements(losses):$/;" f +_num_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def _num_elements(losses):$/;" f +_NUM_ELEMENTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^_NUM_ELEMENTS_NAME = "num_elements"$/;" v +_NUM_EXECS_SUB_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _NUM_EXECS_SUB_HEAD = "(#execs)"$/;" v class:ProfileAnalyzer +_num_fromflags adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^def _num_fromflags(flaglist):$/;" f +_NUM_KEYPOINTS adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/detectron_keypoints.py /^_NUM_KEYPOINTS = -1 # cfg.KRCNN.NUM_KEYPOINTS$/;" v +_NUM_LOOPS adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_NUM_LOOPS = {$/;" v +_num_loss_partitions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _num_loss_partitions(self):$/;" m class:_SDCAModel +_NUM_NODES_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _NUM_NODES_HEAD = "#nodes"$/;" v class:ProfileAnalyzer +_num_outputs adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _num_outputs(batched_outputs: Union[Tensor, Tuple[Tensor, ...]]) -> int:$/;" f +_num_present adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def _num_present(losses, weights, per_batch=False):$/;" f +_num_relevant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _num_relevant(labels, k):$/;" f +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _num_replicas_in_sync(self):$/;" m class:CollectiveAllReduceExtended +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _num_replicas_in_sync(self):$/;" m class:StrategyExtendedV2 +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _num_replicas_in_sync(self):$/;" m class:_DefaultDistributionExtended +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _num_replicas_in_sync(self):$/;" m class:MirroredExtended +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _num_replicas_in_sync(self):$/;" m class:OneDeviceExtended +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _num_replicas_in_sync(self):$/;" m class:ParameterServerStrategyExtended +_num_replicas_in_sync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _num_replicas_in_sync(self):$/;" m class:TPUExtended +_num_shards adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def _num_shards(self):$/;" m class:_ShardedMutableDenseHashTable +_num_signature_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _num_signature_dimensions(self):$/;" m class:TensorTracer +_num_table_shards adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _num_table_shards(self):$/;" m class:_SDCAModel +_num_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _num_tasks(self):$/;" m class:WorkerServer +_num_total_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def _num_total_workers(has_chief, num_workers):$/;" f +_num_to_str adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _num_to_str(val):$/;" f +_num_to_string adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _num_to_string(self, x, vmin, vmax):$/;" m class:LogFormatter +_num_to_string adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _num_to_string(self, x, vmin, vmax):$/;" m class:LogFormatterExponent +_num_workers adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _num_workers(self):$/;" m class:DispatchServer +_nvals_uniform_row_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _nvals_uniform_row_length(values, uniform_row_length):$/;" f +_nvtx adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ _nvtx = _NVTXStub() # type: ignore[assignment]$/;" v +_NVTXStub adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^ class _NVTXStub(object):$/;" c +_nx adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^from . import numeric as _nx$/;" x +_nx adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^from . import numeric as _nx$/;" x +_nx adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^import numpy.core.numeric as _nx$/;" I +_nx adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^import numpy.core.numeric as _nx$/;" I +_nx adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^import numpy.core.numeric as _nx$/;" I +_nx adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^import numpy.core.numeric as _nx$/;" I +_nx adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^ import numpy as _nx$/;" I function:svd file: +_o adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_longdouble.py /^_o = 1 + LD_INFO.eps$/;" v +_ObjectGraphProtoPrettyPrinter adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _ObjectGraphProtoPrettyPrinter(object):$/;" c +_ObjectIdentityWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class _ObjectIdentityWrapper(object):$/;" c +_ObjectProxyMetaType adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class _ObjectProxyMetaType(type):$/;" c +_ObjectProxyMethods adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^class _ObjectProxyMethods(object):$/;" c +_objects_with_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^def _objects_with_attributes(full_list):$/;" f +_OBJECT_ATTRIBUTES_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^_OBJECT_ATTRIBUTES_NAME = _ESCAPE_CHAR + "ATTRIBUTES"$/;" v +_object_format adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _object_format(o):$/;" f +_object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _object_identifier(self):$/;" m class:Layer +_object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _object_identifier(self):$/;" m class:Layer +_object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _object_identifier(self):$/;" m class:_DelegatingTrackableMixin +_object_identifier adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _object_identifier(self):$/;" m class:Trackable +_object_prefix_from_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^def _object_prefix_from_path(path_to_root):$/;" f +_object_to_tensor adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _object_to_tensor(obj):$/;" f +_obj_reference_counts adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _obj_reference_counts(self):$/;" m class:Layer +_obj_reference_counts adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _obj_reference_counts(self):$/;" m class:Layer +_ObserverBase adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^class _ObserverBase(ObserverBase):$/;" c +_observer_forward_hook adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def _observer_forward_hook(self, input, output):$/;" f +_observer_forward_pre_hook adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def _observer_forward_pre_hook(self, input):$/;" f +_obsolete_constants adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_obsolete_constants = {}$/;" v +_obtain_topology adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^def _obtain_topology(master_address, cluster_def):$/;" f +_ocean_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_ocean_data = {$/;" v +_oct adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _oct = partialmethod(_forward_to_value, oct)$/;" v class:ConcreteArray +_oct adpepsenv/lib/python3.8/site-packages/jax/core.py /^ _oct = concretization_function_error(oct)$/;" v class:UnshapedArray +_octal_re adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_octal_re = re.compile(br"\\\\[0-3][0-7][0-7]")$/;" v +_odeint adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def _odeint(func, rtol, atol, mxstep, y0, ts, *args):$/;" f +_odeint_fwd adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def _odeint_fwd(func, rtol, atol, mxstep, y0, ts, *args):$/;" f +_odeint_rev adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def _odeint_rev(func, rtol, atol, mxstep, res, g):$/;" f +_odeint_wrapper adpepsenv/lib/python3.8/site-packages/jax/experimental/ode.py /^def _odeint_wrapper(func, rtol, atol, mxstep, y0, ts, *args):$/;" f +_offset adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def _offset(w, h, xd, yd, renderer, fontsize=fontsize, self=self):$/;" f member:AnchoredOffsetbox._update_offset_func file: +_offset adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _offset(self, ox, oy):$/;" m class:Table +_offsets adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _offsets = np.zeros((0, 2))$/;" v class:Collection +_offsetText_pos adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _offsetText_pos = dict(left=(0, 1, "bottom", "right"),$/;" v class:AxisArtist +_offset_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def _offset_transform(self, renderer):$/;" m class:AbstractPathEffect +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc2985.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3279.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3280.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc4055.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5084.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5934.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5990.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6031.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8018.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8103.py /^def _OID(*components):$/;" f +_OID adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc8226.py /^def _OID(*components):$/;" f +_ok adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _ok(self, path):$/;" m class:DirectorySandbox +_OK_CALL_REPRESENTATION adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_OK_CALL_REPRESENTATION = ('<{} of RPC that terminated with:\\n'$/;" v +_OK_RENDEZVOUS_REPR_FORMAT adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_OK_RENDEZVOUS_REPR_FORMAT = ('<{} of RPC that terminated with:\\n'$/;" v +_old_fpu_mode adpepsenv/lib/python3.8/site-packages/numpy/conftest.py /^_old_fpu_mode = None$/;" v +_old_get_dense_tensor_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _old_get_dense_tensor_internal(self, sparse_tensors, weight_collections,$/;" m class:EmbeddingColumn +_omd_bucket adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^class _omd_bucket(object):$/;" c +_onCaptureLost adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onCaptureLost(self, event):$/;" m class:_FigureCanvasWxBase +_onClose adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onClose(self, event):$/;" m class:FigureFrameWx +_one adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_one: Callable = partial(full_like, shape=(), fill_value=1)$/;" v +_OneCoreTPUContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^class _OneCoreTPUContext(_InternalTPUContext):$/;" c +_OneDeviceReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^class _OneDeviceReplicaContext(distribute_lib.ReplicaContext):$/;" c +_onenorm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^from ._expm_multiply import _ident_like, _exact_1_norm as _onenorm$/;" x +_onenormest_core adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def _onenormest_core(A, AT, t, itmax):$/;" f +_onenormest_m1_power adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _onenormest_m1_power(A, p,$/;" f +_onenormest_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _onenormest_matrix_power(A, p,$/;" f +_onenormest_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _onenormest_matrix_power(A, p,$/;" f +_onenormest_product adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _onenormest_product(operator_seq,$/;" f +_onenorm_matrix_power_nnm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _onenorm_matrix_power_nnm(A, p):$/;" f +_onEnter adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onEnter(self, event):$/;" m class:_FigureCanvasWxBase +_ONEOFDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ONEOFDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_OneofListener adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^class _OneofListener(_Listener):$/;" c +_ONEOFOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_ONEOFOPTIONS = _descriptor.Descriptor($/;" v +_ones adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_ones: Callable = partial(full_like, fill_value=1)$/;" v +_ones adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _ones(shape, dtype):$/;" f +_ones_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _ones_diag(self):$/;" m class:BaseLinearOperatorIdentity +_ones_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _ones_like(x):$/;" f +_ones_like_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _ones_like_dispatcher(a, dtype=None, order=None, subok=None, shape=None):$/;" f +_ONE_GIGABYTE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_ONE_GIGABYTE = 1024 * 1024 * 1024$/;" v +_one_hidden_rnn adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _one_hidden_rnn(kind):$/;" f +_one_hots adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/one_hot_ops_test.py /^def _one_hots():$/;" f +_one_liner adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _one_liner(text):$/;" f +_one_minus adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _one_minus(self, s):$/;" m class:LogitFormatter +_one_of_every_n_export_versions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _one_of_every_n_export_versions(n):$/;" f +_one_of_symbols adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def _one_of_symbols():$/;" f member:AstAnnotator.one_of_symbols file: +_one_to_one_binop adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _one_to_one_binop(numpy_fn, lax_fn, promote_to_inexact=False, lax_doc=False):$/;" f +_one_to_one_unop adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _one_to_one_unop(numpy_fn, lax_fn, promote_to_inexact=False, lax_doc=False):$/;" f +_onKeyDown adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onKeyDown(self, event):$/;" m class:_FigureCanvasWxBase +_onKeyUp adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onKeyUp(self, event):$/;" m class:_FigureCanvasWxBase +_onLeave adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onLeave(self, event):$/;" m class:_FigureCanvasWxBase +_ONLINEYOGIPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_ONLINEYOGIPARAMETERS = _descriptor.Descriptor($/;" v +_onMotion adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onMotion(self, event):$/;" m class:_FigureCanvasWxBase +_onMouseButton adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onMouseButton(self, event):$/;" m class:_FigureCanvasWxBase +_onMouseWheel adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onMouseWheel(self, event):$/;" m class:_FigureCanvasWxBase +_onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _onmove(self, event):$/;" m class:LassoSelector +_onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _onmove(self, event):$/;" m class:PolygonSelector +_onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _onmove(self, event):$/;" m class:RectangleSelector +_onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _onmove(self, event):$/;" m class:SpanSelector +_onmove adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _onmove(self, event):$/;" m class:_SelectorWidget +_onnx_master_opset adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_onnx_master_opset = 10$/;" v +_onnx_model_to_caffe2_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _onnx_model_to_caffe2_net(cls, onnx_model, device, opset_version, include_initializers):$/;" m class:Caffe2Backend +_onnx_node_to_caffe2_op adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _onnx_node_to_caffe2_op(cls, init_model, pred_model, node_def, opset_version):$/;" m class:Caffe2Backend +_onnx_opset_unsupported adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _onnx_opset_unsupported(op_name, current_opset, supported_opset):$/;" f +_onnx_opset_unsupported_detailed adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _onnx_opset_unsupported_detailed(op_name, current_opset, supported_opset, reason):$/;" f +_onnx_shape_inference adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_onnx_shape_inference = False$/;" v +_onnx_stable_opsets adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_onnx_stable_opsets = [7, 8, 9, 10, 11, 12]$/;" v +_onnx_unsupported adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _onnx_unsupported(op_name):$/;" f +_onPaint adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onPaint(self, event):$/;" m class:_FigureCanvasWxBase +_onSize adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _onSize(self, event):$/;" m class:_FigureCanvasWxBase +_on_call_completed adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _on_call_completed(state):$/;" f +_on_close adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def _on_close(close_message):$/;" f member:CommSocket.__init__ file: +_on_exit adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_on_exit = False$/;" v +_on_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_key_press(self, event):$/;" m class:PolygonSelector +_on_key_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_key_press(self, event):$/;" m class:_SelectorWidget +_on_key_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_key_release(self, event):$/;" m class:PolygonSelector +_on_key_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_key_release(self, event):$/;" m class:_SelectorWidget +_on_move adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _on_move(self, event):$/;" m class:Axes3D +_on_reset adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_reset(self, event):$/;" m class:SubplotTool +_on_resize adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _on_resize(self, event):$/;" m class:Animation +_on_scroll adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_scroll(self, event):$/;" m class:_SelectorWidget +_on_slider_changed adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _on_slider_changed(self, _):$/;" m class:SubplotTool +_on_textbox_keypress adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _on_textbox_keypress(self, x):$/;" m class:CursesUI +_on_timer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _on_timer(self):$/;" m class:TimerGTK3 +_on_timer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _on_timer(self):$/;" m class:TimerTk +_on_timer adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _on_timer(self):$/;" m class:TimerBase +_on_trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ _on_trigger = 'back'$/;" v class:ToolBack +_on_trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ _on_trigger = 'forward'$/;" v class:ToolForward +_on_trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ _on_trigger = 'home'$/;" v class:ToolHome +_on_trigger adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ _on_trigger = None$/;" v class:ViewsPositionsBase +_on_units_changed adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _on_units_changed(self, scalex=False, scaley=False):$/;" m class:_AxesBase +_on_units_changed adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _on_units_changed(self, scalex=False, scaley=False, scalez=False):$/;" m class:Axes3D +_on_value_changed adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _on_value_changed(self):$/;" m class:SubplotToolQt +_on_write_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^def _on_write_update_replica(var, update_fn, value, **kwargs):$/;" f +_OOB_REDIRECT_URI adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ _OOB_REDIRECT_URI = "urn:ietf:wg:oauth:2.0:oob"$/;" v class:InstalledAppFlow +_oom_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _oom_event(self, symptoms):$/;" m class:Client +_OOM_EVENT_COOL_TIME_SEC adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_OOM_EVENT_COOL_TIME_SEC = 90$/;" v +_OOO_WRITE_CHECK_COUNT adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ _OOO_WRITE_CHECK_COUNT = 20$/;" v class:DirectoryWatcher +_OOV_IDF_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_OOV_IDF_NAME = "oov_idf"$/;" v +_op adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^ import os.path as _op$/;" I +_opCreationDigestToDataObject adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _opCreationDigestToDataObject(self, op_creation_digest, graph):$/;" m class:DebuggerV2EventMultiplexer +_OPDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_OPDEF = _descriptor.Descriptor($/;" v +_OPDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_OPDEF = _descriptor.Descriptor($/;" v +_OPDEF_ARGDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_OPDEF_ARGDEF = _descriptor.Descriptor($/;" v +_OPDEF_ARGDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_OPDEF_ARGDEF = _descriptor.Descriptor($/;" v +_OPDEF_ATTRDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_OPDEF_ATTRDEF = _descriptor.Descriptor($/;" v +_OPDEF_ATTRDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_OPDEF_ATTRDEF = _descriptor.Descriptor($/;" v +_OPDEPRECATION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_OPDEPRECATION = _descriptor.Descriptor($/;" v +_OPDEPRECATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_OPDEPRECATION = _descriptor.Descriptor($/;" v +_open adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _open(self, mode, encoding='utf8', errors='strict'):$/;" m class:_TempFile +_open adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _open(filepath, mode, _open_func=open):$/;" f +_OPEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_OPEN = 'open'$/;" v +_open adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^_open = open$/;" v +_open adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _open(self):$/;" m class:BlpImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ def _open(self):$/;" m class:BmpImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^ def _open(self):$/;" m class:DibImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^ def _open(self):$/;" m class:BufrStubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/CurImagePlugin.py /^ def _open(self):$/;" m class:CurImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/DcxImagePlugin.py /^ def _open(self):$/;" m class:DcxImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^ def _open(self):$/;" m class:DdsImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def _open(self):$/;" m class:EpsImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^ def _open(self):$/;" m class:FITSStubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def _open(self):$/;" m class:FliImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ def _open(self):$/;" m class:FpxImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^ def _open(self):$/;" m class:FtexImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/GbrImagePlugin.py /^ def _open(self):$/;" m class:GbrImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/GdImageFile.py /^ def _open(self):$/;" m class:GdImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def _open(self):$/;" m class:GifImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^ def _open(self):$/;" m class:GribStubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^ def _open(self):$/;" m class:HDF5StubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def _open(self):$/;" m class:IcnsImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def _open(self):$/;" m class:IcoImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def _open(self):$/;" m class:StubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^ def _open(self):$/;" m class:ImImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/ImtImagePlugin.py /^ def _open(self):$/;" m class:ImtImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/IptcImagePlugin.py /^ def _open(self):$/;" m class:IptcImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^ def _open(self):$/;" m class:Jpeg2KImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^ def _open(self):$/;" m class:JpegImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/McIdasImagePlugin.py /^ def _open(self):$/;" m class:McIdasImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/MicImagePlugin.py /^ def _open(self):$/;" m class:MicImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def _open(self):$/;" m class:MpegImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^ def _open(self):$/;" m class:MpoImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^ def _open(self):$/;" m class:MspImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PcdImagePlugin.py /^ def _open(self):$/;" m class:PcdImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^ def _open(self):$/;" m class:PcxImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PixarImagePlugin.py /^ def _open(self):$/;" m class:PixarImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def _open(self):$/;" m class:PngImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^ def _open(self):$/;" m class:PpmImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/PsdImagePlugin.py /^ def _open(self):$/;" m class:PsdImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^ def _open(self):$/;" m class:SgiImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^ def _open(self):$/;" m class:SpiderImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/SunImagePlugin.py /^ def _open(self):$/;" m class:SunImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^ def _open(self):$/;" m class:TgaImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _open(self):$/;" m class:TiffImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def _open(self):$/;" m class:WebPImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^ def _open(self):$/;" m class:WmfStubImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^ def _open(self):$/;" m class:XbmImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/XpmImagePlugin.py /^ def _open(self):$/;" m class:XpmImageFile +_open adpepsenv/lib/python3.8/site-packages/PIL/XVThumbImagePlugin.py /^ def _open(self):$/;" m class:XVThumbImageFile +_open adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def _open(self):$/;" m class:BetterRotatingFileHandler +_open adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^_open = builtins.open # Since 'open' is TarFile.open$/;" v +_open adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _open(filespec, mode='rb'):$/;" m class:MMFile +_open adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _open(self, path, mode='r', *args, **kw):$/;" m class:DirectorySandbox +_open adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ _open = _mk_single_path_wrapper('open', _open)$/;" v class:AbstractSandbox +_open adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^_open = open$/;" v +_opener adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _opener(object):$/;" c +_opener adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def _opener(self, filename):$/;" m class:SharedDataMiddleware +_openssl_to_stdlib_verify adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^_openssl_to_stdlib_verify = dict((v, k) for k, v in _stdlib_to_openssl_verify.items())$/;" v +_openssl_to_stdlib_verify adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^_openssl_to_stdlib_verify = dict((v, k) for k, v in _stdlib_to_openssl_verify.items())$/;" v +_openssl_versions adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^_openssl_versions = {$/;" v +_openssl_versions adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^_openssl_versions = {$/;" v +_open_buffer_reader adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_buffer_reader(_opener):$/;" c +_open_buffer_writer adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_buffer_writer(_opener):$/;" c +_open_core adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _open_core(fp, filename, prefix, formats):$/;" f function:open file: +_open_file adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def _open_file(file_like, appendmat, mode='rb'):$/;" f +_open_file adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_file(_opener):$/;" c +_open_file_context adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^def _open_file_context(file_like, appendmat, mode='rb'):$/;" f +_open_file_like adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _open_file_like(name_or_buffer, mode):$/;" f +_open_file_or_url adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _open_file_or_url(fname):$/;" f +_open_index adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ def _open_index(self, index=1):$/;" m class:FpxImageFile +_open_ring_2d adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^def _open_ring_2d(x_size, y_size, z_coord):$/;" f +_open_setup_script adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^def _open_setup_script(setup_script):$/;" f +_open_subimage adpepsenv/lib/python3.8/site-packages/PIL/FpxImagePlugin.py /^ def _open_subimage(self, index=1, subimage=0):$/;" m class:FpxImageFile +_open_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _open_support_mask(self, x, *args):$/;" m class:rv_generic +_open_zipfile_reader adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_zipfile_reader(_opener):$/;" c +_open_zipfile_writer adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _open_zipfile_writer(name_or_buffer):$/;" f +_open_zipfile_writer_buffer adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_zipfile_writer_buffer(_opener):$/;" c +_open_zipfile_writer_file adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^class _open_zipfile_writer_file(_opener):$/;" c +_Operand adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^class _Operand:$/;" c +_OperationWithOutputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^class _OperationWithOutputs(ops.Operation):$/;" c +_OPERATORDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_OPERATORDEF = _descriptor.Descriptor($/;" v +_operators adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_operators = {$/;" v +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ _operators = dict(Matcher._operators)$/;" v class:LegacyMatcher +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ _operators = {$/;" v class:Matcher +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ _operators = {$/;" v class:NormalizedMatcher +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^_operators = {$/;" v +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:LegacySpecifier +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:Specifier +_operators adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _operators = {} # type: Dict[str, str]$/;" v class:_IndividualSpecifier +_operators adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^_operators = {$/;" v +_operators adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:LegacySpecifier +_operators adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:Specifier +_operators adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _operators = {}$/;" v class:_IndividualSpecifier +_operators adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^_operators = {$/;" v +_operators adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:LegacySpecifier +_operators adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _operators = {$/;" v class:Specifier +_operators adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _operators = {}$/;" v class:_IndividualSpecifier +_operators_to_graph_def adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _operators_to_graph_def($/;" f +_operators_to_graph_def adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _operators_to_graph_def($/;" f +_operator_export_type adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_operator_export_type = None$/;" v +_operator_round adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _operator_round(number, ndigits=None):$/;" f +_operator_to_node adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _operator_to_node(shapes, op):$/;" f +_operator_to_node adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _operator_to_node(shapes, op):$/;" f +_operator_to_node_simp adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _operator_to_node_simp(op, inter_blobs, seen):$/;" f +_OPINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPINFO = _descriptor.Descriptor($/;" v +_OPINFO_ATTRENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPINFO_ATTRENTRY = _descriptor.Descriptor($/;" v +_OPINFO_TENSORPROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPINFO_TENSORPROPERTIES = _descriptor.Descriptor($/;" v +_OPLIST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_OPLIST = _descriptor.Descriptor($/;" v +_OPLIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_OPLIST = _descriptor.Descriptor($/;" v +_OPLOGENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_OPLOGENTRY = _descriptor.Descriptor($/;" v +_OPLOGENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_OPLOGENTRY = _descriptor.Descriptor($/;" v +_OPLOGPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_OPLOGPROTO = _descriptor.Descriptor($/;" v +_OPLOGPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_OPLOGPROTO = _descriptor.Descriptor($/;" v +_OPLOGPROTO_IDTOSTRINGENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_OPLOGPROTO_IDTOSTRINGENTRY = _descriptor.Descriptor($/;" v +_OPLOGPROTO_IDTOSTRINGENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_OPLOGPROTO_IDTOSTRINGENTRY = _descriptor.Descriptor($/;" v +_OPMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_OPMETADATA = _descriptor.Descriptor($/;" v +_OpNamespace adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^class _OpNamespace(types.ModuleType):$/;" c +_OPPERFORMANCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPPERFORMANCE = _descriptor.Descriptor($/;" v +_OPPERFORMANCELIST adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPPERFORMANCELIST = _descriptor.Descriptor($/;" v +_OPPERFORMANCE_OPMEMORY adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_OPPERFORMANCE_OPMEMORY = _descriptor.Descriptor($/;" v +_OPPROFILE adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_OPPROFILE = _descriptor.Descriptor($/;" v +_OpQueueContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _OpQueueContext(object):$/;" c +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/test.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^from tensorflow.python.framework import ops as _ops$/;" x +_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.framework import ops as _ops$/;" x +_Ops adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^class _Ops(types.ModuleType):$/;" c +_OPSHARDING adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_OPSHARDING = _descriptor.Descriptor($/;" v +_OPSHARDING_TYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_OPSHARDING_TYPE = _descriptor.EnumDescriptor($/;" v +_OpSignalOnceQueueContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _OpSignalOnceQueueContext(_OpQueueContext):$/;" c +_OptFunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^class _OptFunc(object):$/;" c +_optimal_iterate adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def _optimal_iterate(path, remaining, inputs, flops):$/;" f function:optimal file: +_optimal_path adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _optimal_path(input_sets, output_set, idx_dict, memory_limit):$/;" f +_OptimizableVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class _OptimizableVariable(object):$/;" c +_OPTIMIZATIONPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_OPTIMIZATIONPARAMETERS = _descriptor.Descriptor($/;" v +_OptimizationParameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _OptimizationParameters(object):$/;" c +_OptimizeDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _OptimizeDataset(UnaryUnchangedStructureDataset):$/;" c +_OptimizeGradientMemorySimple adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _OptimizeGradientMemorySimple(model, losses_by_gpu, devices):$/;" f +_Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^class _Optimizer(object):$/;" c +_Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ class _Optimizer(optimizer_v2.OptimizerV2):$/;" c function:mock_optimizer file: +_Optimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ class _Optimizer(optimizer_v2.OptimizerV2):$/;" c function:mock_optimizer file: +_OptimizerHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _OptimizerHandler(object):$/;" c +_OPTIMIZEROPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_OPTIMIZEROPTIONS = _descriptor.Descriptor($/;" v +_OPTIMIZEROPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_OPTIMIZEROPTIONS = _descriptor.Descriptor($/;" v +_OPTIMIZEROPTIONS_GLOBALJITLEVEL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_OPTIMIZEROPTIONS_GLOBALJITLEVEL = _descriptor.EnumDescriptor($/;" v +_OPTIMIZEROPTIONS_GLOBALJITLEVEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_OPTIMIZEROPTIONS_GLOBALJITLEVEL = _descriptor.EnumDescriptor($/;" v +_OPTIMIZEROPTIONS_LEVEL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_OPTIMIZEROPTIONS_LEVEL = _descriptor.EnumDescriptor($/;" v +_OPTIMIZEROPTIONS_LEVEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_OPTIMIZEROPTIONS_LEVEL = _descriptor.EnumDescriptor($/;" v +_OPTIMIZER_CLS_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^_OPTIMIZER_CLS_NAMES = {$/;" v +_OPTIMIZER_CLS_NAMES_V2 adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^_OPTIMIZER_CLS_NAMES_V2 = {$/;" v +_optimizer_has_default_learning_rate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/optimizers.py /^def _optimizer_has_default_learning_rate(opt):$/;" f +_optimizer_instance_count adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^_optimizer_instance_count = defaultdict(int)$/;" v +_OPTIMIZER_SLOTS_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^_OPTIMIZER_SLOTS_NAME = _ESCAPE_CHAR + "OPTIMIZER_SLOT"$/;" v +_optimize_data_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _optimize_data_files(self):$/;" m class:Configuration +_optimize_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _optimize_graph(meta_graph_def, signature_def):$/;" f +_optimize_graph adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _optimize_graph(graph, operator_export_type, _disable_torch_constant_prop=False, fixed_batch/;" f +_optimize_trace adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def _optimize_trace(graph, operator_export_type):$/;" f +_OPTION adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_OPTION = _descriptor.Descriptor($/;" v +_OptionalFromValueGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/optional_grad.py /^def _OptionalFromValueGrad(op, grad):$/;" f +_OptionalGetValueGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/optional_grad.py /^def _OptionalGetValueGrad(unused_op, *grads):$/;" f +_OptionalImpl adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^class _OptionalImpl(Optional):$/;" c +_optionalMinutes adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _optionalMinutes = False$/;" v class:TimeMixIn +_optionalMinutes adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _optionalMinutes = False$/;" v class:UTCTime +_optionalMinutes adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _optionalMinutes = True$/;" v class:GeneralizedTime +_optionalNotMatched adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_optionalNotMatched = _NullToken()$/;" v +_optionalNotMatched adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_optionalNotMatched = _NullToken()$/;" v +_OptionalTensor adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^_OptionalTensor = Optional[torch.Tensor]$/;" v +_optional_token adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def _optional_token(self, token_type, token_val):$/;" m class:AstAnnotator +_options adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/distutils_args.py /^_options = [$/;" v +_OptionsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _OptionsDataset(UnaryUnchangedStructureDataset):$/;" c +_OptionsExported adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^class _OptionsExported(object):$/;" c +_OptionsOrNone adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def _OptionsOrNone(descriptor_proto):$/;" f +_OptionsOrNone adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def _OptionsOrNone(descriptor_proto):$/;" f +_OPTIONSPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_OPTIONSPROTO = _descriptor.Descriptor($/;" v +_options_header_vkw adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def _options_header_vkw(value, kw):$/;" f +_option_align adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def _option_align(arg):$/;" f +_option_boolean adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def _option_boolean(arg):$/;" f +_option_context adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def _option_context(arg):$/;" f +_option_format adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^def _option_format(arg):$/;" f +_option_header_piece_re adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_option_header_piece_re = re.compile($/;" v +_option_header_start_mime_type adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_option_header_start_mime_type = re.compile(r",\\s*([^;,\\s]+)([;,]\\s*.+)?")$/;" v +_op_attr_type_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^_op_attr_type_cache = {}$/;" v +_op_callback adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def _op_callback($/;" m class:AutoGraphTestCase +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.framework import op_def_library as _op_def_library$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/mlir/tensorflow/gen_mlir_passthrough_op.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/ops/gen_trt_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/microfrontend/ops/gen_audio_microfrontend_op.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_audio_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_batch_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_bitwise_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_boosted_trees_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_checkpoint_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_clustering_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_collective_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ctc_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_cudnn_rnn_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_dataset_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_debug_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_decode_proto_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_encode_proto_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_experimental_dataset_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_lookup_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_manip_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_map_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nccl_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_random_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_resource_variable_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_rnn_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_script_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sendrecv_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_special_math_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_spectral_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateful_random_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_state_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_summary_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tensor_forest_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_training_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_user_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_op_def_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/gen_sparse_csr_matrix_ops.py /^from tensorflow.python.framework import op_def_registry as _op_def_registry$/;" x +_OP_DENYLIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^_OP_DENYLIST = set(['WriteFile', 'ReadFile', 'PrintV2'])$/;" v +_op_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^def _op_in_graph_mode(tensor):$/;" f +_op_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^def _op_in_graph_mode(tensor):$/;" f +_op_is_in_tf_version adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _op_is_in_tf_version(op, version):$/;" f +_op_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_op_list = ("__abs__", "__add__", "__and__", "__bool__", "__div__", "__eq__",$/;" v +_op_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _op_name(tensor_name):$/;" f +_OP_RANGE_PAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_OP_RANGE_PAT = re.compile(r'(\\d+):(\\d+)')$/;" v +_op_to_colocate_with adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _op_to_colocate_with(v, graph):$/;" f +_OP_TYPE_COLUMN_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ _OP_TYPE_COLUMN_HEAD = "Op type"$/;" v class:DebugAnalyzer +_OP_TYPE_FILTER_FLAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^_OP_TYPE_FILTER_FLAG = "op_type_filter"$/;" v +_op_writes_to_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps_utils.py /^def _op_writes_to_resource(handle, op):$/;" f +_oraddressExtensionAttributeMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5280.py /^_oraddressExtensionAttributeMapUpdate = {$/;" v +_Oranges_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Oranges_data = ($/;" v +_OrderedCounter adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^class _OrderedCounter(Counter, OrderedDict):$/;" c +_OrderedDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ from ordereddict import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _OrderedDict = None$/;" v +_OrderedDict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ from collections import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ from ordereddict import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _OrderedDict = None$/;" v +_OrderedDict adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ from collections import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ from ordereddict import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _OrderedDict = None$/;" v +_OrderedDict adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ from collections import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ from ordereddict import OrderedDict as _OrderedDict$/;" x +_OrderedDict adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _OrderedDict = None$/;" v +_OrderedDict adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ from collections import OrderedDict as _OrderedDict$/;" x +_OrderedDictNumpyFeedFn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^class _OrderedDictNumpyFeedFn(object):$/;" c +_OrderedMapUnstageNoKeyOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_OrderedMapUnstageNoKeyOutput = collections.namedtuple($/;" v +_OrderedSet adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class _OrderedSet(collections.abc.MutableSet):$/;" c +_ordered_unique adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _ordered_unique(xs):$/;" f +_order_cluster_tree adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _order_cluster_tree(Z):$/;" f +_order_complex_poles adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _order_complex_poles(poles):$/;" f +_ORDER_INSENSITIVE_STATEFUL_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^_ORDER_INSENSITIVE_STATEFUL_OPS = [$/;" v +_ordinalf_to_timedelta_np_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^_ordinalf_to_timedelta_np_vectorized = np.vectorize($/;" v +_ordinal_function_from_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _ordinal_function_from_map(index):$/;" f member:InfeedQueue.split_inputs_and_generate_enqueue_ops file: +_Orientation adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^class _Orientation(Enum):$/;" c +_original_from_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_original_from_proto = resource_variable_ops._from_proto_fn$/;" v +_original_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _original_op(self, op):$/;" m class:Graph +_original_showwarning adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^_original_showwarning = None # type: Any$/;" v +_ORIGINAL_TESTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_ORIGINAL_TESTS = [$/;" v +_ORIGINAL_TESTS_DICTS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_ORIGINAL_TESTS_DICTS = [dict(zip(_ORIGINAL_TESTS_KEYS, testcase)) for testcase in _ORIGINAL_TES/;" v +_ORIGINAL_TESTS_KEYS adpepsenv/lib/python3.8/site-packages/scipy/optimize/_tstutils.py /^_ORIGINAL_TESTS_KEYS = ["f", "fprime", "fprime2", "args", "bracket", "smoothness", "x0", "root",/;" v +_origin_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_origin_doc = ($/;" v +_origin_msg adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def _origin_msg(self) -> str:$/;" m class:Tracer +_origin_msg adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def _origin_msg(self):$/;" m class:DynamicJaxprTracer +_origin_multiple_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_origin_multiple_doc = ($/;" v +_orig_getlines adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^_orig_getlines = linecache.getlines$/;" v +_orig_opener adpepsenv/lib/python3.8/site-packages/matplotlib/testing/disable_internet.py /^_orig_opener = None$/;" v +_OrRd_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_OrRd_data = ($/;" v +_orthogonal_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _orthogonal_kernel(self, ksize, cin, cout):$/;" m class:ConvolutionOrthogonal1D +_orthogonal_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _orthogonal_kernel(self, ksize, cin, cout):$/;" m class:ConvolutionOrthogonal2D +_orthogonal_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _orthogonal_kernel(self, ksize, cin, cout):$/;" m class:ConvolutionOrthogonal3D +_orthogonal_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _orthogonal_matrix(self, n):$/;" m class:ConvolutionOrthogonal +_os adpepsenv/lib/python3.8/site-packages/jax/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ import org.python.modules.posix.PosixModule as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import os as _os$/;" I +_os adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import os as _os$/;" I +_osp adpepsenv/lib/python3.8/site-packages/torch/utils/__init__.py /^import os.path as _osp$/;" I +_osx_arch_pat adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compatibility_tags.py /^_osx_arch_pat = re.compile(r'(.+)_(\\d+)_(\\d+)_(.+)')$/;" v +_os_alt_seps adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^_os_alt_seps = list($/;" v +_os_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^import os.path as _os_path$/;" I +_OS_RELEASE_BASENAME adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_OS_RELEASE_BASENAME = 'os-release'$/;" v +_os_release_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _os_release_info(self):$/;" m class:LinuxDistribution +_otherRevInfoFormatMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5940.py /^_otherRevInfoFormatMapUpdate = {$/;" v +_ouc adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _ouc(x, y):$/;" f +_outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _outbound_nodes(self):$/;" m class:Layer +_outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _outbound_nodes(self, value):$/;" m class:Layer +_outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _outbound_nodes(self):$/;" m class:Layer +_outbound_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _outbound_nodes(self, value):$/;" m class:Layer +_Outcome adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ class _Outcome(object):$/;" c +_OutcomeType adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ _OutcomeType = unittest.case._Outcome # pytype: disable=module-attr$/;" v +_OutcomeType adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ _OutcomeType = unittest3_backport.case._Outcome$/;" v +_outer_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _outer_dispatcher(a, b, out=None):$/;" f +_OutfeedHostCall adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _OutfeedHostCall(object):$/;" c +_OutfeedHostCallHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _OutfeedHostCallHook(tf.compat.v1.train.SessionRunHook):$/;" c +_OutfeedReceiverData adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^class _OutfeedReceiverData:$/;" c +_outfeed_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _outfeed_abstract_eval(token, *xs):$/;" f +_outfeed_receiver adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^_outfeed_receiver = _OutfeedReceiverData()$/;" v +_outfeed_receiver_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outfeed_receiver_callback(device, consumer_id, arrays):$/;" f +_outfeed_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _outfeed_translation_rule(c, token, *xs):$/;" f +_outline adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _outline(self, X, Y):$/;" m class:ColorbarBase +_OUTMODES adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^_OUTMODES = {$/;" v +_OutOfSpaceError adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _OutOfSpaceError(Exception):$/;" c +_output adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _output(self):$/;" m class:Dvi +_outputs_for_branch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _outputs_for_branch(func_name, indices, pfor_input, inputs):$/;" f +_output_context adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^_output_context = threading.local()$/;" v +_output_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_output_doc = ($/;" v +_output_loss_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _output_loss_metrics(self):$/;" m class:Model +_output_padding adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def _output_padding(self, input, output_size, stride, padding, kernel_size, dilation=None):$/;" m class:_ConvTransposeNd +_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def _output_shape(self, input_shape, num_elements):$/;" m class:_BaseFeaturesLayer +_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _output_shapes(self):$/;" m class:WhileV2 +_OUTPUT_STREAM_ESCAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_OUTPUT_STREAM_ESCAPE = 'file:\/\/'$/;" v +_output_to_stdout adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/ag_logging.py /^def _output_to_stdout(msg, *args, **kwargs):$/;" f +_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _output_types(self):$/;" m class:Operation +_output_window_predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _output_window_predictions(self, input_window_features,$/;" m class:ARModel +_outside_call_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_abstract_eval(*args_a: pe.AbstractValue,$/;" f +_outside_call_batching_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_batching_rule(batched_args, batch_dims, **params):$/;" f +_outside_call_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_impl(*args, **params):$/;" f +_outside_call_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_jvp_rule(primals, tangents, **params):$/;" f +_outside_call_masking_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_masking_rule(operands, operands_logical_shapes, **params):$/;" f +_outside_call_partial_eval_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_partial_eval_rule(trace, *args, **params):$/;" f +_outside_call_run_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_run_callback($/;" f +_outside_call_translation_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_translation_rule($/;" f +_outside_call_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _outside_call_transpose_rule(cts, *args, **params):$/;" f +_OUTSIDE_COMPILATION_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_OUTSIDE_COMPILATION_ATTR = "_xla_outside_compilation"$/;" v +_outside_unstable_region adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def _outside_unstable_region(self):$/;" m class:ContinuousBernoulli +_over64bit_integer_dense_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_over64bit_integer_dense_example = '''\\$/;" v +_over64bit_integer_sparse_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_over64bit_integer_sparse_example = '''\\$/;" v +_Overdetermined adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _Overdetermined(op, grad):$/;" f function:_MatrixSolveLsGrad file: +_overdetermined adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^ def _overdetermined(matrix, rhs, l2_regularizer):$/;" f function:matrix_solve_ls file: +_overload adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _overload(func):$/;" f +_OverloadAllOperators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _OverloadAllOperators(cls): # pylint: disable=invalid-name$/;" m class:Variable +_OverloadedFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^class _OverloadedFunction(object):$/;" c +_overloaded_fns adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^_overloaded_fns : Dict[str, List[Callable]] = {} # noqa: T484$/;" v +_overloaded_methods adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^_overloaded_methods : Dict[str, Dict[str, List[Callable]]] = {} # noqa: T484$/;" v +_overloaded_method_class_fileno adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^_overloaded_method_class_fileno = {}$/;" v +_overloaded_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _overloaded_operator(name): # pylint: disable=no-self-argument$/;" m class:RaggedTensor +_OverloadOperator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _OverloadOperator(cls, operator): # pylint: disable=invalid-name$/;" m class:Variable +_overload_all_operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _overload_all_operators(cls, tensor_class): # pylint: disable=invalid-name$/;" m class:KerasTensor +_overload_dummy adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^def _overload_dummy(*args, **kwds):$/;" f +_overload_method adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _overload_method(func):$/;" f +_overload_of adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/logical_expressions.py /^ def _overload_of(self, operator):$/;" m class:LogicalExpressionTransformer +_overload_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _overload_operator(cls, tensor_class, operator): # pylint: disable=invalid-name$/;" m class:KerasTensor +_OverridableStateManager adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^class _OverridableStateManager(PassthroughStateManager):$/;" c +_OverrideBinaryOperatorHelper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _OverrideBinaryOperatorHelper(func, op_name, clazz_object=ops.Tensor):$/;" f +_override_gradient_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _override_gradient_function(self, gradient_function_map):$/;" m class:Graph +_override_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _override_helper(clazz_object, operator, func):$/;" f +_override_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^_override_helper = ops._override_helper$/;" v +_override_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _override_operator(name, func):$/;" m class:_EagerTensorBase +_override_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _override_operator(operator, func):$/;" m class:Tensor +_override_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _override_operator(operator, func):$/;" m class:SparseTensor +_overunder_functions adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _overunder_functions = set($/;" v class:Parser +_overunder_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _overunder_symbols = set(r'''$/;" v class:Parser +_OVERVIEWPAGE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGE = _descriptor.Descriptor($/;" v +_OVERVIEWPAGEANALYSIS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGEANALYSIS = _descriptor.Descriptor($/;" v +_OVERVIEWPAGEHOSTDEPENDENTJOBINFO adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGEHOSTDEPENDENTJOBINFO = _descriptor.Descriptor($/;" v +_OVERVIEWPAGEHOSTINDEPENDENTJOBINFO adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGEHOSTINDEPENDENTJOBINFO = _descriptor.Descriptor($/;" v +_OVERVIEWPAGERECOMMENDATION adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGERECOMMENDATION = _descriptor.Descriptor($/;" v +_OVERVIEWPAGERUNENVIRONMENT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGERUNENVIRONMENT = _descriptor.Descriptor($/;" v +_OVERVIEWPAGERUNENVIRONMENT_HOSTNAMESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGERUNENVIRONMENT_HOSTNAMESENTRY = _descriptor.Descriptor($/;" v +_OVERVIEWPAGETIP adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWPAGETIP = _descriptor.Descriptor($/;" v +_OVERVIEWTFOP adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_OVERVIEWTFOP = _descriptor.Descriptor($/;" v +_overwrite_line_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _overwrite_line_message(self, message, color_code=_STYLE_GREEN):$/;" m class:UploadTracker +_overwrite_module_params_on_conversion adpepsenv/lib/python3.8/site-packages/torch/__future__.py /^_overwrite_module_params_on_conversion = False$/;" v +_P adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def _P(x):$/;" f function:_newton_quadratic file: +_pack adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _pack(self, fmt, *values):$/;" m class:ImageFileDirectory_v2 +_pack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack($/;" m class:Packer +_pack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _pack(self):$/;" m class:QuantizedLinear +_pack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _pack(self):$/;" m class:QuantizedLinearFP16 +_pack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _pack(self):$/;" m class:QuantizedRNNCellBase +_PackageNode adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class _PackageNode(_PathNode):$/;" c +_package_registry adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^_package_registry = []$/;" v +_packed_nest_with_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def _packed_nest_with_indices(structure, flat, index):$/;" f +_packed_nest_with_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _packed_nest_with_indices(structure, flat, index, is_seq, sequence_fn=None):$/;" f +_packed_sequence_init adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def _packed_sequence_init(data, batch_sizes=None, sorted_indices=None, unsorted_indices=None):$/;" f +_packed_sequence_init_args adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^def _packed_sequence_init_args(data, batch_sizes=None, sorted_indices=None, unsorted_indices=Non/;" f +_packed_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _packed_variable(self):$/;" m class:DistributedVariable +_PackGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _PackGrad(op, grad):$/;" f +_packratEnabled adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _packratEnabled = False$/;" v class:ParserElement +_packratEnabled adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _packratEnabled = False$/;" v class:ParserElement +_packratEnabled adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _packratEnabled = False$/;" v class:ParserElement +_packratEnabled adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _packratEnabled = False$/;" v class:ParserElement +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_big_endian_structure_packed.BigEndStruct +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_little_endian_structure_packed.LittleEndStruct +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_packed_structure.PackedStructure +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_union_packed.Struct +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_union_packed.Union +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 1$/;" v class:TestFromCTypes.test_union_with_struct_packed.Struct +_pack_ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ _pack_ = 2$/;" v class:TestFromCTypes.test_large_packed_structure.PackedStructure +_pack_array_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack_array_header(self, n):$/;" m class:Packer +_pack_begin adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _pack_begin(self, begin):$/;" m class:netcdf_file +_pack_bin_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack_bin_header(self, n):$/;" m class:Packer +_pack_int adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _pack_int(self, value):$/;" m class:netcdf_file +_pack_int adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^_pack_int = Struct(">I").pack$/;" v +_pack_int32 adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ _pack_int32 = _pack_int$/;" v class:netcdf_file +_pack_int64 adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _pack_int64(self, value):$/;" m class:netcdf_file +_pack_map_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack_map_header(self, n):$/;" m class:Packer +_pack_map_pairs adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack_map_pairs(self, n, pairs, nest_limit=DEFAULT_RECURSE_LIMIT):$/;" m class:Packer +_pack_padded_sequence adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _pack_padded_sequence(g, input, lengths, batch_first):$/;" f +_pack_raw_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _pack_raw_header(self, n):$/;" m class:Packer +_pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _pack_sequence_as(structured_outputs, op_outputs):$/;" f +_pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _pack_sequence_as(structure_with_tas, loop_vars):$/;" f +_pack_sequence_as adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _pack_sequence_as(structure, flat_sequence, expand_composites,$/;" f +_pack_string adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _pack_string(self, s):$/;" m class:netcdf_file +_pack_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _pack_tensors(device_grads, num_packs=0):$/;" f +_pad adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _pad(operand, padding_value, *, padding_config,$/;" f +_pad adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _pad(arr, pads, pad_value):$/;" f +_pad adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad(array, pad_width, mode, constant_values, stat_length, end_values, reflect_type):$/;" f +_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def _pad(batch):$/;" f member:PartialBatchPaddingHandler.pad_batch file: +_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def _pad(x):$/;" f function:tridiag file: +_pad adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def _pad(self, x):$/;" m class:MixtureSameFamily +_pad adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _pad(input, pad, mode='constant', value=0):$/;" f +_padded_shape_to_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _padded_shape_to_batch_shape(s):$/;" f member:PaddedBatchDataset.__init__ file: +_padded_shape_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _padded_shape_to_tensor(padded_shape, input_component_shape):$/;" f +_padded_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _padded_split(tensor, pieces):$/;" f +_PADDING adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^_PADDING = padding.PKCS1v15()$/;" v +_PADDING adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^_PADDING = padding.PKCS1v15()$/;" v +_PADDINGCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PADDINGCONFIG = _descriptor.Descriptor($/;" v +_PADDINGCONFIG_PADDINGCONFIGDIMENSION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PADDINGCONFIG_PADDINGCONFIGDIMENSION = _descriptor.Descriptor($/;" v +_PADDINGMAP adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^_PADDINGMAP = _descriptor.Descriptor($/;" v +_PaddingSignals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _PaddingSignals(object):$/;" c +_padding_mask adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _padding_mask(real_batch_size, missing_count, batch_size):$/;" m class:_PaddingSignals +_padding_values_or_default adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _padding_values_or_default(padding_values, input_dataset):$/;" f +_padding_value_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _padding_value_to_tensor(value, output_type):$/;" f +_pade13 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _pade13(A):$/;" f +_pade3 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _pade3(A):$/;" f +_pade5 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _pade5(A):$/;" f +_pade7 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _pade7(A):$/;" f +_pade9 adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _pade9(A):$/;" f +_PadGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _PadGrad(op, grad):$/;" f +_padwithtens adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraypad.py /^ def _padwithtens(vector, pad_width, iaxis, kwargs):$/;" f function:test_legacy_vector_functionality file: +_pad_all_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _pad_all_input(inputs, padded_shapes, padding_spec):$/;" f +_pad_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_batch_rule(batched_args, batch_dims, *, padding_config):$/;" f +_pad_circular adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _pad_circular(input, padding):$/;" f +_pad_constant adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_constant(array, pad_width, constant_values):$/;" f +_pad_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _pad_dispatcher(array, pad_width, mode=None, **kwargs):$/;" f +_pad_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_dtype_rule(operand, padding_value, *, padding_config):$/;" f +_pad_edge adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_edge(array, pad_width):$/;" f +_pad_empty adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_empty(array, pad_width):$/;" f +_pad_for_encryption adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def _pad_for_encryption(message: bytes, target_length: int) -> bytes:$/;" f +_pad_for_signing adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^def _pad_for_signing(message: bytes, target_length: int) -> bytes:$/;" f +_pad_func adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_func(array, pad_width, func, **kwargs):$/;" f +_pad_h adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^def _pad_h(h, up):$/;" f +_pad_if_needed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^def _pad_if_needed(batch_key_item, fillvalue=0):$/;" f +_pad_left_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _pad_left_to(n, old_shape):$/;" f +_pad_linear_ramp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_linear_ramp(array, pad_width, end_values):$/;" f +_pad_line_end_with_whitespace adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _pad_line_end_with_whitespace(self, pad, row, line_end_x):$/;" m class:CursesUI +_pad_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_masking_rule(padded_vals, logical_shapes, padding_config):$/;" f +_pad_mixture_dimensions adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def _pad_mixture_dimensions(self, x):$/;" m class:MixtureSameFamily +_pad_packed_sequence adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _pad_packed_sequence(g, data, batch_sizes, batch_first, padding_value, total_length):$/;" f +_pad_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_shape_rule(operand, padding_value, *, padding_config):$/;" f +_pad_simple adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _pad_simple(array, pad_width, fill_value=None):$/;" f +_pad_stats adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_stats(array, pad_width, stat_length, stat_func):$/;" f +_pad_string_to_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def _pad_string_to_length(string, length):$/;" f +_pad_symmetric_or_reflect adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_symmetric_or_reflect(array, pad_width, mode, reflect_type):$/;" f +_pad_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_translation_rule(c, operand, padding_value, *, padding_config):$/;" f +_pad_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pad_transpose(t, operand, padding_value, *, padding_config):$/;" f +_pad_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^def _pad_util(input_tensor, full_axis_dim):$/;" f +_pad_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^def _pad_version(left, right):$/;" f +_pad_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^def _pad_version(left, right):$/;" f +_pad_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^def _pad_version(left, right):$/;" f +_pad_wrap adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _pad_wrap(array, pad_width):$/;" f +_paint_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def _paint_path(fill, stroke):$/;" f +_pair adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^_pair = _ntuple(2)$/;" v +_Paired_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Paired_data = ($/;" v +_PAIRVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_PAIRVALUE = _descriptor.Descriptor($/;" v +_PAIRVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_PAIRVALUE = _descriptor.Descriptor($/;" v +_pair_from_first adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/utils.py /^_pair_from_first = _ntuple_from_first(2)$/;" v +_palette adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def _palette(self, palette, shift):$/;" m class:FliImageFile +_Palm8BitColormapValues adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^_Palm8BitColormapValues = ($/;" v +_PandasFeedFn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^class _PandasFeedFn(object):$/;" c +_paragraph_re adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^_paragraph_re = re.compile(r"(?:\\r\\n|\\r|\\n){2,}")$/;" v +_ParallelComponentSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^class _ParallelComponentSaveable(saveable_object.SaveableObject):$/;" c +_ParallelP adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^class _ParallelP(object):$/;" c +_param adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^_param = namedtuple("param", "args kwargs")$/;" v +_param adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def _param(self):$/;" m class:OneHotCategorical +_PARAMETERDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_PARAMETERDEF = _descriptor.Descriptor($/;" v +_ParameterizedTestIter adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^class _ParameterizedTestIter(object):$/;" c +_parameterized_async adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ _parameterized_async = None$/;" v +_PARAMETERREPLICATION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PARAMETERREPLICATION = _descriptor.Descriptor($/;" v +_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _parameters(self):$/;" m class:Distribution +_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _parameters(self, value):$/;" m class:Distribution +_parameter_decorator adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _parameter_decorator(naming_type, testcases):$/;" f +_params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def _params(c=None, xsize=2, *, edgecolors=None, **kwargs):$/;" f +_Params adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_offsetbox.py /^_Params = namedtuple('_params', 'wd_list, total, sep, expected')$/;" v +_param_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _param_dtype(self):$/;" m class:BatchNormalizationBase +_param_rrefs adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^def _param_rrefs(module_rref, recurse):$/;" f +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _param_shapes(sample_shape):$/;" m class:Bernoulli +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _param_shapes(sample_shape):$/;" m class:Beta +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _param_shapes(sample_shape):$/;" m class:Distribution +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def _param_shapes(sample_shape):$/;" m class:Exponential +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _param_shapes(sample_shape):$/;" m class:Gamma +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _param_shapes(sample_shape):$/;" m class:Laplace +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _param_shapes(sample_shape):$/;" m class:Normal +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _param_shapes(sample_shape):$/;" m class:StudentT +_param_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _param_shapes(sample_shape):$/;" m class:Uniform +_param_update_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _param_update_fun(self, model):$/;" m class:ParallelizeBMUFTest +_param_update_fun adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _param_update_fun(model):$/;" f function:bmuf_process file: +_param_uses_outfeed adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _param_uses_outfeed(param):$/;" f +_PARAM_VAL adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^_PARAM_VAL = torch.nn.Parameter(torch.ones(1))$/;" v +_parcur_cache adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^_parcur_cache = {'t': array([], float), 'wrk': array([], float),$/;" v +_parents adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _parents(path):$/;" f +_parent_name adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/utils.py /^def _parent_name(target):$/;" f +_pareto adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _pareto(key, b, shape, dtype):$/;" f +_parse adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _parse(self, argument):$/;" m class:Flag +_parse adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _parse(self, arguments):$/;" m class:MultiFlag +_parse adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _parse(self, timestr, dayfirst=None, yearfirst=None, fuzzy=False,$/;" m class:parser +_parse adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _parse(file):$/;" m class:Encoding +_parse adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _parse(self, file):$/;" m class:PsfontsMap +_parse adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def _parse(self):$/;" m class:Type1Font +_parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def _parse(self, stream, innerHTML=False, container="div", scripting=False, **kwargs):$/;" m class:HTMLParser +_parse adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _parse = _parseNoCache$/;" v class:ParserElement +_parse adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _parse = _parseNoCache$/;" v class:ParserElement +_parse adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _parse = _parseNoCache$/;" v class:ParserElement +_parse adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _parse = _parseNoCache$/;" v class:ParserElement +_parse adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def _parse(self, stream, innerHTML=False, container="div", scripting=False, **kwargs):$/;" m class:HTMLParser +_ParseAbstractInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _ParseAbstractInteger(text, is_long=False):$/;" f +_parseCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _parseCache(self, instring, loc, doActions=True, callPreParse=True):$/;" m class:ParserElement +_parseCache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _parseCache( self, instring, loc, doActions=True, callPreParse=True ):$/;" m class:ParserElement +_parseCache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _parseCache(self, instring, loc, doActions=True, callPreParse=True):$/;" m class:ParserElement +_parseCache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _parseCache( self, instring, loc, doActions=True, callPreParse=True ):$/;" m class:ParserElement +_parsed_pkg_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _parsed_pkg_info(self):$/;" m class:DistInfoDistribution +_parsed_pkg_info adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _parsed_pkg_info(self):$/;" m class:DistInfoDistribution +_ParseExampleDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/parsing_ops.py /^class _ParseExampleDataset(dataset_ops.UnaryDataset):$/;" c +_ParseExampleOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseExampleOutput = collections.namedtuple($/;" v +_ParseExampleV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseExampleV2Output = collections.namedtuple($/;" v +_ParseExperimentalNewConverter adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^class _ParseExperimentalNewConverter(argparse.Action):$/;" c +_parseFile adpepsenv/lib/python3.8/site-packages/caffe2/python/models/__sym_init__.py /^def _parseFile(filename):$/;" f +_ParseFileVersion adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^def _ParseFileVersion(file_version):$/;" f +_ParseFileVersion adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^def _ParseFileVersion(file_version):$/;" f +_parseFormats adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def _parseFormats(self, formats, aligned=False):$/;" m class:format_parser +_parseHeader adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def _parseHeader(self):$/;" m class:CodeHilite +_parsems adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _parsems(self, value):$/;" m class:parser +_parseNoCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _parseNoCache(self, instring, loc, doActions=True, callPreParse=True):$/;" m class:ParserElement +_parseNoCache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _parseNoCache( self, instring, loc, doActions=True, callPreParse=True ):$/;" m class:ParserElement +_parseNoCache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _parseNoCache(self, instring, loc, doActions=True, callPreParse=True):$/;" m class:ParserElement +_parseNoCache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _parseNoCache( self, instring, loc, doActions=True, callPreParse=True ):$/;" m class:ParserElement +_ParseOpParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^class _ParseOpParams(object):$/;" c +_ParseOpParams adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^_ParseOpParams = parsing_config._ParseOpParams$/;" v +_ParseOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def _ParseOptions(message, string):$/;" f +_ParseOrMerge adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _ParseOrMerge(self, lines, message):$/;" m class:_Parser +_Parser adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^class _Parser(object):$/;" c +_Parser adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class _Parser(object):$/;" c +_parser adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _parser = None$/;" v class:MathTextParser +_parser adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ _parser = UserAgentParser()$/;" v class:UserAgent +_ParseResultsWithOffset adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _ParseResultsWithOffset(object):$/;" c +_ParseResultsWithOffset adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _ParseResultsWithOffset(object):$/;" c +_ParseResultsWithOffset adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _ParseResultsWithOffset(object):$/;" c +_ParseResultsWithOffset adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _ParseResultsWithOffset(object):$/;" c +_ParseSequenceExampleOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseSequenceExampleOutput = collections.namedtuple($/;" v +_ParseSequenceExampleV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseSequenceExampleV2Output = collections.namedtuple($/;" v +_ParseSingleExampleOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseSingleExampleOutput = collections.namedtuple($/;" v +_ParseSingleSequenceExampleOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_parsing_ops.py /^_ParseSingleSequenceExampleOutput = collections.namedtuple($/;" v +_ParseTag adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_ParseTag = collections.namedtuple("_ParseTag", ["type", "name"])$/;" v +_ParseTensorName adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ParseTensorName(tensor_name):$/;" f +_parsetz adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^def _parsetz(tzstr):$/;" f +_parsetz adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^_parsetz = __deprecated_private_func(_parsetz)$/;" v +_parse_alerts_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_alerts_blob_key(blob_key):$/;" f +_parse_and_recurse adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def _parse_and_recurse(self, filename, constraint):$/;" m class:RequirementsFileParser +_parse_arg adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _parse_arg(value, desc):$/;" f +_parse_args adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _parse_args(self, args, known_only):$/;" m class:FlagValues +_parse_args adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^def _parse_args(*args, caller_name='function'):$/;" f +_parse_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _parse_args(self, *args, **kwargs):$/;" m class:RangeDataset +_parse_array adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _parse_array(values, type_fn=str):$/;" f +_parse_attr adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_attr(cls, value, package_dir=None):$/;" m class:ConfigHandler +_parse_body adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _parse_body(self, stream):$/;" m class:MMFile +_parse_bool adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_bool(cls, value):$/;" m class:ConfigHandler +_parse_cached adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _parse_cached(self, s, dpi, prop, ps_useafm, fontset):$/;" m class:MathTextParser +_parse_callback adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def _parse_callback(cls, obj, user_data=None, signature=None):$/;" m class:LowLevelCallable +_parse_char_metrics adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _parse_char_metrics(fh):$/;" f +_parse_codestream adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^def _parse_codestream(fp):$/;" f +_parse_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def _parse_command(self, command):$/;" m class:BaseUI +_parse_command_opts adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _parse_command_opts(self, parser, args):$/;" m class:Distribution +_parse_command_opts adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _parse_command_opts(self, parser, args):$/;" m class:Distribution +_parse_composites adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _parse_composites(fh):$/;" f +_parse_config_files adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _parse_config_files(self, filenames=None):$/;" m class:Distribution +_parse_config_to_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^def _parse_config_to_function(config, custom_objects, func_attr_name,$/;" f +_parse_content_type adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _parse_content_type(self):$/;" m class:FileStorage +_parse_content_type adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def _parse_content_type(self):$/;" m class:CommonRequestDescriptorsMixin +_parse_content_type_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^def _parse_content_type_header(header):$/;" f +_parse_content_type_header adpepsenv/lib/python3.8/site-packages/requests/utils.py /^def _parse_content_type_header(header):$/;" f +_parse_date_value adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _parse_date_value(self, date_value, parms, rule_tzids,$/;" m class:_rrulestr +_parse_debug_proto_string adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _parse_debug_proto_string(example_proto_serialized):$/;" f +_parse_debug_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^def _parse_debug_tensor_name(debug_tensor_name):$/;" f +_parse_dict adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_dict(cls, value):$/;" m class:ConfigHandler +_parse_dim adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _parse_dim(spec):$/;" f +_parse_directive adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def _parse_directive(self, directive):$/;" m class:Manifest +_parse_distro_release_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _parse_distro_release_content(line):$/;" m class:LinuxDistribution +_parse_distro_release_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _parse_distro_release_file(self, filepath):$/;" m class:LinuxDistribution +_parse_dist_kw adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _parse_dist_kw(dist, enforce_subclass=True):$/;" f +_parse_dots adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def _parse_dots():$/;" f member:AstAnnotator.dots file: +_parse_einsum_input adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _parse_einsum_input(operands):$/;" f +_parse_enc adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^def _parse_enc(path):$/;" f +_parse_entry adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _parse_entry(arg_name, entry):$/;" f +_parse_event_files_spec adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^def _parse_event_files_spec(logdir_spec):$/;" f +_parse_example_raw adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def _parse_example_raw(serialized, names, params, name):$/;" f +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_BucketizedColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_CrossedColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_EmbeddingColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_FeatureColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_HashedCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_IdentityCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_IndicatorColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_NumericColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_SequenceCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_SharedEmbeddingColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_VocabularyFileCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_VocabularyListCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _parse_example_spec(self):$/;" m class:_WeightedCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:BucketizedColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:CrossedColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:EmbeddingColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:HashedCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:IdentityCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:IndicatorColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:NumericColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:SequenceCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:SharedEmbeddingColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:VocabularyFileCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:VocabularyListCategoricalColumn +_parse_example_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _parse_example_spec(self):$/;" m class:WeightedCategoricalColumn +_parse_execution_data_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_execution_data_blob_key(blob_key):$/;" f +_parse_execution_digest_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_execution_digest_blob_key(blob_key):$/;" f +_parse_expiry adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def _parse_expiry(response_data):$/;" f +_parse_expiry adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^def _parse_expiry(response_data):$/;" f +_parse_explanations_from_prediction adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _parse_explanations_from_prediction(serialized_debug_proto,$/;" f +_parse_extras adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _parse_extras(cls, extras_spec):$/;" m class:EntryPoint +_parse_extras adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _parse_extras(cls, extras_spec):$/;" m class:EntryPoint +_parse_features_if_necessary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^def _parse_features_if_necessary(features, feature_columns):$/;" f +_parse_file adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def _parse_file(self, filename, constraint):$/;" m class:RequirementsFileParser +_parse_file adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_file(cls, value):$/;" m class:ConfigHandler +_parse_filename adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def _parse_filename(filename):$/;" f +_parse_flags_tolerate_undef adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/app.py /^def _parse_flags_tolerate_undef(argv):$/;" f +_parse_format adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def _parse_format(self, tokens):$/;" m class:FortranFormatParser +_parse_from_default adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^ def _parse_from_default(self, value):$/;" m class:DEFINE_alias._FlagAlias +_parse_from_default adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _parse_from_default(self, value):$/;" m class:Flag +_parse_function_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _parse_function_from_config($/;" m class:Lambda +_parse_func_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _parse_func_attrs(attributes):$/;" f +_parse_glibc_version adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _parse_glibc_version(version_str):$/;" f +_parse_grad_debug_op_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def _parse_grad_debug_op_name(op_name):$/;" f +_parse_graph_execution_data_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_graph_execution_data_blob_key(blob_key):$/;" f +_parse_graph_execution_digest_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_graph_execution_digest_blob_key(blob_key):$/;" f +_parse_graph_info_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_graph_info_blob_key(blob_key):$/;" f +_parse_graph_op_info_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_graph_op_info_blob_key(blob_key):$/;" f +_parse_gufunc_signature adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def _parse_gufunc_signature($/;" f +_parse_gufunc_signature adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _parse_gufunc_signature(signature):$/;" f +_parse_header adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _parse_header(fh):$/;" f +_parse_header adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _parse_header(self, stream):$/;" m class:MMFile +_parse_hextet adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _parse_hextet(cls, hextet_str):$/;" m class:_BaseV6 +_parse_hms adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _parse_hms(self, idx, tokens, info, hms_idx):$/;" m class:parser +_parse_id adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _parse_id(name): return Poly({Mon({name: 1}): 1})$/;" f +_parse_inference_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _parse_inference_type(value, flag):$/;" f +_parse_inputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _parse_inputs(return_values):$/;" m class:_Inputs +_parse_input_dimensions adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def _parse_input_dimensions($/;" f +_parse_input_dimensions adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _parse_input_dimensions(args, input_core_dims):$/;" f +_parse_input_graph_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def _parse_input_graph_proto(input_graph, input_binary):$/;" f +_parse_input_meta_graph_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def _parse_input_meta_graph_proto(input_graph, input_binary):$/;" f +_parse_input_saver_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/freeze_graph.py /^def _parse_input_saver_proto(input_saver, input_binary):$/;" f +_parse_interval adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def _parse_interval(interval_str):$/;" f +_parse_isodate adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _parse_isodate(self, dt_str):$/;" m class:isoparser +_parse_isodate_common adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _parse_isodate_common(self, dt_str):$/;" m class:isoparser +_parse_isodate_uncommon adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _parse_isodate_uncommon(self, dt_str):$/;" m class:isoparser +_parse_isotime adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _parse_isotime(self, timestr):$/;" m class:isoparser +_parse_jp2_header adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^def _parse_jp2_header(fp):$/;" f +_parse_kernel_label adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _parse_kernel_label(self, label, node_name):$/;" m class:Timeline +_parse_kern_pairs adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _parse_kern_pairs(fh):$/;" f +_parse_kstest_args adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _parse_kstest_args(data1, data2, args, N):$/;" f +_parse_kwargs_as_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _parse_kwargs_as_attrs(func_name, **kwargs):$/;" f +_parse_lambda adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def _parse_lambda(lam):$/;" f +_parse_legend_args adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^def _parse_legend_args(axs, *args, handles=None, labels=None, **kwargs):$/;" f +_parse_letter_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def _parse_letter_version($/;" f +_parse_letter_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def _parse_letter_version(letter, number):$/;" f +_parse_letter_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def _parse_letter_version(letter, number):$/;" f +_parse_letter_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def _parse_letter_version(letter, number):$/;" f +_parse_linprog adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _parse_linprog(lp, options):$/;" f +_parse_list adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_list(cls, value, separator=','):$/;" m class:ConfigHandler +_parse_list_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/utils.py /^from .compat import parse_http_list as _parse_list_header$/;" x +_parse_list_header adpepsenv/lib/python3.8/site-packages/requests/utils.py /^from .compat import parse_http_list as _parse_list_header$/;" x +_parse_list_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^ from urllib.request import parse_http_list as _parse_list_header$/;" x +_parse_list_header adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^ from urllib2 import parse_http_list as _parse_list_header$/;" x +_parse_lit adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _parse_lit(val_str): return int(val_str)$/;" f +_parse_local_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def _parse_local_version(local):$/;" f +_parse_local_version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def _parse_local_version(local):$/;" f +_parse_local_version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def _parse_local_version(local):$/;" f +_parse_local_version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def _parse_local_version(local):$/;" f +_parse_lsb_release_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _parse_lsb_release_content(lines):$/;" m class:LinuxDistribution +_parse_makefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _parse_makefile(filename, vars=None):$/;" f +_parse_min_sec adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _parse_min_sec(self, value):$/;" m class:parser +_parse_multipart adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def _parse_multipart(self, stream, mimetype, content_length, options):$/;" m class:FormDataParser +_parse_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def _parse_name(self, name):$/;" m class:Policy +_parse_numeric_token adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _parse_numeric_token(self, tokens, idx, info, ymd, res, fuzzy):$/;" m class:parser +_parse_octet adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _parse_octet(cls, octet_str):$/;" m class:_BaseV4 +_parse_offset adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _parse_offset(self, s):$/;" m class:tzical +_parse_optional adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _parse_optional(fh):$/;" f +_parse_optional_string_set adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def _parse_optional_string_set(self, name, value):$/;" m class:RunTagFilter +_parse_op_label adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _parse_op_label(self, label):$/;" m class:Timeline +_parse_os_release_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _parse_os_release_content(lines):$/;" m class:LinuxDistribution +_parse_packages adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_packages(self, value):$/;" m class:ConfigOptionsHandler +_parse_package_data adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_package_data(self, section_options):$/;" m class:ConfigOptionsHandler +_parse_pairs adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^ def _parse_pairs():$/;" f function:parse_cookie file: +_parse_placeholder_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/optimize_for_inference.py /^def _parse_placeholder_types(values):$/;" f +_parse_plugin_data_as adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/metadata.py /^def _parse_plugin_data_as(content, data_oneof_field):$/;" f +_parse_positive_int_param adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _parse_positive_int_param(request, param_name):$/;" f +_parse_possible_contraction adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _parse_possible_contraction(positions, input_sets, output_set, idx_dict, memory_limit, path_/;" f +_parse_record_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _parse_record_path(record_column):$/;" f +_parse_repo_info adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _parse_repo_info(github):$/;" f +_parse_request_argument adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^def _parse_request_argument(request, proto_class):$/;" f +_parse_request_arguments adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _parse_request_arguments(self, request):$/;" m class:WhatIfToolPlugin +_parse_rfc adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _parse_rfc(self, s,$/;" m class:_rrulestr +_parse_rfc adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _parse_rfc(self, s):$/;" m class:tzical +_parse_rfc_rrule adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def _parse_rfc_rrule(self, line,$/;" m class:_rrulestr +_parse_samples_per_plugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^def _parse_samples_per_plugin(value):$/;" f +_parse_saved_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^_parse_saved_model = parse_saved_model$/;" v +_parse_saved_model_args adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _parse_saved_model_args(self, always_enable_saved_model_import=False):$/;" m class:TFLiteConverterBase +_parse_saved_model_with_debug_info adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.saved_model.loader_impl import parse_saved_model_with_debug_info as _pars/;" x +_parse_scatter_color_args adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _parse_scatter_color_args(c, edgecolors, kwargs, xsize,$/;" m class:Axes +_parse_section_to_dict adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_section_to_dict(cls, section_options, values_parser=None):$/;" m class:ConfigHandler +_parse_sequence_example_raw adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def _parse_sequence_example_raw(serialized,$/;" f +_parse_serialized_csp adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _parse_serialized_csp(self, csp_text):$/;" m class:SecurityValidatorMiddleware +_parse_service adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^def _parse_service(service):$/;" f +_parse_set adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^def _parse_set(values):$/;" f +_parse_signature adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def _parse_signature(self, sig):$/;" m class:Func +_parse_signature adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^def _parse_signature(func):$/;" f +_parse_single_sequence_example_raw adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def _parse_single_sequence_example_raw(serialized,$/;" f +_parse_size adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^def _parse_size(size_str):$/;" f +_parse_size adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^def _parse_size(size_str):$/;" f +_parse_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^def _parse_slices(slicing_string):$/;" f +_parse_source_file_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_source_file_blob_key(blob_key):$/;" f +_parse_source_file_list_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_source_file_list_blob_key(blob_key):$/;" f +_parse_stack_frames_blob_key adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^def _parse_stack_frames_blob_key(blob_key):$/;" f +_parse_ta adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _parse_ta(values_ta):$/;" f member:ARModel.predict file: +_parse_template_line adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def _parse_template_line(self, line):$/;" m class:FileList +_parse_tensor_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _parse_tensor_name(name):$/;" f +_parse_tensor_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^def _parse_tensor_value(tensor_proto, return_list=False):$/;" f +_parse_token_data adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def _parse_token_data($/;" m class:Credentials +_parse_topology adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def _parse_topology(self, serialized):$/;" m class:Topology +_parse_tzstr adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def _parse_tzstr(self, tzstr, zero_as_utc=True):$/;" m class:isoparser +_parse_uname_content adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _parse_uname_content(lines):$/;" m class:LinuxDistribution +_parse_urlencoded adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def _parse_urlencoded(self, stream, mimetype, content_length, options):$/;" m class:FormDataParser +_parse_version adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _parse_version(self, value):$/;" m class:ConfigMetadataHandler +_parse_version_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^def _parse_version_parts(s):$/;" f +_parse_version_parts adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^def _parse_version_parts(s):$/;" f +_parse_version_parts adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^def _parse_version_parts(s):$/;" f +_parse_version_parts adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^def _parse_version_parts(s):$/;" f +_PartialDecorator adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ class _PartialDecorator(CallableObjectProxy):$/;" c function:synchronized file: +_PartialWrapper adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ class _PartialWrapper(object):$/;" c function:_with_args file: +_partial_build adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _partial_build(self, endpoint, values, method, append_unknown):$/;" m class:MapAdapter +_PartitionedCall adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _PartitionedCall(_FunctionCaller):$/;" c +_PartitionedInfeedQueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^class _PartitionedInfeedQueue(InfeedQueue):$/;" c +_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^ def _partitioner(shape, **unused_args):$/;" f function:fixed_size_partitioner file: +_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^ def _partitioner(shape, dtype):$/;" f function:min_max_variable_partitioner file: +_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^ def _partitioner(shape, dtype):$/;" f function:variable_axis_size_partitioner file: +_partitioner adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _partitioner(shape, dtype):$/;" f member:BaseLinearRegressorPartitionerTest.testPartitioner file: +_PARTITIONINFO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_PARTITIONINFO = _descriptor.Descriptor($/;" v +_PartitionInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _PartitionInfo(object):$/;" c +_partitionmap adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _partitionmap(func: Callable, vars: Sequence, nodes: Sequence):$/;" f +_partition_axes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _partition_axes(self):$/;" m class:PartitionedVariable +_partition_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _partition_dispatcher(a, sep):$/;" f +_partition_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _partition_dispatcher(a, kth, axis=None, kind=None, order=None):$/;" f +_partition_inputs_for_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _partition_inputs_for_indices(inputs, indices):$/;" f +_partition_knowns adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _partition_knowns(pvals, unknowns: Sequence[bool]):$/;" f +_partition_leading adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _partition_leading(sz0, sz1, aval, x):$/;" f +_PARTITION_OFFSET adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^_PARTITION_OFFSET = "partition_offset"$/;" v +_partition_outer_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _partition_outer_dimension(value, row_partition):$/;" f +_partition_outputs adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _partition_outputs(avals, outs):$/;" f +_PARTITION_SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^_PARTITION_SHAPE = "partition_shape"$/;" v +_PARTITION_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ _PARTITION_TYPES = (RowSplits, RowLengths, RowStarts, RowLimits, ValueRowIds,$/;" v class:RaggedFeature +_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _parts(self, zip_path):$/;" m class:ZipProvider +_parts adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _parts(self, zip_path):$/;" m class:ZipProvider +_parts adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _parts(ops):$/;" f member:Rule._compile_builder file: +_Pass adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Pass(self, t):$/;" m class:Unparser +_passes_all_filters adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _passes_all_filters(self, session_group):$/;" m class:Handler +_passing_sync_batchnorm_handle adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _passing_sync_batchnorm_handle(self, module_copies):$/;" m class:DistributedDataParallel +_PASSPHRASE_REGEX adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^_PASSPHRASE_REGEX = re.compile($/;" v +_PASS_THROUGH_VARIABLE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^_PASS_THROUGH_VARIABLE_OPS = ('Identity', 'IdentityN')$/;" v +_PastaEditVisitor adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^class _PastaEditVisitor(ast.NodeVisitor):$/;" c +_Pastel1_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Pastel1_data = ($/;" v +_Pastel2_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Pastel2_data = ($/;" v +_patched_dist adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ _patched_dist = None$/;" v class:Distribution +_patch_distribution_metadata adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^def _patch_distribution_metadata():$/;" f +_patch_function adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^def _patch_function(fn: FunctionType, nargs: int) -> FunctionType:$/;" f +_patch_usage adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _patch_usage():$/;" f +_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _path = Path([[0.0, 0.1], [0.0, -0.1],$/;" v class:Arrow +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_assumed_shape.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_common.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_kind.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_mixed.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_parameter.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_regression.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_size.py /^def _path(*a):$/;" f +_path adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_string.py /^def _path(*a):$/;" f +_PathNode adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class _PathNode:$/;" c +_PATHNODEPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_PATHNODEPROTO = _descriptor.Descriptor($/;" v +_PATHPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_PATHPROTO = _descriptor.Descriptor($/;" v +_paths_to_3d_segments adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _paths_to_3d_segments(paths, zs=0, zdir='z'):$/;" f +_paths_to_3d_segments_with_codes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _paths_to_3d_segments_with_codes(paths, zs=0, zdir='z'):$/;" f +_path_binary_scores adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def _path_binary_scores(self, labels, transitions, seq_lengths=None):$/;" m class:CRFWithLoss +_path_created adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dir_util.py /^_path_created = {}$/;" v +_path_encode adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def _path_encode(x):$/;" f member:EnvironBuilder.get_environ file: +_path_from adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/op_selector.py /^def _path_from(from_op, tensor, sources):$/;" f +_path_generator adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _path_generator = mpath.Path.unit_regular_asterisk$/;" v class:AsteriskPolygonCollection +_path_generator adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _path_generator = mpath.Path.unit_regular_polygon$/;" v class:RegularPolyCollection +_path_generator adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _path_generator = mpath.Path.unit_regular_star$/;" v class:StarPolygonCollection +_PATH_OPTIONS adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_PATH_OPTIONS = {$/;" v +_path_option_check adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/cmdoptions.py /^def _path_option_check(option, opt, value):$/;" f +_path_to_3d_segment adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _path_to_3d_segment(path, zs=0, zdir='z'):$/;" f +_path_to_3d_segment_with_codes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _path_to_3d_segment_with_codes(path, zs=0, zdir='z'):$/;" f +_path_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/io_utils.py /^ def _path_to_string(path):$/;" f +_pattern adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def _pattern(self, pData):$/;" m class:Trie +_pattern_permute adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def _pattern_permute(self, basic_pattern, options, basic_result):$/;" m class:LutBuilder +_pbcf adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _pbcf(n, theta):$/;" f +_pcolor adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _pcolor(self, super_pcolor, *XYC, **kwargs):$/;" m class:ParasiteAxesAuxTransBase +_pcolorargs adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _pcolorargs(self, funcname, *args, shading='flat', **kwargs):$/;" m class:Axes +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x):$/;" m class:TestSubclassingExplicitShapes.shapes_empty_string._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, *args):$/;" m class:TestSubclassingExplicitShapes.test_star_args._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, *args, **kwargs):$/;" m class:TestSubclassingExplicitShapes.test_extra_kwarg._distr_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a):$/;" m class:TestSubclassingExplicitShapes.test_shapes_signature._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a):$/;" m class:TestSubclassingExplicitShapes.test_shapes_signature_inconsistent._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a, **kwargs):$/;" m class:TestSubclassingNoShapes.test_kwargs_raise._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a, *args):$/;" m class:TestSubclassingNoShapes.test_starargs_raise._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a=42):$/;" m class:TestSubclassingNoShapes.test_defaults_raise._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, offset, *args):$/;" m class:TestSubclassingExplicitShapes.test_star_args_2._dist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a):$/;" m class:_distr_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a, b):$/;" m class:_distr3_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _pdf(self, x, a, b):$/;" m class:_distr6_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, r):$/;" m class:rayleigh_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:anglit_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:arcsine_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:cauchy_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:cosine_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:expon_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:gilbrat_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:gumbel_l_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:gumbel_r_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:halfcauchy_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:halflogistic_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:halfnorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:hypsecant_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:kstwobign_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:laplace_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:levy_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:levy_l_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:logistic_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:maxwell_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:moyal_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:norm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:rv_histogram +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:semicircular_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:uniform_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x):$/;" m class:wald_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:alpha_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:dgamma_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:gamma_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:invgamma_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:kappa3_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:powerlaw_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a):$/;" m class:skew_norm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:betaprime_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:beta_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:johnsonsb_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:johnsonsu_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:norminvgauss_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:reciprocal_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b):$/;" m class:truncnorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b, c):$/;" m class:genexpon_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, b, c, z):$/;" m class:gausshyper_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, c):$/;" m class:exponweib_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, a, c):$/;" m class:gengamma_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, alpha, beta):$/;" m class:levy_stable_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, b):$/;" m class:exponpow_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, b):$/;" m class:pareto_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, b):$/;" m class:rice_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, b):$/;" m class:truncexpon_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, beta):$/;" m class:gennorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, beta):$/;" m class:halfgennorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, beta, m):$/;" m class:crystalball_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:bradford_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:dweibull_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:fatiguelife_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:fisk_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:foldcauchy_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:foldnorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:genextreme_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:genhalflogistic_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:genlogistic_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:genpareto_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:gompertz_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:invweibull_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:loggamma_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:loglaplace_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:lomax_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:powernorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:rdist_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:triang_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:weibull_max_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:weibull_min_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c):$/;" m class:wrapcauchy_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c, d):$/;" m class:burr12_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c, d):$/;" m class:burr_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c, d):$/;" m class:trapezoid_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, c, s):$/;" m class:powerlognorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, chi):$/;" m class:argus_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, df):$/;" m class:chi2_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, df):$/;" m class:chi_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, df):$/;" m class:t_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, df, nc):$/;" m class:nct_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, df, nc):$/;" m class:ncx2_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, dfn, dfd):$/;" m class:f_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, h, k):$/;" m class:kappa4_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, K):$/;" m class:exponnorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, k, s):$/;" m class:mielke_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, kappa):$/;" m class:laplace_asymmetric_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, kappa):$/;" m class:vonmises_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, lam):$/;" m class:tukeylambda_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, mu):$/;" m class:invgauss_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, mu):$/;" m class:recipinvgauss_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, n):$/;" m class:ksone_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, n):$/;" m class:kstwo_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, nu):$/;" m class:nakagami_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, p, b):$/;" m class:geninvgauss_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, s):$/;" m class:lognorm_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf(self, x, skew):$/;" m class:pearson3_gen +_pdf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _pdf(self, x, *args):$/;" m class:rv_continuous +_pdfops adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^_pdfops = dict($/;" v +_PDFResult adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _PDFResult = namedtuple($/;" v class:MathtextBackendPdf +_pdf_from_cf_with_fft adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf_from_cf_with_fft(cf, h=0.01, q=9):$/;" m class:levy_stable_gen +_pdf_single_value_best adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf_single_value_best(x, alpha, beta):$/;" m class:levy_stable_gen +_pdf_single_value_cf_integrate adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf_single_value_cf_integrate(x, alpha, beta):$/;" m class:levy_stable_gen +_pdf_single_value_zolotarev adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf_single_value_zolotarev(x, alpha, beta):$/;" m class:levy_stable_gen +_pdf_skip adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _pdf_skip(self, x, dfn, dfd, nc):$/;" m class:ncf_gen +_pdot_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_abstract_eval(x, y, *, axis_name, pos_contract, pos_batch):$/;" f +_pdot_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_impl(x, y, *, axis_name, pos_contract, pos_batch):$/;" f +_pdot_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_translation_rule(c, x, y, *, axis_name, pos_contract, pos_batch,$/;" f +_pdot_transpose_lhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_transpose_lhs(g, y, *, axis_name, pos_contract, pos_batch):$/;" f +_pdot_transpose_rhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_transpose_rhs(g, x, *, axis_name, pos_contract, pos_batch):$/;" f +_pdot_vmap_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_vmap_batching_rule(vals_in, dims_in, *, axis_name, pos_contract,$/;" f +_pdot_vmap_collective_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _pdot_vmap_collective_rule(frame, vals_in, dims_in, *, axis_name,$/;" f +_pearsonr adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^ def _pearsonr(x, brack):$/;" f function:boxcox_normmax file: +_peek_and_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _peek_and_restore(x):$/;" m class:GeneratorDataAdapter +_peek_and_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _peek_and_restore(x):$/;" m class:KerasSequenceAdapter +_PEM_CERTS_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^_PEM_CERTS_RE = re.compile($/;" v +_PEM_CERTS_RE adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^_PEM_CERTS_RE = re.compile($/;" v +_pem_lines adpepsenv/lib/python3.8/site-packages/rsa/pem.py /^def _pem_lines(contents: bytes, pem_start: bytes, pem_end: bytes) -> typing.Iterator[bytes]:$/;" f +_penalized_nnlf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _penalized_nnlf(self, theta, x):$/;" m class:rv_continuous +_PendingCount adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _PendingCount(to_ops, from_ops, colocate_gradients_with_ops, func_graphs,$/;" f +_PendingSkip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _PendingSkip(ParserElement):$/;" c +_PendingSkip adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _PendingSkip(ParserElement):$/;" c +_pep3118_native_map adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_pep3118_native_map = {$/;" v +_pep3118_native_typechars adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_pep3118_native_typechars = ''.join(_pep3118_native_map.keys())$/;" v +_pep3118_standard_map adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_pep3118_standard_map = {$/;" v +_pep3118_standard_typechars adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_pep3118_standard_typechars = ''.join(_pep3118_standard_map.keys())$/;" v +_pep3118_unsupported_map adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^_pep3118_unsupported_map = {$/;" v +_pep_440_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _pep_440_key(s):$/;" f +_percentile_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _percentile_dispatcher(a, q, axis=None, out=None, overwrite_input=None,$/;" f +_percentile_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _percentile_ref(original_values, value_to_pct, lengths):$/;" f member:TorchIntegration.test_percentile file: +_PerDeviceGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class _PerDeviceGenerator(dataset_ops.DatasetV2):$/;" c +_perform_evictions adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def _perform_evictions():$/;" m class:ChannelCache +_perform_selection adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def _perform_selection(self, points, op):$/;" m class:PointSelection +_PERGENERICSTEPDETAILS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_PERGENERICSTEPDETAILS = _descriptor.Descriptor($/;" v +_perm adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def _perm(primal_counts, tangent_counts, lst):$/;" f +_permitted adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def _permitted(self, path):$/;" m class:UninstallPathSet +_permute_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_caffe2.py /^def _permute_helper(g, input, axes):$/;" f +_perm_test adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _perm_test(x, y, stat, reps=1000, workers=-1, random_state=None):$/;" f +_persistent_id adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _persistent_id(self, obj):$/;" m class:PackageExporter +_perturb adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_morestats.py /^ _perturb = lambda g: (np.asarray(g) + 1e-10*rs.randn(len(g))).tolist()$/;" f member:TestFligner.test_trimmed1 file: +_PerWorkerDistributedDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class _PerWorkerDistributedDataset(object):$/;" c +_PerWorkerDistributedIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class _PerWorkerDistributedIterator(PerWorkerValues):$/;" c +_PER_MODULE_WARNING_LIMIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^_PER_MODULE_WARNING_LIMIT = 1$/;" v +_per_op_renamed_args adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _per_op_renamed_args = {$/;" v class:Caffe2Frontend +_per_op_renamed_attrs adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _per_op_renamed_attrs = {$/;" v class:Caffe2Backend +_per_replica_aggregate_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _per_replica_aggregate_batch(strategy, batch_outs, model, mode):$/;" f +_per_replica_execution_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def _per_replica_execution_function(model, mode):$/;" f +_per_replica_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def _per_replica_function(model):$/;" f function:_make_eager_execution_function file: +_per_replica_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def _per_replica_function(model):$/;" f function:_make_graph_execution_function file: +_PforInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^class _PforInput(object):$/;" c +_pformat_subprocess adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _pformat_subprocess(command):$/;" f +_pfor_converter_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^_pfor_converter_registry = {}$/;" v +_pfor_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/control_flow_ops.py /^def _pfor_impl(loop_fn,$/;" f +_pgf_path_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _pgf_path_draw(self, stroke=True, fill=False):$/;" m class:RendererPgf +_pg_group_ranks adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_pg_group_ranks = {}$/;" v +_pg_map adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_pg_map = {}$/;" v +_pg_names adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^_pg_names = {}$/;" v +_phase_one adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _phase_one(A, b, x0, callback, postsolve_args, maxiter, tol, disp,$/;" f +_phase_two adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _phase_two(c, A, x, b, callback, postsolve_args, maxiter, tol, disp,$/;" f +_physical_constants_2002 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_physical_constants_2002 = parse_constants_2002to2014(txt2002)$/;" v +_physical_constants_2006 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_physical_constants_2006 = parse_constants_2002to2014(txt2006)$/;" v +_physical_constants_2010 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_physical_constants_2010 = parse_constants_2002to2014(txt2010)$/;" v +_physical_constants_2014 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_physical_constants_2014 = parse_constants_2002to2014(txt2014)$/;" v +_physical_constants_2018 adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_physical_constants_2018 = parse_constants_2018toXXXX(txt2018)$/;" v +_PHYSICAL_DEVICE_DESCRIPTION_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/gpu_util.py /^_PHYSICAL_DEVICE_DESCRIPTION_REGEX = re.compile($/;" v +_picklable_subplot_class_constructor adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^def _picklable_subplot_class_constructor(axes_class):$/;" f +_pickle_warn adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _pickle_warn(method):$/;" f +_pick_scalar_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _pick_scalar_condition(pred, cond_true, cond_false):$/;" f +_piecewise_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _piecewise_dispatcher(x, condlist, funclist, *args, **kw):$/;" f +_PIECEWISE_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_PIECEWISE_DOC = """\\$/;" v +_piecewise_linear_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _piecewise_linear_ref(X):$/;" f member:TorchIntegration.test_piecewise_linear_op file: +_pilbitmap_check adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^def _pilbitmap_check():$/;" f +_pilbitmap_ok adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^_pilbitmap_ok = None$/;" v +_PIL_INTERPOLATION_METHODS adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^ _PIL_INTERPOLATION_METHODS = {$/;" v +_pil_png_to_float_array adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def _pil_png_to_float_array(pil_png):$/;" f +_PINGING_MASTER_TIMEOUT_IN_MS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_PINGING_MASTER_TIMEOUT_IN_MS = 5 * 60 * 1000 # 10 min$/;" v +_pink_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_pink_data = {'red': ((0., 0.1178, 0.1178), (0.015873, 0.195857, 0.195857),$/;" v +_pinv_1d adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _pinv_1d(v, eps=1e-5):$/;" f +_pinv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _pinv_dispatcher(a, rcond=None, hermitian=None):$/;" f +_pinv_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _pinv_jvp(rcond, primals, tangents):$/;" f +_pin_memory_loop adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/pin_memory.py /^def _pin_memory_loop(in_queue, out_queue, device_id, done_event):$/;" f +_pipe_step adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def _pipe_step($/;" f +_pivot_col adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_simplex.py /^def _pivot_col(T, tol=1e-9, bland=False):$/;" f +_pivot_dataframe adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^ def _pivot_dataframe(self, dataframe):$/;" m class:ExperimentFromDev +_PIVOT_FOR_CLUSTER adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_PIVOT_FOR_CLUSTER = "_pivot_for_cluster"$/;" v +_pivot_row adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_simplex.py /^def _pivot_row(T, basis, pivcol, phase, tol=1e-9, bland=False):$/;" f +_PIVOT_VALS adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ _PIVOT_VALS = ('tail', 'middle', 'tip')$/;" v class:Quiver +_PiYG_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PiYG_data = ($/;" v +_PI_FOUR adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_PI_FOUR = np.pi ** 4$/;" v +_PI_SIX adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_PI_SIX = np.pi ** 6$/;" v +_PI_SQUARED adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_PI_SQUARED = np.pi ** 2$/;" v +_pkcs12BagTypeMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^_pkcs12BagTypeMap = {$/;" v +_pkcs12CertBagMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^_pkcs12CertBagMap = {$/;" v +_pkcs12CRLBagMap adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7292.py /^_pkcs12CRLBagMap = {$/;" v +_PKCS1_MARKER adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^_PKCS1_MARKER = ("-----BEGIN RSA PRIVATE KEY-----", "-----END RSA PRIVATE KEY-----")$/;" v +_PKCS8_MARKER adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^_PKCS8_MARKER = ("-----BEGIN PRIVATE KEY-----", "-----END PRIVATE KEY-----")$/;" v +_PKCS8_SPEC adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^_PKCS8_SPEC = PrivateKeyInfo()$/;" v +_pkg_config_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^class _pkg_config_info(system_info):$/;" c +_pkg_names adpepsenv/lib/python3.8/site-packages/setuptools/namespaces.py /^ def _pkg_names(pkg):$/;" m class:Installer +_placeholders_from_receiver_tensors_dict adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def _placeholders_from_receiver_tensors_dict(input_vals,$/;" f +_placeholder_assets_zip_provider adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^def _placeholder_assets_zip_provider():$/;" f +_placeholder_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^def _placeholder_from_tensor(t, default_batch_size=None):$/;" f +_placeholder_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _placeholder_value(like, original=None):$/;" f +_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _placement_function(host_id):$/;" f member:TPUContext.tpu_host_placement_function file: +_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _placement_function(i):$/;" f member:_InternalTPUContext.tpu_device_placement_function file: +_placement_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _placement_function(_sentinal=None, replica_id=None, host_id=None): # pylint: disable=i/;" f member:_InternalTPUContext.tpu_host_placement_function file: +_placement_function_from_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _placement_function_from_map(index):$/;" f member:InfeedQueue.split_inputs_and_generate_enqueue_ops file: +_place_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _place_dispatcher(arr, mask, vals):$/;" f +_plaintext_elements adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ _plaintext_elements = {"textarea"}$/;" v class:HTMLBuilder +_PLANDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_PLANDEF = _descriptor.Descriptor($/;" v +_PLANES adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ _PLANES = ($/;" v class:Axis +_PLANSMAP adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_PLANSMAP = _descriptor.Descriptor($/;" v +_plasma_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_plasma_data = [[0.050383, 0.029803, 0.527975],$/;" v +_platform adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^import platform as _platform$/;" I +_platform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^import platform as _platform$/;" I +_platform adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^import platform as _platform$/;" I +_PLATFORMINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_PLATFORMINFO = _descriptor.Descriptor($/;" v +_PLATFORM_LOGGING_LEVEL_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^_PLATFORM_LOGGING_LEVEL_MAP = {$/;" v +_platform_tags adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _platform_tags():$/;" f +_platform_tags adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _platform_tags():$/;" f +_plot_args adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _plot_args(self, tup, kwargs):$/;" m class:_process_plot_var_args +_plot_dendrogram adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _plot_dendrogram(icoords, dcoords, ivl, p, n, mh, orientation,$/;" f +_Plugin adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^class _Plugin(object):$/;" c +_PLUGINCONTROL adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_PLUGINCONTROL = _descriptor.Descriptor($/;" v +_plugins adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^_plugins = [$/;" v +_PLUGINS adpepsenv/lib/python3.8/site-packages/tensorboard/default.py /^_PLUGINS = [$/;" v +_PLUGINSPECIFICATION adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_PLUGINSPECIFICATION = _descriptor.Descriptor($/;" v +_PLUGINS_DIR adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_asset_util.py /^_PLUGINS_DIR = "plugins"$/;" v +_PLUGINS_DIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^_PLUGINS_DIR = "plugins"$/;" v +_PLUGIN_ASSET_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/plugin_asset.py /^_PLUGIN_ASSET_PREFIX = "__tensorboard_plugin_asset__"$/;" v +_plugin_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ _plugin_dir = _os.path.join(_s, 'tensorflow-plugins')$/;" v +_plugin_dir adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ _plugin_dir = _os.path.join(_s, 'tensorflow-plugins')$/;" v +_PLUGIN_NAME_KERAS_MODEL adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^_PLUGIN_NAME_KERAS_MODEL = "graph_keras_model"$/;" v +_PLUGIN_NAME_RUN_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^_PLUGIN_NAME_RUN_METADATA = "graph_run_metadata"$/;" v +_PLUGIN_NAME_RUN_METADATA_WITH_GRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^_PLUGIN_NAME_RUN_METADATA_WITH_GRAPH = "graph_run_metadata_graph"$/;" v +_plusInf adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ _plusInf = _minusInf = None$/;" v class:Real +_plus_filled_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _plus_filled_path = Path($/;" v class:MarkerStyle +_plus_filled_path_t adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _plus_filled_path_t = Path($/;" v class:MarkerStyle +_plus_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _plus_path = Path([[-1.0, 0.0], [1.0, 0.0],$/;" v class:MarkerStyle +_pmap_sharding_spec adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _pmap_sharding_spec(nrep, axis_size, npart, parts, sharded_aval, map_axis: Optional[int]):$/;" f +_pmap_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _pmap_translation_rule(c, axis_env,$/;" f +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, a):$/;" m class:dlaplace_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, a):$/;" m class:zipf_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, lambda_):$/;" m class:planck_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, lambda_, N):$/;" m class:boltzmann_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, low, high):$/;" m class:randint_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, M, n, N):$/;" m class:hypergeom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, M, n, r):$/;" m class:nhypergeom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, mu):$/;" m class:poisson_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, p):$/;" m class:geom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, k, p):$/;" m class:logser_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, alpha):$/;" m class:yulesimon_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, mu1, mu2):$/;" m class:skellam_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, n, a, b):$/;" m class:betabinom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, n, p):$/;" m class:binom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, n, p):$/;" m class:nbinom_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _pmf(self, x, p):$/;" m class:bernoulli_gen +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _pmf(self, k, *args):$/;" m class:rv_discrete +_pmf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _pmf(self, x):$/;" m class:rv_sample +_pmt_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _pmt_dispatcher(rate, nper, pv, fv=None, when=None):$/;" f +_png adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _png():$/;" f function:decode_image file: +_pointer_type_cache adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^_pointer_type_cache = {}$/;" v +_point_along_a_line adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^def _point_along_a_line(x0, y0, x1, y1, d):$/;" f +_point_sizes adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _point_sizes(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_point_size_reduction adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _point_size_reduction = 0.5$/;" v class:MarkerStyle +_poisson adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _poisson(key, lam, shape, dtype):$/;" f +_poisson_knuth adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _poisson_knuth(key, lam, shape, dtype, max_iters):$/;" f +_poisson_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def _poisson_loss(self, labels, logits):$/;" m class:PoissonRegressionHead +_poisson_rejection adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _poisson_rejection(key, lam, shape, dtype, max_iters):$/;" f +_policy_equivalent_to_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^def _policy_equivalent_to_dtype(policy):$/;" f +_poll_connectivity adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _poll_connectivity(state, channel, initial_try_to_connect):$/;" f +_poll_server_till_success adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^def _poll_server_till_success(max_attempts,$/;" f +_polyder adpepsenv/lib/python3.8/site-packages/scipy/signal/_savitzky_golay.py /^def _polyder(p, m):$/;" f +_polyder_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _polyder_dispatcher(p, m=None):$/;" f +_polydiv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _polydiv_dispatcher(u, v):$/;" f +_polyfit_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _polyfit_dispatcher(x, y, deg, rcond=None, full=None, w=None, cov=None):$/;" f +_polyfuns adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^_polyfuns = ['legendre', 'chebyt', 'chebyu', 'chebyc', 'chebys',$/;" v +_polygamma adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/special.py /^def _polygamma(n, x):$/;" f +_PolygammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _PolygammaGrad(op, grad):$/;" f +_polyint_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _polyint_dispatcher(p, m=None, k=None):$/;" f +_polymorphic_slice_indices adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _polymorphic_slice_indices(idx: slice, size: Union[int, Poly]):$/;" f +_polynomial_coefficients_given_roots adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _polynomial_coefficients_given_roots(roots):$/;" f +_polynomial_value adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _polynomial_value(poly, x, zero_power, transition):$/;" f +_polys_to_ints adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _polys_to_ints(shape):$/;" f +_polyval_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _polyval_dispatcher(p, x):$/;" f +_poly_dim_to_tf_dim adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _poly_dim_to_tf_dim(dim: PolyDim) -> Optional[int]:$/;" f +_poly_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _poly_dispatcher(seq_of_zeros):$/;" f +_poly_est adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^ def _poly_est(data, len_beta=len_beta):$/;" f function:polynomial file: +_poly_fcn adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _poly_fcn(B, x, powers):$/;" f +_poly_fjacb adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _poly_fjacb(B, x, powers):$/;" f +_poly_fjacd adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _poly_fjacd(B, x, powers):$/;" f +_poly_mat adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^_poly_mat = re.compile(r"[*][*]([0-9]*)")$/;" v +_pomeranz_compute_j1j2 adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _pomeranz_compute_j1j2(i, n, ll, ceilf, roundf):$/;" f +_pooling_layer adpepsenv/lib/python3.8/site-packages/jax/experimental/stax.py /^def _pooling_layer(reducer, init_val, rescaler=None):$/;" f +_pool_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _pool_flops(graph, node):$/;" f +_pool_runner_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def _pool_runner_worker(task_type, task_id, initializer, conn):$/;" f +_pool_seed_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _pool_seed_transformer(parent, node, full_name, name, logs):$/;" f +_pop adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _pop(self, _):$/;" m class:Dvi +_pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _pop(self, key, indices=None, name=None):$/;" m class:MapStagingArea +_PopBackGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _PopBackGrad(op, dlist, delement):$/;" f +_popitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _popitem(self, indices=None, name=None):$/;" m class:MapStagingArea +_PopLine adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _PopLine(self):$/;" m class:Tokenizer +_populate adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^def _populate():$/;" f +_PopulateTFImportGraphDefOptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _PopulateTFImportGraphDefOptions(options, prefix, input_map,$/;" f +_populate_attributes adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def _populate_attributes(self, response):$/;" m class:Client +_populate_attributes adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def _populate_attributes(self, token):$/;" m class:OAuth1Session +_populate_link adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _populate_link(self, req):$/;" m class:Resolver +_populate_recipient_maps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _populate_recipient_maps(self):$/;" m class:DebugGraph +_population_count adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _population_count(x):$/;" f +_pop_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _pop_accumulator(self):$/;" m class:ForwardAccumulator +_pop_a_match_at_tier adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def _pop_a_match_at_tier(op1, operator_list, tier):$/;" f +_pop_control_dependencies_controller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _pop_control_dependencies_controller(self, controller):$/;" m class:Graph +_pop_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_pop_per_thread_mode = distribution_strategy_context._pop_per_thread_mode # pylint: disable=pro/;" v +_pop_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _pop_per_thread_mode():$/;" f +_pop_range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def _pop_range(self, range_):$/;" m class:Scope +_pop_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def _pop_tape(self):$/;" m class:GradientTape +_pop_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _pop_writer(self):$/;" m class:TensorBoard +_portpicker_import_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ _portpicker_import_error = _error$/;" v +_portpicker_import_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^_portpicker_import_error = None$/;" v +_position adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def _position(self, offset):$/;" m class:HTMLUnicodeInputStream +_position adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def _position(self, offset):$/;" m class:HTMLUnicodeInputStream +_PositionToken adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _PositionToken(Token):$/;" c +_PositionToken adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^class _PositionToken(Token):$/;" c +_PositionToken adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _PositionToken(Token):$/;" c +_PositionToken adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^class _PositionToken(Token):$/;" c +_PositiveDefinite adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _PositiveDefinite(Constraint):$/;" c +_positive_values adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def _positive_values(self, a, b, n):$/;" m class:Arg +_posix_split_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _posix_split_name(self, name):$/;" m class:TarInfo +_possible_names adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _possible_names(self, filename):$/;" m class:DataSource +_possibly_broadcast_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _possibly_broadcast_batch_shape(self, x):$/;" m class:LinearOperatorIdentity +_possibly_broadcast_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _possibly_broadcast_batch_shape(self, x):$/;" m class:LinearOperatorZeros +_possibly_finish_call adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _possibly_finish_call(state, token):$/;" f +_possibly_unimplemented adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def _possibly_unimplemented(cls, require=True):$/;" f +_post adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _post(self, _):$/;" m class:Dvi +_postprocess_flat_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def _postprocess_flat_outputs(outputs):$/;" f +_postprocess_flat_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _postprocess_flat_outputs(outputs):$/;" f +_postprocess_non_flat_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^def _postprocess_non_flat_outputs(outputs):$/;" f +_postprocess_non_flat_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _postprocess_non_flat_outputs(outputs):$/;" f +_postprocess_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def _postprocess_statement(self, node):$/;" m class:ContinueCanonicalizationTransformer +_postprocess_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _postprocess_statement(self, node):$/;" m class:ListTransformer +_postprocess_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def _postprocess_statement(self, node):$/;" m class:ConditionalReturnRewriter +_postprocess_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def _postprocess_statement(self, node):$/;" m class:ReturnStatementsTransformer +_postsolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _postsolve(x, postsolve_args, complete=False):$/;" f +_POST_DEVICE_REWRITE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_POST_DEVICE_REWRITE_ATTR = "_post_device_rewrite"$/;" v +_post_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _post_draw(self, framedata, blit):$/;" m class:Animation +_post_draw adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _post_draw(self, widget, ctx):$/;" m class:FigureCanvasGTK3 +_post_import_hooks adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^_post_import_hooks = {}$/;" v +_post_import_hooks_init adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^_post_import_hooks_init = False$/;" v +_post_import_hooks_lock adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^_post_import_hooks_lock = threading.RLock()$/;" v +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self):$/;" m class:PyAccess +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccess32_2 +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccess32_3 +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccess32_4 +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccess8 +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessF +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessI16_B +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessI16_L +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessI16_N +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessI32_N +_post_init adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def _post_init(self, *args, **kwargs):$/;" m class:_PyAccessI32_Swap +_post_post adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _post_post(self, _):$/;" m class:Dvi +_POS_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_POS_INF = 1e10000$/;" v +_POS_INF adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^_POS_INF = 1e10000$/;" v +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _pow = staticmethod(chebpow)$/;" v class:Chebyshev +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _pow = staticmethod(hermpow)$/;" v class:Hermite +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _pow = staticmethod(hermepow)$/;" v class:HermiteE +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _pow = staticmethod(lagpow)$/;" v class:Laguerre +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _pow = staticmethod(legpow)$/;" v class:Legendre +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _pow = staticmethod(polypow)$/;" v class:Polynomial +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _pow(mul_f, c, pow, maxpower):$/;" f +_pow adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _pow(c, pow, maxpower=None):$/;" m class:ABCPolyBase +_pow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _pow(x, y, name=None):$/;" f +_POW2 adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^_POW2 = (128, 64, 32, 16, 8, 4, 2, 1)$/;" v +_power adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _power(self, fun, x):$/;" m class:_PowerLinearOperator +_PowerLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _PowerLinearOperator(LinearOperator):$/;" c +_power_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _power_dispatcher(x, p):$/;" f +_power_div_lambda_names adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^_power_div_lambda_names = {$/;" v +_PowGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _PowGrad(op, grad):$/;" f +_pow_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _pow_eager_fallback(x, y, name, ctx):$/;" f +_pow_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _pow_flops(graph, node):$/;" f +_pow_jvp_lhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pow_jvp_lhs(g, ans, x, y):$/;" f +_pow_jvp_rhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _pow_jvp_rhs(g, ans, x, y):$/;" f +_pow_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _pow_taylor(primals_in, series_in):$/;" f +_pow_test_util adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def _pow_test_util(cls, harness: primitive_harness.Harness):$/;" m class:Jax2TfLimitation +_POW_TWO_SIZES adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/binary.py /^_POW_TWO_SIZES = tuple(2 ** i for i in range($/;" v +_POW_TWO_SIZES adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/unary.py /^_POW_TWO_SIZES = tuple(2 ** i for i in range($/;" v +_ppermute_batcher adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _ppermute_batcher(frame, vals_in, dims_in, axis_name, perm):$/;" f +_ppermute_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _ppermute_translation_rule(c, x, *, axis_name, axis_env, perm, platform):$/;" f +_ppermute_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _ppermute_transpose_rule(t, x, perm, axis_name):$/;" f +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, p, beta, m):$/;" m class:crystalball_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, p, df):$/;" m class:chi2_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:anglit_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:arcsine_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:cauchy_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:expon_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:gilbrat_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:gumbel_l_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:gumbel_r_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:halfcauchy_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:halflogistic_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:halfnorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:hypsecant_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:kstwobign_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:laplace_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:levy_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:levy_l_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:logistic_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:maxwell_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:norm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:rayleigh_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:semicircular_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q):$/;" m class:uniform_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:alpha_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:dgamma_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:gamma_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:invgamma_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:kappa3_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a):$/;" m class:powerlaw_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, b):$/;" m class:beta_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, b):$/;" m class:johnsonsb_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, b):$/;" m class:johnsonsu_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, b):$/;" m class:reciprocal_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, b):$/;" m class:truncnorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, c):$/;" m class:exponweib_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, a, c):$/;" m class:gengamma_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, b):$/;" m class:exponpow_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, b):$/;" m class:pareto_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, b):$/;" m class:rice_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, b):$/;" m class:truncexpon_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:bradford_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:dweibull_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:fatiguelife_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:genextreme_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:genhalflogistic_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:genlogistic_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:genpareto_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:gompertz_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:invweibull_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:loggamma_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:loglaplace_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:lomax_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:powernorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:rdist_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:triang_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:weibull_max_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:weibull_min_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c):$/;" m class:wrapcauchy_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c, d):$/;" m class:burr12_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c, d):$/;" m class:burr_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c, d):$/;" m class:trapezoid_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, c, s):$/;" m class:powerlognorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, df):$/;" m class:chi_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, df):$/;" m class:t_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, df, nc):$/;" m class:nct_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, df, nc):$/;" m class:ncx2_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, dfn, dfd):$/;" m class:f_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, dfn, dfd, nc):$/;" m class:ncf_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, h, k):$/;" m class:kappa4_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, k, s):$/;" m class:mielke_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, kappa):$/;" m class:laplace_asymmetric_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, lam):$/;" m class:tukeylambda_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, n):$/;" m class:ksone_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, n):$/;" m class:kstwo_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, nu):$/;" m class:nakagami_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, s):$/;" m class:lognorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, q, skew):$/;" m class:pearson3_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, x):$/;" m class:moyal_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, x):$/;" m class:rv_histogram +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, x, beta):$/;" m class:gennorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, x, beta):$/;" m class:halfgennorm_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _ppf(self, x, c):$/;" m class:fisk_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, a):$/;" m class:dlaplace_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, lambda_):$/;" m class:planck_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, lambda_, N):$/;" m class:boltzmann_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, low, high):$/;" m class:randint_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, mu):$/;" m class:poisson_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, n, p):$/;" m class:binom_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, n, p):$/;" m class:nbinom_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, p):$/;" m class:bernoulli_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _ppf(self, q, p):$/;" m class:geom_gen +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _ppf(self, q):$/;" m class:rv_sample +_ppf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _ppf(self, q, *args):$/;" m class:rv_generic +_ppform adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class _ppform(PPoly):$/;" c +_ppf_single adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _ppf_single(self, q, *args):$/;" m class:rv_continuous +_ppf_to_solve adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _ppf_to_solve(self, x, q, *args):$/;" m class:rv_continuous +_ppmt_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _ppmt_dispatcher(rate, per, nper, pv, fv=None, when=None):$/;" f +_ppoly2d_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _ppoly2d_eval(c, xs, xnew, ynew, nu=None):$/;" f +_ppoly3d_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _ppoly3d_eval(c, xs, xnew, ynew, znew, nu=None):$/;" f +_ppoly4d_eval adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _ppoly4d_eval(c, xs, xnew, ynew, znew, unew, nu=None):$/;" f +_PPolyBase adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^class _PPolyBase(object):$/;" c +_ppoly_eval_1 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _ppoly_eval_1(c, x, xps):$/;" f +_ppoly_eval_2 adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^def _ppoly_eval_2(coeffs, breaks, xnew, fill=np.nan):$/;" f +_pprint_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _pprint_impl(self, indent, str_buffer):$/;" m class:Field +_pprint_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _pprint_impl(self, indent, str_buffer):$/;" m class:List +_pprint_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _pprint_impl(self, indent, str_buffer):$/;" m class:ListWithEvicted +_pprint_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _pprint_impl(self, indent, str_buffer):$/;" m class:Scalar +_pprint_impl adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _pprint_impl(self, indent, str_buffer):$/;" m class:Struct +_pprint_val adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _pprint_val(self, x, d):$/;" m class:LogFormatter +_PRCURVEPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^_PRCURVEPLUGINDATA = _descriptor.Descriptor($/;" v +_pre adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _pre(self, i, num, den, mag, k):$/;" m class:Dvi +_pre adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _pre(self, i, x, cs, ds):$/;" m class:Vf +_precise_dot adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _precise_dot(A, B):$/;" f +_precision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ _precision: float = 0$/;" v class:TestCase +_PRECISIONCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PRECISIONCONFIG = _descriptor.Descriptor($/;" v +_PRECISIONCONFIG_PRECISION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PRECISIONCONFIG_PRECISION = _descriptor.EnumDescriptor($/;" v +_precision_at_threshold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _precision_at_threshold(labels, predictions, weights, threshold, name=None):$/;" f +_precision_config adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _precision_config(precision):$/;" f +_PRECISION_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_PRECISION_DOC = """\\$/;" v +_precision_to_scale_tril adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^def _precision_to_scale_tril(P):$/;" f +_PREDICATE_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_PREDICATE_FIELDS = ('Requires-Dist', 'Obsoletes-Dist', 'Provides-Dist')$/;" v +_predicted_covariance_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _predicted_covariance_op(self, activations, num_values):$/;" m class:ARModel +_predicted_mean_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _predicted_mean_op(self, activations):$/;" m class:ARModel +_PREDICTIONLOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_PREDICTIONLOG = _descriptor.Descriptor($/;" v +_predictions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ _predictions = None$/;" v class:_SupervisedOutput +_PREDICTIONSERVICE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^_PREDICTIONSERVICE = _descriptor.ServiceDescriptor($/;" v +_predictions_mean adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _predictions_mean(predictions, weights=None, name=None):$/;" f +_PREDICTLOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_PREDICTLOG = _descriptor.Descriptor($/;" v +_PREDICTORCONSTS adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^_PREDICTORCONSTS = _descriptor.Descriptor($/;" v +_PREDICTREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_PREDICTREQUEST = _descriptor.Descriptor($/;" v +_PREDICTREQUEST_INPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_PREDICTREQUEST_INPUTSENTRY = _descriptor.Descriptor($/;" v +_PREDICTRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_PREDICTRESPONSE = _descriptor.Descriptor($/;" v +_PREDICTRESPONSE_OUTPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_PREDICTRESPONSE_OUTPUTSENTRY = _descriptor.Descriptor($/;" v +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _predict_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _predict_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _predict_input_fn():$/;" f member:BaseLinearRegressorPredictTest.testTwoFeatureColumnsMix file: +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _predict_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _predict_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_predict_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _predict_input_fn():$/;" f member:BaseLinearRegressorPredictTest.testTwoFeatureColumnsMix file: +_predict_net adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_test.py /^ def _predict_net(self):$/;" m class:TestPredictor +_predict_one_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def _predict_one_key(self, input_fn, predict_key):$/;" m class:KMeansClustering +_predict_on_tpu_system adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _predict_on_tpu_system(ctx, model_fn_wrapper, dequeue_fn):$/;" f +_predict_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _predict_ops(self, features):$/;" m class:TimeSeriesRegressionHead +_PREDICT_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^_PREDICT_SERVING_KEY = 'predict'$/;" v +_predict_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def _predict_step_fn(inputs):$/;" f function:experimental_tpu_predict_loop file: +_predict_with_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def _predict_with_model(self, distribution, model, predict_dataset):$/;" m class:TestSavedModelBase +_pred_bcast_select adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _pred_bcast_select(c, pred, x, y, x_y_aval: core.AbstractValue):$/;" f +_PREEMPTION_ERRORS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^_PREEMPTION_ERRORS = (errors.AbortedError, errors.UnavailableError)$/;" v +_preemption_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _preemption_handler(self):$/;" m class:WorkerPreemptionHandler +_prefilter_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_prefilter_doc = ($/;" v +_Prefix adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^class _Prefix:$/;" c +_PREFIX adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_PREFIX = os.path.normpath(sys.prefix)$/;" v +_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def _prefix(self):$/;" m class:CheckpointManager +_PrefixWithDot adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^def _PrefixWithDot(name):$/;" f +_prefix_from_ip_int adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _prefix_from_ip_int(cls, ip_int):$/;" m class:_IPAddressBase +_prefix_from_ip_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _prefix_from_ip_string(cls, ip_str):$/;" m class:_IPAddressBase +_prefix_from_prefix_string adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _prefix_from_prefix_string(cls, prefixlen_str):$/;" m class:_IPAddressBase +_prefix_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _prefix_key(self, key, output_name):$/;" m class:_SupervisedOutput +_prefix_names adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^def _prefix_names(src):$/;" f +_prefix_output_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _prefix_output_keys(self, output_dict, output_name):$/;" m class:_SupervisedOutput +_prefix_regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^_prefix_regex = re.compile(r"^([0-9]+)((?:a|b|c|rc)[0-9]+)$")$/;" v +_prefix_regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^_prefix_regex = re.compile(r"^([0-9]+)((?:a|b|c|rc)[0-9]+)$")$/;" v +_prefix_regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^_prefix_regex = re.compile(r"^([0-9]+)((?:a|b|c|rc)[0-9]+)$")$/;" v +_prefix_to_checkpoint_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^def _prefix_to_checkpoint_path(prefix, format_version):$/;" f +_preload_simple_restoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _preload_simple_restoration(self, name):$/;" m class:_DelegatingTrackableMixin +_preload_simple_restoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _preload_simple_restoration(self, name):$/;" m class:Trackable +_premultiplied_argb32_to_unmultiplied_rgba8888 adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _premultiplied_argb32_to_unmultiplied_rgba8888(buf):$/;" f +_prepad_for_spline_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^def _prepad_for_spline_filter(input, mode, cval):$/;" f +_prepare adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _prepare(self, request, timeout, metadata, wait_for_ready, compression):$/;" m class:_UnaryUnaryMultiCallable +_prepare adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _prepare(self):$/;" m class:_InstallRequirementBackedCandidate +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def _prepare(self, var_list):$/;" m class:Nadam +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _prepare(self, var_list):$/;" m class:OptimizerV2 +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _prepare(f, xs_dtypes, xs_shapes):$/;" f +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _prepare(self):$/;" m class:AdadeltaOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _prepare(self):$/;" m class:AdagradOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _prepare(self):$/;" m class:AdagradDAOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _prepare(self):$/;" m class:AdamOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _prepare(self):$/;" m class:FtrlOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def _prepare(self):$/;" m class:GradientDescentOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _prepare(self):$/;" m class:MomentumOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _prepare(self):$/;" m class:Optimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _prepare(self):$/;" m class:ProximalAdagradOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def _prepare(self):$/;" m class:ProximalGradientDescentOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _prepare(self):$/;" m class:RMSPropOptimizer +_prepare adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _prepare(self, model, qconfig_dict, inplace, is_dynamic_quant):$/;" m class:Quantizer +_PreparedData adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def _PreparedData(self, order_by=()):$/;" m class:DataTable +_prepare_abstract_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _prepare_abstract_distribution(self):$/;" m class:EditableCandidate +_prepare_abstract_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _prepare_abstract_distribution(self):$/;" m class:LinkCandidate +_prepare_abstract_distribution adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def _prepare_abstract_distribution(self):$/;" m class:_InstallRequirementBackedCandidate +_prepare_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ _prepare_args = None$/;" v class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +_prepare_attention adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/rnn_cell_test.py /^def _prepare_attention(t, n, dim_in, encoder_dim,$/;" f +_prepare_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _prepare_axes(axes, arg_name):$/;" f +_prepare_blob_copy_op adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _prepare_blob_copy_op(from_name, to_name):$/;" f +_prepare_bounds adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _prepare_bounds(bounds, x0):$/;" f +_prepare_caffe2 adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_convert_np.py /^def _prepare_caffe2(x):$/;" f +_prepare_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def _prepare_conn(self, conn):$/;" m class:HTTPConnection +_prepare_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _prepare_conn(self, conn):$/;" m class:HTTPSConnectionPool +_prepare_conn adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def _prepare_conn(self, conn):$/;" m class:HTTPConnection +_prepare_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _prepare_conn(self, conn):$/;" m class:HTTPSConnectionPool +_prepare_dir adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^def _prepare_dir(path):$/;" f +_prepare_download adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^def _prepare_download($/;" f +_prepare_dummy_data adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _prepare_dummy_data(self, local_bs):$/;" m class:DistributedTest._DistTestBase +_prepare_feed_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _prepare_feed_values(model, inputs, targets, sample_weights, mode):$/;" f +_prepare_feed_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _prepare_feed_values(model, inputs, targets, sample_weights, mode):$/;" f +_prepare_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def _prepare_fx(graph_module, qconfig_dict, inplace, is_dynamic_quant):$/;" f +_prepare_gradient_do_op adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _prepare_gradient_do_op($/;" f +_prepare_gradient_if_op adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _prepare_gradient_if_op($/;" f +_prepare_gradient_while_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/control_ops_grad.py /^def _prepare_gradient_while_ops($/;" f +_prepare_gru_unit_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gru_test.py /^def _prepare_gru_unit_op(gc, n, d, outputs_with_grads,$/;" f +_prepare_host_call_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _prepare_host_call_fn(self, processed_t_fetches, op_fetches):$/;" m class:TensorTracer +_prepare_index_for_memoryview adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^def _prepare_index_for_memoryview(i, j, x=None):$/;" f +_prepare_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _prepare_indices(self, i, j):$/;" m class:_cs_matrix +_prepare_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def _prepare_jit(model, qconfig_dict, inplace=False, quant_type=QuantType.STATIC):$/;" f +_prepare_key_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _prepare_key_counter(self, shape):$/;" m class:Generator +_prepare_key_plus adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/signature.py /^def _prepare_key_plus(alg, keystr):$/;" f +_prepare_ldflags adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _prepare_ldflags(extra_ldflags, with_cuda, verbose):$/;" f +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Adadelta +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Adagrad +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Adam +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:NonFusedAdam +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Adamax +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Ftrl +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:SGD +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:Nadam +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:OptimizerV2 +_prepare_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def _prepare_local(self, var_device, var_dtype, apply_state):$/;" m class:RMSprop +_prepare_np_fun_name_and_fun adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _prepare_np_fun_name_and_fun(np_fun_name, np_fun):$/;" f +_prepare_onnx_paddings adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _prepare_onnx_paddings(g, dim, pad):$/;" f +_prepare_onnx_paddings adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _prepare_onnx_paddings(dim, pad):$/;" f +_prepare_output adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output(self, model, states):$/;" m class:AttentionCell +_prepare_output adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output(self, model, states):$/;" m class:DropoutCell +_prepare_output adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output(self, model, states):$/;" m class:MultiRNNCell +_prepare_output adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output(self, model, states):$/;" m class:RNNCell +_prepare_output_masks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _prepare_output_masks(self):$/;" m class:Model +_prepare_output_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output_sequence(self, model, states):$/;" m class:MultiRNNCell +_prepare_output_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output_sequence(self, model, state_outputs):$/;" m class:AttentionCell +_prepare_output_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output_sequence(self, model, state_outputs):$/;" m class:DropoutCell +_prepare_output_sequence adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _prepare_output_sequence(self, model, state_outputs):$/;" m class:RNNCell +_prepare_points adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _prepare_points(self):$/;" m class:Collection +_prepare_proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _prepare_proxy(self, conn):$/;" m class:HTTPConnectionPool +_prepare_proxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _prepare_proxy(self, conn):$/;" m class:HTTPSConnectionPool +_prepare_proxy adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _prepare_proxy(self, conn):$/;" m class:HTTPConnectionPool +_prepare_proxy adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _prepare_proxy(self, conn):$/;" m class:HTTPSConnectionPool +_prepare_pytorch adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_convert_np.py /^def _prepare_pytorch(x):$/;" f +_prepare_replacement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def _prepare_replacement(self, replaced, key):$/;" m class:ReplaceTransformer +_prepare_rnn adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn/rnn_cell_test_util.py /^def _prepare_rnn($/;" f +_prepare_rpc adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _prepare_rpc(self):$/;" m class:StreamStreamCall +_prepare_run_watch_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _prepare_run_watch_config(self, fetches, feed_dict):$/;" m class:NonInteractiveDebugWrapperSession +_prepare_sample_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _prepare_sample_weights(self, sample_weights=None):$/;" m class:Model +_prepare_scalar_function adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^def _prepare_scalar_function(fun, x0, jac=None, args=(), bounds=None,$/;" f +_prepare_skip_target_masks adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _prepare_skip_target_masks(self):$/;" m class:Model +_prepare_threads adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _prepare_threads(self):$/;" m class:SimpleScrapingLocator +_prepare_total_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _prepare_total_loss(self, masks):$/;" m class:Model +_prepare_validation_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _prepare_validation_data(self, validation_data, batch_size,$/;" m class:Model +_prepare_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def _prepare_value(self, val):$/;" m class:FileIO +_prepare_video adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_utils.py /^def _prepare_video(V):$/;" f +_prepare_x adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _prepare_x(self, x):$/;" m class:_Interpolator1D +_PrependNets adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _PrependNets(nets_or_steps, *nets):$/;" f +_prepend_dim_to_aval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _prepend_dim_to_aval(sz, aval):$/;" f +_prepend_none_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_ops.py /^def _prepend_none_dimension(features):$/;" f +_preprocess adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _preprocess(self, x, skew):$/;" m class:pearson3_gen +_preprocess adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/config.py /^ def _preprocess(self, body, headers, include_dirs, lang):$/;" m class:config +_preprocess adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _preprocess(self, inputs):$/;" m class:TextVectorization +_preprocess_conv1d_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _preprocess_conv1d_input(x, data_format):$/;" f +_preprocess_conv2d_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _preprocess_conv2d_input(x, data_format, force_transpose=False):$/;" f +_preprocess_conv3d_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _preprocess_conv3d_input(x, data_format):$/;" f +_preprocess_data adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _preprocess_data(func=None, *, replace_names=None, label_namer=None):$/;" f +_preprocess_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _preprocess_grad(grad, body_graph_output, while_op_input, while_op_output):$/;" f +_preprocess_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def _preprocess_input(self, inp):$/;" m class:CategoryCrossing +_preprocess_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def _preprocess_inputs(self, inputs):$/;" m class:Hashing +_preprocess_math adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _preprocess_math(self, s):$/;" m class:Text +_preprocess_numpy_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def _preprocess_numpy_input(x, data_format, mode):$/;" f +_preprocess_op_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _preprocess_op_time(self, op_time):$/;" m class:Timeline +_preprocess_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _preprocess_padding(padding):$/;" f +_preprocess_single_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def _preprocess_single_input(self, inp):$/;" m class:Hashing +_preprocess_symbolic_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/imagenet_utils.py /^def _preprocess_symbolic_input(x, data_format, mode):$/;" f +_preprocess_traced_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _preprocess_traced_tensor(self, tensor):$/;" m class:TensorTracer +_prep_cli_for_run_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _prep_cli_for_run_start(self):$/;" m class:LocalCLIDebugWrapperSession +_prep_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _prep_compile(self, sources, output_dir, depends=None):$/;" m class:CCompiler +_prep_debug_cli_for_run_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _prep_debug_cli_for_run_end(self,$/;" m class:LocalCLIDebugWrapperSession +_prep_meta adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/meta.py /^def _prep_meta(hooks, env, dest):$/;" f +_prep_profile_cli_for_run_end adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _prep_profile_cli_for_run_end(self, py_graph, run_metadata):$/;" m class:LocalCLIDebugWrapperSession +_preread_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def _preread_check(self):$/;" m class:FileIO +_preserve_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _preserve_control_flow_context(tensor):$/;" f +_preserve_environment adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def _preserve_environment( names ):$/;" f +_presolve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _presolve(lp, rr, rr_method, tol=1e-9):$/;" f +_press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _press(self, event):$/;" m class:ToolPan +_press adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _press(self, event):$/;" m class:ToolZoom +_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _press(self, event):$/;" m class:LassoSelector +_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _press(self, event):$/;" m class:PolygonSelector +_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _press(self, event):$/;" m class:RectangleSelector +_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _press(self, event):$/;" m class:SpanSelector +_press adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _press(self, event):$/;" m class:_SelectorWidget +_prettifyETree adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def _prettifyETree(self, elem):$/;" m class:PrettifyTreeprocessor +_pretty_format_positional adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^ def _pretty_format_positional(positional):$/;" f function:recreate_function.restored_function_body file: +_pretty_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _pretty_print(data_item, summarize):$/;" f +_PreventGradientGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _PreventGradientGrad(op, _):$/;" f +_prewrite_check adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def _prewrite_check(self):$/;" m class:FileIO +_pre_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _pre_draw(self, framedata, blit):$/;" m class:Animation +_pre_draw adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _pre_draw(self, framedata, blit):$/;" m class:ArtistAnimation +_PRGn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PRGn_data = ($/;" v +_primal_tangent_shapes_match adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def _primal_tangent_shapes_match(primal, tangent):$/;" f +_primary adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _primary(self):$/;" m class:DistributedValues +_PRIMITIVETYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PRIMITIVETYPE = _descriptor.EnumDescriptor($/;" v +_Print adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Print(self, t):$/;" m class:Unparser +_print adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ _print = print_$/;" v +_print adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ _print = print_$/;" v +_print adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ _print = print_$/;" v +_print adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ _print = print_$/;" v +_print adpepsenv/lib/python3.8/site-packages/six.py /^ _print = print_$/;" v +_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _print(self, s):$/;" m class:PrettyPrinter +_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def _print(input, data, message="", first_n=-1, summarize=3, name=None):$/;" f +_print adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ _print = print_$/;" v +_PRINTED_WARNING adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^_PRINTED_WARNING = {}$/;" v +_Printer adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^class _Printer(object):$/;" c +_Printer adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^class _Printer(object):$/;" c +_PrintFieldName adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _PrintFieldName(self, field):$/;" m class:_Printer +_PrintGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/logging_ops.py /^def _PrintGrad(op, *grad):$/;" f +_PrintMessageFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _PrintMessageFieldValue(self, value):$/;" m class:_Printer +_printresmat adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _printresmat(function, interval, resmat):$/;" f +_PrintShortRepeatedPrimitivesValue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _PrintShortRepeatedPrimitivesValue(self, field, value):$/;" m class:_Printer +_PrintUnknownFields adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _PrintUnknownFields(self, unknown_fields):$/;" m class:_Printer +_print_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _print_args(arguments, argument_type='Argument', indent=0):$/;" f +_print_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _print_cache():$/;" f function:TensorTracer._generate_flush_cache_op._flush_fun._f file: +_PRINT_DEPRECATION_WARNINGS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^_PRINT_DEPRECATION_WARNINGS = True$/;" v +_print_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _print_dict(title, data):$/;" f +_print_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_logging_ops.py /^def _print_eager_fallback(input, data, message, first_n, summarize, name, ctx):$/;" f +_print_feed_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _print_feed_handler(self, args, screen_info=None):$/;" m class:LocalCLIDebugWrapperSession +_print_figure adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _print_figure($/;" m class:FigureCanvasPS +_print_figure_tex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _print_figure_tex($/;" m class:FigureCanvasPS +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/activations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/densenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/efficientnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/imagenet_utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/inception_resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/inception_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/nasnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet50/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/vgg16/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/vgg19/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/xception/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/backend/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/callbacks/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/callbacks/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/boston_housing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/cifar10/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/cifar100/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/fashion_mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/imdb/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/reuters/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/experimental/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/models/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/optimizers/schedules/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/optimizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/sequence/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/text/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/regularizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/wrappers/scikit_learn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/wrappers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/keras/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/benchmarks_test_base.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/activations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/densenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/efficientnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/imagenet_utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/nasnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet50/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg16/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg19/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/xception/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/backend/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/callbacks/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/boston_housing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar10/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar100/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/fashion_mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/imdb/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/reuters/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/models/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/schedules/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/sequence/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/text/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/regularizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/scikit_learn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/activations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/densenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/efficientnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/imagenet_utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/nasnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet50/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg16/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg19/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/xception/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/backend/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/callbacks/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/boston_housing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar10/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar100/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/fashion_mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/imdb/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/reuters/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/models/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/schedules/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/sequence/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/text/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/regularizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/scikit_learn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/activations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/densenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/efficientnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/imagenet_utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/inception_resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/inception_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet_v3/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/nasnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet50/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/vgg16/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/vgg19/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/xception/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/backend/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/callbacks/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/callbacks/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/boston_housing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/cifar10/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/cifar100/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/fashion_mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/imdb/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/mnist/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/reuters/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/experimental/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/models/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/optimizers/schedules/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/optimizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/sequence/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/text/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/regularizers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/wrappers/scikit_learn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/wrappers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/audio/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autodiff/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autograph/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autograph/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/bitwise/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/app/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/audio/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/bitwise/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/threading/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/service/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/debugging/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/debugging/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/cluster_resolver/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distributions/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/dtypes/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/errors/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/feature_column/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/graph_util/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/layers/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/layers/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/constants/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/experimental/nn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/logging/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/losses/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/manip/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/special/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/metrics/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mlir/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mlir/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nest/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/rnn_cell/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/profiler/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/python_io/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/quantization/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/queue/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/ragged/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/raw_ops/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/resource_loader/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/builder/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/constants/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/loader/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/main_op/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/signature_constants/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/signature_def_utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/tag_constants/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/utils/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/signal/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/spectral/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/summary/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sysconfig/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/test/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/embedding/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/queue_runner/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/types/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/types/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/user_ops/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/version/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/xla/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/xla/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/audio/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autodiff/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autograph/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autograph/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/bitwise/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/threading/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/service/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/cluster_resolver/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/coordinator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/partitioners/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/dtypes/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/errors/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/dlpack/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/numpy/random/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/numpy/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/tensorrt/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/feature_column/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/graph_util/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lite/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lite/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lookup/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lookup/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/special/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mlir/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mlir/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nest/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/client/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/server/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/quantization/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/queue/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/ragged/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/raw_ops/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/saved_model/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/saved_model/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/signal/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/summary/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/summary/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sysconfig/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/test/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/experimental/embedding/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/train/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/train/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/types/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/types/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/version/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/xla/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/xla/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/decorator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/combinations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/multi_process_runner/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/test/combinations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/test/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/tracking/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/threading/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/service/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/cluster_resolver/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/coordinator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/partitioners/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/dtypes/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/errors/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/dlpack/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/numpy/random/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/numpy/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/tensorrt/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/feature_column/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/graph_util/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lite/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lite/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lookup/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lookup/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/special/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mixed_precision/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mixed_precision/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mlir/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mlir/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nest/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/client/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/server/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/quantization/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/queue/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/ragged/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/raw_ops/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/saved_model/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/saved_model/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/signal/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/summary/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/summary/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sysconfig/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/test/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/experimental/embedding/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/train/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/train/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/types/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/types/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/version/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/xla/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/xla/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/decorator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/combinations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/multi_process_runner/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/test/combinations/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/test/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/tracking/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/export/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/inputs/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/v1.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/export/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/v2.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/export/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/inputs/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/v1.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/experimental/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/export/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/v2.py /^from __future__ import print_function as _print_function$/;" x +_print_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/__init__.py /^from __future__ import print_function as _print_function$/;" x +_print_image adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _print_image(self, filename, filetype, *, quality=None):$/;" m class:FigureCanvasWx +_print_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/test_onnxifi.py /^def _print_net(net):$/;" f +_print_net adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^def _print_net(net):$/;" f +_print_on_nosuchfile adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/rebuild.py /^def _print_on_nosuchfile(e):$/;" f +_print_pdf_to_fh adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_pdf_to_fh(self, fh, *args, metadata=None, **kwargs):$/;" m class:FigureCanvasPgf +_print_pgf_clip adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_pgf_clip(self, gc):$/;" m class:RendererPgf +_print_pgf_path adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_pgf_path(self, gc, path, transform, rgbFace=None):$/;" m class:RendererPgf +_print_pgf_path_styles adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_pgf_path_styles(self, gc, rgbFace):$/;" m class:RendererPgf +_print_pgf_to_fh adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_pgf_to_fh(self, fh, *,$/;" m class:FigureCanvasPgf +_print_png_to_fh adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _print_png_to_fh(self, fh, *args, **kwargs):$/;" m class:FigureCanvasPgf +_print_ps adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def _print_ps($/;" m class:FigureCanvasPS +_print_status adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def _print_status(self, tag, test):$/;" m class:TextTestResult +_print_svg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _print_svg(self, filename, fh, *, dpi=72, bbox_inches_restore=None,$/;" m class:FigureCanvasSVG +_print_tap_func adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _print_tap_func($/;" f +_print_task_output adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def _print_task_output(x):$/;" f +_print_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _print_tensor(tensor_name, num_elements, tensor, output_tensor):$/;" f member:TensorTracer._make_tensor_trace_fun file: +_print_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _print_tensor_info(tensor_info, indent=0):$/;" f +_print_testcase_details adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def _print_testcase_details(self, stream):$/;" m class:_TestCaseResult +_print_train_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _print_train_info(num_samples_or_steps, val_samples_or_steps, is_dataset):$/;" f +_print_tree adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def _print_tree(self):$/;" m class:TrapezoidMapTriFinder +_print_width adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _print_width = 100$/;" v class:MaskedArray +_print_width_1d adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _print_width_1d = 1500$/;" v class:MaskedArray +_print_xml_element_header adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^def _print_xml_element_header(element, attributes, stream, indentation=''):$/;" f +_PriorityItem adpepsenv/lib/python3.8/site-packages/markdown/util.py /^_PriorityItem = namedtuple('PriorityItem', ['name', 'priority'])$/;" v +_prism_blue adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _prism_blue(x): return -1.1 * np.sin((x * 20.9) * np.pi)$/;" f +_prism_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_prism_data = {'red': _prism_red, 'green': _prism_green, 'blue': _prism_blue}$/;" v +_prism_green adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _prism_green(x): return 0.75 * np.sin((x * 20.9 - 0.25) * np.pi) + 0.33$/;" f +_prism_red adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^def _prism_red(x): return 0.75 * np.sin((x * 20.9 + 0.25) * np.pi) + 0.67$/;" f +_PrivateThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _PrivateThreadPoolDataset(UnaryUnchangedStructureDataset):$/;" c +_private_networks adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _private_networks = [$/;" v class:_IPv4Constants +_private_networks adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _private_networks = [$/;" v class:_IPv6Constants +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _prob(self, x):$/;" m class:Beta +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _prob(self, x):$/;" m class:Dirichlet +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _prob(self, counts):$/;" m class:DirichletMultinomial +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _prob(self, value):$/;" m class:Distribution +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _prob(self, x):$/;" m class:Laplace +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _prob(self, y):$/;" m class:TransformedDistribution +_prob adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _prob(self, x):$/;" m class:Uniform +_process adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _process(op_queue, seen_ops):$/;" f function:_collect_resource_inputs file: +_Process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class _Process(multi_process_lib.Process):$/;" c +_ProcessAudio adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessAudio(self, tag, wall_time, step, audio):$/;" m class:EventAccumulator +_processed_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _processed_labels(self, logits, labels):$/;" m class:BinaryClassHead +_processed_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def _processed_labels(self, logits, labels):$/;" m class:MultiClassHead +_processed_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def _processed_labels(self, logits, labels):$/;" m class:MultiLabelHead +_processed_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def _processed_labels(self, logits, labels):$/;" m class:RegressionHead +_ProcessEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessEvent(self, event):$/;" m class:EventAccumulator +_ProcessEvent adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _ProcessEvent(self, event):$/;" m class:EventAccumulator +_ProcessGraphDefParam adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ProcessGraphDefParam(graph_def):$/;" f +_ProcessHistogram adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessHistogram(self, tag, wall_time, step, histo):$/;" m class:EventAccumulator +_ProcessImage adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessImage(self, tag, wall_time, step, image):$/;" m class:EventAccumulator +_processing_files adpepsenv/lib/python3.8/site-packages/numpy/distutils/ccompiler.py /^_processing_files = set()$/;" v +_ProcessInputMapParam adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ProcessInputMapParam(input_map):$/;" f +_ProcessNewOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ProcessNewOps(graph):$/;" f +_ProcessOutputTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _ProcessOutputTensor(self, val):$/;" m class:CondContext +_ProcessReturnElementsParam adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _ProcessReturnElementsParam(return_elements):$/;" f +_ProcessScalar adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessScalar(self, tag, wall_time, step, scalar):$/;" m class:EventAccumulator +_ProcessStatusInfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^_ProcessStatusInfo = collections.namedtuple($/;" v +_ProcessTensor adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _ProcessTensor(self, tag, wall_time, step, tensor):$/;" m class:EventAccumulator +_ProcessTensor adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _ProcessTensor(self, tag, wall_time, step, tensor):$/;" m class:EventAccumulator +_process_annotation adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _process_annotation(self, node):$/;" m class:ActivityAnalyzer +_process_args adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_args(self, *args, **kwargs):$/;" m class:ContourSet +_process_args adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_args(self, *args, corner_mask=None, **kwargs):$/;" m class:QuadContourSet +_process_args adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^ def _process_args(self, *args, **kwargs):$/;" m class:TriContourSet +_process_asset adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _process_asset(trackable_asset, asset_info, resource_map):$/;" f +_process_axis_index adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _process_axis_index(self, frame):$/;" f +_process_basic_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _process_basic_statement(self, node):$/;" m class:AstToCfg +_process_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def _process_batch(self, inputs, initial_state):$/;" m class:CuDNNGRU +_process_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def _process_batch(self, inputs, initial_state):$/;" m class:CuDNNLSTM +_process_blob_sequence_points adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _process_blob_sequence_points(self, blob_sequences, experiment_id):$/;" m class:TensorBoardExporter +_process_block_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _process_block_node(self, node, block, scope_name):$/;" m class:ActivityAnalyzer +_process_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def _process_body(self, nodes, break_var):$/;" m class:BreakTransformer +_process_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_body(self, inputs_stacked, new_indices, cond_stacked,$/;" m class:WhileV2 +_process_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_body(self, pfor_input, inputs_stacked, new_indices, cond_stacked,$/;" m class:WhileOp +_process_closure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _process_closure(self, closure):$/;" m class:Worker +_process_colors adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_colors(self):$/;" m class:ContourSet +_process_comprehension adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _process_comprehension(self,$/;" m class:ActivityAnalyzer +_process_cond_stacked adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_cond_stacked(self, conditions, indices, inputs, inputs_stacked,$/;" m class:WhileOp +_process_cond_stacked adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_cond_stacked(self, conditions, indices, inputs, inputs_stacked,$/;" m class:WhileV2 +_process_cond_unstacked adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_cond_unstacked(self, conditions, indices, inputs, output_tas):$/;" m class:WhileOp +_process_cond_unstacked adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _process_cond_unstacked(self, conditions, indices, inputs, output_tas):$/;" m class:WhileV2 +_process_continue_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _process_continue_statement(self, node, *loops_to_nodes_of_type):$/;" m class:AstToCfg +_process_contour_level_args adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_contour_level_args(self, args):$/;" m class:ContourSet +_process_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _process_data(self, data):$/;" m class:_MultiProcessingDataLoaderIter +_process_datum adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def _process_datum(self, datum):$/;" m class:PrCurvesPlugin +_process_debug_graph_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _process_debug_graph_node(self, node):$/;" m class:DebugGraph +_process_debug_op_state_changes adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def _process_debug_op_state_changes(self, event_reply=None):$/;" m class:EventListenerBaseServicer +_process_dense_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def _process_dense_tensor(self, column, tensor):$/;" m class:_BaseFeaturesLayer +_process_deriv_spec adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^def _process_deriv_spec(deriv):$/;" f +_process_download adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _process_download(self, url):$/;" m class:SimpleScrapingLocator +_process_encoded_graph_def_in_chunks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def _process_encoded_graph_def_in_chunks(self,$/;" m class:EventListenerBaseServicer +_process_event_and_continue adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _process_event_and_continue(state, event):$/;" f +_process_exit_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _process_exit_statement($/;" m class:AstToCfg +_process_exogenous_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _process_exogenous_features(self, times, features):$/;" m class:ARModel +_process_exogenous_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _process_exogenous_features(self, times, features):$/;" m class:TimeSeriesModel +_process_function_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _process_function_def(self, node, is_lambda):$/;" m class:AstToCfg +_process_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def _process_graph_def(self, graph_def):$/;" m class:EventListenerBaseServicer +_process_group_construct_rpc_backend_options_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _process_group_construct_rpc_backend_options_handler($/;" f +_process_group_init_backend_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _process_group_init_backend_handler($/;" f +_process_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _process_inputs(self, inputs, initial_state, constants):$/;" m class:RNN +_process_input_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def _process_input_list(self, inputs):$/;" m class:Hashing +_process_keys adpepsenv/lib/python3.8/site-packages/cycler.py /^def _process_keys(left, right):$/;" f +_process_levels adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_levels(self):$/;" m class:ContourSet +_process_linestyles adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_linestyles(self):$/;" m class:ContourSet +_process_linewidths adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def _process_linewidths(self):$/;" m class:ContourSet +_process_list_of_strings adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def _process_list_of_strings(self, names):$/;" m class:SymbolRenamer +_process_name_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def _process_name_node(self, node):$/;" m class:SymbolRenamer +_process_op_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _process_op_fetches(self, op_fetches):$/;" m class:TensorTracer +_process_parallel_blocks adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _process_parallel_blocks(self, parent, children):$/;" m class:ActivityAnalyzer +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(m, n):$/;" f member:multivariate_hypergeom_frozen.__init__ file: +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(n, p):$/;" f member:multinomial_frozen.__init__ file: +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, df, scale):$/;" m class:wishart_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, dim):$/;" m class:ortho_group_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, dim):$/;" m class:special_ortho_group_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, dim):$/;" m class:unitary_group_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, dim, mean, cov):$/;" m class:multivariate_normal_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, eigs, tol):$/;" m class:random_correlation_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, loc, shape, df):$/;" m class:multivariate_t_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, m, n):$/;" m class:multivariate_hypergeom_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, mean, rowcov, colcov):$/;" m class:matrix_normal_gen +_process_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_parameters(self, n, p):$/;" m class:multinomial_gen +_process_plot_format adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^def _process_plot_format(fmt):$/;" f +_process_plot_var_args adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^class _process_plot_var_args:$/;" c +_process_projection_requirements adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _process_projection_requirements($/;" m class:Figure +_process_pruning_options adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _process_pruning_options(self, pruning_options):$/;" m class:AdagradOptimizer +_process_python_options adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _process_python_options(self, python_options):$/;" m class:Channel +_process_pytree adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def _process_pytree(process_node, tree):$/;" f +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, x, dim):$/;" m class:multivariate_normal_gen +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, x, dim):$/;" m class:multivariate_t_gen +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, x, dim):$/;" m class:wishart_gen +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, X, dims):$/;" m class:matrix_normal_gen +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, x, M, m, n):$/;" m class:multivariate_hypergeom_gen +_process_quantiles adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_quantiles(self, x, n, p):$/;" m class:multinomial_gen +_process_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _process_queue(self):$/;" m class:Worker +_process_radius adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _process_radius(self, radius):$/;" m class:Patch +_process_range_request adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def _process_range_request(self, environ, complete_length=None, accept_ranges=None):$/;" m class:ETagResponseMixin +_process_scalar_points adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _process_scalar_points(self, points):$/;" m class:TensorBoardExporter +_process_single_assignment adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/slices.py /^ def _process_single_assignment(self, target, value):$/;" m class:SliceTransformer +_process_single_axis adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _process_single_axis(data, axis, unit_name, kwargs):$/;" f member:_AxesBase._process_unit_info file: +_process_single_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_eager_v1.py /^def _process_single_batch(model,$/;" f +_process_single_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def _process_single_input(self, inputs):$/;" m class:Hashing +_process_single_input_t adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _process_single_input_t(input_t):$/;" f function:rnn file: +_process_size adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _process_size(self, size):$/;" m class:wishart_gen +_process_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^def _process_slice(sl, num):$/;" f +_process_stack_frames adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _process_stack_frames(self):$/;" m class:_DumpingCallback +_process_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _process_statement(self, node):$/;" m class:ActivityAnalyzer +_process_statement_directive adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def _process_statement_directive(self, call_node, directive):$/;" m class:DirectivesTransformer +_process_swa_options adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _process_swa_options(self, swa_options):$/;" m class:AdagradOptimizer +_process_switch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def _process_switch(self, switch_op, ops_which_must_run,$/;" m class:AutomaticControlDependencies +_process_symbol_directive adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def _process_symbol_directive(self, call_node, directive):$/;" m class:DirectivesTransformer +_process_target_tensor_for_compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _process_target_tensor_for_compile(self, target_tensors):$/;" m class:Model +_process_tensorlike adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _process_tensorlike(inputs):$/;" f +_process_tensor_event_in_chunks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def _process_tensor_event_in_chunks(self, event, tensor_chunks):$/;" m class:EventListenerBaseServicer +_process_tensor_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _process_tensor_fetches(self, tensor_fetches):$/;" m class:TensorTracer +_process_tensor_points adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _process_tensor_points(self, points, experiment_id):$/;" m class:TensorBoardExporter +_process_toarray_args adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _process_toarray_args(self, order, out):$/;" m class:spmatrix +_process_unit_info adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _process_unit_info(self, xdata=None, ydata=None, kwargs=None):$/;" m class:_AxesBase +_process_unit_info adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _process_unit_info(self, xdata=None, ydata=None, zdata=None,$/;" m class:Axes3D +_process_unlinkable_fobjects adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_ext.py /^ def _process_unlinkable_fobjects(self, objects, libraries,$/;" m class:build_ext +_process_v1_graph_mode_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _process_v1_graph_mode_tensor(self,$/;" m class:_DumpingCallback +_process_values adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _process_values(self, b=None):$/;" m class:ColorbarBase +_process_values adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _process_values(self, b=None):$/;" m class:ColorbarBase +_process_watchdog adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _process_watchdog(self):$/;" m class:MultiProcessRunner +_process_window adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _process_window(self, features, mode, exogenous_regressors):$/;" m class:ARModel +_process_xmap_default adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _process_xmap_default(self, call_primitive, f, tracers, params):$/;" f +_proces_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def _proces_function(self, node):$/;" m class:TreeAnnotator +_ProcFunc adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^class _ProcFunc(object):$/;" c +_proc_builtin adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_builtin(self, tarfile):$/;" m class:TarInfo +_proc_gnulong adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_gnulong(self, tarfile):$/;" m class:TarInfo +_proc_gnusparse_00 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_gnusparse_00(self, next, pax_headers, buf):$/;" m class:TarInfo +_proc_gnusparse_01 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_gnusparse_01(self, next, pax_headers):$/;" m class:TarInfo +_proc_gnusparse_10 adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_gnusparse_10(self, next, pax_headers, tarfile):$/;" m class:TarInfo +_proc_member adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_member(self, tarfile):$/;" m class:TarInfo +_proc_pax adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_pax(self, tarfile):$/;" m class:TarInfo +_proc_sparse adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _proc_sparse(self, tarfile):$/;" m class:TarInfo +_prod adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^from jax._src.util import (partial, unzip2, prod as _prod, subvals, safe_zip,$/;" x +_prod adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _prod(a):$/;" f +_prod adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _prod(a, axis=None, dtype=None, out=None, keepdims=False,$/;" f +_prod adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^from scipy._lib._util import prod as _prod$/;" x +_prod adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def _prod(seq):$/;" f +_prod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _prod(lst):$/;" f +_ProdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ProdGrad(op, grad):$/;" f +_produce_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _produce_tensor(self, name, timestamp, tensors_pid, allocator, num_bytes):$/;" m class:Timeline +_product adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker.py /^def _product(t):$/;" f +_product adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _product(t):$/;" f +_ProductLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _ProductLinearOperator(LinearOperator):$/;" c +_prod_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _prod_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None,$/;" f +_prod_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _prod_vectorized(M1, M2):$/;" f +_PROFDAGPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_PROFDAGPROTO = _descriptor.Descriptor($/;" v +_PROFDAGPROTOS adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_PROFDAGPROTOS = _descriptor.Descriptor($/;" v +_PROFILE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_PROFILE = _descriptor.Descriptor($/;" v +_PROFILEANALYSIS adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_PROFILEANALYSIS = _descriptor.ServiceDescriptor($/;" v +_PROFILENODE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE = _descriptor.Descriptor($/;" v +_PROFILENODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE = _descriptor.Descriptor($/;" v +_PROFILENODE_ATTRSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_ATTRSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_ATTRSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_ATTRSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_EXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_EXECSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_EXECSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_EXECSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_INPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_INPUTSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_INPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_INPUTSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_INPUTSHAPESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_INPUTSHAPESENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_INPUTSHAPESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_INPUTSHAPESENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_OUTPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_OUTPUTSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_OUTPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_OUTPUTSENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_OUTPUTSHAPESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_OUTPUTSHAPESENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_OUTPUTSHAPESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_OUTPUTSHAPESENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_SRCOUTPUTINDEXENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILENODE_SRCOUTPUTINDEXENTRY = _descriptor.Descriptor($/;" v +_PROFILENODE_SRCOUTPUTINDEXENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILENODE_SRCOUTPUTINDEXENTRY = _descriptor.Descriptor($/;" v +_PROFILEOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^_PROFILEOPTIONS = _descriptor.Descriptor($/;" v +_PROFILEOPTIONS_DEVICETYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^_PROFILEOPTIONS_DEVICETYPE = _descriptor.EnumDescriptor($/;" v +_PROFILEPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILEPROTO = _descriptor.Descriptor($/;" v +_PROFILEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILEPROTO = _descriptor.Descriptor($/;" v +_PROFILEPROTO_IDTOSTRINGENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILEPROTO_IDTOSTRINGENTRY = _descriptor.Descriptor($/;" v +_PROFILEPROTO_IDTOSTRINGENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILEPROTO_IDTOSTRINGENTRY = _descriptor.Descriptor($/;" v +_PROFILEPROTO_NODESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_PROFILEPROTO_NODESENTRY = _descriptor.Descriptor($/;" v +_PROFILEPROTO_NODESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_PROFILEPROTO_NODESENTRY = _descriptor.Descriptor($/;" v +_profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^_profiler = None$/;" v +_profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^from tensorflow.python.eager import profiler as _profiler$/;" x +_profiler adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^_profiler = None$/;" v +_ProfileRedirectPlugin adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/profile_redirect/profile_redirect_plugin.py /^class _ProfileRedirectPlugin(base_plugin.TBPlugin):$/;" c +_PROFILEREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_PROFILEREQUEST = _descriptor.Descriptor($/;" v +_PROFILEREQUEST_TOOLOPTIONSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_PROFILEREQUEST_TOOLOPTIONSENTRY = _descriptor.Descriptor($/;" v +_PROFILERESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_PROFILERESPONSE = _descriptor.Descriptor($/;" v +_PROFILERSERVICE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_PROFILERSERVICE = _descriptor.ServiceDescriptor($/;" v +_PROFILERSERVICEMONITORRESULT adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^_PROFILERSERVICEMONITORRESULT = _descriptor.Descriptor($/;" v +_PROFILERSERVICEMONITORRESULT_RESPONSETYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^_PROFILERSERVICEMONITORRESULT_RESPONSETYPE = _descriptor.EnumDescriptor($/;" v +_profiler_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^_profiler_lock = threading.Lock()$/;" v +_profiler_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^_profiler_lock = threading.Lock()$/;" v +_profiler_test_with_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _profiler_test_with_rpc(self, rpc_exec_mode, func, args, use_record_function=False, dst=/;" m class:RpcTest +_PROFILESESSIONDATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_PROFILESESSIONDATAREQUEST = _descriptor.Descriptor($/;" v +_PROFILESESSIONDATAREQUEST_PARAMETERSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_PROFILESESSIONDATAREQUEST_PARAMETERSENTRY = _descriptor.Descriptor($/;" v +_PROFILESESSIONDATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_PROFILESESSIONDATARESPONSE = _descriptor.Descriptor($/;" v +_PROFILESESSIONINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_PROFILESESSIONINFO = _descriptor.Descriptor($/;" v +_PROFILETOOLDATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_PROFILETOOLDATA = _descriptor.Descriptor($/;" v +_PROFILETYPE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PROFILETYPE = _descriptor.EnumDescriptor($/;" v +_PROGRAMSHAPEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_PROGRAMSHAPEPROTO = _descriptor.Descriptor($/;" v +_PROJECTORCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^_PROJECTORCONFIG = _descriptor.Descriptor($/;" v +_PROJECTORCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^_PROJECTORCONFIG = _descriptor.Descriptor($/;" v +_PROJECT_BASE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ _PROJECT_BASE = os.path.dirname(_safe_realpath(sys.executable))$/;" v +_PROJECT_BASE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ _PROJECT_BASE = _safe_realpath(os.path.join(_PROJECT_BASE, pardir))$/;" v +_PROJECT_BASE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ _PROJECT_BASE = _safe_realpath(os.path.join(_PROJECT_BASE, pardir, pardir))$/;" v +_project_on_columns adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _project_on_columns(A, v):$/;" f +_proj_transform_vec adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def _proj_transform_vec(vec, M):$/;" f +_proj_transform_vec_clip adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def _proj_transform_vec_clip(vec, M):$/;" f +_promote_args adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _promote_args(fun_name, *args):$/;" f +_promote_args_inexact adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _promote_args_inexact(fun_name, *args):$/;" f +_promote_arg_dtypes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _promote_arg_dtypes(*args):$/;" f +_promote_aval_rank adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _promote_aval_rank(sz, aval):$/;" f +_promote_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _promote_dtype(*arrays):$/;" f +_promote_dtypes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _promote_dtypes(*args):$/;" f +_promote_dtypes_inexact adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _promote_dtypes_inexact(*args):$/;" f +_promote_dtype_binary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _promote_dtype_binary(t1, t2):$/;" f +_promote_inexact adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^def _promote_inexact(arr):$/;" f +_promote_lowest_energy adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _promote_lowest_energy(self):$/;" m class:DifferentialEvolutionSolver +_promote_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _promote_shapes(fun_name, *args):$/;" f +_promote_to_complex adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def _promote_to_complex(arg):$/;" f +_promote_to_real adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def _promote_to_real(arg):$/;" f +_promote_weak_typed_inputs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _promote_weak_typed_inputs(in_vals, in_avals, out_avals):$/;" f +_prompt_for_password adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def _prompt_for_password(self, netloc):$/;" m class:MultiDomainBasicAuth +_prompt_for_user_ack adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _prompt_for_user_ack(intent):$/;" f +_propagate_device_option adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _propagate_device_option(net):$/;" f +_propagate_device_option adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _propagate_device_option(net_def):$/;" f +_propagate_qconfig_helper adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def _propagate_qconfig_helper(module, qconfig_dict, allow_list=None,$/;" f +_property adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _property(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_PropertyName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _PropertyName(proto_field_name):$/;" f +_proportional_y adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _proportional_y(self):$/;" m class:ColorbarBase +_prop_aliases adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_prop_aliases = {$/;" v +_prop_validators adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_prop_validators = {$/;" v +_protected_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _protected_run(self):$/;" m class:TensorFlowTestCase._CheckedThread +_PROTO2_ERROR_TEMPLATE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^_PROTO2_ERROR_TEMPLATE = 'Protocol message %s has no non-repeated field "%s"'$/;" v +_PROTO3_ERROR_TEMPLATE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^_PROTO3_ERROR_TEMPLATE = \\$/;" v +_protobuf_value_to_string adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^def _protobuf_value_to_string(value):$/;" f +_protobuf_value_type adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^def _protobuf_value_type(value):$/;" f +_ProtocolMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _ProtocolMeta(abc.ABCMeta):$/;" c +_ProtocolMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _ProtocolMeta(GenericMeta):$/;" c +_protocol_to_min_max adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^_protocol_to_min_max = {$/;" v +_protocol_to_min_max adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^_protocol_to_min_max = {$/;" v +_ProtoListDuplicateKeyError adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graph_util.py /^class _ProtoListDuplicateKeyError(Exception):$/;" c +_ProtoMapGet adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_py_utils.py /^def _ProtoMapGet(field, key):$/;" f +_PROTOVERSION adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_PROTOVERSION = _descriptor.EnumDescriptor($/;" v +_proto_extension_modules_exist_in_build adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _proto_extension_modules_exist_in_build = False$/;" v +_proto_extension_modules_exist_in_build adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _proto_extension_modules_exist_in_build = True$/;" v +_proto_function_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_proto_function_registry = registry.Registry("proto functions")$/;" v +_proto_hook adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _proto_hook(other):$/;" f member:Protocol.__init_subclass__ file: +_proto_hook adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _proto_hook(other):$/;" f member:_ProtocolMeta.__init__ file: +_PROTO_VERSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/metadata.py /^_PROTO_VERSION = 0$/;" v +_PROTO_WHITELIST adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^_PROTO_WHITELIST = ['Callable', 'Awaitable',$/;" v +_provider_factories adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_provider_factories = {}$/;" v +_provider_factories adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_provider_factories = {}$/;" v +_provision_rx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^_provision_rx = None$/;" v +_ProximalAdagradHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _ProximalAdagradHandler(_OptimizerHandler):$/;" c +_PROXIMALADAGRADPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_PROXIMALADAGRADPARAMETERS = _descriptor.Descriptor($/;" v +_ProximalYogiHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _ProximalYogiHandler(_OptimizerHandler):$/;" c +_PROXIMALYOGIPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_PROXIMALYOGIPARAMETERS = _descriptor.Descriptor($/;" v +_ProxyError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^from pip._vendor.urllib3.exceptions import ProxyError as _ProxyError$/;" x +_ProxyError adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^from urllib3.exceptions import ProxyError as _ProxyError$/;" x +_proxy_config_fields adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^_proxy_config_fields = ("ssl_context", "use_forwarding_for_https")$/;" v +_proxy_placeholder adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def _proxy_placeholder(self, name: str) -> Proxy:$/;" m class:Tracer +_proxy_requires_url_absolute_form adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def _proxy_requires_url_absolute_form(self, parsed_url):$/;" m class:PoolManager +_proxy_writes_as_request_iterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _proxy_writes_as_request_iterator(self):$/;" m class:_InterceptedStreamRequestMixin +_PruneParametersForSharing adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _PruneParametersForSharing(model):$/;" f +_prune_and_unique_sparse_ids adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def _prune_and_unique_sparse_ids(self, id_weight_pair):$/;" m class:LinearSDCA +_prune_array adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^def _prune_array(array):$/;" f +_prune_control_edges_of_debug_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _prune_control_edges_of_debug_ops(self):$/;" m class:DebugGraph +_prune_empty_tags_and_runs adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def _prune_empty_tags_and_runs(request):$/;" f +_prune_invalid_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _prune_invalid_ids(sparse_ids, sparse_weights):$/;" f +_prune_invalid_ids adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def _prune_invalid_ids(sparse_ids, sparse_weights):$/;" f +_prune_invalid_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _prune_invalid_weights(sparse_ids, sparse_weights):$/;" f +_prune_invalid_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^def _prune_invalid_weights(sparse_ids, sparse_weights):$/;" f +_prune_nodes_from_input_and_recipient_maps adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _prune_nodes_from_input_and_recipient_maps(self, nodes_to_prune):$/;" m class:DebugGraph +_prune_non_control_edges_of_debug_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _prune_non_control_edges_of_debug_ops(self):$/;" m class:DebugGraph +_prune_receiver_tensors adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^def _prune_receiver_tensors(wrapped_function, receiver_tensors, outputs, name):$/;" f +_prune_removed_feed_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _prune_removed_feed_nodes(signature_def, graph_def):$/;" f +_prune_zeros adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _prune_zeros(ts):$/;" f +_prun_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _prun_fn(handle, feed_dict, fetch_list):$/;" f member:BaseSession._do_run file: +_pr_curve_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.pr_curve import summary as _pr_curve_summary$/;" x +_PR_ENV_TEMPLATE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_PR_ENV_TEMPLATE = "pr_{pr}"$/;" v +_PR_LIST adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_PR_LIST = ($/;" v +_PSD adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^class _PSD(object):$/;" c +_pseudo_inv22sym_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _pseudo_inv22sym_vectorized(M):$/;" f +_psi1_mod adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^def _psi1_mod(x):$/;" f +_PSResult adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _PSResult = namedtuple($/;" v class:MathtextBackendPs +_psum_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _psum_transpose_rule(cts, *args, axis_name, axis_index_groups):$/;" f +_ptp adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _ptp(a, axis=None, out=None, keepdims=False):$/;" f +_ptp adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _ptp(x):$/;" f +_ptp_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _ptp_dispatcher(a, axis=None, out=None, keepdims=None):$/;" f +_public_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _public_network = IPv4Network('100.64.0.0\/10')$/;" v class:_IPv4Constants +_PuBuGn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PuBuGn_data = ($/;" v +_PuBu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PuBu_data = ($/;" v +_pulls_fd adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ _pulls_fd = True$/;" v class:_BLPBaseDecoder +_pulls_fd adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ _pulls_fd = False$/;" v class:PyDecoder +_pulls_fd adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^ _pulls_fd = True$/;" v class:MspDecoder +_pulls_fd adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^ _pulls_fd = True$/;" v class:SGI16Decoder +_punctuation_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _punctuation_symbols = set(r', ; . ! \\ldotp \\cdotp'.split())$/;" v class:Parser +_punycode adpepsenv/lib/python3.8/site-packages/idna/core.py /^def _punycode(s):$/;" f +_punycode adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def _punycode(s):$/;" f +_PuOr_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PuOr_data = ($/;" v +_PuRd_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_PuRd_data = ($/;" v +_PurePath__fspath__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def _PurePath__fspath__(self):$/;" f +_pure_variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _pure_variable_scope(object): # pylint: disable=invalid-name$/;" c +_Purge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def _Purge(self, event, by_tags):$/;" m class:EventAccumulator +_Purge adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def _Purge(self, event, by_tags):$/;" m class:EventAccumulator +_PURGEDATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_PURGEDATAREQUEST = _descriptor.Descriptor($/;" v +_PURGEDATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_PURGEDATARESPONSE = _descriptor.Descriptor($/;" v +_PURGESTATS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_PURGESTATS = _descriptor.Descriptor($/;" v +_purge_tmp adpeps/utils/tlist.py /^ def _purge_tmp(self):$/;" m class:TList +_Purples_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Purples_data = ($/;" v +_push adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _push(self, _):$/;" m class:Dvi +_PushBackGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _PushBackGrad(op, dresult):$/;" f +_push_accumulator adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _push_accumulator(self):$/;" m class:ForwardAccumulator +_push_candidate adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _push_candidate(output, sizes, remaining, footprints, dim_ref_counts, k1, k2s, queue, push_a/;" f +_push_cm_exit adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _push_cm_exit(self, cm, cm_exit):$/;" m class:ExitStack +_push_control_dependencies_controller adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _push_control_dependencies_controller(self, controller):$/;" m class:Graph +_push_critical_section_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^def _push_critical_section_stack(signature):$/;" f +_push_new_state adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def _push_new_state(self):$/;" m class:Resolution +_push_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_push_per_thread_mode = distribution_strategy_context._push_per_thread_mode # pylint: disable=p/;" v +_push_per_thread_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^def _push_per_thread_mode(context):$/;" f +_push_range adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def _push_range(self, range_):$/;" m class:Scope +_push_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def _push_tape(self):$/;" m class:GradientTape +_push_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _push_writer(self, writer, step):$/;" m class:TensorBoard +_put adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^ def _put(self, item):$/;" m class:LifoQueue +_put adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^ def _put(self, item):$/;" m class:LifoQueue +_put_along_axis_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _put_along_axis_dispatcher(arr, indices, values, axis):$/;" f +_put_char adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _put_char(self, char):$/;" m class:Dvi +_put_char_real adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _put_char_real(self, char):$/;" m class:Dvi +_put_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _put_conn(self, conn):$/;" m class:HTTPConnectionPool +_put_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _put_conn(self, conn):$/;" m class:HTTPConnectionPool +_put_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _put_dispatcher(a, ind, v, mode=None):$/;" f +_put_rule adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _put_rule(self, a, b):$/;" m class:Dvi +_put_rule_real adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _put_rule_real(self, a, b):$/;" m class:Dvi +_pvals_to_results_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def _pvals_to_results_handler($/;" f function:omnistaging_disabler file: +_pvals_to_results_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def _pvals_to_results_handler(nrep, npart, partitions, out_pvals):$/;" f function:omnistaging_disabler file: +_pval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def _pval_to_result_handler(axis_size, nrep, npart, parts, pval, devices, backend):$/;" f function:omnistaging_disabler file: +_pval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def _pval_to_result_handler(npart, parts, pval):$/;" f function:omnistaging_disabler file: +_pval_to_result_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def _pval_to_result_handler(device, pval):$/;" f function:omnistaging_disabler file: +_pv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _pv_dispatcher(rate, nper, pmt, fv=None, when=None):$/;" f +_py2exe versioneer.py /^ from py2exe.build_exe import py2exe as _py2exe # py2$/;" x function:get_cmdclass file: +_py2exe versioneer.py /^ from py2exe.distutils_buildexe import py2exe as _py2exe # py3$/;" x function:get_cmdclass file: +_PY3 adpepsenv/lib/python3.8/site-packages/wheel/pkginfo.py /^ _PY3 = False$/;" v +_PY3 adpepsenv/lib/python3.8/site-packages/wheel/pkginfo.py /^ _PY3 = True$/;" v +_PyAccess32_2 adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccess32_2(PyAccess):$/;" c +_PyAccess32_3 adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccess32_3(PyAccess):$/;" c +_PyAccess32_4 adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccess32_4(PyAccess):$/;" c +_PyAccess8 adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccess8(PyAccess):$/;" c +_PyAccessF adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessF(PyAccess):$/;" c +_PyAccessI16_B adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessI16_B(PyAccess):$/;" c +_PyAccessI16_L adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessI16_L(PyAccess):$/;" c +_PyAccessI16_N adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessI16_N(PyAccess):$/;" c +_PyAccessI32_N adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessI32_N(PyAccess):$/;" c +_PyAccessI32_Swap adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^class _PyAccessI32_Swap(PyAccess):$/;" c +_PyFutureMeta adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ class _PyFutureMeta(type(torch._C.Future), GenericMeta): # type: ignore[misc]$/;" c +_PyFutureMeta adpepsenv/lib/python3.8/site-packages/torch/futures/__init__.py /^ class _PyFutureMeta(type(torch._C.Future), type(Generic)): # type: ignore[misc, no-redef]$/;" c +_pypy_interpreter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _pypy_interpreter():$/;" f +_pypy_interpreter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _pypy_interpreter():$/;" f +_pypy_tags adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _pypy_tags(py_version, interpreter, abi, platforms):$/;" f +_pypy_tags adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _pypy_tags(py_version, interpreter, abi, platforms):$/;" f +_PyRecordReaderIterator adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^class _PyRecordReaderIterator(object):$/;" c +_pytest_has_xdist adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^def _pytest_has_xdist():$/;" f +_pytest_image_comparison adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _pytest_image_comparison(baseline_images, extensions, tol,$/;" f +_PythonFnFactory adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^class _PythonFnFactory(object):$/;" c +_pythonize adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^def _pythonize(value):$/;" f +_PythonLoopChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^class _PythonLoopChecker(object):$/;" c +_PythonLoopChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^class _PythonLoopChecker(object):$/;" c +_PythonMemoryChecker adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^class _PythonMemoryChecker(object):$/;" c +_pythonpath adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _pythonpath():$/;" f +_PythonScalar adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^class _PythonScalar(ScalarShape): pass$/;" c +_PYTHON_BUILD adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_PYTHON_BUILD = is_python_build()$/;" v +_python_build adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^def _python_build():$/;" f +_PYTHON_CONSTANTS adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_PYTHON_CONSTANTS = {"None": None, "True": True, "False": False}$/;" v +_python_cu adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^_python_cu = torch._C.CompilationUnit()$/;" v +_python_deterministic_proto_serialization adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _python_deterministic_proto_serialization = False$/;" v +_python_deterministic_proto_serialization adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^ _python_deterministic_proto_serialization = True$/;" v +_python_eager_context_create_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_python_eager_context_create_counter = monitoring.Counter($/;" v +_python_jit adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _python_jit($/;" f +_python_properties_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/layer_serialization.py /^ def _python_properties_internal(self):$/;" m class:LayerSavedModelSaver +_python_properties_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/metric_serialization.py /^ def _python_properties_internal(self):$/;" m class:MetricSavedModelSaver +_python_properties_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/model_serialization.py /^ def _python_properties_internal(self):$/;" m class:ModelSavedModelSaver +_python_scalar_handler adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _python_scalar_handler(dtype, c, val, canonicalize_dtypes=True):$/;" f +_python_session_create_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^_python_session_create_counter = monitoring.Counter($/;" v +_PYTHON_TO_CPP_PROTO_TYPE_MAP adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _PYTHON_TO_CPP_PROTO_TYPE_MAP = {$/;" v class:FieldDescriptor +_PYTHON_TO_TF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_PYTHON_TO_TF = {float: float32, bool: bool}$/;" v +_PYTHON_TO_TF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_PYTHON_TO_TF = {$/;" v +_python_udf_with_backward_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _python_udf_with_backward_error(t1, t2):$/;" m class:DistAutogradTest +_PYTHON_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^_PYTHON_VERSION = sys.version_info[:2]$/;" v +_python_version_check adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^def _python_version_check():$/;" f +_pyval_empty_list_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _pyval_empty_list_depth(pyval):$/;" f +_pyval_field_major_to_node_major adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _pyval_field_major_to_node_major(keys, values, shape):$/;" f +_pyval_find_struct_keys_and_depth adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _pyval_find_struct_keys_and_depth(pyval, keys):$/;" f +_pyval_update_fields adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _pyval_update_fields(pyval, fields, depth):$/;" f +_pywrap_py_utils adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^_pywrap_py_utils = LazyLoader($/;" v +_pywrap_tensorflow adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python import pywrap_tensorflow as _pywrap_tensorflow$/;" x +_pywrap_tfcompile_import_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^ _pywrap_tfcompile_import_error = ImportError($/;" v +_py_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_abs(x):$/;" f +_py_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_all(iterable):$/;" f +_py_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_any(iterable):$/;" f +_py_assert_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/exceptions.py /^def _py_assert_stmt(expression1, expression2):$/;" f +_py_enumerate adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_enumerate(s, start=0):$/;" f +_py_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _py_equal(a, b):$/;" f +_py_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_filter(function, iterable):$/;" f +_py_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_float(x):$/;" f +_py_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _py_for_stmt(iter_, extra_test, body, get_state, set_state):$/;" f +_py_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _py_for_stmt(iter_, extra_test, body, get_state, set_state, init_vars):$/;" f +_py_funcs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^_py_funcs = FuncRegistry()$/;" v +_py_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _py_get_item(target, i):$/;" f +_py_if_exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/conditional_expressions.py /^def _py_if_exp(cond, if_true, if_false):$/;" f +_py_if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _py_if_stmt(cond, body, orelse):$/;" f +_py_if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _py_if_stmt(cond, body, orelse):$/;" f +_py_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_int(x, base):$/;" f +_py_interpreter_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _py_interpreter_range(py_version):$/;" f +_py_interpreter_range adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^def _py_interpreter_range(py_version):$/;" f +_py_interpreter_range adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^def _py_interpreter_range(py_version):$/;" f +_py_interpreter_range adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _py_interpreter_range(py_version):$/;" f +_py_lazy_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _py_lazy_and(cond, b):$/;" f +_py_lazy_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _py_lazy_or(cond, b):$/;" f +_py_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_len(s):$/;" f +_py_list_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _py_list_append(list_, x):$/;" f +_py_list_new adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _py_list_new(elements):$/;" f +_py_list_pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _py_list_pop(list_, i):$/;" f +_py_list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _py_list_stack(list_, opts):$/;" f +_py_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_map(fn, *iterables):$/;" f +_py_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _py_not(a):$/;" f +_py_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_print(*objects, **kwargs):$/;" f +_py_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_range(start_or_stop, stop, step):$/;" f +_py_rref_receiver adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def _py_rref_receiver(cls, rref_fork_data):$/;" m class:_InternalRPCPickler +_py_rref_reducer adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def _py_rref_reducer(self, py_rref):$/;" m class:_InternalRPCPickler +_py_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _py_set_item(target, i, x):$/;" f +_py_sorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_sorted(iterable, key, reverse):$/;" f +_PY_VERSION adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_PY_VERSION = '%s.%s.%s' % sys.version_info[:3]$/;" v +_py_version_re adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ _py_version_re = re.compile(r'-py([123]\\.?[0-9]?)$')$/;" v class:LinkEvaluator +_PY_VERSION_SHORT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_PY_VERSION_SHORT = '%s.%s' % sys.version_info[:2]$/;" v +_PY_VERSION_SHORT_NO_DOT adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_PY_VERSION_SHORT_NO_DOT = '%s%s' % sys.version_info[:2]$/;" v +_py_while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _py_while_stmt(test, body, get_state, set_state, opts):$/;" f +_py_while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _py_while_stmt(test, body, get_state, set_state, init_vars, opts):$/;" f +_py_zip adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _py_zip(*iterables):$/;" f +_q2f_storage adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _q2f_storage = {$/;" v class:HBMatrixType +_q2f_structure adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _q2f_structure = {$/;" v class:HBMatrixType +_q2f_type adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ _q2f_type = {$/;" v class:HBMatrixType +_qat_swap_modules adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _qat_swap_modules(self, root):$/;" m class:Quantizer +_QEngineProp adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^class _QEngineProp(object):$/;" c +_qr adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _qr(operand, full_matrices):$/;" f +_qr adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _qr(a, mode, pivoting):$/;" f +_QrGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _QrGrad(op, dq, dr):$/;" f +_QrGradSquareAndDeepMatrices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _QrGradSquareAndDeepMatrices(q, r, dq, dr):$/;" f function:_QrGrad file: +_QrOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_QrOutput = collections.namedtuple($/;" v +_qr_cpu_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _qr_cpu_gpu_translation_rule(geqrf_impl, orgqr_impl, c, operand,$/;" f +_qr_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _qr_dispatcher(a, mode=None):$/;" f +_qscheme adpepsenv/lib/python3.8/site-packages/torch/types.py /^_qscheme = torch.qscheme$/;" v +_qsize adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^ def _qsize(self, len=len):$/;" m class:LifoQueue +_qsize adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^ def _qsize(self, len=len):$/;" m class:LifoQueue +_QTENSORPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_QTENSORPROTO = _descriptor.Descriptor($/;" v +_quad adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def _quad(func,a,b,args,full_output,epsabs,epsrel,limit,points):$/;" f +_quadmin adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^def _quadmin(a, fa, fpa, b, fb):$/;" f +_quadmin adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def _quadmin(a, fa, fpa, b, fb):$/;" f +_quadratic adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _quadratic(B, x):$/;" f +_QuadraticModel adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^class _QuadraticModel(Model):$/;" c +_quadratic_assignment_2opt adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _quadratic_assignment_2opt(A, B, maximize=False, rng=None,$/;" f +_quadratic_assignment_faq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _quadratic_assignment_faq(A, B,$/;" f +_quadratic_coeff adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^def _quadratic_coeff(signal):$/;" f +_quadrature_gk adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _quadrature_gk(a, b, f, norm_func, x, w, v):$/;" f +_quadrature_gk15 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _quadrature_gk15(a, b, f, norm_func):$/;" f +_quadrature_gk21 adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _quadrature_gk21(a, b, f, norm_func):$/;" f +_quadrature_trapezoid adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _quadrature_trapezoid(x1, x2, f, norm_func):$/;" f +_quadruple adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^_quadruple = _ntuple(4)$/;" v +_quad_est adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _quad_est(data):$/;" f +_quad_fjb adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _quad_fjb(B, x):$/;" f +_quad_fjd adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _quad_fjd(B, x):$/;" f +_quad_weight adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^def _quad_weight(func,a,b,args,full_output,epsabs,epsrel,limlst,limit,maxp1,weight,wvar,wopts):$/;" f +_qualified_name adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _qualified_name(func: Callable[..., Any]) -> str:$/;" f +_qualified_name adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _qualified_name(obj):$/;" f +_quantile adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _quantile(a, q, axis, interpolation, keepdims, squash_nans):$/;" f +_quantile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _quantile(self, value):$/;" m class:Distribution +_quantile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _quantile(self, p):$/;" m class:Normal +_quantile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _quantile(self, value):$/;" m class:TransformedDistribution +_quantiles1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _quantiles1D(data,m,p):$/;" f function:mquantiles file: +_QUANTILE_ACCUMULATOR_RESOURCE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_QUANTILE_ACCUMULATOR_RESOURCE_NAME = 'QuantileAccumulator'$/;" v +_quantile_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _quantile_dispatcher(a, q, axis=None, out=None, overwrite_input=None,$/;" f +_quantile_is_valid adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _quantile_is_valid(q):$/;" f +_quantile_unchecked adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _quantile_unchecked(a, q, axis=None, out=None, overwrite_input=False,$/;" f +_quantile_ureduce_func adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _quantile_ureduce_func(a, q, axis=None, out=None, overwrite_input=False,$/;" f +_quantize adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^def _quantize(x, scale, zp):$/;" f +_quantize adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _quantize(x, scale, zero_point, qmin=None, qmax=None, dtype=np.uint8):$/;" f +_QuantizeAndDequantizeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _QuantizeAndDequantizeGrad(_, grad):$/;" f +_QuantizeAndDequantizeV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _QuantizeAndDequantizeV2Grad(_, grad):$/;" f +_QuantizeAndDequantizeV3Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _QuantizeAndDequantizeV3Grad(_, grad):$/;" f +_QuantizeAndDequantizeV4Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _QuantizeAndDequantizeV4Grad(op, grad):$/;" f +_QuantizeAndDequantizeV4GradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _QuantizeAndDequantizeV4GradGrad(op, grad):$/;" f +_QuantizeAndDequantizeV4GradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_QuantizeAndDequantizeV4GradOutput = collections.namedtuple($/;" v +_QuantizedAddOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_QuantizedAddOutput = collections.namedtuple($/;" v +_QuantizedAvgPoolOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedAvgPoolOutput = collections.namedtuple($/;" v +_QuantizedBatchNormWithGlobalNormalizationOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedBatchNormWithGlobalNormalizationOutput = collections.namedtuple($/;" v +_QuantizedBiasAddOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedBiasAddOutput = collections.namedtuple($/;" v +_QuantizedConcatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_QuantizedConcatOutput = collections.namedtuple($/;" v +_QuantizedConv2DAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DAndReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DAndReluOutput = collections.namedtuple($/;" v +_QuantizedConv2DAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DOutput = collections.namedtuple($/;" v +_QuantizedConv2DPerChannelOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DPerChannelOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasAndReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasAndReluOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasSignedSumAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasSignedSumAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasSumAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasSumAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedConv2DWithBiasSumAndReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedConv2DWithBiasSumAndReluOutput = collections.namedtuple($/;" v +_QuantizedDepthwiseConv2DOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedDepthwiseConv2DOutput = collections.namedtuple($/;" v +_QuantizedDepthwiseConv2DWithBiasAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedDepthwiseConv2DWithBiasAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedDepthwiseConv2DWithBiasAndReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedDepthwiseConv2DWithBiasAndReluOutput = collections.namedtuple($/;" v +_QuantizedDepthwiseConv2DWithBiasOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedDepthwiseConv2DWithBiasOutput = collections.namedtuple($/;" v +_QuantizedInstanceNormOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_QuantizedInstanceNormOutput = collections.namedtuple($/;" v +_QuantizedMatMulOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_QuantizedMatMulOutput = collections.namedtuple($/;" v +_QuantizedMatMulWithBiasAndReluAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedMatMulWithBiasAndReluAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedMatMulWithBiasAndReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedMatMulWithBiasAndReluOutput = collections.namedtuple($/;" v +_QuantizedMatMulWithBiasAndRequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedMatMulWithBiasAndRequantizeOutput = collections.namedtuple($/;" v +_QuantizedMatMulWithBiasOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedMatMulWithBiasOutput = collections.namedtuple($/;" v +_QuantizedMaxPoolOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedMaxPoolOutput = collections.namedtuple($/;" v +_QuantizedMulOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_QuantizedMulOutput = collections.namedtuple($/;" v +_QuantizeDownAndShrinkRangeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_QuantizeDownAndShrinkRangeOutput = collections.namedtuple($/;" v +_QuantizedRelu6Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedRelu6Output = collections.namedtuple($/;" v +_QuantizedReluOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedReluOutput = collections.namedtuple($/;" v +_QuantizedReluXOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_QuantizedReluXOutput = collections.namedtuple($/;" v +_QuantizedReshapeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_QuantizedReshapeOutput = collections.namedtuple($/;" v +_QuantizedResizeBilinearOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_QuantizedResizeBilinearOutput = collections.namedtuple($/;" v +_QUANTIZED_DTYPES_NO_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_QUANTIZED_DTYPES_NO_REF = frozenset([qint8, quint8, qint16, quint16, qint32])$/;" v +_QUANTIZED_DTYPES_NO_REF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_QUANTIZED_DTYPES_NO_REF = frozenset([qint8, quint8, qint16, quint16, qint32])$/;" v +_QUANTIZED_DTYPES_REF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_QUANTIZED_DTYPES_REF = frozenset($/;" v +_QUANTIZED_DTYPES_REF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_QUANTIZED_DTYPES_REF = frozenset($/;" v +_quantized_inference_types adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^_quantized_inference_types = [_types_pb2.QUANTIZED_UINT8, _types_pb2.INT8]$/;" v +_quantized_ops adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_quantized_ops = set()$/;" v +_QuantizeV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_QuantizeV2Output = collections.namedtuple($/;" v +_quantize_fx adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_fx.py /^def _quantize_fx(model, qconfig_dict, run_fn=None, run_args=None, inplace=False,$/;" f +_quantize_jit adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize_jit.py /^def _quantize_jit(model, qconfig_dict, run_fn=None, run_args=None, inplace=False, debug=False, q/;" f +_quantize_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.training import quantize_training as _quantize_training$/;" x +_quantize_vectorized adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^def _quantize_vectorized(x, ch_axis, scale, zp):$/;" f +_quantize_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/utils.py /^def _quantize_weight(float_wt, observer):$/;" f +_query_for_individual_image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _query_for_individual_image(self, run, tag, sample, index):$/;" m class:ImagesPlugin +_query_tpu_system_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^def _query_tpu_system_metadata(master_address, cluster_def=None,$/;" f +_queued_calls adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_queued_calls = [] # don't invoke these until initialization occurs$/;" v +_QueueReader adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^class _QueueReader(dataio.Reader):$/;" c +_QueueReader adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^class _QueueReader(Reader):$/;" c +_QUEUERUNNERDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^_QUEUERUNNERDEF = _descriptor.Descriptor($/;" v +_QueueWriter adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^class _QueueWriter(dataio.Writer):$/;" c +_QueueWriter adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^class _QueueWriter(Writer):$/;" c +_queue_to_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _queue_to_list(self, queue_to_convert):$/;" m class:MultiProcessRunner +_quiet_nanmean adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _quiet_nanmean(x):$/;" f +_quiet_nanstd adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _quiet_nanstd(x):$/;" f +_quiver_doc adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^_quiver_doc = """$/;" v +_quiver_units adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _quiver_units(self, args, kw):$/;" m class:Axes +_quote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib import quote as _quote$/;" x +_quote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib.parse import quote as _quote$/;" x +_quote adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from urllib import (urlretrieve, quote as _quote, unquote, url2pathname,$/;" x +_quoteAttributeLegacy adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^_quoteAttributeLegacy = re.compile("[" + _quoteAttributeSpecChars +$/;" v +_quoteAttributeLegacy adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^_quoteAttributeLegacy = re.compile("[" + _quoteAttributeSpecChars +$/;" v +_quoteAttributeSpec adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^_quoteAttributeSpec = re.compile("[" + _quoteAttributeSpecChars + "]")$/;" v +_quoteAttributeSpec adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^_quoteAttributeSpec = re.compile("[" + _quoteAttributeSpecChars + "]")$/;" v +_quoteAttributeSpecChars adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^_quoteAttributeSpecChars = "".join(spaceCharacters) + "\\"'=<>`"$/;" v +_quoteAttributeSpecChars adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^_quoteAttributeSpecChars = "".join(spaceCharacters) + "\\"'=<>`"$/;" v +_QUOTES adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_QUOTES = frozenset(("'", '"'))$/;" v +_QUOTES_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^_QUOTES_PATTERN = re.compile(r"(\\"[^\\"]*\\"|\\'[^\\']*\\')")$/;" v +_quote_arg adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def _quote_arg(arg):$/;" f +_quote_long_string adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _quote_long_string(s):$/;" f +_quote_re adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_quote_re = re.compile(br"[\\\\].")$/;" v +_qz adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _qz(A, B, output='real', lwork=None, sort=None, overwrite_a=False,$/;" f +_r2r adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^def _r2r(forward, transform, x, type=2, n=None, axis=-1, norm=None,$/;" f +_r2rn adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/realtransforms.py /^def _r2rn(forward, transform, x, type=2, s=None, axes=None, norm=None,$/;" f +_rademacher adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _rademacher(key, shape, dtype):$/;" f +_RaggedCountSparseOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^_RaggedCountSparseOutputOutput = collections.namedtuple($/;" v +_RaggedCrossOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^_RaggedCrossOutput = collections.namedtuple($/;" v +_RaggedGatherOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_array_ops.py /^_RaggedGatherOutput = collections.namedtuple($/;" v +_RaggedRangeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_math_ops.py /^_RaggedRangeOutput = collections.namedtuple($/;" v +_RaggedTensorFromVariantOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^_RaggedTensorFromVariantOutput = collections.namedtuple($/;" v +_RaggedTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _RaggedTensorStructure(dtype, shape, ragged_rank):$/;" f +_RaggedTensorToSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_ragged_conversion_ops.py /^_RaggedTensorToSparseOutput = collections.namedtuple($/;" v +_ragged_creation adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _ragged_creation(self, seq):$/;" m class:TestCreation +_ragged_dispatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^from tensorflow.python.ops.ragged import ragged_dispatch as _ragged_dispatch$/;" x +_RAGGED_DISPATCH_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_RAGGED_DISPATCH_OPS = [$/;" v +_ragged_dynamic_partition adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_dynamic_partition(data, partitions, num_partitions, name=None):$/;" f +_ragged_embedding_lookup_with_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def _ragged_embedding_lookup_with_reduce($/;" f +_ragged_expand_dims_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_expand_dims_v1(input, axis=None, name=None, dim=None): # pylint: disable=redefined-/;" f +_ragged_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_factory_ops.py /^ def _ragged_factory(values, row_splits):$/;" f function:constant_value file: +_ragged_gather_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _ragged_gather_grad(op, *grads):$/;" f +_ragged_gather_nd_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_gather_nd_v1(params, indices, name=None, batch_dims=0):$/;" f +_ragged_gather_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_gather_v1(params, indices, validate_indices=None, name=None,$/;" f +_ragged_getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _ragged_getitem(rt_input, key_list):$/;" f +_ragged_getitem_inner_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _ragged_getitem_inner_dimensions(rt_input, key_list):$/;" f +_ragged_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _ragged_lookup(self, inputs):$/;" m class:TableHandler +_ragged_nn_dropout_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_nn_dropout_v1(x, keep_prob=None, noise_shape=None, seed=None,$/;" f +_ragged_nn_dropout_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_nn_dropout_v2(x, rate, noise_shape=None, seed=None, name=None):$/;" f +_ragged_operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^from tensorflow.python.ops.ragged import ragged_operators as _ragged_operators$/;" x +_ragged_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python.ops.ragged import ragged_ops as _ragged_ops$/;" x +_ragged_op_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_op_signature(op, ragged_args, ragged_varargs=False):$/;" f +_RAGGED_REDUCE_ALL_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_ALL_EXAMPLE = """$/;" v +_RAGGED_REDUCE_ANY_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_ANY_EXAMPLE = """$/;" v +_RAGGED_REDUCE_DOCSTRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_DOCSTRING = """\\$/;" v +_RAGGED_REDUCE_MAX_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_MAX_EXAMPLE = """$/;" v +_RAGGED_REDUCE_MEAN_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_MEAN_EXAMPLE = """$/;" v +_RAGGED_REDUCE_MIN_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_MIN_EXAMPLE = """$/;" v +_RAGGED_REDUCE_PROD_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_PROD_EXAMPLE = """$/;" v +_RAGGED_REDUCE_SUM_EXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_REDUCE_SUM_EXAMPLE = """$/;" v +_ragged_segment_aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def _ragged_segment_aggregate(unsorted_segment_op,$/;" f +_RAGGED_SEGMENT_DOCSTRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^_RAGGED_SEGMENT_DOCSTRING = """\\$/;" v +_ragged_size_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_size_v1(input, name=None, out_type=dtypes.int32): # pylint: disable=redefined-built/;" f +_ragged_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _ragged_split(tensor, pieces):$/;" f +_ragged_squeeze_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^def _ragged_squeeze_v1(input, axis=None, name=None, squeeze_dims=None): # pylint: disable=redef/;" f +_ragged_stack_concat_axis_0 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _ragged_stack_concat_axis_0(rt_inputs, stack_values):$/;" f +_ragged_stack_concat_axis_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _ragged_stack_concat_axis_1(rt_inputs, stack_values):$/;" f +_ragged_stack_concat_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_concat_ops.py /^def _ragged_stack_concat_helper(rt_inputs, axis, stack_values):$/;" f +_ragged_tensor_from_variant_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def _ragged_tensor_from_variant_grad(op, *grads):$/;" f +_ragged_tensor_session_feed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _ragged_tensor_session_feed(feed_key, feed_val):$/;" f +_ragged_tensor_session_feed_for_partial_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _ragged_tensor_session_feed_for_partial_run(feed_key):$/;" f +_ragged_tensor_session_fetch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _ragged_tensor_session_fetch(rt):$/;" f +_ragged_tensor_to_sparse_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _ragged_tensor_to_sparse_gradient(op, unused_sparse_indices_grad,$/;" f +_ragged_tensor_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def _ragged_tensor_to_string(string_tensor, summarize):$/;" f +_ragged_tensor_to_tensor_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def _ragged_tensor_to_tensor_grad(op, grad):$/;" f +_ragged_tensor_to_variant_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def _ragged_tensor_to_variant_grad(op, encoded_ragged_grad):$/;" f +_ragged_tensor_value_from_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _ragged_tensor_value_from_components(components):$/;" f +_ragged_tile_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^def _ragged_tile_axis(rt_input, axis, repeats, row_splits_dtype):$/;" f +_ragged_type_to_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_map_ops.py /^def _ragged_type_to_spec(t):$/;" f +_rainbow_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_rainbow_data = {$/;" v +_Raise adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Raise(self, t):$/;" m class:Unparser +_raise adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def _raise(self, gots):$/;" m class:Hashes +_raise adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def _raise(self, gots):$/;" m class:MissingHashes +_raised_cosine_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/window_ops.py /^def _raised_cosine_window(name, default_name, window_length, periodic,$/;" f +_RaiseInvalidWireType adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _RaiseInvalidWireType(buffer, pos, end):$/;" f +_RaiseNoGradWrtInitialLoopValError adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _RaiseNoGradWrtInitialLoopValError(op, from_ops, xs_set):$/;" f +_raise_bad_format adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _raise_bad_format(format_tag):$/;" f +_raise_conflicts adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^ def _raise_conflicts(conflicting_with, conflicting_reqs):$/;" f member:SourceDistribution._setup_isolation file: +_raise_custom_vjp_error_on_jvp adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^def _raise_custom_vjp_error_on_jvp(*_, **__):$/;" f +_raise_degree adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def _raise_degree(c, d):$/;" m class:BPoly +_raise_error_for_incorrect_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _raise_error_for_incorrect_control_flow_context(self):$/;" m class:TPUEmbedding +_raise_error_for_inputs_not_on_cpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _raise_error_for_inputs_not_on_cpu(self, features):$/;" m class:TPUEmbedding +_raise_error_for_non_direct_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _raise_error_for_non_direct_inputs(self, features):$/;" m class:TPUEmbedding +_raise_exception_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^def _raise_exception_fn(_=None):$/;" f +_raise_for_different_style adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _raise_for_different_style(self, style: _APIStyle):$/;" m class:_StreamRequestMixin +_raise_for_invalid_entrypoint adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _raise_for_invalid_entrypoint(specification):$/;" f +_raise_for_status adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _raise_for_status(self) -> None:$/;" m class:Call +_raise_if_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _raise_if_error(self):$/;" m class:_CoordinatedClosureQueue +_raise_if_feeds_intersects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _raise_if_feeds_intersects(self, feeds1, feeds2, message):$/;" m class:_HookedSession +_raise_if_fused_cannot_be_used adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _raise_if_fused_cannot_be_used(self):$/;" m class:BatchNormalizationBase +_raise_if_remote_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _raise_if_remote_value(x):$/;" f function:_disallow_remote_value_as_input file: +_raise_if_strategy_unsupported adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _raise_if_strategy_unsupported(self):$/;" m class:LossScaleOptimizer +_raise_linalgerror_eigenvalues_nonconvergence adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _raise_linalgerror_eigenvalues_nonconvergence(err, flag):$/;" f +_raise_linalgerror_lstsq adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _raise_linalgerror_lstsq(err, flag):$/;" f +_raise_linalgerror_nonposdef adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _raise_linalgerror_nonposdef(err, flag):$/;" f +_raise_linalgerror_singular adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _raise_linalgerror_singular(err, flag):$/;" f +_raise_linalgerror_svd_nonconvergence adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _raise_linalgerror_svd_nonconvergence(err, flag):$/;" f +_raise_not_supported adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^def _raise_not_supported(name):$/;" f +_raise_no_convergence adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def _raise_no_convergence(self):$/;" m class:_ArpackParams +_raise_on_bad_method adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def _raise_on_bad_method(self, request):$/;" m class:BaseEndpoint +_raise_on_bad_post_request adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def _raise_on_bad_post_request(self, request):$/;" m class:BaseEndpoint +_raise_on_error adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _raise_on_error(self):$/;" m class:WrappedSocket +_raise_on_error adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _raise_on_error(self):$/;" m class:WrappedSocket +_raise_on_image_difference adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _raise_on_image_difference(expected, actual, tol):$/;" f +_raise_on_invalid_client adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def _raise_on_invalid_client(self, request):$/;" m class:BaseEndpoint +_raise_on_missing_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def _raise_on_missing_token(self, request):$/;" m class:BaseEndpoint +_raise_on_unsupported_token adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def _raise_on_unsupported_token(self, request):$/;" m class:BaseEndpoint +_raise_or_start_receive_message adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def _raise_or_start_receive_message(self):$/;" m class:_RequestIterator +_raise_power adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _raise_power(astr, wrap=70):$/;" f +_raise_pss_error_if_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _raise_pss_error_if_eager(self):$/;" m class:ParameterServerStrategyV1 +_raise_rpc_error adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _raise_rpc_error(state):$/;" f +_raise_serialization_error adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _raise_serialization_error(text): # pragma: no cover$/;" f +_raise_shared_embedding_column_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _raise_shared_embedding_column_error():$/;" f +_raise_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _raise_timeout(self, err, url, timeout_value):$/;" m class:HTTPConnectionPool +_raise_timeout adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _raise_timeout(self, err, url, timeout_value):$/;" m class:HTTPConnectionPool +_raise_version_warning adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^def _raise_version_warning():$/;" f +_rand1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _rand1(self, samples):$/;" m class:DifferentialEvolutionSolver +_rand2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _rand2(self, samples):$/;" m class:DifferentialEvolutionSolver +_randdm adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _randdm(pnts):$/;" f +_randint adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _randint(key, shape, minval, maxval, dtype):$/;" f +_random adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _random(self):$/;" m class:_InitializeClustersOpFactory +_RANDOMALGORITHM adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_RANDOMALGORITHM = _descriptor.EnumDescriptor($/;" v +_RANDOMDISTRIBUTION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_RANDOMDISTRIBUTION = _descriptor.EnumDescriptor($/;" v +_RandomGammaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_grad.py /^def _RandomGammaGrad(op, grad): # pylint: disable=invalid-name$/;" f +_RandomGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^class _RandomGenerator(stateful_random_ops.Generator):$/;" c +_RandomGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^class _RandomGenerator(object):$/;" c +_randomUniformAvoidAnchors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad_test_base.py /^ def _randomUniformAvoidAnchors(self, low, high, anchors, radius, num_samples):$/;" m class:CropAndResizeOpTestBase +_random_bits adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _random_bits(key, bit_width, shape):$/;" f +_random_data_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def _random_data_op(self, shape):$/;" m class:ConvolutionTest +_random_factors adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def _random_factors(self, p_max, q_max, h_dtype, x_dtype):$/;" m class:TestUpfirdn +_random_flip adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _random_flip(image, flip_index, random_func, scope_name):$/;" f +_random_hermitian_matrix adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^def _random_hermitian_matrix(n, posdef=False, dtype=float):$/;" f +_random_out_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/cudnn_deterministic_base.py /^ def _random_out_op(self, in_shape, filter_shape, strides, padding):$/;" m class:ConvolutionTest +_RANDOM_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^_RANDOM_SEED = 1337$/;" v +_RANDOM_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^_RANDOM_SEED = 1337$/;" v +_RANDOM_SEED adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^_RANDOM_SEED = 1337$/;" v +_random_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _random_tensor(self, shape, dtype):$/;" m class:BiasAddTestBase +_randtobest1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _randtobest1(self, samples):$/;" m class:DifferentialEvolutionSolver +_rand_bool adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_bool(object low, object high, object size, bint use_masked, bint closed, bitge/;" f +_rand_dtype adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _rand_dtype(rand, shape, dtype, scale=1., post=lambda x: x):$/;" f +_rand_int16 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_int16(object low, object high, object size, bint use_masked, bint closed, bitg/;" f +_rand_int32 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_int32(object low, object high, object size, bint use_masked, bint closed, bitg/;" f +_rand_int64 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_int64(object low, object high, object size, bint use_masked, bint closed, bitg/;" f +_rand_int8 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_int8(object low, object high, object size, bint use_masked, bint closed, bitge/;" f +_rand_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def _rand_shape(self, X_shape, max_length):$/;" m class:TestExpandOp +_rand_split adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _rand_split(arrays, weights, axis, split_per, seed=None):$/;" f +_rand_tensor_non_equal adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^def _rand_tensor_non_equal(*size):$/;" f +_rand_uint16 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_uint16(object low, object high, object size, bint use_masked, bint closed, bit/;" f +_rand_uint32 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_uint32(object low, object high, object size, bint use_masked, bint closed, bit/;" f +_rand_uint64 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_uint64(object low, object high, object size, bint use_masked, bint closed, bit/;" f +_rand_uint8 adpepsenv/lib/python3.8/site-packages/numpy/random/_bounded_integers.pxd /^cdef object _rand_uint8(object low, object high, object size, bint use_masked, bint closed, bitg/;" f +_range adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^_range = range$/;" v +_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ _range = range$/;" v +_range adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ _range = xrange # noqa: F821$/;" v +_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _range(start, limit, delta, name=None):$/;" f +_RangeFunc adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^class _RangeFunc(object):$/;" c +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x3040, 0x309f),]$/;" v class:pyparsing_unicode.Japanese.Hiragana +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x30a0, 0x30ff),]$/;" v class:pyparsing_unicode.Japanese.Katakana +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x4E00, 0x9Fbf), (0x3000, 0x303f),]$/;" v class:pyparsing_unicode.Japanese.Kanji +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [$/;" v class:pyparsing_unicode.Greek +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0020, 0x007e), (0x00a0, 0x00ff),]$/;" v class:pyparsing_unicode.Latin1 +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0100, 0x017f),]$/;" v class:pyparsing_unicode.LatinA +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0180, 0x024f),]$/;" v class:pyparsing_unicode.LatinB +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0400, 0x04ff)]$/;" v class:pyparsing_unicode.Cyrillic +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0590, 0x05ff),]$/;" v class:pyparsing_unicode.Hebrew +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0600, 0x061b), (0x061e, 0x06ff), (0x0700, 0x077f),]$/;" v class:pyparsing_unicode.Arabic +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0900, 0x097f), (0xa8e0, 0xa8ff)]$/;" v class:pyparsing_unicode.Devanagari +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x0e01, 0x0e3a), (0x0e3f, 0x0e5b),]$/;" v class:pyparsing_unicode.Thai +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0x4e00, 0x9fff), (0x3000, 0x303f),]$/;" v class:pyparsing_unicode.Chinese +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(0xac00, 0xd7af), (0x1100, 0x11ff), (0x3130, 0x318f), (0xa960, 0xa97f), (0xd7/;" v class:pyparsing_unicode.Korean +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = []$/;" v class:pyparsing_unicode.Japanese +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = [(32, sys.maxunicode)]$/;" v class:pyparsing_unicode +_ranges adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ranges = []$/;" v class:unicode_set +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x3040, 0x309f),]$/;" v class:pyparsing_unicode.Japanese.Hiragana +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x30a0, 0x30ff),]$/;" v class:pyparsing_unicode.Japanese.Katakana +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x4E00, 0x9Fbf), (0x3000, 0x303f),]$/;" v class:pyparsing_unicode.Japanese.Kanji +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [$/;" v class:pyparsing_unicode.Greek +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0020, 0x007e), (0x00a0, 0x00ff),]$/;" v class:pyparsing_unicode.Latin1 +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0100, 0x017f),]$/;" v class:pyparsing_unicode.LatinA +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0180, 0x024f),]$/;" v class:pyparsing_unicode.LatinB +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0400, 0x04ff)]$/;" v class:pyparsing_unicode.Cyrillic +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0590, 0x05ff),]$/;" v class:pyparsing_unicode.Hebrew +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0600, 0x061b), (0x061e, 0x06ff), (0x0700, 0x077f),]$/;" v class:pyparsing_unicode.Arabic +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0900, 0x097f), (0xa8e0, 0xa8ff)]$/;" v class:pyparsing_unicode.Devanagari +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x0e01, 0x0e3a), (0x0e3f, 0x0e5b),]$/;" v class:pyparsing_unicode.Thai +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0x4e00, 0x9fff), (0x3000, 0x303f),]$/;" v class:pyparsing_unicode.Chinese +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(0xac00, 0xd7af), (0x1100, 0x11ff), (0x3130, 0x318f), (0xa960, 0xa97f), (0xd7/;" v class:pyparsing_unicode.Korean +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = []$/;" v class:pyparsing_unicode.Japanese +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = [(32, sys.maxunicode)]$/;" v class:pyparsing_unicode +_ranges adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ranges = []$/;" v class:unicode_set +_RangeWrapper adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^class _RangeWrapper(object):$/;" c +_range_dimension_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _range_dimension_tensor(self, shape=None):$/;" m class:LinearOperator +_range_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _range_eager_fallback(start, limit, delta, name, ctx):$/;" f +_range_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^def _range_matrix(a, b):$/;" f +_range_prod adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^def _range_prod(lo, hi):$/;" f +_range_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _range_tensor_conversion_function(value, dtype=None, name=None,$/;" f +_range_validators adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_range_validators = { # Slightly nicer (internal) API.$/;" v +_rank adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_rank = lambda arr: len(arr.shape)$/;" f +_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _rank(self):$/;" m class:Tensor +_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _rank(self):$/;" m class:_EagerTensorBase +_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/embedding_ops.py /^ def _rank(x):$/;" f function:_clip file: +_rank1d adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _rank1d(data, use_missing=False):$/;" f function:rankdata file: +_rank_filter adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^def _rank_filter(input, rank, size=None, footprint=None, output=None,$/;" f +_rank_ignoring_leading_dims_with_size_1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_conversion_ops.py /^def _rank_ignoring_leading_dims_with_size_1(value):$/;" f +_rank_not_in_group adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _rank_not_in_group(group):$/;" f +_rank_promotion_warning_or_error adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _rank_promotion_warning_or_error(fun_name, shapes):$/;" f +_ran_and_passed adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def _ran_and_passed(self):$/;" m class:TestCase +_rate_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _rate_dispatcher(nper, pmt, pv, fv, when=None, guess=None, tol=None,$/;" f +_rational_tests adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^import numpy.core._rational_tests as _rational_tests$/;" I +_ratio_2_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_ratio_2_t = _scalar_or_tuple_2_t[float]$/;" v +_ratio_3_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_ratio_3_t = _scalar_or_tuple_3_t[float]$/;" v +_ratio_any_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_ratio_any_t = _scalar_or_tuple_any_t[float]$/;" v +_ravel_and_check_weights adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _ravel_and_check_weights(a, weights):$/;" f +_ravel_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _ravel_dispatcher(a, order=None):$/;" f +_ravel_list adpepsenv/lib/python3.8/site-packages/jax/flatten_util.py /^def _ravel_list(*lst):$/;" f +_RAW_DATA_TOOLS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^_RAW_DATA_TOOLS = frozenset($/;" v +_raw_fft adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _raw_fft(a, n, axis, is_real, is_forward, inv_norm):$/;" f +_raw_fftnd adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _raw_fftnd(a, s=None, axes=None, function=fft, norm=None):$/;" f +_raw_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _raw_ticks(self, vmin, vmax):$/;" m class:MaxNLocator +_rayleigh_fit_check_error adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _rayleigh_fit_check_error(ier, msg):$/;" f +_rbl adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^def _rbl(rate, per, pmt, pv, when):$/;" f +_rbracket adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _rbracket = "]"$/;" v class:URL +_rbracket adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ _rbracket = b"]"$/;" v class:BytesURL +_rc adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def _rc(self, a):$/;" m class:container +_rc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ _rc_extensions = ['.rc']$/;" v class:MSVCCompiler +_rc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ _rc_extensions = ['.rc']$/;" v class:MSVCCompiler +_rc_extensions adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ _rc_extensions = ['.rc']$/;" v class:MSVCCompiler +_rc_params_in_file adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _rc_params_in_file(fname, transform=lambda x: x, fail_on_error=False):$/;" f +_rc_test_bxp_helper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^def _rc_test_bxp_helper(ax, rc_dict):$/;" f +_rdat adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_nanfunctions.py /^_rdat = [np.array([0.6244, 0.2692, 0.0116, 0.1170]),$/;" v +_RdBu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_RdBu_data = ($/;" v +_RdGy_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_RdGy_data = ($/;" v +_RdPu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_RdPu_data = ($/;" v +_RdYlBu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_RdYlBu_data = ($/;" v +_RdYlGn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_RdYlGn_data = ($/;" v +_re adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^import re as _re$/;" I +_read adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _read(self) -> ResponseType:$/;" m class:_StreamResponseMixin +_read adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _read(self):$/;" m class:Dvi +_read adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _read(self):$/;" m class:Vf +_read adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def _read(self, file):$/;" m class:Type1Font +_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _read(self, size):$/;" m class:_Stream +_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _read(self, n):$/;" m class:Unpacker +_read adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read(self):$/;" m class:netcdf_file +_read adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _read(self, convert_event, index, downsample):$/;" m class:MultiplexerDataProvider +_read adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^ def _read(self, n):$/;" m class:PyRecordReader_New +_readAndCheckMetadataFile adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback_test_lib.py /^ def _readAndCheckMetadataFile(self):$/;" m class:DumpingCallbackTestBase +_reader adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def _reader(self, name, stream, outbuf):$/;" m class:PackageIndex +_READERBASESTATE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^_READERBASESTATE = _descriptor.Descriptor($/;" v +_ReaderReadOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^_ReaderReadOutput = collections.namedtuple($/;" v +_ReaderReadUpToOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^_ReaderReadUpToOutput = collections.namedtuple($/;" v +_ReaderReadUpToV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^_ReaderReadUpToV2Output = collections.namedtuple($/;" v +_ReaderReadV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_io_ops.py /^_ReaderReadV2Output = collections.namedtuple($/;" v +_READER_RELEASE_PER adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _READER_RELEASE_PER = 100$/;" v class:DebugEventsReader +_readFromBuffer adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def _readFromBuffer(self, bytes):$/;" m class:BufferedStream +_readFromBuffer adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def _readFromBuffer(self, bytes):$/;" m class:BufferedStream +_ReadGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _ReadGrad(_, grad):$/;" f +_readline_complete adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _readline_complete(self, text, state):$/;" m class:ReadlineUI +_readStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def _readStream(self, bytes):$/;" m class:BufferedStream +_readStream adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def _readStream(self, bytes):$/;" m class:BufferedStream +_ReadVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _ReadVariable(_Node):$/;" c +_ready adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^def _ready(op, sess, msg):$/;" f +_read_all_data adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _read_all_data(ws, reader, session):$/;" m class:TestDBFileReader +_read_array adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_array(f, typecode, array_desc):$/;" f +_read_arraydesc adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_arraydesc(f):$/;" f +_read_array_header adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _read_array_header(fp, version):$/;" f +_read_att_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_att_array(self):$/;" m class:netcdf_file +_read_att_values adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_att_values(self):$/;" m class:netcdf_file +_read_blp_header adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _read_blp_header(self):$/;" m class:BlpImageFile +_read_blp_header adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _read_blp_header(self):$/;" m class:_BLPBaseDecoder +_read_buffer_to_offset adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def _read_buffer_to_offset(self, new_buff_offset):$/;" m class:GFile +_read_byte adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_byte(f):$/;" f +_read_bytes adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _read_bytes(fp, size, error_template="ran out of data"):$/;" f +_read_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_bytes(f, n):$/;" f +_read_callback adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^def _read_callback(connection_id, data_buffer, data_length_pointer):$/;" f +_read_callback adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^def _read_callback(connection_id, data_buffer, data_length_pointer):$/;" f +_read_callback_pointer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^_read_callback_pointer = Security.SSLReadFunc(_read_callback)$/;" v +_read_callback_pointer adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^_read_callback_pointer = Security.SSLReadFunc(_read_callback)$/;" v +_read_config adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def _read_config(f):$/;" f function:_read_config_imp file: +_read_config_imp adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^def _read_config_imp(filenames, dirs=None):$/;" f +_read_csv adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_direct.py /^ def _read_csv(cls, filename):$/;" m class:Base +_read_data adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_data(f, dtype):$/;" f +_read_data_chunk adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _read_data_chunk(fid, format_tag, channels, bit_depth, is_big_endian,$/;" f +_read_dca_metadata_file adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def _read_dca_metadata_file(metadata_path):$/;" f +_read_dim_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_dim_array(self):$/;" m class:netcdf_file +_read_extern adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _read_extern(self):$/;" m class:PackageImporter +_read_field adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _read_field(name):$/;" f function:read_pkg_file file: +_read_field adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _read_field(name):$/;" f member:DistributionMetadata.read_pkg_file file: +_read_file adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def _read_file(filepath):$/;" m class:ConfigHandler +_read_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _read_file(filename):$/;" f +_read_file adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^def _read_file(filename: str) -> str:$/;" f +_read_file_to_string adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def _read_file_to_string(filename, binary_mode=False):$/;" f +_read_float32 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_float32(f):$/;" f +_read_float64 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_float64(f):$/;" f +_read_fmt_chunk adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _read_fmt_chunk(fid, is_big_endian):$/;" f +_read_gatt_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_gatt_array(self):$/;" m class:netcdf_file +_read_hb_data adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def _read_hb_data(content, header):$/;" f +_read_header adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _read_header(self, execute=EX_CONSTRUCT):$/;" m class:Unpacker +_read_int16 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_int16(f):$/;" f +_read_int32 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_int32(f):$/;" f +_read_int64 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_int64(f):$/;" f +_read_latest_config_files adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _read_latest_config_files(self, run_path_pairs):$/;" m class:ProjectorPlugin +_read_legacy_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _read_legacy_metadata(object_graph_def, metadata):$/;" f +_read_list adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _read_list(name):$/;" f function:read_pkg_file file: +_read_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _read_list(name):$/;" f member:DistributionMetadata.read_pkg_file file: +_read_long adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_long(f):$/;" f +_read_numrecs adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_numrecs(self):$/;" m class:netcdf_file +_READ_ONLY_VARIABLE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^_READ_ONLY_VARIABLE_OPS = ($/;" v +_read_palette adpepsenv/lib/python3.8/site-packages/PIL/BlpImagePlugin.py /^ def _read_palette(self):$/;" m class:_BLPBaseDecoder +_read_pypirc adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def _read_pypirc(self):$/;" m class:PyPIRCCommand +_read_pypi_response adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def _read_pypi_response(self, response):$/;" m class:PyPIRCCommand +_read_record adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_record(f):$/;" f +_read_riff_chunk adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _read_riff_chunk(fid):$/;" f +_read_size adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def _read_size(self, eof_ok=False):$/;" m class:FortranFile +_read_string adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_string(f):$/;" f +_read_string_data adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_string_data(f):$/;" f +_read_structdesc adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_structdesc(f):$/;" f +_read_structure adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_structure(f, array_desc, struct_desc):$/;" f +_read_tagdesc adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_tagdesc(f):$/;" f +_read_tensor_binary_file adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _read_tensor_binary_file(fpath, shape):$/;" f +_read_tensor_tsv_file adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _read_tensor_tsv_file(fpath):$/;" f +_read_typedesc adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_typedesc(f):$/;" f +_read_tzfile adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _read_tzfile(self, fileobj):$/;" m class:tzfile +_read_uint16 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_uint16(f):$/;" f +_read_uint32 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_uint32(f):$/;" f +_read_uint64 adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _read_uint64(f):$/;" f +_read_until adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def _read_until(self, terminator):$/;" m class:_Converter +_read_var adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_var(self):$/;" m class:netcdf_file +_read_variable_closure adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def _read_variable_closure(v):$/;" f member:ResourceVariableSaveable.__init__ file: +_read_variable_jvp adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^def _read_variable_jvp(attr_tuple, inputs, outputs, tangents):$/;" f +_read_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def _read_variable_op(self):$/;" m class:PackedDistributedVariable +_read_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def _read_variable_op(self):$/;" m class:TPUVariableMixin +_read_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _read_variable_op(self):$/;" m class:BaseResourceVariable +_read_variable_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _read_variable_op(self):$/;" m class:_UnreadVariable +_read_variable_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _read_variable_value(v):$/;" f +_read_var_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _read_var_array(self):$/;" m class:netcdf_file +_real adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _real(self):$/;" m class:spmatrix +_real adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _real(self):$/;" m class:_data_matrix +_Real adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Real(Constraint):$/;" c +_real2complex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^def _real2complex(x):$/;" f +_RealDivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RealDivGrad(op, grad):$/;" f +_RealGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RealGrad(_, grad):$/;" f +_realType adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _realType(t, default=double):$/;" f +_RealVector adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _RealVector(Constraint):$/;" c +_real_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _real_dispatcher(val):$/;" f +_real_div_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _real_div_flops(graph, node):$/;" f +_real_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^_real_dtype = lambda dtype: np.zeros((), dtype).real.dtype$/;" f +_real_if_close_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^def _real_if_close_dispatcher(a, tol=None):$/;" f +_real_mirrored_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _real_mirrored_creator(**kwargs): # pylint: disable=g-missing-docstring$/;" f member:MirroredExtended._create_variable file: +_real_mirrored_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _real_mirrored_creator(**kwargs): # pylint: disable=g-missing-docstring$/;" f member:TPUExtended._create_variable file: +_real_types_map adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^_real_types_map = {single : single,$/;" v +_REASON_CONTROLFLOW_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_CONTROLFLOW_OP = 'not-traced-control-flow-op'$/;" v +_REASON_DEVICE_MISMATCH adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_DEVICE_MISMATCH = 'not-traced-device-mismatch'$/;" v +_REASON_DYNAMIC_SHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_DYNAMIC_SHAPE = 'not-traced-dynamic-shape'$/;" v +_REASON_FEEDS_WHILELOOP_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_FEEDS_WHILELOOP_OP = 'not-traced-feeds-special-whileloop-op'$/;" v +_REASON_IN_CONTROL_FLOW adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_IN_CONTROL_FLOW = 'not-traced-in-control-flow'$/;" v +_REASON_LESS_INTERESTING_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_LESS_INTERESTING_OP = 'not-traced-less-interesting-op'$/;" v +_REASON_NON_NUMERIC_TENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_NON_NUMERIC_TENSOR = 'not-traced-non-numeric-tensor'$/;" v +_REASON_NOT_EXECUTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_NOT_EXECUTED = 'not-traced-not-in-exec-path'$/;" v +_REASON_OUTSIDE_OP_RANGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_OUTSIDE_OP_RANGE = 'not-traced-outside-op-range'$/;" v +_REASON_SCALAR_GET_TRACED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_SCALAR_GET_TRACED = 'traced-scalar'$/;" v +_REASON_SKIP_SCALAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_SKIP_SCALAR = 'not-traced-scalar'$/;" v +_REASON_TENSOR_GET_TRACED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_TENSOR_GET_TRACED = 'traced-tensor'$/;" v +_REASON_UNSAFE_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_UNSAFE_OP = 'not-traced-unsafe-op'$/;" v +_REASON_UNSAFE_SCALAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_UNSAFE_SCALAR = 'not-traced-unsafe-scalar'$/;" v +_REASON_USER_EXCLUDED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_USER_EXCLUDED = 'not-traced-user-excluded'$/;" v +_REASON_USER_INCLUDED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_USER_INCLUDED = 'traced-user-included'$/;" v +_REASON_WHILELOOP_OP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REASON_WHILELOOP_OP = 'not-traced-special-whileloop-op'$/;" v +_rebatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _rebatch(spec):$/;" f function:_rebatch_as_dynamic file: +_RebatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^class _RebatchDataset(dataset_ops.UnaryDataset):$/;" c +_rebatch_as_dynamic adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _rebatch_as_dynamic(per_replica_spec):$/;" f +_reBracketExpr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_reBracketExpr = Literal("[") + Optional("^").setResultsName("negate") + Group(OneOrMore(_charRa/;" v +_reBracketExpr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_reBracketExpr = Literal("[") + Optional("^").setResultsName("negate") + Group( OneOrMore( _char/;" v +_reBracketExpr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_reBracketExpr = Literal("[") + Optional("^").setResultsName("negate") + Group(OneOrMore(_charRa/;" v +_reBracketExpr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_reBracketExpr = Literal("[") + Optional("^").setResultsName("negate") + Group( OneOrMore( _char/;" v +_rebuild adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def _rebuild():$/;" f +_rebuild_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _rebuild_func(self, func):$/;" m class:TrtGraphConverterV2 +_rebuild_modifiers adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def _rebuild_modifiers(self):$/;" m class:ModifierContext +_rebuild_mod_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _rebuild_mod_path(orig_path, package_name, module):$/;" f +_rebuild_mod_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _rebuild_mod_path(orig_path, package_name, module):$/;" f +_rebuild_on adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _rebuild_on(self, worker):$/;" m class:RemoteValueImpl +_rebuild_parameter adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_parameter(data, requires_grad, backward_hooks):$/;" f +_rebuild_qtensor adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_qtensor(storage, storage_offset, size, stride, quantizer_params, requires_grad, bac/;" f +_rebuild_sparse_tensor adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_sparse_tensor(layout, data):$/;" f +_rebuild_tensor adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_tensor(storage, storage_offset, size, stride):$/;" f +_rebuild_tensor_v2 adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_tensor_v2(storage, storage_offset, size, stride, requires_grad, backward_hooks):$/;" f +_rebuild_xla_tensor adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _rebuild_xla_tensor(data, dtype, device, requires_grad):$/;" f +_recache adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _recache(self):$/;" m class:MarkerStyle +_recache adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_marker.py /^ def _recache(self):$/;" m class:UnsnappedMarkerStyle +_recall_at_threshold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _recall_at_threshold(labels, predictions, weights, threshold, name=None):$/;" f +_RECEIVER_FN_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^_RECEIVER_FN_NAME = '_input_receiver'$/;" v +_receive_close_on_server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _receive_close_on_server(state):$/;" f +_RECEIVE_CLOSE_ON_SERVER_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_RECEIVE_CLOSE_ON_SERVER_TOKEN = 'receive_close_on_server'$/;" v +_receive_message adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _receive_message(state, call, request_deserializer):$/;" f +_RECEIVE_MESSAGE_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_RECEIVE_MESSAGE_TOKEN = 'receive_message'$/;" v +_ReciprocalGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ReciprocalGrad(op, grad):$/;" f +_ReciprocalGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ReciprocalGradGrad(op, grad):$/;" f +_reciprocal_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _reciprocal_flops(graph, node):$/;" f +_recombine_skipped adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _recombine_skipped(self, tokens, skipped_idxs):$/;" m class:parser +_recommend_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_shared.py /^def _recommend_command(command, description, indent=2, create_link=False):$/;" f +_recompile_weights_loss_and_weighted_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _recompile_weights_loss_and_weighted_metrics(self):$/;" m class:Model +_recompute_path adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _recompute_path(self):$/;" m class:Wedge +_recompute_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _recompute_transform(self):$/;" m class:Ellipse +_recompute_transform adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def _recompute_transform(self):$/;" m class:Spine +_reconstruct adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _reconstruct(subtype, shape, dtype):$/;" f +_reconstruct adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _reconstruct(self, cpp_module):$/;" m class:RecursiveScriptModule +_reconstruct adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def _reconstruct(self, cpp_module):$/;" m class:TopLevelTracedModule +_reconstruct_all_models adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _reconstruct_all_models(self):$/;" m class:KerasObjectLoader +_reconstruct_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _reconstruct_model(self, model_id, model, layers):$/;" m class:KerasObjectLoader +_reconstruct_non_debug_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def _reconstruct_non_debug_graph_def(self):$/;" m class:DebugGraph +_reconstruct_operand adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def _reconstruct_operand(result, is_tf: bool):$/;" f function:Jax2TfLimitation.svd.custom_assert file: +_reconstruct_print_source_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _reconstruct_print_source_command(self,$/;" m class:DebugAnalyzer +_reconstruct_pval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _reconstruct_pval(pval1: PartialVal, const2: core.Value):$/;" f +_reconstruct_sequence_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _reconstruct_sequence_inputs(op_def, inputs, attrs):$/;" f +_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _record(self, f, r):$/;" m class:FixedLengthRecordDatasetTestBase +_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _record(self, f, r):$/;" m class:TFRecordDatasetTestBase +_record adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _record(self, f, r, l):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_RecordLastCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def _RecordLastCheckpoint(self, latest_save_path):$/;" m class:Saver +_RECORDREF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_RECORDREF = _descriptor.Descriptor($/;" v +_record_and_ignore_transient_ps_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _record_and_ignore_transient_ps_failure(self, e):$/;" m class:Cluster +_record_gradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _record_gradient(op_name, inputs, attrs, results):$/;" f +_record_op_seen_by_control_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _record_op_seen_by_control_dependencies(self, op):$/;" m class:Graph +_record_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def _record_state(self):$/;" m class:CheckpointManager +_record_to_fs_path adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^def _record_to_fs_path(record_path):$/;" f +_record_variable_scope_and_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^def _record_variable_scope_and_name(embedding_var_name,$/;" f +_RecoverableSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class _RecoverableSession(_WrappedSession):$/;" c +_recover_record_by_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _recover_record_by_prefix(names, prefix=''):$/;" f +_recover_shape_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _recover_shape_fn(data, value_structure):$/;" f +_recreate adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate(self, proto, node_id):$/;" m class:Loader +_RecreateLookupTables adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _RecreateLookupTables(self):$/;" m class:Net +_recreate_asset adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_asset(self, proto):$/;" m class:Loader +_recreate_bare_concrete_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_bare_concrete_function(self, proto):$/;" m class:Loader +_recreate_base_user_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_base_user_object(self, proto=None, node_id=None):$/;" m class:Loader +_recreate_cm adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _recreate_cm(self):$/;" m class:ContextDecorator +_recreate_cm adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _recreate_cm(self):$/;" m class:_GeneratorContextManager +_recreate_constant adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_constant(self, proto):$/;" m class:Loader +_recreate_conv_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _recreate_conv_op(self, inputs): # pylint: disable=unused-argument$/;" m class:Conv +_recreate_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_function(self, proto):$/;" m class:Loader +_recreate_layer_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def _recreate_layer_from_config(self, layer, go_backwards=False):$/;" m class:Bidirectional +_recreate_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_resource(self, proto):$/;" m class:Loader +_recreate_user_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_user_object(self, proto, node_id):$/;" m class:Loader +_recreate_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _recreate_variable(self, proto):$/;" m class:Loader +_RectifyInputOutput adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _RectifyInputOutput(blobs, net=None):$/;" f +_RectifyName adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def _RectifyName(blob_reference_or_name):$/;" f +_RectifyNames adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^def _RectifyNames(blob_references_or_names):$/;" f +_rectify_apply_inputs adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def _rectify_apply_inputs($/;" m class:RNNCell +_rectify_operator_and_name adpepsenv/lib/python3.8/site-packages/caffe2/python/net_drawer.py /^def _rectify_operator_and_name(operators_or_net, name):$/;" f +_rect_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _rect_bbox(self):$/;" m class:EllipseSelector +_rect_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _rect_bbox(self):$/;" m class:RectangleSelector +_recurse_add_to_result adpepsenv/lib/python3.8/site-packages/torch/cuda/memory.py /^ def _recurse_add_to_result(prefix, obj):$/;" f function:memory_stats file: +_recursive_apply adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _recursive_apply(tensors, apply_fn):$/;" f +_recursive_compile_class adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _recursive_compile_class(obj, loc):$/;" f +_recursive_filled adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _recursive_filled(a, mask, fill_value):$/;" f +_recursive_fill_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _recursive_fill_fields_dispatcher(input, output):$/;" f +_recursive_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _recursive_fill_value(dtype, f):$/;" f +_recursive_guard adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _recursive_guard(fillvalue='...'):$/;" f +_recursive_list adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/utils.py /^ def _recursive_list(subpath):$/;" f function:_iter_valid_files file: +_recursive_mask_or adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _recursive_mask_or(self, m1, m2, newmask):$/;" f function:mask_or file: +_recursive_or adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _recursive_or(a, b):$/;" f member:MaskedArray.__new__ file: +_recursive_printoption adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _recursive_printoption(result, mask, printopt):$/;" f +_recursive_rename_fields adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^ def _recursive_rename_fields(ndtype, namemapper):$/;" f function:rename_fields file: +_recursive_repr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def _recursive_repr(fillvalue='...'):$/;" f +_recursive_repr adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ from reprlib import recursive_repr as _recursive_repr$/;" x +_recursive_set_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _recursive_set_fill_value(fillvalue, dt):$/;" f +_RECVBUFRESPEXTRA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^_RECVBUFRESPEXTRA = _descriptor.Descriptor($/;" v +_rec_append_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _rec_append_fields_dispatcher(base, names, data, dtypes=None):$/;" f +_rec_drop_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _rec_drop_fields_dispatcher(base, drop_names):$/;" f +_rec_join_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _rec_join_dispatcher($/;" f +_redact_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def _redact_netloc(netloc):$/;" f +_RedirectStream adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^class _RedirectStream(object):$/;" c +_RedirectWSGIApp adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^class _RedirectWSGIApp(object):$/;" c +_redirect_to_index adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _redirect_to_index(self, unused_request):$/;" m class:CorePlugin +_redraw_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _redraw_output(self):$/;" m class:CursesUI +_Reds_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Reds_data = ($/;" v +_reduce adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^_reduce = functools.reduce$/;" v +_reduce adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce = functools.reduce$/;" v +_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _reduce(self, reduce_op, value):$/;" m class:StrategyExtendedV2 +_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _reduce(self, reduce_op, value):$/;" m class:ParameterServerStrategyV2Extended +_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _reduce(v):$/;" f function:reduce_per_replica file: +_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _reduce(tf_fn,$/;" f +_ReducedHCT_Element adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _ReducedHCT_Element:$/;" c +_reducer_from_pyfunc adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^def _reducer_from_pyfunc(py_binop, init_val):$/;" f +_reducer_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reducer_masking_rule(prim, identity, padded_vals, logical_shapes,$/;" f +_reduce_and adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_and(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_and_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_and_translation_rule = partial(_reduce_logical_translation_rule,$/;" v +_reduce_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_batch_rule(batched_args, batch_dims, *, computation, jaxpr,$/;" f +_reduce_chooser_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_chooser_jvp_rule(g, ans, operand, *, axes):$/;" f +_reduce_chooser_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_chooser_shape_rule(operand, *, axes):$/;" f +_reduce_chooser_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def _reduce_chooser_taylor_rule(g):$/;" f function:_gen_reduce_choose_taylor_rule.chooser_taylor_rule file: +_reduce_chooser_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_chooser_translation_rule(prim, identity, c, operand, *, axes):$/;" f +_reduce_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_dtype_rule(*args, computation, jaxpr, consts, dimensions):$/;" f +_reduce_func adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _reduce_func(self, args, kwds):$/;" m class:rv_continuous +_reduce_jacobian_det_over_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def _reduce_jacobian_det_over_event($/;" m class:Bijector +_reduce_join_reduction_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/string_ops.py /^def _reduce_join_reduction_dims(x, axis):$/;" f +_reduce_logical_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_logical_shape_rule(operand, *, axes):$/;" f +_reduce_logical_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_logical_translation_rule(prim, identity, c, operand, *, axes):$/;" f +_reduce_lr adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _reduce_lr(self, epoch):$/;" m class:ReduceLROnPlateau +_reduce_max adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_max(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_max_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_max_translation_rule = partial(_reduce_chooser_translation_rule, max_p,$/;" v +_reduce_min adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_min(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_min_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_min_translation_rule = partial($/;" v +_reduce_non_singleton adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _reduce_non_singleton(input_tensors, red_f, un_op):$/;" f +_reduce_number_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_number_dtype_rule(name, operand, *args, **kw):$/;" f +_reduce_op_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_op_shape_rule(operand, *, axes, input_shape=None):$/;" f +_reduce_op_symbolic adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _reduce_op_symbolic(onnx_op_name, allow_multi_dim_support=True):$/;" f +_reduce_or adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_or(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_or_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_or_translation_rule = partial(_reduce_logical_translation_rule,$/;" v +_reduce_prod adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_prod(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_prod_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_prod_jvp_rule(primals, tangents, *, axes):$/;" f +_reduce_prod_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_prod_translation_rule(c, operand, *, axes):$/;" f +_reduce_prod_tree adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _reduce_prod_tree(x, axis=0):$/;" f function:_reduce_prod_jvp_rule file: +_reduce_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_shape_rule(*args, computation, jaxpr, consts, dimensions):$/;" f +_reduce_sum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_sum(operand: Array, axes: Sequence[int]) -> Array:$/;" f +_reduce_sum_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^def _reduce_sum_grad(op, grad):$/;" f +_reduce_sum_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_sum_shape_rule(operand, *, axes):$/;" f +_reduce_sum_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_sum_translation_rule(c, operand, *, axes):$/;" f +_reduce_sum_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_sum_transpose_rule(cotangent, operand, *, axes):$/;" f +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:CollectiveAllReduceExtended +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:StrategyExtendedV2 +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:_DefaultDistributionExtended +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:MirroredExtended +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:OneDeviceExtended +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:ParameterServerStrategyExtended +_reduce_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _reduce_to(self, reduce_op, value, destinations, options):$/;" m class:TPUExtended +_reduce_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_translation_rule(c, *values, computation, jaxpr,$/;" f +_reduce_window adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _reduce_window(operand, init_value, *, jaxpr, consts, window_dimensions,$/;" f +_reduce_window_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_batch_rule(reduce_window, batched_args, bdims, *,$/;" f +_reduce_window_chooser_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_chooser_jvp_rule(prim, g, operand, *, window_dimensions,$/;" f +_reduce_window_chooser_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_chooser_translation_rule($/;" f +_reduce_window_max adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_max(operand: Array, window_dimensions: Shape,$/;" f +_reduce_window_max_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_window_max_translation_rule = partial($/;" v +_reduce_window_min adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_min(operand: Array, window_dimensions: Shape,$/;" f +_reduce_window_min_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_window_min_batch_rule = partial(_reduce_window_batch_rule,$/;" v +_reduce_window_min_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_reduce_window_min_translation_rule = partial($/;" v +_reduce_window_prod adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_prod(operand: Array, window_dimensions: Shape,$/;" f +_reduce_window_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_shape_rule(operand, init_value, *, jaxpr, consts,$/;" f +_reduce_window_sum adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_sum(operand: Array, window_dimensions: Shape,$/;" f +_reduce_window_sum_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_sum_shape_rule(operand, *, window_dimensions, window_strides,$/;" f +_reduce_window_sum_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_sum_translation_rule(c, operand, *, window_dimensions,$/;" f +_reduce_window_sum_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_sum_transpose_rule(cotangent, operand, *, window_dimensions,$/;" f +_reduce_window_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduce_window_translation_rule(c, operand, init_value, *, jaxpr, consts,$/;" f +_reduce_with_dtype adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _reduce_with_dtype(onnx_op, name, allow_multi_dim_support=True):$/;" f +_reduction adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _reduction(a, name, np_fun, op, init_val, has_identity=True,$/;" f +_Reduction adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^from . import _reduction as _Reduction$/;" x +_Reduction adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^from .. import _reduction as _Reduction$/;" x +_ReductionDims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _ReductionDims(x, axis): # pylint: disable=invalid-name$/;" f +_reduction_a_cell adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def _reduction_a_cell(ip, p, filters, block_id=None):$/;" f +_reduction_computation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduction_computation(c, jaxpr, consts, init_values, singleton=True):$/;" f +_reduction_dims adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _reduction_dims(a, axis):$/;" f +_reduction_init_val adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _reduction_init_val(a, init_val):$/;" f +_reduction_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reduction_jaxpr(computation, aval):$/;" f +_reduction_op_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _reduction_op_flops(graph, node, reduce_flops=1, finalize_flops=0):$/;" f +_REF adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^_REF = {np.inf: 'inf', -np.inf: '-inf', np.nan: 'nan'}$/;" v +_ref adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _ref(self):$/;" m class:RefVariable +_RefEnterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _RefEnterGrad(op, grad):$/;" f +_reference_pattern adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^_reference_pattern = _re.compile(r'^@@(\\w+)$', flags=_re.MULTILINE)$/;" v +_RefIdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _RefIdGrad(_, grad):$/;" f +_refine_triangulation_once adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^ def _refine_triangulation_once(triangulation, ancestors=None):$/;" m class:UniformTriRefiner +_reflected_binary_method adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _reflected_binary_method(ufunc, name):$/;" f +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_reflection adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^from google.protobuf import reflection as _reflection$/;" x +_ReflectionPadNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class _ReflectionPadNd(Module):$/;" c +_RefMergeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _RefMergeGrad(op, grad, _):$/;" f +_RefMergeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^_RefMergeOutput = collections.namedtuple($/;" v +_RefNextIterationGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _RefNextIterationGrad(_, grad):$/;" f +_RefOutputFloatOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_RefOutputFloatOutputOutput = collections.namedtuple($/;" v +_REFRESH_ERROR adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^_REFRESH_ERROR = "Unable to acquire impersonated credentials"$/;" v +_REFRESH_GRANT_TYPE adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^_REFRESH_GRANT_TYPE = "refresh_token"$/;" v +_refresh_last_data_added_timestamp adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _refresh_last_data_added_timestamp(self):$/;" m class:UploadStats +_refresh_locators adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _refresh_locators(self):$/;" m class:ToolViewsPositions +_refresh_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _refresh_pad(self, pad, *args):$/;" m class:CursesUI +_refresh_per_optimizer_state adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^def _refresh_per_optimizer_state():$/;" f +_RefSwitchOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^_RefSwitchOutput = collections.namedtuple($/;" v +_RefVariableProcessor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class _RefVariableProcessor(_OptimizableVariable):$/;" c +_REF_ENV_TEMPLATE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_REF_ENV_TEMPLATE = "ref_{pr}"$/;" v +_regex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ _regex = re.compile(r'[^!-~]')$/;" v class:Name +_regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:LegacySpecifier +_regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Specifier +_regex adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ _regex = re.compile(r"^\\s*" + VERSION_PATTERN + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Version +_regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:LegacySpecifier +_regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Specifier +_regex adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ _regex = re.compile(r"^\\s*" + VERSION_PATTERN + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Version +_regex adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ _regex = re.compile($/;" v class:Version +_regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:LegacySpecifier +_regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _regex = re.compile(r"^\\s*" + _regex_str + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Specifier +_regex adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ _regex = re.compile(r"^\\s*" + VERSION_PATTERN + r"\\s*$", re.VERBOSE | re.IGNORECASE)$/;" v class:Version +_regex_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:LegacySpecifier +_regex_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:Specifier +_regex_str adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:LegacySpecifier +_regex_str adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:Specifier +_regex_str adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:LegacySpecifier +_regex_str adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ _regex_str = r"""$/;" v class:Specifier +_REGEX_VALID_PROPERTY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^_REGEX_VALID_PROPERTY = "regex_valid"$/;" v +_RegionProxy adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^class _RegionProxy(object):$/;" c +_RegionProxy adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^class _RegionProxy(object):$/;" c +_register adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def _register(func):$/;" f member:Visitor.register file: +_register adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _register(cls):$/;" m class:DefaultProvider +_register adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _register(cls):$/;" m class:DefaultProvider +_register adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/_atfork.py /^ def _register(func):$/;" f +_registered_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_adjoint(type_a):$/;" f +_registered_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_cholesky(type_a):$/;" f +_REGISTERED_EXPANSIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^_REGISTERED_EXPANSIONS = [$/;" v +_REGISTERED_FILESYSTEMS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^_REGISTERED_FILESYSTEMS = {}$/;" v +_registered_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_function(type_list, registry):$/;" f +_registered_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_inverse(type_a):$/;" f +_registered_kl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^def _registered_kl(type_a, type_b):$/;" f +_registered_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_matmul(type_a, type_b):$/;" f +_REGISTERED_OPERATORS adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^_REGISTERED_OPERATORS = _GetRegisteredOperators()$/;" v +_registered_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^def _registered_solve(type_a, type_b):$/;" f +_REGISTERED_WRAPPER_OPTIMIZER_CLS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^_REGISTERED_WRAPPER_OPTIMIZER_CLS = {$/;" v +_RegisterPythonImpl adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^def _RegisterPythonImpl($/;" f +_register_and_parse_flags_with_usage adpepsenv/lib/python3.8/site-packages/absl/app.py /^def _register_and_parse_flags_with_usage($/;" f +_register_basic adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _register_basic(idx_fmt_name):$/;" m class:ImageFileDirectory_v2 +_register_bounds_validator_if_needed adpepsenv/lib/python3.8/site-packages/absl/flags/_defines.py /^def _register_bounds_validator_if_needed(parser, name, flag_values):$/;" f +_register_builtin adpepsenv/lib/python3.8/site-packages/torch/jit/_builtins.py /^def _register_builtin(fn, op):$/;" f +_register_callback adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _register_callback(callback: Callable) -> int:$/;" f +_register_checkpoint_pytrees adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _register_checkpoint_pytrees():$/;" f +_register_comm_hook adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _register_comm_hook(self, state: object, hook: callable):$/;" m class:DistributedDataParallel +_register_conversion_function_once adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^def _register_conversion_function_once():$/;" f +_register_converters adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from ._conv import register_converters as _register_converters$/;" x +_register_dead_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _register_dead_handle(self, handle):$/;" m class:BaseSession +_register_handle_feeder adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^def _register_handle_feeder(graph, feeder, dtype):$/;" f +_register_hook adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def _register_hook(backward_hooks, hook):$/;" m class:_HookMixin +_register_info adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _register_info(self, server):$/;" m class:TensorBoard +_register_known_types adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _register_known_types():$/;" f +_register_loader adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _register_loader(idx, size):$/;" m class:ImageFileDirectory_v2 +_register_load_state_dict_pre_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _register_load_state_dict_pre_hook(self, hook):$/;" m class:Module +_register_magics adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _register_magics(ipython):$/;" f +_register_name_used adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def _register_name_used(self, op : str) -> str:$/;" m class:Graph +_register_resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _register_resource(self, resource_remote_value):$/;" m class:Worker +_register_sigterm_with_faulthandler adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _register_sigterm_with_faulthandler():$/;" f +_register_state_dict_hook adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _register_state_dict_hook(self, hook):$/;" m class:Module +_register_style adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^def _register_style(style_list, cls=None, *, name=None):$/;" f +_register_this_run_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _register_this_run_info(self, curses_cli):$/;" m class:LocalCLIDebugWrapperSession +_register_type adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^def _register_type(machar, bytepat):$/;" f +_register_types adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^def _register_types():$/;" f +_register_unknown_flag_setter adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _register_unknown_flag_setter(self, setter):$/;" m class:FlagValues +_register_wrapper_optimizer_cls adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def _register_wrapper_optimizer_cls(optimizer_cls, wrapper_optimizer_cls):$/;" f +_register_writer adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _register_writer(idx):$/;" m class:ImageFileDirectory_v2 +_registry adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ _registry = {$/;" v class:HelperWrapper +_registry adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^_registry = {$/;" v +_registry adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ _registry = {} # type: Dict[str, VersionControl]$/;" v class:VcsSupport +_registry adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^_registry = {}$/;" v +_RegistryEntry adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^_RegistryEntry = collections.namedtuple("RegistryEntry", ["to_iter", "from_iter"])$/;" v +_REGRESSION adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_REGRESSION = _descriptor.Descriptor($/;" v +_RegressionHeadWithMeanSquaredErrorLoss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^class _RegressionHeadWithMeanSquaredErrorLoss(_Head):$/;" c +_REGRESSIONREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_REGRESSIONREQUEST = _descriptor.Descriptor($/;" v +_REGRESSIONRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_REGRESSIONRESPONSE = _descriptor.Descriptor($/;" v +_REGRESSIONRESULT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_REGRESSIONRESULT = _descriptor.Descriptor($/;" v +_regression_head adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _regression_head(weight_column=None,$/;" f +_REGRESSLOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_REGRESSLOG = _descriptor.Descriptor($/;" v +_REGRESS_SERVING_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^_REGRESS_SERVING_KEY = 'regression'$/;" v +_RegularizedGramianCholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^def _RegularizedGramianCholesky(matrix, l2_regularizer, first_kind):$/;" f +_RegularMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _RegularMessageToJsonObject(self, message, js):$/;" m class:_Printer +_regular_normalize_batch_in_training adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _regular_normalize_batch_in_training(x,$/;" f +_ReincarnatedPerDeviceGenerator adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^class _ReincarnatedPerDeviceGenerator(dataset_ops.DatasetV2):$/;" c +_reinitialize_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _reinitialize_iterator(iterator, distribution_strategy=None):$/;" f +_rejection_sample adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^def _rejection_sample(loc, concentration, proposal_r, x):$/;" f +_reject_rpc adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _reject_rpc(rpc_event, status, details):$/;" f +_relation_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _relation_symbols = set('''$/;" v class:Parser +_relative_degree adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _relative_degree(z, p):$/;" f +_relative_degree adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def _relative_degree(z, p):$/;" f +_relative_error adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_matfuncs.py /^def _relative_error(f, A, perturbation):$/;" f +_release adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _release(self, event):$/;" m class:ToolPan +_release adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _release(self, event):$/;" m class:ToolZoom +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:Button +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:LassoSelector +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:PolygonSelector +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:RectangleSelector +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:SpanSelector +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:TextBox +_release adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _release(self, event):$/;" m class:_SelectorWidget +_relerr adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _relerr(actual, desired):$/;" f +_reload adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^ def _reload():$/;" f member:LocalDataIngester.start file: +_RELOADCONFIGREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^_RELOADCONFIGREQUEST = _descriptor.Descriptor($/;" v +_RELOADCONFIGRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^_RELOADCONFIGRESPONSE = _descriptor.Descriptor($/;" v +_reloadReader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _reloadReader(self):$/;" m class:DebuggerV2EventMultiplexer +_reload_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _reload_version(self):$/;" m class:Distribution +_reload_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _reload_version(self):$/;" m class:EggInfoDistribution +_reload_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _reload_version(self):$/;" m class:Distribution +_reload_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _reload_version(self):$/;" m class:EggInfoDistribution +_Relu6Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Relu6Grad(op, grad):$/;" f +_Relu6GradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _Relu6GradGrad(op, grad):$/;" f +_ReluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _ReluGrad(op, grad):$/;" f +_ReluGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _ReluGradGrad(op, grad):$/;" f +_rel_to_abs_asset_path adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _rel_to_abs_asset_path(fpath, config_fpath):$/;" f +_rem adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _rem(lhs, rhs):$/;" f +_remainder_matrix_power adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _remainder_matrix_power(A, t):$/;" f +_remainder_matrix_power_triu adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _remainder_matrix_power_triu(T, t):$/;" f +_RemapParameterBlobsForSharedModel adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _RemapParameterBlobsForSharedModel(model, all_params):$/;" f +_remap_input adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _remap_input(self, operation, path, *args, **kw):$/;" m class:AbstractSandbox +_remap_input adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _remap_input(self, operation, path, *args, **kw):$/;" m class:DirectorySandbox +_remap_keys adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _remap_keys(m, f):$/;" f +_remap_keys adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _remap_keys(old_dict, rename_fn):$/;" f +_remap_output adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _remap_output(self, operation, path):$/;" m class:AbstractSandbox +_remap_pair adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _remap_pair(self, operation, src, dst, *args, **kw):$/;" m class:AbstractSandbox +_remap_pair adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _remap_pair(self, operation, src, dst, *args, **kw):$/;" m class:DirectorySandbox +_remat_partial_eval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _remat_partial_eval(trace, _, f, tracers, params):$/;" f +_remat_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _remat_translation_rule(c, axis_env, in_nodes,$/;" f +_RemoteDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^class _RemoteDataset(dataset_ops.DatasetSource):$/;" c +_REMOTEFUSEDGRAPHEXECUTEINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^_REMOTEFUSEDGRAPHEXECUTEINFO = _descriptor.Descriptor($/;" v +_REMOTEFUSEDGRAPHEXECUTEINFO_TENSORSHAPETYPEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^_REMOTEFUSEDGRAPHEXECUTEINFO_TENSORSHAPETYPEPROTO = _descriptor.Descriptor($/;" v +_RemoteModule adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^class _RemoteModule(nn.Module):$/;" c +_REMOTEPROFILERSESSIONMANAGEROPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^_REMOTEPROFILERSESSIONMANAGEROPTIONS = _descriptor.Descriptor($/;" v +_REMOTETENSORHANDLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^_REMOTETENSORHANDLE = _descriptor.Descriptor($/;" v +_RemoteValueStatus adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^class _RemoteValueStatus(enum.Enum):$/;" c +_remote_finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _remote_finalize_func(string_handle):$/;" f member:_CopyToDeviceDataset.__init__ file: +_remote_finalize_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _remote_finalize_func(string_handle):$/;" f member:_PerDeviceGenerator.__init__ file: +_remote_init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _remote_init_func():$/;" f member:_CopyToDeviceDataset.__init__ file: +_remote_init_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _remote_init_func():$/;" f member:_PerDeviceGenerator.__init__ file: +_remote_method adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def _remote_method(method, rref, *args, **kwargs):$/;" f +_remote_method_async adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^def _remote_method_async(method, rref, *args, **kwargs):$/;" f +_remote_next_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _remote_next_func(string_handle):$/;" f member:_CopyToDeviceDataset.__init__ file: +_remote_next_func adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _remote_next_func(string_handle):$/;" f member:_PerDeviceGenerator.__init__ file: +_remote_worker_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def _remote_worker_process(self, ddp_mode):$/;" m class:DdpUnderDistAutogradTest +_removechars adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _removechars(s, chars):$/;" f +_RemoveDefaultAttrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _RemoveDefaultAttrs(producer_op_list, graph_def):$/;" f +_RemoveExternalControlEdges adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def _RemoveExternalControlEdges(self, op):$/;" m class:XLACompileContext +_RemoveExternalControlEdges adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _RemoveExternalControlEdges(self, op):$/;" m class:ControlFlowContext +_RemoveExternalControlEdges adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _RemoveExternalControlEdges(self, op):$/;" m class:TPUReplicateContext +_RemoveGraphSequenceNumber adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _RemoveGraphSequenceNumber(self, name):$/;" m class:TfTrtIntegrationTestBase +_RemoveGraphSequenceNumberImpl adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _RemoveGraphSequenceNumberImpl(self, value, expecting_prefix):$/;" m class:TfTrtIntegrationTestBase +_RemoveLegacyPad adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _RemoveLegacyPad(net, net_params, input_dims):$/;" f +_remove_all_control_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _remove_all_control_inputs(self):$/;" m class:Operation +_remove_ancillary_layers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _remove_ancillary_layers(model, layer_map, layers):$/;" f +_remove_and_clear_zip_directory_cache_data adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _remove_and_clear_zip_directory_cache_data(normalized_path):$/;" f +_remove_auth_from_netloc adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _remove_auth_from_netloc(self, netloc):$/;" m class:DirectUrl +_remove_blacklisted_style_params adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^def _remove_blacklisted_style_params(d, warn=True):$/;" f +_remove_dump_root adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _remove_dump_root(self):$/;" m class:LocalCLIDebugWrapperSession +_remove_duplicates adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^def _remove_duplicates(node_list):$/;" f +_remove_duplicate_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^def _remove_duplicate_links(links):$/;" f +_remove_dups adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _remove_dups(L):$/;" f +_remove_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/loader.py /^def _remove_file(file_name):$/;" f +_remove_files adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def _remove_files(self, predicate):$/;" m class:FileList +_remove_if_exists adpepsenv/lib/python3.8/site-packages/torch/hub.py /^def _remove_if_exists(path):$/;" f +_remove_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _remove_indices(a, b):$/;" f function:moveaxis file: +_remove_keys adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _remove_keys(self, name):$/;" m class:ToolManager +_remove_legend adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _remove_legend(self, legend):$/;" m class:Axes +_remove_line_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _remove_line_prefix(self, value):$/;" m class:LegacyMetadata +_remove_long_seq adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^def _remove_long_seq(maxlen, seq, label):$/;" f +_remove_long_seq adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/sequence.py /^_remove_long_seq = sequence._remove_long_seq # pylint: disable=protected-access$/;" v +_remove_md5_fragment adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _remove_md5_fragment(location):$/;" f +_remove_method adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _remove_method(h):$/;" f member:HostAxesBase.twin file: +_remove_nan_1d adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _remove_nan_1d(arr1d, overwrite_input=False):$/;" f +_remove_one_redundant_stack_unstack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _remove_one_redundant_stack_unstack(in_graph_def):$/;" f +_remove_optimizer_parameters adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _remove_optimizer_parameters(kwds):$/;" f +_remove_os_link adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _remove_os_link():$/;" m class:sdist +_remove_padding_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/sequence_ops_test.py /^def _remove_padding_ref(start_pad_width, end_pad_width, data, lengths):$/;" f +_remove_path_dot_segments adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^def _remove_path_dot_segments(path):$/;" f +_remove_path_dot_segments adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^def _remove_path_dot_segments(path):$/;" f +_remove_proxy adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def _remove_proxy(self, proxy, *, _is_finalizing=sys.is_finalizing):$/;" m class:CallbackRegistry +_remove_qconfig adpepsenv/lib/python3.8/site-packages/torch/quantization/quantize.py /^def _remove_qconfig(module):$/;" f +_remove_redundancy_id adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _remove_redundancy_id(A, rhs, rank=None, randomized=True):$/;" f +_remove_redundancy_pivot_dense adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _remove_redundancy_pivot_dense(A, rhs, true_rank=None):$/;" f +_remove_redundancy_pivot_sparse adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _remove_redundancy_pivot_sparse(A, rhs):$/;" f +_remove_redundancy_svd adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _remove_redundancy_svd(A, b):$/;" f +_remove_redundant_stack_unstack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _remove_redundant_stack_unstack(graph_def):$/;" f +_remove_sharing_cache adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def _remove_sharing_cache():$/;" f +_remove_squeezable_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def _remove_squeezable_dimensions($/;" f +_remove_squeezable_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _remove_squeezable_dimensions(predictions, labels, weights):$/;" f +_remove_ssa adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _remove_ssa(cls, net, remap_dict):$/;" m class:Caffe2Backend +_remove_tensors_from_model adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^def _remove_tensors_from_model(model, remove_tensors_idxs):$/;" f +_remove_twinx adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _remove_twinx(self, ax):$/;" m class:HostAxesBase +_remove_twiny adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _remove_twiny(self, ax):$/;" m class:HostAxesBase +_remove_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _remove_variant(x):$/;" f function:remove_variants file: +_remove_visual_c_ref adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def _remove_visual_c_ref(self, manifest_file):$/;" m class:MSVCCompiler +_remove_zero_rows adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _remove_zero_rows(A, b):$/;" f +_rename adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ def _rename(src, dst):$/;" f +_RENAMED_ARGUMENTS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^_RENAMED_ARGUMENTS = {$/;" v +_RENAMED_ARGUMENTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^_RENAMED_ARGUMENTS = {$/;" v +_renamed_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _renamed_operators = {$/;" v class:Caffe2Backend +_renamed_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _renamed_operators = {$/;" v class:Caffe2Frontend +_rename_all adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _rename_all(shapes, track_blob_names, ops, f):$/;" f +_rename_all adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _rename_all(shapes, blob_name_tracker, ops, rename_fn):$/;" f +_rename_atomic adpepsenv/lib/python3.8/site-packages/werkzeug/posixemulation.py /^ def _rename_atomic(src, dst):$/;" f +_rename_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _rename_fields_dispatcher(base, namemapper):$/;" f +_rename_func adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _rename_func(node, full_name, new_name, logs, reason):$/;" f +_rename_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^def _rename_function(f, arg_num, name):$/;" f +_rename_if_any_arg_found_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _rename_if_any_arg_found_transformer($/;" f +_rename_if_arg_found_and_add_loss_reduction_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _rename_if_arg_found_and_add_loss_reduction_transformer($/;" f +_rename_if_arg_found_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _rename_if_arg_found_transformer(parent, node, full_name, name, logs,$/;" f +_rename_name_in_importfrom adpepsenv/lib/python3.8/site-packages/pasta/augment/rename.py /^def _rename_name_in_importfrom(sc, node, old_name, new_name):$/;" f +_rename_parameter adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _rename_parameter(since, old, new, func=None):$/;" f +_rename_reads adpepsenv/lib/python3.8/site-packages/pasta/augment/rename.py /^def _rename_reads(sc, t, old_name, new_name):$/;" f +_rename_tensorflow_style adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _rename_tensorflow_style(shapes, blob_name_tracker, ops):$/;" f +_rename_to_compat_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _rename_to_compat_v1(node, full_name, logs, reason):$/;" f +_render adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def _render(self, request, formencode=False, realm=None):$/;" m class:Client +_render adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _render(items):$/;" m class:CommandSpec +_render adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def _render(self):$/;" m class:Compare +_rendercursor adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _rendercursor(self):$/;" m class:TextBox +_RendererAgg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^from matplotlib.backends._backend_agg import RendererAgg as _RendererAgg$/;" x +_renderer_init adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _renderer_init(self):$/;" m class:FigureCanvasGTK3 +_render_flag_list adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _render_flag_list(self, flaglist, output_lines, prefix=' '):$/;" m class:FlagValues +_render_module_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _render_module_flags(self, module, flags, output_lines, prefix=''):$/;" m class:FlagValues +_render_node_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _render_node_traceback(self, node_name):$/;" m class:DebugAnalyzer +_render_normalized_cost_bar adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def _render_normalized_cost_bar(self, cost, max_cost, length):$/;" m class:ProfileAnalyzer +_render_our_module_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _render_our_module_flags(self, module, output_lines, prefix=''):$/;" m class:FlagValues +_render_our_module_key_flags adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _render_our_module_key_flags(self, module, output_lines, prefix=''):$/;" m class:FlagValues +_render_part adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def _render_part(self, name, value):$/;" m class:RequestField +_render_part adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def _render_part(self, name, value):$/;" m class:RequestField +_render_parts adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def _render_parts(self, header_parts):$/;" m class:RequestField +_render_parts adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def _render_parts(self, header_parts):$/;" m class:RequestField +_render_version adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _render_version():$/;" m class:easy_install +_Rendezvous adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _Rendezvous(future.Future, face.Call):$/;" c +_Rendezvous adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _Rendezvous(grpc.RpcError, grpc.RpcContext):$/;" c +_rendezvous_error adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def _rendezvous_error(msg):$/;" f +_rendezvous_handlers adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^_rendezvous_handlers = {}$/;" v +_renorm_correction_and_moments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _renorm_correction_and_moments(self, mean, variance, training,$/;" m class:BatchNormalizationBase +_renorm_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _renorm_variable(name,$/;" f member:BatchNormalizationBase.build file: +_reorder_tensors_as adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _reorder_tensors_as(tensors, ordered_tensors):$/;" f +_repack_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _repack_fields_dispatcher(a, align=None, recurse=None):$/;" f +_repair adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def _repair(self):$/;" m class:FileList +_ReparseException adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/constants.py /^class _ReparseException(Exception):$/;" c +_ReparseException adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/constants.py /^class _ReparseException(Exception):$/;" c +_repeat_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _repeat_dispatcher(a, repeats, axis=None):$/;" f +_replace adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^ def _replace(self, **kwds):$/;" m class:EstimatorSpec +_replace adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _replace(config, allowed_properties_list=None, **kwargs):$/;" m class:RunConfig +_replaced_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ _replaced_summary_writer = None$/;" v class:FakeSummaryWriter +_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_REPLACEMENTS = ($/;" v +_replacer adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^def _replacer(data, value):$/;" f +_replacer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ def _replacer(matchobj):$/;" f function:_expand_globals file: +_replacer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ def _replacer(matchobj):$/;" f function:format_value file: +_replacer adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^ def _replacer(matchobj):$/;" f function:_subst_vars file: +_replace_append_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _replace_append_call(self, node):$/;" m class:ListTransformer +_replace_child_layer_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _replace_child_layer_functions(layer, serialization_cache):$/;" f +_replace_colons adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _replace_colons(shapes, track_blob_names, ops, repl):$/;" f +_replace_colons adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _replace_colons(shapes, blob_name_tracker, ops, repl):$/;" f +_replace_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _replace_dispatcher(a, old, new, count=None):$/;" f +_replace_distribution adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _replace_distribution(parent, old_value):$/;" f function:_contrib_layers_variance_scaling_initializer_transformer file: +_replace_dtype_fields adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _replace_dtype_fields(dtype, primitive_dtype):$/;" f +_replace_dtype_fields_recursive adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _replace_dtype_fields_recursive(dtype, primitive_dtype):$/;" f +_replace_escapetext adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^_replace_escapetext = functools.partial($/;" v +_replace_heap adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _replace_heap(variable, heap):$/;" f +_replace_inf adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _replace_inf(x):$/;" f +_replace_inf adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_highs.py /^def _replace_inf(x):$/;" f +_replace_input_placeholders_with_default_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _replace_input_placeholders_with_default_values(graph_def, signature_def):$/;" f +_replace_keep_prob_node adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _replace_keep_prob_node(parent, old_value):$/;" f function:_dropout_transformer file: +_replace_mathdefault adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^_replace_mathdefault = functools.partial($/;" v +_replace_mode adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _replace_mode(parent, old_value):$/;" f function:_contrib_layers_variance_scaling_initializer_transformer file: +_replace_multiple adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^def _replace_multiple(value, needles_and_replacements):$/;" f +_replace_multiple adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^def _replace_multiple(value, needles_and_replacements):$/;" f +_replace_nan adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^def _replace_nan(a, val):$/;" f +_replace_nones adpepsenv/lib/python3.8/site-packages/jax/tree_util.py /^def _replace_nones(sentinel, tree):$/;" f +_replace_oov_buckets adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _replace_oov_buckets(self, inputs, lookups):$/;" m class:TableHandler +_replace_path adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def _replace_path(self, source_class):$/;" m class:ArtistInspector +_replace_per_replica_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _replace_per_replica_spec(spec, i):$/;" f +_replace_pop_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _replace_pop_call(self, node):$/;" m class:ListTransformer +_replace_ragged_with_flat_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_functional_ops.py /^def _replace_ragged_with_flat_values(value, nested_splits_lists,$/;" f +_replace_retry adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^_replace_retry = retry(stop_max_delay=1000, wait_fixed=250)$/;" v +_replace_row_partitions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _replace_row_partitions(value, new_partitions):$/;" f +_replace_scale_node adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _replace_scale_node(parent, old_value):$/;" f function:_contrib_layers_l2_regularizer_transformer file: +_replace_sparse_with_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _replace_sparse_with_values(value, sparse_list):$/;" f +_replace_stack_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _replace_stack_call(self, node):$/;" m class:ListTransformer +_replace_uniform_noise_node adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _replace_uniform_noise_node(parent, old_value):$/;" f function:_extract_glimpse_transformer file: +_replace_variables_by_constants adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def _replace_variables_by_constants(converter_data):$/;" f +_replace_zero adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_replace_zero = lambda x: select(eq(x, _const(x, 0)), _ones(x), x)$/;" f +_replace_zero_by_x_arrays adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _replace_zero_by_x_arrays(sub_arys):$/;" f +_replace_zip_directory_cache_data adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _replace_zip_directory_cache_data(normalized_path):$/;" f +_replace_zip_directory_cache_data adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ _replace_zip_directory_cache_data = \\$/;" v +_ReplicaDeviceChooser adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^class _ReplicaDeviceChooser(object):$/;" c +_REPLICAGROUP adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_REPLICAGROUP = _descriptor.Descriptor($/;" v +_replicatable_module adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/replicate.py /^def _replicatable_module(module, memo=None):$/;" f +_replicated_param adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_replicated_param = object()$/;" v +_REPLICATES adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_REPLICATES = {$/;" v +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _replicate_for_data_parallel(self):$/;" m class:RecursiveScriptModule +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _replicate_for_data_parallel(self):$/;" m class:ScriptModule +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def _replicate_for_data_parallel(self):$/;" m class:ParameterDict +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def _replicate_for_data_parallel(self):$/;" m class:ParameterList +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _replicate_for_data_parallel(self):$/;" m class:Module +_replicate_for_data_parallel adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def _replicate_for_data_parallel(self):$/;" m class:RNNBase +_ReplicationPadNd adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^class _ReplicationPadNd(Module):$/;" c +_replica_groups adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _replica_groups(axis_env, axis_name, axis_index_groups):$/;" f +_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _replica_id(self):$/;" m class:ReplicaContextBase +_REPLICA_ID_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_REPLICA_ID_TAG = '#replica-id: '$/;" v +_repopulate_pool adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/pool.py /^ def _repopulate_pool(self):$/;" m class:Pool +_ReporterBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _ReporterBuilder(NetBuilder):$/;" c +_report_error adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^def _report_error(info):$/;" f +_report_invalid_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _report_invalid_netmask(cls, netmask_str):$/;" m class:_IPAddressBase +_report_nonhermitian adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^def _report_nonhermitian(M, name):$/;" f +_report_requires_python_error adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def _report_requires_python_error($/;" m class:Factory +_Repr adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Repr(self, t):$/;" m class:Unparser +_repr adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _repr(self, classname):$/;" m class:_resultbase +_repr adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _repr(self) -> str:$/;" m class:AioRpcError +_repr adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _repr(self) -> str:$/;" m class:Call +_repr adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _repr(self):$/;" m class:_InactiveRpcError +_repr adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _repr(self):$/;" m class:_Rendezvous +_repr_dist adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _repr_dist(self, dist):$/;" m class:DependencyGraph +_repr_html_ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def _repr_html_(self):$/;" m class:Cycler +_repr_html_ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _repr_html_(self):$/;" m class:Animation +_repr_html_ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _repr_html_(self):$/;" m class:Figure +_repr_latex_ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _repr_latex_(self):$/;" m class:ABCPolyBase +_repr_latex_scalar adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _repr_latex_scalar(x):$/;" m class:ABCPolyBase +_repr_latex_term adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ def _repr_latex_term(i, arg_str, needs_parens):$/;" m class:Polynomial +_repr_latex_term adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _repr_latex_term(cls, i, arg_str, needs_parens):$/;" m class:ABCPolyBase +_repr_png_ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def _repr_png_(self):$/;" m class:Image +_ReqExtras adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^class _ReqExtras(dict):$/;" c +_ReqExtras adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^class _ReqExtras(dict):$/;" c +_RequantizationRangeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_RequantizationRangeOutput = collections.namedtuple($/;" v +_RequantizationRangePerChannelOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_RequantizationRangePerChannelOutput = collections.namedtuple($/;" v +_requantize adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantized.py /^def _requantize(x, multiplier, zero_point, qmin=0, qmax=255, qtype=np.uint8):$/;" f +_RequantizeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_RequantizeOutput = collections.namedtuple($/;" v +_RequantizePerChannelOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^_RequantizePerChannelOutput = collections.namedtuple($/;" v +_RequestBase adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc1157.py /^class _RequestBase(univ.Sequence):$/;" c +_REQUESTEDEXITCODE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_REQUESTEDEXITCODE = _descriptor.Descriptor($/;" v +_REQUESTEDEXITCODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_REQUESTEDEXITCODE = _descriptor.Descriptor($/;" v +_RequestedStop adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^class _RequestedStop(Exception): # pylint: disable=g-bad-exception-name$/;" c +_RequestIterator adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _RequestIterator(object):$/;" c +_request_autoscale_view adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _request_autoscale_view(self, tight=None, scalex=True, scaley=True):$/;" m class:_AxesBase +_request_call adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _request_call(state):$/;" f +_REQUEST_CALL_TAG adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_REQUEST_CALL_TAG = 'request_call'$/;" v +_request_compute_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _request_compute_metadata(path):$/;" f +_request_json_data adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def _request_json_data(self, experiment_id, read_time):$/;" m class:TensorBoardExporter +_request_logger adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def _request_logger(request, runs=None):$/;" f +_REQUEST_TIMEOUT_SECONDS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^_REQUEST_TIMEOUT_SECONDS = 10$/;" v +_RequiredParameter adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^class _RequiredParameter(object):$/;" c +_required_arg_names adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ _required_arg_names = TestBase._required_arg_names.union({'target'})$/;" v class:CriterionTest +_required_arg_names adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ _required_arg_names = {'constructor_args', 'input', 'extra_args'}$/;" v class:TestBase +_REQUIRED_CONFIG_KEYS adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/helpers.py /^_REQUIRED_CONFIG_KEYS = frozenset(("auth_uri", "token_uri", "client_id"))$/;" v +_REQUIRED_SYMBOLS adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^_REQUIRED_SYMBOLS = ("_protos", "_services", "_protos_and_services")$/;" v +_requirement_name adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def _requirement_name(self):$/;" m class:HashError +_requires_input_stats adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def _requires_input_stats(toco_flags):$/;" f +_require_cross_replica_or_default_context_extended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _require_cross_replica_or_default_context_extended(extended,$/;" f +_require_fields_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _require_fields_dispatcher(array, required_dtype):$/;" f +_require_initialized adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _require_initialized(func):$/;" f +_require_quoting adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ _require_quoting = frozenset(["domain", "nonce", "opaque", "realm", "qop"])$/;" v class:WWWAuthenticate +_require_strategy_scope_extended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _require_strategy_scope_extended(extended):$/;" f +_require_strategy_scope_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _require_strategy_scope_strategy(strategy):$/;" f +_require_symbols adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _require_symbols = ['dgemm_', 'cblas_dgemm', 'zungqr_', 'LAPACKE_zungqr']$/;" v class:openblas_ilp64_lapack_info +_require_symbols adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _require_symbols = ['dgemm_', 'cblas_dgemm']$/;" v class:openblas_ilp64_info +_require_symbols adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _require_symbols = ['zungqr_']$/;" v class:openblas_lapack_info +_require_symbols adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ _require_symbols = []$/;" v class:openblas_info +_require_tuple_int adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def _require_tuple_int(self, input):$/;" m class:Unflatten +_require_tuple_tuple adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def _require_tuple_tuple(self, input):$/;" m class:Unflatten +_require_version_compare adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^def _require_version_compare($/;" f +_require_version_compare adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^def _require_version_compare(fn):$/;" f +_require_version_compare adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^def _require_version_compare(fn):$/;" f +_req_set_item_sorter adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^def _req_set_item_sorter($/;" f +_ReraiseTypeErrorWithFieldName adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _ReraiseTypeErrorWithFieldName(message_name, field_name):$/;" f +_reraise_if_subprocess_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _reraise_if_subprocess_error(self, process_statuses):$/;" m class:MultiProcessRunner +_reraise_with_existing_context adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def _reraise_with_existing_context(exc_details):$/;" f +_resample adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _resample(self, lutsize):$/;" m class:Colormap +_resample adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _resample(self, lutsize):$/;" m class:LinearSegmentedColormap +_resample adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _resample(self, lutsize):$/;" m class:ListedColormap +_resample adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def _resample($/;" f +_reserve adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _reserve(self, n):$/;" m class:Unpacker +_reserved_chars_re adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^_reserved_chars_re = re.compile('(@|%2F)', re.IGNORECASE)$/;" v +_reserved_names adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^_reserved_names = {'print'}$/;" v +_reserved_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _reserved_network = IPv4Network('240.0.0.0\/4')$/;" v class:_IPv4Constants +_reserved_networks adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _reserved_networks = [$/;" v class:_IPv6Constants +_RESERVED_PARAMS_KEYS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_RESERVED_PARAMS_KEYS = [_BATCH_SIZE_KEY, _CTX_KEY]$/;" v +_reserved_prefix adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^_reserved_prefix = '__jit'$/;" v +_ReservoirBucket adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^class _ReservoirBucket(object):$/;" c +_reset adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _reset(self):$/;" m class:SubplotToolQt +_reset adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def _reset(self, reset=True):$/;" m class:WebPImageFile +_reset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _reset(self):$/;" m class:ReduceLROnPlateau +_reset adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _reset(self, capacity=20):$/;" m class:IterationCountEstimator +_reset adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _reset(self):$/;" m class:ReduceLROnPlateau +_reset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _reset(self, loader, first_iter=False):$/;" m class:_BaseDataLoaderIter +_reset adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _reset(self, loader, first_iter=False):$/;" m class:_MultiProcessingDataLoaderIter +_reset_allow_build_at_runtime adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _reset_allow_build_at_runtime(node):$/;" f member:TrtGraphConverterV2.save file: +_reset_build_compile_trackers adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/models.py /^def _reset_build_compile_trackers(model):$/;" f +_reset_children adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def _reset_children(self):$/;" m class:NetBuilder +_reset_class adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _reset_class(self):$/;" m class:UnivariateSpline +_reset_compile_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _reset_compile_cache(self):$/;" m class:Model +_reset_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _reset_config(self):$/;" m class:_FeatureColumn +_reset_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def _reset_context():$/;" f +_reset_epoch_test_example adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^def _reset_epoch_test_example():$/;" f +_reset_layer_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _reset_layer_losses(parent_layer):$/;" f +_reset_locators_and_formatters adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _reset_locators_and_formatters(axis):$/;" f member:Figure.delaxes file: +_reset_locator_formatter_scale adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _reset_locator_formatter_scale(self):$/;" m class:ColorbarBase +_reset_manager adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def _reset_manager(self, stopping=False):$/;" m class:WatchdogManager +_reset_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _reset_metrics(model):$/;" f +_reset_nest adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _reset_nest(self, data, nest=None):$/;" m class:UnivariateSpline +_reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def _reset_parameters(self):$/;" m class:MultiheadAttention +_reset_parameters adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def _reset_parameters(self):$/;" m class:Transformer +_reset_per_message_state adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _reset_per_message_state(state):$/;" f +_reset_progbar adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _reset_progbar(self):$/;" m class:ProgbarLogger +_reset_state adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_smoke.py /^ def _reset_state(self):$/;" m class:RNG +_reshape adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _reshape(operand, *, new_sizes, dimensions):$/;" f +_reshape adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _reshape(a, *args, order="C"):$/;" f +_ReshapeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ReshapeGrad(op, grad):$/;" f +_ReshapeToInput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ReshapeToInput(op, grad):$/;" f +_reshape_2D adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _reshape_2D(X, name):$/;" f +_reshape_axis_into adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_axis_into(src, dst, x):$/;" f +_reshape_axis_out_of adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_axis_out_of(src, size1, x):$/;" f +_reshape_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_batch_rule(batched_args, batch_dims, *, new_sizes, dimensions):$/;" f +_reshape_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _reshape_dispatcher(a, newshape, order=None):$/;" f +_reshape_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_dtype_rule(operand, *, new_sizes, dimensions):$/;" f +_reshape_for_efficiency adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_util.py /^def _reshape_for_efficiency(a,$/;" f +_reshape_from_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _reshape_from_tensor(g, input, shape):$/;" f +_reshape_if_necessary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _reshape_if_necessary(tensor, new_shape):$/;" f +_reshape_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_impl(operand, *, new_sizes, dimensions):$/;" f +_reshape_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_masking_rule(padded_args, logical_shapes, polymorphic_shapes,$/;" f +_reshape_method_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _reshape_method_wrapper(a, *newshape, **kwargs):$/;" f +_reshape_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_shape_rule(operand, *, new_sizes, dimensions):$/;" f +_reshape_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _reshape_tensors(tensors, shape):$/;" f +_reshape_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_translation_rule(c, operand, *, new_sizes, dimensions):$/;" f +_reshape_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _reshape_transpose_rule(t, operand, *, new_sizes, dimensions):$/;" f +_reshape_yi adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _reshape_yi(self, yi, check=False):$/;" m class:_Interpolator1D +_resize adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _resize(image, shape: Sequence[int], method: Union[str, ResizeMethod],$/;" f +_resize adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _resize(self, event):$/;" m class:TextBox +_ResizeBicubicGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _ResizeBicubicGrad(op, grad):$/;" f +_ResizeBilinearGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _ResizeBilinearGrad(op, grad):$/;" f +_ResizeNearestNeighborGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _ResizeNearestNeighborGrad(op, grad):$/;" f +_resize_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _resize_dispatcher(a, new_shape):$/;" f +_resize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _resize_fn(im, new_size):$/;" f function:resize_image_with_pad_v1 file: +_resize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _resize_fn(im, new_size):$/;" f function:resize_image_with_pad_v2 file: +_resize_images_common adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _resize_images_common(images, resizer_fn, size, preserve_aspect_ratio, name,$/;" f +_resize_image_with_pad_common adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _resize_image_with_pad_common(image, target_height, target_width,$/;" f +_RESIZE_METHODS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^_RESIZE_METHODS = {$/;" v +_resize_nearest adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _resize_nearest(x, output_shape):$/;" f +_resize_nearest_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _resize_nearest_ref(X):$/;" f member:TorchIntegration._test_resize_nearest_op file: +_resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolve adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolve adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolve adpepsenv/lib/python3.8/site-packages/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolve adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def _resolve(self):$/;" m class:MovedAttribute +_resolve adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def _resolve(self):$/;" m class:MovedModule +_resolvenameargspattern adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _resolvenameargspattern(line):$/;" f +_resolve_aliases adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _resolve_aliases(params):$/;" m class:Fuzzer +_resolve_ambiguous_time adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _resolve_ambiguous_time(self, dt):$/;" m class:tzfile +_resolve_args_by_export_type adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _resolve_args_by_export_type(arg_name, arg_value, operator_export_type):$/;" f +_resolve_as_ep adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def _resolve_as_ep(val):$/;" m class:test +_resolve_from_stridxs adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _resolve_from_stridxs(self, strids):$/;" m class:_ymd +_resolve_grad_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _resolve_grad_captures(body_graph, body_grad_graph, while_op):$/;" f +_resolve_grad_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _resolve_grad_inputs(cond_graph, grad_graph):$/;" f +_resolve_hostlist adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def _resolve_hostlist(self):$/;" m class:SlurmClusterResolver +_resolve_kwargs adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _resolve_kwargs(fun, args, kwargs):$/;" f +_resolve_name adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^def _resolve_name(name, package, level):$/;" f +_resolve_num_tasks adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def _resolve_num_tasks(self):$/;" m class:SlurmClusterResolver +_resolve_one adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _resolve_one($/;" m class:Resolver +_resolve_own_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def _resolve_own_rank(self):$/;" m class:SlurmClusterResolver +_resolve_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _resolve_prefix(self, token):$/;" m class:CommandHandlerRegistry +_resolve_scope_overrides adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^ def _resolve_scope_overrides(self, candidate_scope):$/;" m class:ParameterSharingContext +_resolve_setup_path adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def _resolve_setup_path(egg_base, install_dir, egg_path):$/;" m class:develop +_resolve_task_configuration adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def _resolve_task_configuration(self):$/;" m class:SlurmClusterResolver +_resolve_typed_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def _resolve_typed_callable(self, f_types, arg_types, keyword_types):$/;" m class:StmtInferrer +_RESOURCE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_RESOURCE = _descriptor.Descriptor($/;" v +_RESOURCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_RESOURCE = _descriptor.Descriptor($/;" v +_Resource adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resources.py /^_Resource = collections.namedtuple("_Resource",$/;" v +_RESOURCEDTYPEANDSHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^_RESOURCEDTYPEANDSHAPE = _descriptor.Descriptor($/;" v +_ResourceGather adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _ResourceGather(_Node):$/;" c +_ResourceGatherNd adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _ResourceGatherNd(_Node):$/;" c +_ResourceGatherNdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ResourceGatherNdGrad(op, grad): # pylint: disable=missing-docstring$/;" f +_RESOURCEHANDLEPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^_RESOURCEHANDLEPROTO = _descriptor.Descriptor($/;" v +_RESOURCEHANDLEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^_RESOURCEHANDLEPROTO = _descriptor.Descriptor($/;" v +_RESOURCEHANDLEPROTO_DTYPEANDSHAPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^_RESOURCEHANDLEPROTO_DTYPEANDSHAPE = _descriptor.Descriptor($/;" v +_RESOURCEHANDLEPROTO_DTYPEANDSHAPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^_RESOURCEHANDLEPROTO_DTYPEANDSHAPE = _descriptor.Descriptor($/;" v +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Adadelta +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Adagrad +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Adam +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:NonFusedAdam +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Adamax +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Ftrl +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:SGD +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:Nadam +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _resource_apply_dense(self, grad, handle, apply_state):$/;" m class:OptimizerV2 +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def _resource_apply_dense(self, grad, var, apply_state=None):$/;" m class:RMSprop +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:AdadeltaOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:AdagradOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:AdagradDAOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:AdamOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _resource_apply_dense(self, grad, handle):$/;" m class:MixedPrecisionLossScaleOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:FtrlOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def _resource_apply_dense(self, grad, handle):$/;" m class:GradientDescentOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:MomentumOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _resource_apply_dense(self, grad, handle):$/;" m class:Optimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:ProximalAdagradOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:ProximalGradientDescentOptimizer +_resource_apply_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _resource_apply_dense(self, grad, var):$/;" m class:RMSPropOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Adadelta +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Adagrad +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Adam +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:NonFusedAdam +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Adamax +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Ftrl +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:SGD +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:Nadam +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _resource_apply_sparse(self, grad, handle, indices, apply_state):$/;" m class:OptimizerV2 +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def _resource_apply_sparse(self, grad, var, indices, apply_state=None):$/;" m class:RMSprop +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:AdadeltaOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:AdagradOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:AdagradDAOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:AdamOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _resource_apply_sparse(self, grad, handle, indices):$/;" m class:MixedPrecisionLossScaleOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:FtrlOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:MomentumOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _resource_apply_sparse(self, grad, handle, indices):$/;" m class:Optimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:ProximalAdagradOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:ProximalGradientDescentOptimizer +_resource_apply_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def _resource_apply_sparse(self, grad, var, indices):$/;" m class:RMSPropOptimizer +_resource_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def _resource_apply_sparse_duplicate_indices(self, grad, var, indices,$/;" m class:SGD +_resource_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _resource_apply_sparse_duplicate_indices(self, grad, handle, indices,$/;" m class:OptimizerV2 +_resource_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def _resource_apply_sparse_duplicate_indices(self, grad, handle, indices):$/;" m class:GradientDescentOptimizer +_resource_apply_sparse_duplicate_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _resource_apply_sparse_duplicate_indices(self, grad, handle, indices):$/;" m class:Optimizer +_resource_capture_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def _resource_capture_helper(self, tensor):$/;" m class:_WhileBodyGradFuncGraph +_resource_loader adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^from tensorflow.python.platform import resource_loader as _resource_loader$/;" x +_resource_loader adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^from tensorflow.python.platform import resource_loader as _resource_loader$/;" x +_resource_resolver adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _resource_resolver(op, resource_reads, resource_writes):$/;" f +_resource_safe_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _resource_safe_shape(t):$/;" f +_resource_scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _resource_scatter_add(self, x, i, v):$/;" m class:OptimizerV2 +_resource_scatter_add adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def _resource_scatter_add(self, x, i, v):$/;" m class:AdamOptimizer +_resource_scatter_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _resource_scatter_update(self, x, i, v):$/;" m class:OptimizerV2 +_resource_to_zip adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _resource_to_zip(self, resource_name):$/;" m class:ZipProvider +_resource_to_zip adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _resource_to_zip(self, resource_name):$/;" m class:ZipProvider +_RESOURCE_TRACKER_STACK adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^_RESOURCE_TRACKER_STACK = []$/;" v +_resource_variable_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^_resource_variable_type = None$/;" v +_Response adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^class _Response(transport.Response):$/;" c +_Response adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^class _Response(transport.Response):$/;" c +_Response adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^class _Response(transport.Response):$/;" c +_response_ready adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _response_ready():$/;" f member:_MultiThreadedRendezvous._next file: +_restack_sparse_tensor_logically adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _restack_sparse_tensor_logically(self, indices, values, shape):$/;" m class:PFor +_restore adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def _restore(M, shape):$/;" f +_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _restore(self, saver, sess):$/;" m class:DatasetSerializationTestBase +_RestoredResource adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^class _RestoredResource(tracking.TrackableResource):$/;" c +_restored_warnings adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ _restored_warnings = False$/;" v class:JitTestCase +_restore_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _restore_checkpoint(self):$/;" m class:Loader +_restore_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def _restore_checkpoint(self,$/;" m class:SessionManager +_restore_child_layer_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _restore_child_layer_functions(original_fns):$/;" f +_restore_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _restore_collections(dest_graph, src_meta_graph_def, collection_keys):$/;" f member:TrtGraphConverter.save file: +_restore_dict adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^_restore_dict = {}$/;" v +_restore_foreground_window_at_end adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _restore_foreground_window_at_end():$/;" f +_restore_from_checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _restore_from_checkpoint_position(self, checkpoint_position):$/;" m class:_DelegatingTrackableMixin +_restore_from_checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _restore_from_checkpoint_position(self, checkpoint_position):$/;" m class:Trackable +_restore_from_saver adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _restore_from_saver(self, scaffold, session):$/;" m class:SavedModelEstimator +_restore_gradient_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _restore_gradient_functions(func_graph, renamed_functions):$/;" f +_restore_layer_activation_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _restore_layer_activation_loss(layer):$/;" f +_restore_layer_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _restore_layer_losses(losses_dict):$/;" f +_restore_layer_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _restore_layer_metrics(layer):$/;" f +_restore_layer_unconditional_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _restore_layer_unconditional_losses(layer):$/;" f +_restore_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _restore_name_scope(*_):$/;" f member:name_scope_v2.__enter__ file: +_restore_or_save_initial_ckpt adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def _restore_or_save_initial_ckpt(self, session):$/;" m class:CheckpointInputPipelineHook +_restore_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^def _restore_slice(file_pattern, tensor_name, shape_and_slice, tensor_type,$/;" f +_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _restore_slot_variable(self, slot_name, variable, slot_variable):$/;" m class:LossScaleOptimizer +_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _restore_slot_variable(self, slot_name, variable, slot_variable):$/;" m class:OptimizerV2 +_restore_slot_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _restore_slot_variable(self, slot_name, variable, slot_variable):$/;" m class:Optimizer +_restore_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^_restore_sparse = sparse_ops._take_many_sparse_from_tensors_map$/;" v +_restore_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _restore_sparse_tensors(stored_list, sparse_info_list):$/;" f +_restore_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def _restore_updates(self):$/;" m class:CombinerPreprocessingLayer +_restore_updates adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer_v1.py /^ def _restore_updates(self):$/;" m class:CombinerPreprocessingLayer +_restrict_to_keys adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def _restrict_to_keys(dictionary, goodkeys):$/;" f member:SHGO.__init__ file: +_Restructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _Restructure(l, structure):$/;" f +_RestructuredDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _RestructuredDataset(UnaryDataset):$/;" c +_result adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ class _result(_resultbase):$/;" c class:parser +_result adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ class _result(_resultbase):$/;" c class:_tzparser +_result adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^_result = namedtuple('_result', 'c, colors')$/;" v +_resultbase adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class _resultbase(object):$/;" c +_resultbase adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^_resultbase = __deprecate_private_class(_resultbase)$/;" v +_results_select adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _results_select(full_output, r):$/;" f +_result_batchable_to_flat adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _result_batchable_to_flat(result_batchable, result_flat_signature,$/;" f +_result_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _result_dtype(op, *args):$/;" f +_result_flat_signature_to_batchable_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _result_flat_signature_to_batchable_tensor_spec(result_flat_signature):$/;" f +_result_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^def _result_type(*arrays_and_dtypes):$/;" f +_result_type_binary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _result_type_binary(t1, t2): # pylint: disable=missing-function-docstring$/;" f +_result_value_flat_to_batchable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/map_fn.py /^def _result_value_flat_to_batchable(result_value_flat, result_flat_signature):$/;" f +_ResumeIteration adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^_ResumeIteration = namedtuple('_ResumeIteration', [])$/;" v +_RETCODE_ERROR adpepsenv/lib/python3.8/site-packages/scipy/linalg/_interpolative_backend.py /^_RETCODE_ERROR = RuntimeError("nonzero return code")$/;" v +_retrieve adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _retrieve(self) -> Callable[..., core.Tensor]:$/;" m class:Adagrad +_retrieve adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _retrieve(self) -> Callable[..., core.Tensor]:$/;" m class:Adam +_retrieve adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _retrieve(self) -> Callable[..., core.Tensor]:$/;" m class:SGD +_retrieve adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _retrieve(self) -> Callable[..., core.Tensor]:$/;" m class:_Optimizer +_RetrieveTPUEmbeddingAdadeltaParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingAdadeltaParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingAdadeltaParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingAdadeltaParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingAdagradParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingAdagradParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingAdagradParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingAdagradParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingADAMParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingADAMParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingADAMParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingADAMParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingCenteredRMSPropParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingCenteredRMSPropParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingFTRLParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingFTRLParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingFTRLParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingFTRLParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingMDLAdagradLightParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingMDLAdagradLightParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingMomentumParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingMomentumParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingMomentumParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingMomentumParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingProximalAdagradParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingProximalAdagradParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingProximalAdagradParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingProximalAdagradParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingProximalYogiParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingProximalYogiParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingProximalYogiParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingProximalYogiParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingRMSPropParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingRMSPropParametersGradAccumDebugOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingRMSPropParametersOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingRMSPropParametersOutput = collections.namedtuple($/;" v +_RetrieveTPUEmbeddingStochasticGradientDescentParametersGradAccumDebugOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_tpu_ops.py /^_RetrieveTPUEmbeddingStochasticGradientDescentParametersGradAccumDebugOutput = collections.named/;" v +_retrieve_all_filtered_nodes adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _retrieve_all_filtered_nodes(self):$/;" m class:Loader +_retrieve_info adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def _retrieve_info(self, request):$/;" m class:Credentials +_retrieve_obj adpepsenv/lib/python3.8/site-packages/h5py/ipy_completer.py /^def _retrieve_obj(name, context):$/;" f +_retrieve_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _retrieve_variables(self):$/;" m class:TPUEmbedding +_retrieve_variables_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^def _retrieve_variables_impl($/;" f +_RetryAfter adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^class _RetryAfter(HTTPException):$/;" c +_RetryMeta adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^class _RetryMeta(type):$/;" c +_retry_on_intr adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^ def _retry_on_intr(fn, timeout):$/;" f +_retry_on_intr adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^ def _retry_on_intr(fn, timeout):$/;" f +_RETRY_TIMES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^_RETRY_TIMES = 12 * 24 # 1 day$/;" v +_Return adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Return(self, t):$/;" m class:Unparser +_return_counts adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _return_counts(input, sorted=True, return_inverse=False, return_counts=False, dim=None):$/;" f +_return_gpu_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _return_gpu_tensor():$/;" m class:RpcTest +_return_gpu_tensor_list adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _return_gpu_tensor_list():$/;" m class:RpcTest +_return_inverse adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _return_inverse(input, sorted=True, return_inverse=False, return_counts=False, dim=None):$/;" f +_return_inverse_false adpepsenv/lib/python3.8/site-packages/torch/functional.py /^_return_inverse_false = boolean_dispatch($/;" v +_return_inverse_true adpepsenv/lib/python3.8/site-packages/torch/functional.py /^_return_inverse_true = boolean_dispatch($/;" v +_return_not_implemented adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^def _return_not_implemented(self, *args, **kwargs):$/;" f +_return_output adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _return_output(input, sorted=True, return_inverse=False, return_counts=False, dim=None):$/;" f +_reuse adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def _reuse(self):$/;" m class:WrappedSocket +_reuse adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _reuse(self):$/;" m class:WrappedSocket +_reuse adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def _reuse(self):$/;" m class:WrappedSocket +_reuse adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _reuse(self):$/;" m class:WrappedSocket +_ReuseMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _ReuseMode(enum.Enum):$/;" c +_rev adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _rev(operand, *, dimensions):$/;" f +_revalidate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _revalidate(self):$/;" m class:TransformedPatchPath +_revalidate adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _revalidate(self):$/;" m class:TransformedPath +_revalidate_path adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def _revalidate_path(self):$/;" m class:TextPath +_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn.py /^ def _reverse(input_, seq_lengths, seq_axis, batch_axis):$/;" f function:bidirectional_dynamic_rnn file: +_reverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _reverse(input_, seq_lengths, seq_axis, batch_axis):$/;" f function:bidirectional_dynamic_rnn file: +_ReverseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ReverseGrad(op, grad):$/;" f +_reverser adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^def _reverser(f, x): # Deprecated, remove this at the same time as revcmap.$/;" f +_reverser adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _reverser(func, x):$/;" m class:LinearSegmentedColormap +_ReverseSequenceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ReverseSequenceGrad(op, grad):$/;" f +_ReverseV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ReverseV2Grad(op, grad):$/;" f +_reverse_and_conj adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _reverse_and_conj(x):$/;" f +_reverse_pointer adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _reverse_pointer(self):$/;" m class:_BaseV4 +_reverse_pointer adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _reverse_pointer(self):$/;" m class:_BaseV6 +_reverse_repeat_tuple adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^def _reverse_repeat_tuple(t, n):$/;" f +_reverse_seq adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _reverse_seq(input_seq, lengths):$/;" f +_revert adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def _revert(self, path, first_action=Path.LINETO):$/;" m class:Sankey +_REVIVED_TYPE_REGISTRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^_REVIVED_TYPE_REGISTRY = {}$/;" v +_revive_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _revive_from_config(self, identifier, metadata, node_id):$/;" m class:KerasObjectLoader +_revive_graph_network adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _revive_graph_network(self, metadata, node_id):$/;" m class:KerasObjectLoader +_revive_layer_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _revive_layer_from_config(self, metadata, node_id):$/;" m class:KerasObjectLoader +_revive_metric_from_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _revive_metric_from_config(self, metadata):$/;" m class:KerasObjectLoader +_revive_setter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _revive_setter(layer, name, value):$/;" f +_rev_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _rev_batch_rule(batched_args, batch_dims, *, dimensions):$/;" f +_rev_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _rev_shape_rule(operand, *, dimensions):$/;" f +_RewriteBlock adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^class _RewriteBlock(object):$/;" c +_REWRITERCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG = _descriptor.Descriptor($/;" v +_REWRITERCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG = _descriptor.Descriptor($/;" v +_REWRITERCONFIG_CPULAYOUT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_CPULAYOUT = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_CPULAYOUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_CPULAYOUT = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER = _descriptor.Descriptor($/;" v +_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER = _descriptor.Descriptor($/;" v +_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER_PARAMETERMAPENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER_PARAMETERMAPENTRY = _descriptor.Descriptor($/;" v +_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER_PARAMETERMAPENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_CUSTOMGRAPHOPTIMIZER_PARAMETERMAPENTRY = _descriptor.Descriptor($/;" v +_REWRITERCONFIG_MEMOPTTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_MEMOPTTYPE = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_MEMOPTTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_MEMOPTTYPE = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_NUMITERATIONSTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_NUMITERATIONSTYPE = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_NUMITERATIONSTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_NUMITERATIONSTYPE = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_TOGGLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_REWRITERCONFIG_TOGGLE = _descriptor.EnumDescriptor($/;" v +_REWRITERCONFIG_TOGGLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_REWRITERCONFIG_TOGGLE = _descriptor.EnumDescriptor($/;" v +_rewriter_config_optimizer_disabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/function_utils.py /^_rewriter_config_optimizer_disabled = None$/;" v +_rewrite_closed_jaxpr adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _rewrite_closed_jaxpr($/;" f +_rewrite_eqn adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _rewrite_eqn(eqn: core.JaxprEqn, eqns: List[core.JaxprEqn],$/;" f +_rewrite_forward_and_call_backward adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _rewrite_forward_and_call_backward(self, op, *doutputs):$/;" m class:_DelayedRewriteGradientFunctions +_rewrite_grad_indexed_slices_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _rewrite_grad_indexed_slices_output(old_output_slices, new_input_slices):$/;" f +_rewrite_input_as_indexed_slices adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _rewrite_input_as_indexed_slices(body_grad_graph, grad_output_slices,$/;" f +_rewrite_jaxpr adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _rewrite_jaxpr(jaxpr: core.Jaxpr, has_input_token: bool,$/;" f +_rewrite_output_as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _rewrite_output_as_tensor(body_grad_graph, grad_output_slices):$/;" f +_rewrite_while_outfeed_cond adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _rewrite_while_outfeed_cond(eqn: core.JaxprEqn, eqns: List[core.JaxprEqn],$/;" f +_rewriting_take adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _rewriting_take(arr, idx):$/;" f +_re_vbox adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ _re_vbox = re.compile($/;" v class:TexManager +_rfft adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _rfft(input_tensor, fft_length=None, name=None):$/;" f function:_rfft_wrapper file: +_rfft_grad_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _rfft_grad_helper(rank, irfft_fn):$/;" f +_rfft_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^def _rfft_transpose(t, fft_lengths):$/;" f +_rfft_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^def _rfft_wrapper(fft_fn, fft_rank, default_name):$/;" f +_rff_hypothesis_test adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _rff_hypothesis_test(rff_output, X, W, b, scale):$/;" f member:TestLayers.testRandomFourierFeatures file: +_RGBAxes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axes_rgb.py /^ make_rgb_axes, imshow_rgb, RGBAxes as _RGBAxes)$/;" x +_RGBToHSVGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _RGBToHSVGrad(op, grad):$/;" f +_rgb_to_rgba adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^def _rgb_to_rgba(A):$/;" f +_rgb_to_yiq_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^_rgb_to_yiq_kernel = [[0.299, 0.59590059, 0.2115],$/;" v +_rgb_to_yuv_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^_rgb_to_yuv_kernel = [[0.299, -0.14714119, 0.61497538],$/;" v +_rhp adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _rhp(x, y):$/;" f +_right adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _right(self, b):$/;" m class:Dvi +_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_operators.py /^def _right(operator):$/;" f +_RightShift adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _RightShift(x):$/;" f +_right_delim adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _right_delim = set(r") ] \\} > \\rfloor \\rangle \\rceil".split())$/;" v class:Parser +_right_w adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _right_w(self, new_w):$/;" m class:Dvi +_right_x adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _right_x(self, new_x):$/;" m class:Dvi +_ring_3d adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^def _ring_3d(x_size, y_size, z_size):$/;" f +_ring_permutations adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _ring_permutations(num_workers, num_subchunks, gpu_perm):$/;" f +_RintGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RintGrad(_, unused_grad):$/;" f +_rlistdir adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^def _rlistdir(dirname):$/;" f +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:IdentityOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, X):$/;" m class:LinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_AdjointLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, X):$/;" m class:_CustomLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_PowerLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_ProductLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_ScaledLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_SumLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatmat(self, x):$/;" m class:_TransposedLinearOperator +_rmatmat adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _rmatmat(self, x):$/;" m class:TestAsLinearOperator.setup_method.make_cases.HasRmatmat +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^ def _rmatvec(self, x):$/;" m class:_MatrixM1PowerOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def _rmatvec(self, x):$/;" m class:CheckingLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:IdentityOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:LinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_AdjointLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_CustomLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_PowerLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_ProductLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_ScaledLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_SumLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _rmatvec(self, x):$/;" m class:_TransposedLinearOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _rmatvec(self, x):$/;" m class:MatrixPowerOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def _rmatvec(self, x):$/;" m class:ProductOperator +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def _rmatvec(self,x):$/;" m class:TestAsLinearOperator.setup_method.make_cases.HasRmatvec +_rmatvec adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def _rmatvec(self, x):$/;" m class:MatrixProductOperator +_RMSPropHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _RMSPropHandler(_OptimizerHandler):$/;" c +_RMSPROPPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_RMSPROPPARAMETERS = _descriptor.Descriptor($/;" v +_rmtree_ignore_errors adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _rmtree_ignore_errors(path):$/;" f +_rng_uniform_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _rng_uniform_abstract_eval(a, b, *, shape):$/;" f +_rng_uniform_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _rng_uniform_translation_rule(c, a, b, *, shape):$/;" f +_RNNCellForTest adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^class _RNNCellForTest(rnn_cell_impl.RNNCell):$/;" c +_RNNCellWrapperV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^class _RNNCellWrapperV1(RNNCell):$/;" c +_RNNCellWrapperV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^class _RNNCellWrapperV2(recurrent.AbstractRNNCell):$/;" c +_RNNSTATE adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_RNNSTATE = _descriptor.Descriptor($/;" v +_rnn_cell_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/autocast_test_lists.py /^ def _rnn_cell_args(self, n, num_chunks, is_lstm, dev, dtype):$/;" m class:AutocastTestLists +_rnn_full adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def _rnn_full(g, input, hidden, weight_v, has_biases, num_layers, dropout, train, bidirectio/;" f function:_one_hidden_rnn file: +_rnn_get_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def _rnn_get_variable(self, getter, *args, **kwargs):$/;" m class:RNNCell +_rnn_impls adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^_rnn_impls = {$/;" v +_rnn_packed adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^ def _rnn_packed(g, input, batch_sizes, hidden, weight_v, has_biases, num_layers, dropout, tr/;" f function:_one_hidden_rnn file: +_rnn_reform_weights adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _rnn_reform_weights(cls, reforms, name, hidden_size, init_net, gates, reorder_indices):$/;" m class:Caffe2Backend +_rnn_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _rnn_step(time,$/;" f +_roll adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _roll(a, shift, axis):$/;" f +_rollaxis_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _rollaxis_dispatcher(a, axis, start=None):$/;" f +_RollGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/manip_grad.py /^def _RollGrad(op, grad):$/;" f +_roll_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _roll_dispatcher(a, shift, axis=None):$/;" f +_roll_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _roll_vectorized(M, roll_indices, axis):$/;" f +_romberg_diff adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^def _romberg_diff(b, c, k):$/;" f +_rootfuns_map adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^_rootfuns_map = {'roots_legendre': 'p_roots',$/;" v +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _roots = staticmethod(chebroots)$/;" v class:Chebyshev +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _roots = staticmethod(hermroots)$/;" v class:Hermite +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _roots = staticmethod(hermeroots)$/;" v class:HermiteE +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _roots = staticmethod(lagroots)$/;" v class:Laguerre +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _roots = staticmethod(legroots)$/;" v class:Legendre +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _roots = staticmethod(polyroots)$/;" v class:Polynomial +_roots adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _roots(c):$/;" m class:ABCPolyBase +_roots_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^def _roots_dispatcher(p):$/;" f +_roots_hermite_asy adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^def _roots_hermite_asy(n):$/;" f +_roots_no_zeros adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^def _roots_no_zeros(p):$/;" f +_RootTuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^_RootTuple = collections.namedtuple('_RootTuple', 'f, solve, l_and_s')$/;" v +_root_anderson_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_anderson_doc():$/;" f +_root_broyden1_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_broyden1_doc():$/;" f +_root_broyden2_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_broyden2_doc():$/;" f +_root_df_sane adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^def _root_df_sane(func, x0, args=(), ftol=1e-8, fatol=1e-300, maxfev=1000,$/;" f +_root_diagbroyden_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_diagbroyden_doc():$/;" f +_root_excitingmixing_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_excitingmixing_doc():$/;" f +_root_hybr adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _root_hybr(func, x0, args=(), jac=None,$/;" f +_root_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _root_jvp(const_lengths, jaxprs, primals, tangents):$/;" f +_root_krylov_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_krylov_doc():$/;" f +_root_leastsq adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_leastsq(fun, x0, args=(), jac=None,$/;" f +_root_linearmixing_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_linearmixing_doc():$/;" f +_root_nonlin_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _root_nonlin_solve(fun, x0, args=(), jac=None,$/;" f +_root_scalar_bisect_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_bisect_doc():$/;" f +_root_scalar_brenth_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_brenth_doc():$/;" f +_root_scalar_brentq_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_brentq_doc():$/;" f +_root_scalar_halley_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_halley_doc():$/;" f +_root_scalar_newton_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_newton_doc():$/;" f +_root_scalar_ridder_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_ridder_doc():$/;" f +_root_scalar_secant_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_secant_doc():$/;" f +_root_scalar_toms748_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^def _root_scalar_toms748_doc():$/;" f +_rot180 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot180():$/;" f function:_rot90_3D file: +_rot180 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot180():$/;" f function:_rot90_4D file: +_rot270 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot270():$/;" f function:_rot90_3D file: +_rot270 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot270():$/;" f function:_rot90_4D file: +_rot90 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot90():$/;" f function:_rot90_3D file: +_rot90 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _rot90():$/;" f function:_rot90_4D file: +_rot90_3D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _rot90_3D(image, k, name_scope):$/;" f +_rot90_4D adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _rot90_4D(images, k, name_scope):$/;" f +_rot90_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _rot90_dispatcher(m, k=None, axes=None):$/;" f +_rotate_last_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^def _rotate_last_dim(x, rotate_right=False):$/;" f +_rotate_left adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^ def _rotate_left(x, d):$/;" f function:_make_rotate_left file: +_rotate_vectors adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _rotate_vectors(H, i, cs, sn):$/;" f +_rotvec_dot_to_angular_rate_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^def _rotvec_dot_to_angular_rate_matrix(rotvecs):$/;" f +_rough_check adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _rough_check(a, b, compare_assert=partial(assert_allclose, atol=1e-5),$/;" f +_round adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _round(operand, *, rounding_method):$/;" f +_RoundGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RoundGrad(_, unused_grad):$/;" f +_RoundRobinStrategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^class _RoundRobinStrategy(object):$/;" c +_RoundTowardZero adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _RoundTowardZero(value, divider):$/;" f +_round_float adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def _round_float(x):$/;" f function:round file: +_round_half_away_from_zero adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/ndimage.py /^def _round_half_away_from_zero(a):$/;" f +_round_if_needed adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _round_if_needed(arr, dtype):$/;" f +_round_to_nearest_even adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _round_to_nearest_even(x):$/;" f +_round_to_power_of_two adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _round_to_power_of_two(x):$/;" f +_round_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _round_translation_rule(c, x, *, rounding_method):$/;" f +_route_request adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def _route_request(self, environ, start_response):$/;" m class:TensorBoardWSGI +_Row adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^class _Row(object):$/;" c +_row_count adpepsenv/lib/python3.8/site-packages/scipy/optimize/_remove_redundancy.py /^def _row_count(A):$/;" f +_row_partitions_for_ragged_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _row_partitions_for_ragged_tensor(value, rank, dtype):$/;" f +_row_partitions_for_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _row_partitions_for_tensor(value, rank, dtype):$/;" f +_row_partitions_for_uniform_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^def _row_partitions_for_uniform_shape(shape, rank):$/;" f +_row_partition_factory_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^_row_partition_factory_key = object() # unique private object$/;" v +_row_starts adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_gather_ops.py /^def _row_starts(t, dtype):$/;" f +_RPCOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RPCOPTIONS = _descriptor.Descriptor($/;" v +_RPCOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RPCOPTIONS = _descriptor.Descriptor($/;" v +_RPCState adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _RPCState(object):$/;" c +_RPCState adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _RPCState(object):$/;" c +_RPC_ALREADY_FINISHED_DETAILS adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_RPC_ALREADY_FINISHED_DETAILS = 'RPC already finished.'$/;" v +_RPC_ERROR_FROM_PS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^_RPC_ERROR_FROM_PS = "GRPC error information from remote target \/job:ps"$/;" v +_RPC_HALF_CLOSED_DETAILS adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^_RPC_HALF_CLOSED_DETAILS = 'RPC is half closed after calling "done_writing".'$/;" v +_RPC_LAYER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_RPC_LAYER_KEY = 'rpc_layer'$/;" v +_RPC_LAYER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^_RPC_LAYER_KEY = 'rpc_layer'$/;" v +_rpc_state_string adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _rpc_state_string(class_name, rpc_state):$/;" f +_rref_reducer adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def _rref_reducer(self, rref):$/;" m class:_InternalRPCPickler +_rref_typeof_on_owner adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _rref_typeof_on_owner(rref):$/;" f +_rref_typeof_on_user adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _rref_typeof_on_user(rref):$/;" f +_rrulestr adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^class _rrulestr(object):$/;" c +_RsqrtGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RsqrtGrad(op, grad):$/;" f +_RsqrtGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _RsqrtGradGrad(op, grad):$/;" f +_rsqrt_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _rsqrt_flops(graph, node):$/;" f +_rsqrt_grad_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _rsqrt_grad_flops(graph, node):$/;" f +_rsub_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _rsub_dense(self, other):$/;" m class:spmatrix +_rtol adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_rtol = 4 * np.finfo(float).eps$/;" v +_rtol adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^_rtol = 1e-10$/;" v +_rtol adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ _rtol = {$/;" v class:LinearOperatorDerivedClassTest +_rt_check_case adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def _rt_check_case(name, expected, format):$/;" f +_rule_re adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_rule_re = re.compile($/;" v +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def _run(self, layer_model, param):$/;" m class:BatchNormalizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def _run(self, layer_model, param):$/;" m class:LayerNormalizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def _run(self, net, param):$/;" m class:Normalizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:AdadeltaOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:AdagradOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:AdamOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:FtrlOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:GFtrlOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:MultiPrecisionSgdOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:Optimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:RmsPropOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:SgdOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:StormOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:WeightDecayBuilder +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:WngradOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info):$/;" m class:YellowFinOptimizer +_run adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def _run(self, net, param_init_net, param_info, fp32_update=False):$/;" m class:FP16SgdOptimizer +_run adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _run(self):$/;" m class:MovieWriter +_run adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def _run(self):$/;" m class:test_movie_writer_dpi_default.DummyMovieWriter +_run adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^def _run(flags, experiment_url_callback=None):$/;" f +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _run(self, handle, fetches, feed_dict, options, run_metadata):$/;" m class:BaseSession +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _run(self, op):$/;" m class:TableHandler +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _run(self):$/;" m class:GeneratorEnqueuer +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _run(self):$/;" m class:OrderedEnqueuer +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _run(self):$/;" m class:SequenceEnqueuer +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/app.py /^from absl.app import run as _run$/;" x +_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def _run(self, sess, enqueue_op, coord=None):$/;" m class:QueueRunner +_run adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^ def _run(self, sess, enqueue_op, feed_fn, coord=None):$/;" m class:_FeedingQueueRunner +_run adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _run(cls, rank, test_name, file_name):$/;" m class:MultiProcessTestCase +_run adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _run(cls, rank, test_name, file_name):$/;" m class:TestDistBackend +_RunAllOnGPU adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _RunAllOnGPU(net, gpu_id=0, use_cudnn=False):$/;" m class:Net +_RunComparison adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _RunComparison(model, blob_name, device=None):$/;" f +_RUNCONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_RUNCONFIGURATION = _descriptor.Descriptor($/;" v +_RUNCONFIGURATION_ENVVARSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_RUNCONFIGURATION_ENVVARSENTRY = _descriptor.Descriptor($/;" v +_RunElseNet adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunElseNet(NetBuilder):$/;" c +_RunGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _RunGraph(self,$/;" m class:TfTrtIntegrationTestBase +_RunGraphV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _RunGraphV1(self, saved_model_dir, inputs_data, config, num_runs=2):$/;" m class:TfTrtIntegrationTestBase +_RunGraphV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _RunGraphV2(self, saved_model_dir, inputs_data, graph_state, num_runs=2):$/;" m class:TfTrtIntegrationTestBase +_RunIf adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunIf(_RunOnce):$/;" c +_RunIfNet adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunIfNet(NetBuilder):$/;" c +_RUNMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNMETADATA = _descriptor.Descriptor($/;" v +_RUNMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNMETADATA = _descriptor.Descriptor($/;" v +_RUNMETADATA_FUNCTIONGRAPHS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNMETADATA_FUNCTIONGRAPHS = _descriptor.Descriptor($/;" v +_RUNMETADATA_FUNCTIONGRAPHS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNMETADATA_FUNCTIONGRAPHS = _descriptor.Descriptor($/;" v +_running_from_pip_package adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^def _running_from_pip_package():$/;" f +_running_from_pip_package adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^def _running_from_pip_package():$/;" f +_running_in_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^_running_in_worker = False$/;" v +_running_under_regular_virtualenv adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def _running_under_regular_virtualenv():$/;" f +_running_under_venv adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/virtualenv.py /^def _running_under_venv():$/;" f +_RunOnce adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunOnce(NetBuilder):$/;" c +_RunOnceIf adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _RunOnceIf(name, condition_blob_or_net, nets_or_steps):$/;" f +_RunOnceIfNot adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^def _RunOnceIfNot(name, condition_blob_or_net, nets_or_steps):$/;" f +_RUNOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNOPTIONS = _descriptor.Descriptor($/;" v +_RUNOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNOPTIONS = _descriptor.Descriptor($/;" v +_RUNOPTIONS_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNOPTIONS_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_RUNOPTIONS_EXPERIMENTAL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNOPTIONS_EXPERIMENTAL = _descriptor.Descriptor($/;" v +_RUNOPTIONS_EXPERIMENTAL_RUNHANDLERPOOLOPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNOPTIONS_EXPERIMENTAL_RUNHANDLERPOOLOPTIONS = _descriptor.Descriptor($/;" v +_RUNOPTIONS_EXPERIMENTAL_RUNHANDLERPOOLOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNOPTIONS_EXPERIMENTAL_RUNHANDLERPOOLOPTIONS = _descriptor.Descriptor($/;" v +_RUNOPTIONS_TRACELEVEL adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_RUNOPTIONS_TRACELEVEL = _descriptor.EnumDescriptor($/;" v +_RUNOPTIONS_TRACELEVEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_RUNOPTIONS_TRACELEVEL = _descriptor.EnumDescriptor($/;" v +_RUNS_PER_LOOP adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_RUNS_PER_LOOP = 3$/;" v +_runtime adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _runtime(runtime_name):$/;" f +_RUNTIME_CPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_RUNTIME_CPU = 1$/;" v +_RUNTIME_GPU adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_RUNTIME_GPU = 2$/;" v +_runtime_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _runtime_mode(self, executing_eagerly):$/;" m class:_ProcFunc +_runtime_threads_task adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def _runtime_threads_task(name, group, final_outputs, reader, num_threads,$/;" f +_RUNTIME_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^_RUNTIME_UNKNOWN = 0$/;" v +_runtime_zero_iterations_errmsg adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _runtime_zero_iterations_errmsg(symbol_names, nulls, init_vars):$/;" f +_RunWhileCondition adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunWhileCondition(NetBuilder):$/;" c +_RunWhileNet adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _RunWhileNet(NetBuilder):$/;" c +_run_actual_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _run_actual_batch(self, outputs, label_key_provided=False):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:BoundedGradientProjection +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:ConstantNorm +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:LogBarrier +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:MaxNorm +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:Regularizer +_run_after_optimizer adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_after_optimizer(self, net, param_init_net, param, grad):$/;" m class:SparseLpNorm +_run_all_gather_coalesced_and_verify adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _run_all_gather_coalesced_and_verify($/;" m class:DistributedTest._DistTestBase +_run_and_get_tests_result adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _run_and_get_tests_result(argv, args, kwargs, xml_test_runner_class):$/;" f +_run_as_function_for_tape_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _run_as_function_for_tape_gradients(make_op, cond_inputs):$/;" f +_run_as_tf_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def _run_as_tf_function(self, fn):$/;" m class:AutoGraphTestCase +_run_axis_tests adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def _run_axis_tests(self, dtype):$/;" m class:TestUnique +_run_benchmarks adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^def _run_benchmarks(regex):$/;" f +_run_between_graph_client adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _run_between_graph_client(worker_fn, strategy, eval_fn, eval_strategy,$/;" f +_run_between_graph_clients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _run_between_graph_clients(self, client_fn, cluster_spec, num_gpus, *args,$/;" m class:MultiWorkerTestBase +_run_cert_provider_command adpepsenv/lib/python3.8/site-packages/google/auth/transport/_mtls_helper.py /^def _run_cert_provider_command(command, expect_encrypted_key=False):$/;" f +_run_channel_spin_thread adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _run_channel_spin_thread(state):$/;" f +_run_checked_subprocess adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def _run_checked_subprocess(self, command, tex):$/;" m class:TexManager +_run_client adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _run_client(self, client_fn, task_type, task_id, num_gpus, eager_mode,$/;" m class:MultiWorkerTestBase +_run_command adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/conversion_test.py /^ def _run_command(self, cmd, *args, **kwargs):$/;" m class:TestConversion +_run_compare_train_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_beam_search_test.py /^ def _run_compare_train_inference(self, model_params):$/;" m class:Seq2SeqBeamSearchTest +_run_compiled adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _run_compiled(self, compiled):$/;" m class:LocalSession +_run_compiled adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def _run_compiled(self, task_group):$/;" m class:Session +_run_contained adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def _run_contained(task_type, task_id, fn, args, kwargs):$/;" f +_run_conversion adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _run_conversion(self):$/;" m class:TrtGraphConverter +_run_conversion adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _run_conversion(self, meta_graph_def):$/;" m class:TrtGraphConverterV2 +_run_custom_validators adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/implicit.py /^ def _run_custom_validators(self,$/;" m class:ImplicitGrant +_run_debug adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def _run_debug(self, test):$/;" m class:TextTestRunner +_run_dir adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def _run_dir(self, run):$/;" m class:ProfilePlugin +_run_expand_op_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/expand_op_test.py /^ def _run_expand_op_test(self, X, shape, gc, dc):$/;" m class:TestExpandOp +_run_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _run_fn(feed_dict, fetch_list, target_list, options, run_metadata):$/;" f member:BaseSession._do_run file: +_run_function adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def _run_function(python_udf):$/;" f +_run_func_in_mode adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_func_in_mode(self, to, fn, mode, args=None, kwargs=None):$/;" m class:RpcTest +_run_graph_optimizations adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import run_graph_optimizations as _run_graph_optimizations$/;" x +_run_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _run_handler(self, args, screen_info=None):$/;" m class:LocalCLIDebugWrapperSession +_run_infeed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _run_infeed(self, queue_ctx, session):$/;" m class:TPUInfeedOutfeedSessionHook +_run_info_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _run_info_handler(self, args, screen_info=None):$/;" m class:LocalCLIDebugWrapperSession +_run_init adpepsenv/lib/python3.8/site-packages/absl/app.py /^def _run_init($/;" f +_run_inline_graph_optimization adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^def _run_inline_graph_optimization(func, lower_control_flow,$/;" f +_run_interceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _run_interceptor($/;" f member:InterceptedStreamStreamCall._invoke file: +_run_interceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _run_interceptor($/;" f member:InterceptedStreamUnaryCall._invoke file: +_run_interceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _run_interceptor($/;" f member:InterceptedUnaryStreamCall._invoke file: +_run_interceptor adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _run_interceptor($/;" f member:InterceptedUnaryUnaryCall._invoke file: +_run_internal_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _run_internal_graph(self, inputs, training=None, mask=None):$/;" m class:Functional +_run_in_app adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _run_in_app(function, args, kwargs):$/;" f +_run_in_graph_client adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _run_in_graph_client(worker_fn, strategy, eval_fn, eval_strategy,$/;" f +_run_latex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _run_latex(self):$/;" m class:PdfPages +_run_machar_highprec adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_machar.py /^ def _run_machar_highprec(self):$/;" m class:TestMachAr +_run_main adpepsenv/lib/python3.8/site-packages/absl/app.py /^def _run_main(main, argv):$/;" f +_run_make_and_extract_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def _run_make_and_extract_op():$/;" f function:_run_as_function_for_tape_gradients file: +_run_model adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _run_model(self, gpu_devices):$/;" m class:ParallelizeBMUFTest +_RUN_NAME_PATTERNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_RUN_NAME_PATTERNS = re.compile(r"^[^\\x00-\\x1F<>]{0,512}$")$/;" v +_run_ninja_build adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _run_ninja_build(build_directory: str, verbose: bool, error_prefix: str) -> None:$/;" f +_run_num adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^_run_num = 0$/;" v +_run_one_test adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_zeros.py /^ def _run_one_test(self, tc, method, sig_args_keys=None,$/;" m class:TestBasic +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:ElasticNet +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:ElasticNetL1NormTrimmed +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:GroupL1Norm +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:L0ApproxNorm +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:L1Norm +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:L1NormTrimmed +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:L2Norm +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:LogBarrier +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:LpNorm +_run_on_loss adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def _run_on_loss(self, net, param_init_net, param, grad=None):$/;" m class:Regularizer +_run_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _run_op(a, *args, **kwargs):$/;" f member:Variable._OverloadOperator file: +_run_outfeed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _run_outfeed(self, queue_ctx, session):$/;" m class:TPUInfeedOutfeedSessionHook +_run_paths_changed adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _run_paths_changed(self):$/;" m class:ProjectorPlugin +_run_reduction_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _run_reduction_test($/;" m class:DistributedTest._DistTestBase +_run_repeatedly adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _run_repeatedly():$/;" f function:run_repeatedly_in_background file: +_run_request_pipe_thread adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _run_request_pipe_thread(request_iterator, request_consumer,$/;" f +_run_rpc_profiling_async_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_rpc_profiling_async_function(self, device="cpu"):$/;" m class:RpcTest +_run_serve_subcommand adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def _run_serve_subcommand(self, flags):$/;" m class:TensorBoard +_run_single_op_net adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def _run_single_op_net(self, arrays, num_bins, logspacing_start=None):$/;" m class:TestSelfBinningHistogramBase +_run_single_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def _run_single_test($/;" m class:TestElementwiseOps +_run_single_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _run_single_worker(worker_fn,$/;" f +_run_solout_after_initial_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _run_solout_after_initial_test(self, integrator):$/;" m class:TestSolout +_run_solout_break_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _run_solout_break_test(self, integrator):$/;" m class:TestComplexSolout +_run_solout_break_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _run_solout_break_test(self, integrator):$/;" m class:TestSolout +_run_solout_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _run_solout_test(self, integrator):$/;" m class:TestComplexSolout +_run_solout_test adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_integrate.py /^ def _run_solout_test(self, integrator):$/;" m class:TestSolout +_run_std_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _run_std_server(cluster_spec=None,$/;" f +_run_symbolic_function adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _run_symbolic_function(g, n, inputs, env, operator_export_type=OperatorExportTypes.ONNX):$/;" f +_run_symbolic_function adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def _run_symbolic_function(*args, **kwargs):$/;" f +_run_symbolic_method adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _run_symbolic_method(op_name, symbolic_fn, args):$/;" f +_run_symbolic_method adpepsenv/lib/python3.8/site-packages/torch/onnx/__init__.py /^def _run_symbolic_method(*args, **kwargs):$/;" f +_run_targets adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/test_util.py /^ def _run_targets(self, targets1, targets2=None, run_init=True):$/;" m class:PForTestCase +_run_task_in_process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _run_task_in_process(self, cmd_args, cluster_spec, task_type, task_id):$/;" m class:MultiWorkerMultiProcessTest +_run_task_in_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _run_task_in_thread(self, task_fn, cluster_spec, task_type, task_id,$/;" m class:IndependentWorkerTestBase +_run_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/fc_operator_test.py /^ def _run_test(self, n, m, k, transposed, multi_dim, dtype, engine, gc, dc):$/;" m class:TestFcOperator +_run_test_backward_unused_send_function_in_thread adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _run_test_backward_unused_send_function_in_thread(self):$/;" m class:DistAutogradTest +_run_test_ddp_comparision adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def _run_test_ddp_comparision(self, simulate_uneven_inputs=False):$/;" m class:DdpComparisonTest +_run_test_profiler_remote_events_profiled adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_remote_events_profiled(self):$/;" m class:RpcTest +_run_test_profiler_with_async_rpc_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_async_rpc_builtin(self):$/;" m class:RpcTest +_run_test_profiler_with_async_rpc_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_async_rpc_udf(self):$/;" m class:RpcTest +_run_test_profiler_with_autograd_context adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_autograd_context(self):$/;" m class:RpcTest +_run_test_profiler_with_remote_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_remote_builtin(self):$/;" m class:RpcTest +_run_test_profiler_with_remote_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_remote_udf(self):$/;" m class:RpcTest +_run_test_profiler_with_script_async_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_script_async_rpc(self):$/;" m class:RpcTest +_run_test_profiler_with_script_remote_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_script_remote_rpc(self):$/;" m class:RpcTest +_run_test_profiler_with_script_sync_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_script_sync_rpc(self):$/;" m class:RpcTest +_run_test_profiler_with_sync_rpc_builtin adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_sync_rpc_builtin(self):$/;" m class:RpcTest +_run_test_profiler_with_sync_rpc_udf adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_test_profiler_with_sync_rpc_udf(self):$/;" m class:RpcTest +_run_tf_function adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/tf_test_util.py /^def _run_tf_function(func_tf: Callable, *tf_args, mode: str):$/;" f +_run_trainer adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _run_trainer(rref_t1, t2, ps, rank_diff):$/;" f +_run_trainer_torchscript adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _run_trainer_torchscript(rref_t1, t2, ps, rank_diff):$/;" f +_run_uneven_inputs_test adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _run_uneven_inputs_test($/;" m class:DistributedTest._DistTestBase +_run_uneven_workload adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _run_uneven_workload(self, num_repeat=30):$/;" m class:RpcTest +_run_using_default_session adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^def _run_using_default_session(operation, feed_dict, graph, session=None):$/;" f +_run_values adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _run_values(self, run_to_events):$/;" m class:_BatchedRequestSender +_run_weight_observers adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def _run_weight_observers(self, observed):$/;" m class:Quantizer +_run_with_absl adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ def _run_with_absl(self):$/;" m class:_AbslProcess +_run_with_debugging adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _run_with_debugging(self,$/;" m class:BaseDebugWrapperSession +_run_with_profiling adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def _run_with_profiling(self,$/;" m class:BaseDebugWrapperSession +_run_with_setenv adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _run_with_setenv(self):$/;" m class:_Process +_run_wsgi_app adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ from ..test import run_wsgi_app as _run_wsgi_app$/;" x function:_run_wsgi_app file: +_run_wsgi_app adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^def _run_wsgi_app(*args):$/;" f +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _rvs(self):$/;" m class:test_rvs_no_size_warning.rvs_no_size_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, b, size=None, random_state=None):$/;" m class:betaprime_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, b, size=None, random_state=None):$/;" m class:beta_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, b, size=None, random_state=None):$/;" m class:norminvgauss_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, b, size=None, random_state=None):$/;" m class:truncnorm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, c, size=None, random_state=None):$/;" m class:gengamma_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, size=None, random_state=None):$/;" m class:dgamma_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, size=None, random_state=None):$/;" m class:gamma_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, a, size=None, random_state=None):$/;" m class:skew_norm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, alpha, beta, size=None, random_state=None):$/;" m class:levy_stable_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, b, size=None, random_state=None):$/;" m class:rice_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:dweibull_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:fatiguelife_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:foldcauchy_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:foldnorm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:loggamma_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:rdist_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, c, size=None, random_state=None):$/;" m class:triang_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, chi, size=None, random_state=None):$/;" m class:argus_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, df, nc, size=None, random_state=None):$/;" m class:nct_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, df, nc, size=None, random_state=None):$/;" m class:ncx2_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, df, size=None, random_state=None):$/;" m class:chi2_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, df, size=None, random_state=None):$/;" m class:chi_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, df, size=None, random_state=None):$/;" m class:t_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, dfn, dfd, nc, size=None, random_state=None):$/;" m class:ncf_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, dfn, dfd, size=None, random_state=None):$/;" m class:f_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, K, size=None, random_state=None):$/;" m class:exponnorm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, kappa, size=None, random_state=None):$/;" m class:vonmises_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, mu, size=None, random_state=None):$/;" m class:invgauss_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, mu, size=None, random_state=None):$/;" m class:recipinvgauss_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, p, b, size=None, random_state=None):$/;" m class:geninvgauss_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, s, size=None, random_state=None):$/;" m class:lognorm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:expon_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:gilbrat_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:halfnorm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:laplace_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:logistic_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:maxwell_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:moyal_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:norm_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:rayleigh_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:semicircular_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:uniform_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:wald_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs(self, skew, size=None, random_state=None):$/;" m class:pearson3_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, a, size=None, random_state=None):$/;" m class:dlaplace_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, a, size=None, random_state=None):$/;" m class:zipf_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, alpha, size=None, random_state=None):$/;" m class:yulesimon_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, lambda_, size=None, random_state=None):$/;" m class:planck_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, low, high, size=None, random_state=None):$/;" m class:randint_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, M, n, N, size=None, random_state=None):$/;" m class:hypergeom_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, mu, size=None, random_state=None):$/;" m class:poisson_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, mu1, mu2, size=None, random_state=None):$/;" m class:skellam_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, n, a, b, size=None, random_state=None):$/;" m class:betabinom_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, n, p, size=None, random_state=None):$/;" m class:binom_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, n, p, size=None, random_state=None):$/;" m class:nbinom_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, p, size=None, random_state=None):$/;" m class:bernoulli_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, p, size=None, random_state=None):$/;" m class:geom_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _rvs(self, p, size=None, random_state=None):$/;" m class:logser_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _rvs(self, *args, size=None, random_state=None):$/;" m class:rv_generic +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _rvs(self, size=None, random_state=None):$/;" m class:rv_sample +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _rvs(self, n, shape, dim, df, C, random_state):$/;" m class:invwishart_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _rvs(self, n, shape, dim, df, C, random_state):$/;" m class:wishart_gen +_rvs adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^ def _rvs(self, a, b=2, c=3, *args, size=None, **kwargs):$/;" m class:test_getfullargspec_no_self._rv_generic +_rvs_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs_scalar(self, a, b, numsamples=None, random_state=None):$/;" m class:truncnorm_gen +_rvs_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs_scalar(self, chi, numsamples=None, random_state=None):$/;" m class:argus_gen +_rvs_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _rvs_scalar(self, p, b, numsamples, random_state):$/;" m class:geninvgauss_gen +_rv_generic adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__util.py /^ class _rv_generic(object):$/;" c function:test_getfullargspec_no_self file: +_safely_castable_to_int adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def _safely_castable_to_int(dt):$/;" f +_SafeReciprocal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _SafeReciprocal(x, epsilon=1E-20):$/;" f +_SAFETY_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_main.py /^_SAFETY_MODE = "SAFETY"$/;" v +_safe_close adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def _safe_close(self, sess):$/;" m class:SessionManager +_safe_convert_to_tensor adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _safe_convert_to_tensor(val, dtype=None) -> TfVal:$/;" f +_safe_copy_proto_list_values adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graph_util.py /^def _safe_copy_proto_list_values(dst_proto_list, src_proto_list, get_key):$/;" f +_safe_data_files adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def _safe_data_files(self, build_py):$/;" m class:sdist +_safe_div adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _safe_div(x, y):$/;" f +_safe_initial_value_from_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _safe_initial_value_from_op(name, op, op_cache):$/;" f +_safe_initial_value_from_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _safe_initial_value_from_tensor(name, tensor, op_cache):$/;" f +_safe_inv22_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _safe_inv22_vectorized(M):$/;" f +_safe_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/losses_utils.py /^def _safe_mean(losses, num_present):$/;" f +_safe_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/losses/losses_impl.py /^def _safe_mean(losses, num_present):$/;" f +_safe_norm adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _safe_norm(v):$/;" f +_safe_normalize adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _safe_normalize(x, thresh=None):$/;" f +_safe_object_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def _safe_object_str(obj):$/;" f function:assert_no_garbage_created.decorator file: +_safe_path adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def _safe_path(self, path):$/;" m class:FileList +_safe_read adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^def _safe_read(fp, size):$/;" f +_safe_read adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def _safe_read(self, amt):$/;" m class:CallbackFileWrapper +_safe_realpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _safe_realpath(path):$/;" f +_safe_scalar_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _safe_scalar_div(numerator, denominator, name):$/;" f +_safe_shape_div adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _safe_shape_div(x, y):$/;" f +_safe_str adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _safe_str = traceback._some_str$/;" v +_safe_subtract adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^def _safe_subtract(x, y, *, dtype):$/;" f +_safe_to_run adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _safe_to_run(self):$/;" m class:Interpreter +_safe_zlib_decompress adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _safe_zlib_decompress(s):$/;" f +_samefile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _samefile(src, dst):$/;" f +_SameKeyDiffContentError adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graph_util.py /^class _SameKeyDiffContentError(Exception):$/;" c +_same_namedtuples adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_same_namedtuples = _pywrap_utils.SameNamedtuples$/;" v +_same_sum_duplicate adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^def _same_sum_duplicate(data, *inds, **kwargs):$/;" f +_same_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def _same_value(a, b):$/;" f function:deprecated_args.deprecated_wrapper file: +_SAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_SAMPLE = _descriptor.Descriptor($/;" v +_SampleDistortedBoundingBoxOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_SampleDistortedBoundingBoxOutput = collections.namedtuple($/;" v +_SampleDistortedBoundingBoxV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_SampleDistortedBoundingBoxV2Output = collections.namedtuple($/;" v +_SAMPLED_PLUGINS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^_SAMPLED_PLUGINS = frozenset([image_metadata.PLUGIN_NAME])$/;" v +_sampler_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_sampler_methods = [$/;" v +_samples adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _samples(self):$/;" m class:DataHandler +_sample_2d_data adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _sample_2d_data(self):$/;" m class:TestInterpN +_sample_4d_data adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def _sample_4d_data(self):$/;" m class:TestInterpN +_sample_dirichlet adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _sample_dirichlet(g, self, generator):$/;" f +_sample_kmc2_chain adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _sample_kmc2_chain():$/;" f function:_InitializeClustersOpFactory._kmc2_multiple_centers._body file: +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _sample_n(self, n, seed=None):$/;" m class:Bernoulli +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _sample_n(self, n, seed=None):$/;" m class:Beta +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def _sample_n(self, n, seed=None):$/;" m class:Categorical +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _sample_n(self, n, seed=None):$/;" m class:Dirichlet +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _sample_n(self, n, seed=None):$/;" m class:DirichletMultinomial +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _sample_n(self, n, seed=None):$/;" m class:Distribution +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def _sample_n(self, n, seed=None):$/;" m class:Exponential +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _sample_n(self, n, seed=None):$/;" m class:Gamma +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _sample_n(self, n, seed=None):$/;" m class:Laplace +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _sample_n(self, n, seed=None):$/;" m class:Multinomial +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _sample_n(self, n, seed=None):$/;" m class:Normal +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _sample_n(self, n, seed=None):$/;" m class:StudentT +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _sample_n(self, n, seed=None):$/;" m class:TransformedDistribution +_sample_n adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _sample_n(self, n, seed=None):$/;" m class:Uniform +_sample_orthonormal_matrix adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_multivariate.py /^ def _sample_orthonormal_matrix(n):$/;" f member:TestMultivariateNormal.test_degenerate_distributions file: +_sample_random adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _sample_random():$/;" f function:_InitializeClustersOpFactory._kmc2_multiple_centers._body file: +_sample_single adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _sample_single(args):$/;" f member:Multinomial._sample_n file: +_sample_sphere adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_spherical_voronoi.py /^def _sample_sphere(n, dim, seed=None):$/;" f +_sample_weight_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _sample_weight_modes(self):$/;" m class:Model +_SAMPLINGCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^_SAMPLINGCONFIG = _descriptor.Descriptor($/;" v +_sanitize adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def _sanitize(self, name):$/;" m class:FunctionScope +_sanitize_column_name_for_variable_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _sanitize_column_name_for_variable_scope(name):$/;" f +_sanitize_extrema adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _sanitize_extrema(ex):$/;" f +_sanitize_mesh_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _sanitize_mesh_jaxpr(jaxpr):$/;" f +_sanitize_relative_path adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _sanitize_relative_path(self, path):$/;" m class:DataSource +_sanitize_scope_name adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _sanitize_scope_name(name):$/;" f +_sanitize_str adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^def _sanitize_str(s):$/;" f +_sanity_check adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ def _sanity_check():$/;" f +_sanity_check adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^def _sanity_check(name, package, level):$/;" f +_sans adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _sans = False$/;" v class:StixFonts +_sans adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _sans = True$/;" v class:StixSansFonts +_satisfied_at_timestamp adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _satisfied_at_timestamp(self, device_name, pending, timestamp, start_i=0):$/;" m class:DebugDumpDir +_SatisfiesAllowedStringsConstraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _SatisfiesAllowedStringsConstraint(value, attr_def, arg_name, op_type_name):$/;" f +_SatisfiesIntMinimumConstraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _SatisfiesIntMinimumConstraint(value, attr_def, arg_name, op_type_name):$/;" f +_SatisfiesLengthConstraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _SatisfiesLengthConstraint(length, attr_def, param_name, op_type_name):$/;" f +_SatisfiesTypeConstraint adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/op_def_library.py /^def _SatisfiesTypeConstraint(dtype, attr_def, param_name):$/;" f +_SAUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_SAUPD_ERRORS = {'d': DSAUPD_ERRORS,$/;" v +_save adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def _save(self, fo, fmt, *, orientation='portrait'):$/;" m class:FigureCanvasCairo +_save adpepsenv/lib/python3.8/site-packages/PIL/BmpImagePlugin.py /^def _save(im, fp, filename, bitmap_header=True):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/BufrStubImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^def _save(im, fp, filename, eps=1):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/FitsStubImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _save(im, fp, filename, save_all=False):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/GribStubImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/Hdf5StubImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^def _save(im, fp, tile, bufsize=0):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/ImImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/Jpeg2KImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/MpoImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/MspImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/PalmImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/PcxImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/PdfImagePlugin.py /^def _save(im, fp, filename, save_all=False):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _save(im, fp, filename, chunk=putchunk, save_all=False):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/SgiImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/TgaImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/WmfImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/PIL/XbmImagePlugin.py /^def _save(im, fp, filename):$/;" f +_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/serialization/dataset_serialization_test_base.py /^ def _save(self, sess, saver):$/;" m class:DatasetSerializationTestBase +_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^def _save(filename, tensor_names, tensors, tensor_slices=None, name="save"):$/;" f +_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def _save(self, session, step, asynchronous=True):$/;" m class:AsyncCheckpointSaverHook +_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _save(self, session, step):$/;" m class:CheckpointSaverHook +_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _save(self, step, save_path, step_stats):$/;" m class:ProfilerHook +_save adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _save(obj, zip_file, pickle_module, pickle_protocol):$/;" f +_Saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ class _Saveable(BaseSaverBuilder.SaveableObject):$/;" c class:DenseHashTable +_Saveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ class _Saveable(BaseSaverBuilder.SaveableObject):$/;" c class:MutableHashTable +_Saveable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ class _Saveable(BaseSaverBuilder.SaveableObject):$/;" c class:_MutableDenseHashTable +_SAVEABLEOBJECT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEABLEOBJECT = _descriptor.Descriptor($/;" v +_SAVEABLEOBJECT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEABLEOBJECT = _descriptor.Descriptor($/;" v +_SaveableView adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^class _SaveableView(object):$/;" c +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _saveable_factory(name):$/;" f member:Iterator._gather_saveables_for_checkpoint file: +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _saveable_factory(name):$/;" f member:OwnedIterator._gather_saveables_for_checkpoint file: +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _saveable_factory(name=self.name):$/;" f member:ShardedVariableMixin._gather_saveables_for_checkpoint file: +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _saveable_factory(name=self._common_name):$/;" f member:DistributedVariable._gather_saveables_for_checkpoint file: +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _saveable_factory(name=self._common_name):$/;" f member:MirroredVariable._gather_saveables_for_checkpoint file: +_saveable_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _saveable_factory(name=self._common_name):$/;" f member:SyncOnReadVariable._gather_saveables_for_checkpoint file: +_SAVEDASSET adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDASSET = _descriptor.Descriptor($/;" v +_SAVEDASSET adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDASSET = _descriptor.Descriptor($/;" v +_SAVEDBARECONCRETEFUNCTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDBARECONCRETEFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDBARECONCRETEFUNCTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDBARECONCRETEFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDCONCRETEFUNCTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDCONCRETEFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDCONCRETEFUNCTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDCONCRETEFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDCONSTANT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDCONSTANT = _descriptor.Descriptor($/;" v +_SAVEDCONSTANT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDCONSTANT = _descriptor.Descriptor($/;" v +_SavedFlag adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class _SavedFlag(object):$/;" c +_SAVEDFUNCTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDFUNCTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDFUNCTION = _descriptor.Descriptor($/;" v +_SAVEDMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^_SAVEDMETADATA = _descriptor.Descriptor($/;" v +_SAVEDMODEL adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^_SAVEDMODEL = _descriptor.Descriptor($/;" v +_SavedModelBuilder adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^class _SavedModelBuilder(object):$/;" c +_SavedModelExporter adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^class _SavedModelExporter(Exporter):$/;" c +_SAVEDOBJECT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDOBJECT = _descriptor.Descriptor($/;" v +_SAVEDOBJECT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDOBJECT = _descriptor.Descriptor($/;" v +_SAVEDOBJECT adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^_SAVEDOBJECT = _descriptor.Descriptor($/;" v +_SAVEDOBJECTGRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDOBJECTGRAPH = _descriptor.Descriptor($/;" v +_SAVEDOBJECTGRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDOBJECTGRAPH = _descriptor.Descriptor($/;" v +_SAVEDOBJECTGRAPH_CONCRETEFUNCTIONSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDOBJECTGRAPH_CONCRETEFUNCTIONSENTRY = _descriptor.Descriptor($/;" v +_SAVEDOBJECTGRAPH_CONCRETEFUNCTIONSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDOBJECTGRAPH_CONCRETEFUNCTIONSENTRY = _descriptor.Descriptor($/;" v +_SAVEDOBJECT_SAVEABLEOBJECTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDOBJECT_SAVEABLEOBJECTSENTRY = _descriptor.Descriptor($/;" v +_SAVEDOBJECT_SAVEABLEOBJECTSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDOBJECT_SAVEABLEOBJECTSENTRY = _descriptor.Descriptor($/;" v +_SAVEDRESOURCE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDRESOURCE = _descriptor.Descriptor($/;" v +_SAVEDRESOURCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDRESOURCE = _descriptor.Descriptor($/;" v +_SAVEDSLICE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_SAVEDSLICE = _descriptor.Descriptor($/;" v +_SAVEDSLICEMETA adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_SAVEDSLICEMETA = _descriptor.Descriptor($/;" v +_SAVEDTENSORSLICEMETA adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_SAVEDTENSORSLICEMETA = _descriptor.Descriptor($/;" v +_SAVEDTENSORSLICES adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_SAVEDTENSORSLICES = _descriptor.Descriptor($/;" v +_SAVEDUSEROBJECT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDUSEROBJECT = _descriptor.Descriptor($/;" v +_SAVEDUSEROBJECT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDUSEROBJECT = _descriptor.Descriptor($/;" v +_SAVEDVARIABLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_SAVEDVARIABLE = _descriptor.Descriptor($/;" v +_SAVEDVARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_SAVEDVARIABLE = _descriptor.Descriptor($/;" v +_SAVERDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^_SAVERDEF = _descriptor.Descriptor($/;" v +_SAVERDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^_SAVERDEF = _descriptor.Descriptor($/;" v +_SAVERDEF_CHECKPOINTFORMATVERSION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^_SAVERDEF_CHECKPOINTFORMATVERSION = _descriptor.EnumDescriptor($/;" v +_SAVERDEF_CHECKPOINTFORMATVERSION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^_SAVERDEF_CHECKPOINTFORMATVERSION = _descriptor.EnumDescriptor($/;" v +_SAVESLICEINFODEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_SAVESLICEINFODEF = _descriptor.Descriptor($/;" v +_SAVESLICEINFODEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_SAVESLICEINFODEF = _descriptor.Descriptor($/;" v +_savetxt_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _savetxt_dispatcher(fname, X, fmt=None, delimiter=None, newline=None,$/;" f +_savez adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _savez(file, args, kwds, compress, allow_pickle=True, pickle_kwargs=None):$/;" f +_savez_compressed_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _savez_compressed_dispatcher(file, *args, **kwds):$/;" f +_savez_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _savez_dispatcher(file, *args, **kwds):$/;" f +_save_all adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _save_all(im, fp, filename):$/;" f +_save_all adpepsenv/lib/python3.8/site-packages/PIL/PdfImagePlugin.py /^def _save_all(im, fp, filename):$/;" f +_save_all adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _save_all(im, fp, filename):$/;" f +_save_all adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^def _save_all(im, fp, filename):$/;" f +_save_all adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^def _save_all(im, fp, filename):$/;" f +_save_and_load adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^def _save_and_load(matrix):$/;" f +_save_and_write_assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _save_and_write_assets(self, assets_collection_to_add=None):$/;" m class:SavedModelBuilder +_save_and_write_assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _save_and_write_assets(self, meta_graph_def, assets_list=None):$/;" m class:_SavedModelBuilder +_save_cached_when_graph_building adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _save_cached_when_graph_building(self,$/;" m class:TrackableSaver +_save_calibration_table adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _save_calibration_table(node):$/;" f member:TrtGraphConverterV2.convert file: +_save_cjpeg adpepsenv/lib/python3.8/site-packages/PIL/JpegImagePlugin.py /^def _save_cjpeg(im, fp, filename):$/;" f +_SAVE_CKPT_ERR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_SAVE_CKPT_ERR = ($/;" v +_save_configuration adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/configuration.py /^ def _save_configuration(self):$/;" m class:ConfigurationCommand +_save_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^_save_context = SaveContext()$/;" v +_save_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^def _save_dispatcher(file, arr, allow_pickle=None, fix_imports=None):$/;" f +_save_field_blobs_to_db_file adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^ def _save_field_blobs_to_db_file(self, net):$/;" m class:CachedReader +_save_figure adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_determinism.py /^def _save_figure(objects='mhi', fmt="pdf", usetex=False):$/;" f +_save_first_checkpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^def _save_first_checkpoint(keras_model, custom_objects, config,$/;" f +_save_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def _save_fn():$/;" f member:AsyncCheckpointSaverHook._save file: +_save_for_lite_interpreter adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _save_for_lite_interpreter(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +_save_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _save_model(self, epoch, logs):$/;" m class:ModelCheckpoint +_save_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def _save_model(self, model, saved_dir):$/;" m class:TestSavedModelBase +_save_netpbm adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _save_netpbm(im, fp, filename):$/;" f +_save_options_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^_save_options_context = SaveOptionsContext()$/;" v +_save_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_der(self) -> bytes:$/;" m class:AbstractKey +_save_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_der(self) -> bytes:$/;" m class:PrivateKey +_save_pkcs1_der adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_der(self) -> bytes:$/;" m class:PublicKey +_save_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_pem(self) -> bytes:$/;" m class:AbstractKey +_save_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_pem(self) -> bytes:$/;" m class:PrivateKey +_save_pkcs1_pem adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _save_pkcs1_pem(self) -> bytes:$/;" m class:PublicKey +_save_slice_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _save_slice_info(self):$/;" m class:DistributedVariable +_save_spider adpepsenv/lib/python3.8/site-packages/PIL/SpiderImagePlugin.py /^def _save_spider(im, fp, filename):$/;" f +_save_tensors adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^def _save_tensors(*tensors):$/;" f +_save_tensor_value_to_cache_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _save_tensor_value_to_cache_op(self, cache_idx, updates):$/;" m class:TensorTracer +_save_tensor_value_to_tmp_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _save_tensor_value_to_tmp_cache(self, cache_idx, updates):$/;" m class:TensorTracer +_save_to_buffer_for_lite_interpreter adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def _save_to_buffer_for_lite_interpreter(self, *args, **kwargs):$/;" m class:RecursiveScriptModule +_save_to_file adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def _save_to_file(self):$/;" m class:CLIConfig +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:Module +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:PackedParameter +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:RNNCellBase +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:_ConvNd +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:EmbeddingPackedParams +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:QFunctional +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:Linear +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:LinearPackedParams +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:FakeQuantize +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:HistogramObserver +_save_to_state_dict adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def _save_to_state_dict(self, destination, prefix, keep_vars):$/;" m class:_LearnableFakeQuantize +_save_v1_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model_experimental.py /^def _save_v1_format(model, path, custom_objects, as_text, input_signature):$/;" f +_save_variable_devices adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ def _save_variable_devices(self):$/;" m class:VariablePolicy +_saving_utils adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.keras.saving import saving_utils as _saving_utils$/;" x +_scalar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _scalar(tf_fn, x, promote_to_float=False):$/;" f +_scalar adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _scalar(x):$/;" f +_scalar adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _scalar(x):$/;" f +_ScalarBatchedRequestSender adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _ScalarBatchedRequestSender(object):$/;" c +_scalarfunc adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def _scalarfunc(self, func):$/;" m class:container +_ScalarMeta adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^class _ScalarMeta(type):$/;" c +_SCALARPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^_SCALARPLUGINDATA = _descriptor.Descriptor($/;" v +_SCALARPOINT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^_SCALARPOINT = _descriptor.Descriptor($/;" v +_SCALARPOINTMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^_SCALARPOINTMETADATA = _descriptor.Descriptor($/;" v +_scalar_binopt adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _scalar_binopt(self, other, op):$/;" m class:_cs_matrix +_scalar_constant_handler adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _scalar_constant_handler(c, val, canonicalize_types=True):$/;" f +_scalar_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _scalar_fill_value(dtype):$/;" f function:default_fill_value file: +_scalar_fill_value adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _scalar_fill_value(dtype):$/;" f function:_extremum_fill_value file: +_scalar_func_1 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def _scalar_func_1(self, s):$/;" m class:TestLineSearch +_scalar_func_2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def _scalar_func_2(self, s):$/;" m class:TestLineSearch +_scalar_func_3 adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_linesearch.py /^ def _scalar_func_3(self, s):$/;" m class:TestLineSearch +_scalar_getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _scalar_getitem(self, key):$/;" m class:StructuredTensor +_scalar_heuristic adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _scalar_heuristic(arr, elem):$/;" f member:MaskedArray.__getitem__ file: +_scalar_or_tuple_1_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_1_t = Union[T, Tuple[T]]$/;" v +_scalar_or_tuple_2_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_2_t = Union[T, Tuple[T, T]]$/;" v +_scalar_or_tuple_3_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_3_t = Union[T, Tuple[T, T, T]]$/;" v +_scalar_or_tuple_4_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_4_t = Union[T, Tuple[T, T, T, T]]$/;" v +_scalar_or_tuple_5_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_5_t = Union[T, Tuple[T, T, T, T, T]]$/;" v +_scalar_or_tuple_6_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_6_t = Union[T, Tuple[T, T, T, T, T, T]]$/;" v +_scalar_or_tuple_any_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_scalar_or_tuple_any_t = Union[T, Tuple[T, ...]]$/;" v +_SCALAR_SHAPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^_SCALAR_SHAPE = TensorShape([])$/;" v +_scalar_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _scalar_str(dtype, short):$/;" f +_scalar_str adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _scalar_str(self, formatter1, formatter2=None):$/;" f +_scalar_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.scalar import summary as _scalar_summary$/;" x +_scalar_tester adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def _scalar_tester(norm_instance, vals):$/;" f +_scalar_types adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^_scalar_types = dtypes.python_scalar_dtypes.keys()$/;" v +_scalar_types adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_scalar_types = (int, float, complex, np.generic)$/;" v +_scalar_type_map adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^ _scalar_type_map = _get_scalar_type_map()$/;" v +_SCALAR_VALUE_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_SCALAR_VALUE_DOC="""\\$/;" v +_scalar_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _scalar_vectorized(scalar, M):$/;" f +_scale adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _scale(k, j):$/;" f +_scale adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ def _scale(self, p):$/;" m class:orthopoly1d +_scale2 adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _scale2(k, j):$/;" f +_ScaleAndTranslateGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_grad.py /^def _ScaleAndTranslateGrad(op, grad):$/;" f +_ScaledLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _ScaledLinearOperator(LinearOperator):$/;" c +_SCALED_IDENTITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_SCALED_IDENTITY = "scaled_identity"$/;" v +_scale_and_translate adpepsenv/lib/python3.8/site-packages/jax/_src/image/scale.py /^def _scale_and_translate(x, output_shape, spatial_dims, scale, translation,$/;" f +_scale_back_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _scale_back_data(self, data):$/;" m class:TimeSeriesModel +_scale_back_variance adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _scale_back_variance(self, variance):$/;" m class:TimeSeriesModel +_scale_conversions adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^_scale_conversions = {'raw': 1.0,$/;" v +_scale_dashes adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^def _scale_dashes(offset, dashes, lw):$/;" f +_scale_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _scale_data(self, data):$/;" m class:TimeSeriesModel +_scale_grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _scale_grad(self, grad, loss_scale_reciprocal):$/;" m class:MixedPrecisionLossScaleOptimizer +_scale_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _scale_loss(self, loss):$/;" m class:MixedPrecisionLossScaleOptimizer +_scale_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _scale_loss(loss_value):$/;" m class:Optimizer +_scale_loss_for_estimator_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _scale_loss_for_estimator_enabled(self):$/;" m class:StrategyBase +_scale_mapping adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^_scale_mapping = {$/;" v +_scale_norm adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def _scale_norm(self, norm, vmin, vmax):$/;" m class:ScalarMappable +_scale_parameters adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _scale_parameters(self, trial):$/;" m class:DifferentialEvolutionSolver +_scale_variance adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def _scale_variance(self, variance):$/;" m class:TimeSeriesModel +_scan adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _scan(elems):$/;" f function:associative_scan file: +_scan adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _scan(fn, elems, initial, reverse=False, inclusive=False, final_only=False):$/;" f +_ScanDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^class _ScanDataset(dataset_ops.UnaryDataset):$/;" c +_scanner adpepsenv/lib/python3.8/site-packages/markdown/extensions/attr_list.py /^_scanner = re.Scanner([$/;" v +_scan_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_abstract_eval(*args, reverse, length, num_consts, num_carry, jaxpr,$/;" f +_scan_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_batching_rule(args, dims, axis_name, reverse, length, jaxpr, num_consts,$/;" f +_scan_f adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^ def _scan_f(c, i):$/;" f function:_squaring file: +_scan_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_impl(*args, reverse, length, num_consts, num_carry, jaxpr, linear,$/;" f +_scan_impl_block_unrolled adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_impl_block_unrolled(*args, reverse, length, num_consts, num_carry,$/;" f +_scan_impl_loop adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_impl_loop(*args, reverse, length, num_consts, num_carry, linear,$/;" f +_scan_impl_unrolled adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_impl_unrolled(*args, reverse, length, num_consts, num_carry, linear,$/;" f +_scan_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_jvp(primals, tangents, reverse, length, jaxpr, num_consts, num_carry,$/;" f +_scan_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_masking_rule(padded_vals, logical_shapes, reverse, length,$/;" f +_scan_partial_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_partial_eval(trace, *tracers, reverse, length, num_consts, num_carry,$/;" f +_scan_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_transpose(cts, *args, reverse, length, num_consts, num_carry, jaxpr,$/;" f +_scan_typecheck adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _scan_typecheck(bind_time, *avals, reverse, length, num_consts, num_carry,$/;" f +_scatter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _scatter(operand, scatter_indices, updates, *,$/;" f +_SCATTERDIMENSIONNUMBERS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_SCATTERDIMENSIONNUMBERS = _descriptor.Descriptor($/;" v +_ScatterNdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ScatterNdGrad(op, grad):$/;" f +_ScatterNdNonAliasingAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _ScatterNdNonAliasingAddGrad(op, grad):$/;" f +_scatter_add_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_add_jvp(primals, tangents, *, update_jaxpr, update_consts,$/;" f +_scatter_add_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_add_translation_rule($/;" f +_scatter_add_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_add_transpose_rule(t, operand, scatter_indices, updates, *,$/;" f +_scatter_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_batching_rule(scatter_op, batched_args, batch_dims, *,$/;" f +_scatter_dimensions_proto adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _scatter_dimensions_proto(indices_shape, dimension_numbers):$/;" f +_scatter_dimensions_proto adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_dimensions_proto(indices_shape, dimension_numbers):$/;" f +_scatter_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_dtype_rule(operand, scatter_indices, updates, **kwargs):$/;" f +_scatter_extremal_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_extremal_jvp(scatter_op, primals, tangents, update_jaxpr,$/;" f +_scatter_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _scatter_helper(g, self, dim, index, src):$/;" f +_scatter_impl adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def _scatter_impl(x, y, scatter_op, treedef, static_idx, dynamic_idx,$/;" f +_scatter_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_jvp(primals, tangents, *, update_jaxpr, update_consts,$/;" f +_scatter_mul_jvp_rhs adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_mul_jvp_rhs(g, x, i, y, *, dimension_numbers,$/;" f +_scatter_mul_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_mul_transpose_rule(t, operand, scatter_indices, updates, *,$/;" f +_scatter_not_implemented adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _scatter_not_implemented(self, method):$/;" m class:OnReadPolicy +_scatter_not_implemented adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _scatter_not_implemented(self, method):$/;" m class:SyncOnReadVariable +_scatter_reduction_computation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_scatter_reduction_computation = lambda x, y: y$/;" f +_scatter_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_shape_rule(operand, scatter_indices, updates, *, update_jaxpr,$/;" f +_scatter_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _scatter_translation_rule(c, operand, scatter_indices, updates, *,$/;" f +_scatter_update adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^def _scatter_update(x, idx, y, scatter_op, indices_are_sorted,$/;" f +_schedule_shadow_all_reduce_for_fwd_pass adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _schedule_shadow_all_reduce_for_fwd_pass(self):$/;" m class:DistributedDataParallel +_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ _schema = None$/;" v class:Writer +_SchemaNode adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^class _SchemaNode(object):$/;" c +_SCHEMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_SCHEMES = {$/;" v +_SCHEMES adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_SCHEMES = configparser.RawConfigParser()$/;" v +_scheme_re adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_scheme_re = re.compile(r"^[a-zA-Z0-9+-.]+$")$/;" v +_sci adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _sci(self, im):$/;" m class:_AxesBase +_sci adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^import numpy.lib.scimath as _sci$/;" I +_ScipyBackend adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^class _ScipyBackend:$/;" c +_scipy_sparse_to_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _scipy_sparse_to_sparse_tensor(t):$/;" f +_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _scope(self, strategy):$/;" m class:StrategyExtendedV2 +_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _scope(self, strategy):$/;" m class:_DefaultDistributionExtended +_SCOPEDALLOCATOROPTIONS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_SCOPEDALLOCATOROPTIONS = _descriptor.Descriptor($/;" v +_SCOPEDALLOCATOROPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_SCOPEDALLOCATOROPTIONS = _descriptor.Descriptor($/;" v +_scoped_name adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def _scoped_name(name_scope, node_name):$/;" f +_scoped_subscribe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _scoped_subscribe(tensor, side_effects, control_cache):$/;" f +_scope_helper adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^def _scope_helper(node):$/;" f +_SCOPE_THREAD adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^_SCOPE_THREAD = 't'$/;" v +_scope_vals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _scope_vals(self, vals):$/;" m class:BaseStagingArea +_scope_vals adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def _scope_vals(self, vals):$/;" m class:QueueBase +_score adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_quadratic_assignment.py /^from scipy.optimize._qap import _calc_score as _score$/;" x +_score_rule adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def _score_rule(rule):$/;" f member:BuildError.closest_rule file: +_scratch_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _scratch_graph(graph=None):$/;" f +_screen_add_line_to_output_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_add_line_to_output_pad(self, pad, row, txt, color_segments=None):$/;" m class:CursesUI +_screen_color_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_color_init(self):$/;" m class:CursesUI +_screen_create_command_textbox adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_create_command_textbox(self, existing_command=None):$/;" m class:CursesUI +_screen_create_command_window adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_create_command_window(self):$/;" m class:CursesUI +_screen_display_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_display_output(self, output):$/;" m class:CursesUI +_screen_draw_text_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_draw_text_line(self, row, line, attr=curses.A_NORMAL, color=None):$/;" m class:CursesUI +_screen_gather_textbox_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_gather_textbox_str(self):$/;" m class:CursesUI +_screen_getmouse adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_getmouse(self):$/;" m class:CursesUI +_screen_get_user_command adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_get_user_command(self):$/;" m class:CursesUI +_screen_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_init(self):$/;" m class:CursesUI +_screen_launch adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_launch(self, enable_mouse_on_start):$/;" m class:CursesUI +_screen_new_output_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_new_output_pad(self, rows, cols):$/;" m class:CursesUI +_screen_refresh adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_refresh(self):$/;" m class:CursesUI +_screen_refresh_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_refresh_size(self):$/;" m class:CursesUI +_screen_render_menu_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_render_menu_pad(self):$/;" m class:CursesUI +_screen_render_nav_bar adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_render_nav_bar(self):$/;" m class:CursesUI +_screen_scroll_output_pad adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_scroll_output_pad(self, pad, viewport_top, viewport_left,$/;" m class:CursesUI +_screen_set_mousemask adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_set_mousemask(self):$/;" m class:CursesUI +_screen_terminate adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _screen_terminate(self):$/;" m class:CursesUI +_SCREEN_WIDTH_LIMIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _SCREEN_WIDTH_LIMIT = 220$/;" v class:CursesUI +_ScriptLocalOptimizer adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^class _ScriptLocalOptimizer(nn.Module):$/;" c +_ScriptLocalOptimizerInterface adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^class _ScriptLocalOptimizerInterface(object):$/;" c +_script_classes adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^_script_classes = {}$/;" v +_script_if_tracing adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _script_if_tracing(fn):$/;" f +_script_local_optimizer_step adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^def _script_local_optimizer_step($/;" f +_script_names adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def _script_names(dist, script_name, is_gui):$/;" f +_SCROLL_DOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_DOWN = "down"$/;" v +_SCROLL_DOWN_A_LINE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_DOWN_A_LINE = "down_a_line"$/;" v +_SCROLL_END adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_END = "end"$/;" v +_SCROLL_HOME adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_HOME = "home"$/;" v +_scroll_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _scroll_output(self, direction, line_index=None):$/;" m class:CursesUI +_SCROLL_REFRESH adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_REFRESH = "refresh"$/;" v +_SCROLL_TO_LINE_INDEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_TO_LINE_INDEX = "scroll_to_line_index"$/;" v +_SCROLL_UP adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_UP = "up"$/;" v +_SCROLL_UP_A_LINE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^_SCROLL_UP_A_LINE = "up_a_line"$/;" v +_sd2wt adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def _sd2wt(self, sd):$/;" m class:RealData +_SDCAModel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^class _SDCAModel(object):$/;" c +_SdcaOptimizerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^_SdcaOptimizerOutput = collections.namedtuple($/;" v +_SdcaOptimizerV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sdca_ops.py /^_SdcaOptimizerV2Output = collections.namedtuple($/;" v +_SDCAUpdateWeightsHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^class _SDCAUpdateWeightsHook(tf.compat.v1.train.SessionRunHook):$/;" c +_sdca_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _sdca_model_fn(features, labels, mode, head, feature_columns, optimizer):$/;" f +_sdist versioneer.py /^ from distutils.command.sdist import sdist as _sdist$/;" x function:get_cmdclass file: +_sdist versioneer.py /^ from setuptools.command.sdist import sdist as _sdist$/;" x function:get_cmdclass file: +_sdk_subdir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _sdk_subdir(self):$/;" m class:EnvironmentInfo +_sdk_tools adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _sdk_tools(self):$/;" m class:EnvironmentInfo +_searchsorted adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _searchsorted(a, v, side):$/;" f +_searchsorted_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _searchsorted_dispatcher(a, v, side=None, sorter=None):$/;" f +_search_for_child_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _search_for_child_node(self, parent_id, path_to_child):$/;" m class:KerasObjectLoader +_SEARCH_HIGHLIGHT_FONT_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _SEARCH_HIGHLIGHT_FONT_ATTR = ($/;" v class:CursesUI +_search_sorted_inclusive adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _search_sorted_inclusive(a, v):$/;" f +_secant adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _secant(xvals, fvals):$/;" f +_secax_docstring adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^_secax_docstring = '''$/;" v +_seconds_have_elapsed adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^def _seconds_have_elapsed(token, num_seconds):$/;" f +_SECONDS_PER_DAY adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_SECONDS_PER_DAY = 24 * 3600$/;" v +_SECTION_NAME_CACHE_INDEX_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_CACHE_INDEX_MAP = 'cache-index-map'$/;" v +_SECTION_NAME_CONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_CONFIG = 'configuration'$/;" v +_SECTION_NAME_GRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_GRAPH = 'graph'$/;" v +_SECTION_NAME_OP_LIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_OP_LIST = 'op-list'$/;" v +_SECTION_NAME_REASON adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_REASON = 'reason'$/;" v +_SECTION_NAME_TENSOR_LIST adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_TENSOR_LIST = 'tensor-list'$/;" v +_SECTION_NAME_TENSOR_TRACER_CHECKPOINT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_SECTION_NAME_TENSOR_TRACER_CHECKPOINT = 'tensor_tracer_checkpoint'$/;" v +_secure_open_write adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^def _secure_open_write(filename, fmode):$/;" f +_securityCategoryMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc3114.py /^_securityCategoryMapUpdate = {$/;" v +_seek adpepsenv/lib/python3.8/site-packages/PIL/FliImagePlugin.py /^ def _seek(self, frame):$/;" m class:FliImageFile +_seek adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^ def _seek(self, frame):$/;" m class:GifImageFile +_seek adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def _seek(self, frame, rewind=False):$/;" m class:PngImageFile +_seek adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _seek(self, frame):$/;" m class:TiffImageFile +_seek adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ def _seek(self, frame):$/;" m class:WebPImageFile +_seek_check adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def _seek_check(self, frame):$/;" m class:ImageFile +_SegmentMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SegmentMaxGrad(op, grad):$/;" f +_SegmentMeanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SegmentMeanGrad(op, grad):$/;" f +_SegmentMinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SegmentMinGrad(op, grad):$/;" f +_SegmentMinOrMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SegmentMinOrMaxGrad(op, grad):$/;" f +_SegmentSumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SegmentSumGrad(op, grad):$/;" f +_seg_0 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_0():$/;" f +_seg_0 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_0():$/;" f +_seg_1 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_1():$/;" f +_seg_1 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_1():$/;" f +_seg_10 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_10():$/;" f +_seg_10 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_10():$/;" f +_seg_11 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_11():$/;" f +_seg_11 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_11():$/;" f +_seg_12 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_12():$/;" f +_seg_12 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_12():$/;" f +_seg_13 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_13():$/;" f +_seg_13 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_13():$/;" f +_seg_14 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_14():$/;" f +_seg_14 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_14():$/;" f +_seg_15 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_15():$/;" f +_seg_15 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_15():$/;" f +_seg_16 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_16():$/;" f +_seg_16 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_16():$/;" f +_seg_17 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_17():$/;" f +_seg_17 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_17():$/;" f +_seg_18 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_18():$/;" f +_seg_18 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_18():$/;" f +_seg_19 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_19():$/;" f +_seg_19 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_19():$/;" f +_seg_2 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_2():$/;" f +_seg_2 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_2():$/;" f +_seg_20 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_20():$/;" f +_seg_20 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_20():$/;" f +_seg_21 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_21():$/;" f +_seg_21 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_21():$/;" f +_seg_22 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_22():$/;" f +_seg_22 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_22():$/;" f +_seg_23 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_23():$/;" f +_seg_23 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_23():$/;" f +_seg_24 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_24():$/;" f +_seg_24 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_24():$/;" f +_seg_25 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_25():$/;" f +_seg_25 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_25():$/;" f +_seg_26 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_26():$/;" f +_seg_26 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_26():$/;" f +_seg_27 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_27():$/;" f +_seg_27 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_27():$/;" f +_seg_28 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_28():$/;" f +_seg_28 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_28():$/;" f +_seg_29 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_29():$/;" f +_seg_29 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_29():$/;" f +_seg_3 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_3():$/;" f +_seg_3 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_3():$/;" f +_seg_30 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_30():$/;" f +_seg_30 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_30():$/;" f +_seg_31 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_31():$/;" f +_seg_31 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_31():$/;" f +_seg_32 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_32():$/;" f +_seg_32 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_32():$/;" f +_seg_33 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_33():$/;" f +_seg_33 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_33():$/;" f +_seg_34 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_34():$/;" f +_seg_34 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_34():$/;" f +_seg_35 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_35():$/;" f +_seg_35 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_35():$/;" f +_seg_36 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_36():$/;" f +_seg_36 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_36():$/;" f +_seg_37 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_37():$/;" f +_seg_37 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_37():$/;" f +_seg_38 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_38():$/;" f +_seg_38 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_38():$/;" f +_seg_39 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_39():$/;" f +_seg_39 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_39():$/;" f +_seg_4 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_4():$/;" f +_seg_4 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_4():$/;" f +_seg_40 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_40():$/;" f +_seg_40 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_40():$/;" f +_seg_41 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_41():$/;" f +_seg_41 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_41():$/;" f +_seg_42 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_42():$/;" f +_seg_42 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_42():$/;" f +_seg_43 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_43():$/;" f +_seg_43 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_43():$/;" f +_seg_44 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_44():$/;" f +_seg_44 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_44():$/;" f +_seg_45 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_45():$/;" f +_seg_45 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_45():$/;" f +_seg_46 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_46():$/;" f +_seg_46 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_46():$/;" f +_seg_47 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_47():$/;" f +_seg_47 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_47():$/;" f +_seg_48 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_48():$/;" f +_seg_48 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_48():$/;" f +_seg_49 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_49():$/;" f +_seg_49 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_49():$/;" f +_seg_5 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_5():$/;" f +_seg_5 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_5():$/;" f +_seg_50 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_50():$/;" f +_seg_50 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_50():$/;" f +_seg_51 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_51():$/;" f +_seg_51 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_51():$/;" f +_seg_52 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_52():$/;" f +_seg_52 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_52():$/;" f +_seg_53 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_53():$/;" f +_seg_53 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_53():$/;" f +_seg_54 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_54():$/;" f +_seg_54 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_54():$/;" f +_seg_55 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_55():$/;" f +_seg_55 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_55():$/;" f +_seg_56 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_56():$/;" f +_seg_56 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_56():$/;" f +_seg_57 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_57():$/;" f +_seg_57 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_57():$/;" f +_seg_58 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_58():$/;" f +_seg_58 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_58():$/;" f +_seg_59 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_59():$/;" f +_seg_59 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_59():$/;" f +_seg_6 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_6():$/;" f +_seg_6 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_6():$/;" f +_seg_60 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_60():$/;" f +_seg_60 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_60():$/;" f +_seg_61 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_61():$/;" f +_seg_61 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_61():$/;" f +_seg_62 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_62():$/;" f +_seg_62 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_62():$/;" f +_seg_63 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_63():$/;" f +_seg_63 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_63():$/;" f +_seg_64 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_64():$/;" f +_seg_64 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_64():$/;" f +_seg_65 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_65():$/;" f +_seg_65 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_65():$/;" f +_seg_66 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_66():$/;" f +_seg_66 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_66():$/;" f +_seg_67 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_67():$/;" f +_seg_67 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_67():$/;" f +_seg_68 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_68():$/;" f +_seg_68 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_68():$/;" f +_seg_69 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_69():$/;" f +_seg_69 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_69():$/;" f +_seg_7 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_7():$/;" f +_seg_7 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_7():$/;" f +_seg_70 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_70():$/;" f +_seg_70 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_70():$/;" f +_seg_71 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_71():$/;" f +_seg_71 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_71():$/;" f +_seg_72 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_72():$/;" f +_seg_72 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_72():$/;" f +_seg_73 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_73():$/;" f +_seg_73 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_73():$/;" f +_seg_74 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_74():$/;" f +_seg_74 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_74():$/;" f +_seg_75 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_75():$/;" f +_seg_75 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_75():$/;" f +_seg_76 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_76():$/;" f +_seg_76 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_76():$/;" f +_seg_77 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_77():$/;" f +_seg_77 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_77():$/;" f +_seg_78 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_78():$/;" f +_seg_78 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_78():$/;" f +_seg_79 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_79():$/;" f +_seg_79 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_79():$/;" f +_seg_8 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_8():$/;" f +_seg_8 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_8():$/;" f +_seg_9 adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^def _seg_9():$/;" f +_seg_9 adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^def _seg_9():$/;" f +_seismic_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_seismic_data = ($/;" v +_select adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def _select(self, cond, values, default=0):$/;" m class:TestSelect +_select adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def _select(input, labels=None, index=None, find_min=False, find_max=False,$/;" f +_selected_int_kind_func adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _selected_int_kind_func(r):$/;" f +_selected_real_kind_func adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _selected_real_kind_func(p, r=0, radix=0):$/;" f +_SelectGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SelectGrad(op, grad):$/;" f +_SelectGradV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SelectGradV2(op, grad):$/;" f +_SelectorWidget adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^class _SelectorWidget(AxesWidget):$/;" c +_select_and_clip_prob adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^def _select_and_clip_prob(cdfprob, sfprob, cdf=True):$/;" f +_select_and_gather_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _select_and_gather_add(tangents: TfVal,$/;" f +_select_and_gather_add adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add(tangents: Array, operand: Array,$/;" f +_select_and_gather_add_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add_batching_rule($/;" f +_select_and_gather_add_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add_jvp($/;" f +_select_and_gather_add_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add_shape_rule($/;" f +_select_and_gather_add_translation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add_translation($/;" f +_select_and_gather_add_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_gather_add_transpose($/;" f +_select_and_scatter adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _select_and_scatter($/;" f +_select_and_scatter adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter(operand: Array, select: Callable,$/;" f +_select_and_scatter_add adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _select_and_scatter_add(source, operand, *, select_prim, window_dimensions,$/;" f +_select_and_scatter_add adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add(source: Array, operand: Array,$/;" f +_select_and_scatter_add_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add_batch_rule($/;" f +_select_and_scatter_add_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add_jvp($/;" f +_select_and_scatter_add_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add_shape_rule($/;" f +_select_and_scatter_add_translation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add_translation($/;" f +_select_and_scatter_add_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_add_transpose($/;" f +_select_and_scatter_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_shape_rule($/;" f +_select_and_scatter_translation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_and_scatter_translation($/;" f +_select_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_batch_rule(batched_args, batch_dims, **unused_kwargs):$/;" f +_select_by_peak_threshold adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _select_by_peak_threshold(x, peaks, tmin, tmax):$/;" f +_select_by_property adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _select_by_property(peak_properties, pmin, pmax):$/;" f +_select_class_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _select_class_id(ids, selected_id):$/;" f +_select_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _select_dispatcher(condlist, choicelist, default=None):$/;" f +_select_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_dtype_rule(pred, on_true, on_false):$/;" f +_select_enter_pivot adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _select_enter_pivot(c_hat, bl, a, rule="bland", tol=1e-12):$/;" f +_select_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _select_fn(x): # pylint: disable=g-missing-docstring$/;" f member:ParameterServerStrategyExtended._select_single_value file: +_select_forward_and_backward_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _select_forward_and_backward_functions($/;" m class:ConcreteFunction +_select_function adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^def _select_function(sort):$/;" f +_select_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_jvp(primals, tangents):$/;" f +_select_locator adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _select_locator(self):$/;" m class:ColorbarBase +_select_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_masking_rule(padded_vals, logical_shapes):$/;" f +_select_progress_class adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^def _select_progress_class(preferred, fallback):$/;" f +_select_samples adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _select_samples(self, candidate, number_samples):$/;" m class:DifferentialEvolutionSolver +_select_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_shape_rule(pred, on_true, on_false):$/;" f +_select_singleton_columns adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_rs.py /^def _select_singleton_columns(A, b):$/;" f +_select_single_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _select_single_value(self, structured):$/;" m class:ParameterServerStrategyExtended +_select_taylor_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _select_taylor_rule(primal_in, series_in, **params):$/;" f +_select_thread_pool_for_behavior adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _select_thread_pool_for_behavior(behavior, default_thread_pool):$/;" f +_select_training_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _select_training_loop(self, inputs):$/;" m class:Model +_select_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _select_transpose_rule(t, pred, on_true, on_false):$/;" f +_select_tree adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _select_tree(indices, branch_vals):$/;" f +_select_weighted_metric adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _select_weighted_metric(mstr, kwargs, out):$/;" f +_select_which_to_enqueue adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _select_which_to_enqueue(tensor_list, keep_input):$/;" f +_select_worker_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^def _select_worker_slice(worker_id, structured):$/;" f +_SelfAdjointEigV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _SelfAdjointEigV2Grad(op, grad_e, grad_v):$/;" f +_SelfAdjointEigV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_SelfAdjointEigV2Output = collections.namedtuple($/;" v +_self_suppression adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _self_suppression(iou, _, iou_sum, iou_threshold):$/;" f +_SeluGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SeluGrad(op, grad):$/;" f +_SeluGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SeluGradGrad(op, grad):$/;" f +_semantic_key adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _semantic_key(s):$/;" f +_semi_random_hypothesis_test adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _semi_random_hypothesis_test(srf_output, X_full, X_random, rand_w,$/;" f member:TestLayers.testSemiRandomFeatures file: +_SEMVER_RE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_SEMVER_RE = re.compile(r'^(\\d+)\\.(\\d+)\\.(\\d+)'$/;" v +_send_404_without_logging adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _send_404_without_logging(self, request):$/;" m class:CorePlugin +_send_blob adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _send_blob(self, blob_sequence_id, seq_index, blob):$/;" m class:_BlobRequestSender +_send_call_tracebacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def _send_call_tracebacks(destinations,$/;" f +_send_event adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _send_event(self, event_type, **kwargs):$/;" m class:FigureManagerWebAgg +_send_initial_metadata adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _send_initial_metadata(state):$/;" f +_SEND_INITIAL_METADATA_AND_SEND_MESSAGE_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SEND_INITIAL_METADATA_AND_SEND_MESSAGE_TOKEN = ($/;" v +_SEND_INITIAL_METADATA_AND_SEND_STATUS_FROM_SERVER_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SEND_INITIAL_METADATA_AND_SEND_STATUS_FROM_SERVER_TOKEN = ($/;" v +_SEND_INITIAL_METADATA_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SEND_INITIAL_METADATA_TOKEN = 'send_initial_metadata'$/;" v +_send_message adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _send_message(state, token):$/;" f +_send_message_callback_to_blocking_iterator_adapter adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _send_message_callback_to_blocking_iterator_adapter(rpc_event, state,$/;" f +_SEND_MESSAGE_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SEND_MESSAGE_TOKEN = 'send_message'$/;" v +_send_response adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _send_response(rpc_event, state, serialized_response):$/;" f +_send_sequence adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _send_sequence(self):$/;" m class:SequenceEnqueuer +_send_status_from_server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _send_status_from_server(state, token):$/;" f +_SEND_STATUS_FROM_SERVER_TOKEN adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SEND_STATUS_FROM_SERVER_TOKEN = 'send_status_from_server'$/;" v +_send_unary_request adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _send_unary_request(self) -> ResponseType:$/;" m class:UnaryStreamCall +_send_until_done adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def _send_until_done(self, data):$/;" m class:WrappedSocket +_send_until_done adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def _send_until_done(self, data):$/;" m class:WrappedSocket +_sentinel_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^_sentinel_summary_writer = object()$/;" v +_separable_conv_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/nasnet.py /^def _separable_conv_block(ip,$/;" f +_separate_channel_options adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _separate_channel_options(options):$/;" f +_SEPARATOR_CHAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ _SEPARATOR_CHAR = '\/'$/;" v class:ExportOutput +_SEQUENCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^_SEQUENCE = 'sequence'$/;" v +_SequenceCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _SequenceCategoricalColumn($/;" c +_SequenceDenseColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _SequenceDenseColumn(_FeatureColumn):$/;" c +_SEQUENCEEXAMPLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^_SEQUENCEEXAMPLE = _descriptor.Descriptor($/;" v +_SEQUENCE_COUNTER adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_SEQUENCE_COUNTER = None$/;" v +_SEQUENCE_FEATURE_LENGTH_POSTFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_SEQUENCE_FEATURE_LENGTH_POSTFIX = '_seq_length_'$/;" v +_sequence_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def _sequence_like(instance, args):$/;" f +_sequence_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _sequence_like(instance, args):$/;" f +_sequence_repr_maker adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def _sequence_repr_maker(left, right, base=object(), limit=8): # noqa: B008, B902$/;" m class:DebugReprGenerator +_SequentialHead adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^class _SequentialHead(base_head.Head):$/;" c +_sequential_with_add_loss_and_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^def _sequential_with_add_loss_and_metric(input_shape, num_classes, l1, l2):$/;" f +_serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _serialize(self, value):$/;" m class:Flag +_serialize adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _serialize(self, value):$/;" m class:MultiFlag +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _serialize(self):$/;" m class:DatasetSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _serialize(self):$/;" m class:IteratorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _serialize(self):$/;" m class:MultiDeviceIteratorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _serialize(self):$/;" m class:OptionalSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _serialize(self):$/;" m class:NoneTensorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _serialize(self):$/;" m class:DistributedIteratorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _serialize(self):$/;" m class:_SingleWorkerDatasetIteratorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _serialize(self):$/;" m class:ShardedVariableSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _serialize(self):$/;" m class:PerReplicaSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _serialize(self):$/;" m class:IndexedSlicesSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _serialize(self):$/;" m class:SparseTensorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _serialize(self):$/;" m class:BoundedTensorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _serialize(self):$/;" m class:DenseSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _serialize(self):$/;" m class:TypeSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _serialize(self):$/;" m class:UserRegisteredSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _serialize(self):$/;" m class:NdarraySpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _serialize(self):$/;" m class:RaggedTensorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _serialize(self):$/;" m class:RowPartitionSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _serialize(self):$/;" m class:GeneratorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _serialize(self):$/;" m class:StructuredTensorSpec +_serialize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _serialize(self):$/;" m class:TensorArraySpec +_serialized_request adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _serialized_request(request_event):$/;" f +_serialize_and_track_engine adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _serialize_and_track_engine(node):$/;" f member:TrtGraphConverterV2.save file: +_serialize_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ def _serialize_fn(obj):$/;" f function:_serialize_nested_config file: +_serialize_function_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_serialization.py /^def _serialize_function_spec(function_spec, coder):$/;" f +_serialize_function_to_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _serialize_function_to_config(self, inputs, allow_raw=False):$/;" m class:Lambda +_serialize_function_to_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^def _serialize_function_to_config(function):$/;" f +_serialize_gathered_objects adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def _serialize_gathered_objects(self, trackable_objects, path_to_root,$/;" m class:ObjectGraphView +_serialize_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _serialize_graph(arbitrary_graph):$/;" f +_serialize_html adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _serialize_html(write, elem, format):$/;" f +_serialize_hyperparameter adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _serialize_hyperparameter(self, hyperparameter_name):$/;" m class:OptimizerV2 +_serialize_keras_object adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/serialization.py /^def _serialize_keras_object(instance):$/;" f +_serialize_keras_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def _serialize_keras_tensor(t):$/;" f member:Node.serialize file: +_serialize_nested_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^def _serialize_nested_config(config):$/;" f +_serialize_object_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _serialize_object_graph(saveable_view, asset_file_def_index):$/;" f +_serialize_response adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _serialize_response(rpc_event, state, response, response_serializer):$/;" f +_serialize_slot_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^def _serialize_slot_variables(trackable_objects, node_ids, object_names):$/;" f +_serialize_value_for_xml adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _serialize_value_for_xml(self, value):$/;" m class:Flag +_serialize_value_for_xml adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _serialize_value_for_xml(self, value):$/;" m class:MultiEnumClassFlag +_series adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def _series(self):$/;" m class:MSubArray +_series adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def _series(self):$/;" m class:MMatrix +_series adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def _series(self, v, z, n=100):$/;" m class:TestStruve +_serve adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _serve(state):$/;" f +_Server adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _Server(interfaces.Server):$/;" c +_Server adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _Server(grpc.Server):$/;" c +_SERVERDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^_SERVERDEF = _descriptor.Descriptor($/;" v +_SERVERINFOREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_SERVERINFOREQUEST = _descriptor.Descriptor($/;" v +_SERVERINFORESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_SERVERINFORESPONSE = _descriptor.Descriptor($/;" v +_ServerProtocolContext adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _ServerProtocolContext(interfaces.GRPCServicerContext):$/;" c +_ServerStage adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _ServerStage(enum.Enum):$/;" c +_ServerState adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^class _ServerState(object):$/;" c +_server_info_request adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/server_info.py /^def _server_info_request(upload_plugins):$/;" f +_server_process_global_profile adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/server_process_global_profiler.py /^class _server_process_global_profile(profile):$/;" c +_serve_asset adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_asset(self, path, gzipped_asset_bytes, request):$/;" m class:CorePlugin +_serve_audio_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _serve_audio_metadata(self, request):$/;" m class:AudioPlugin +_serve_bookmarks adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_bookmarks(self, request):$/;" m class:ProjectorPlugin +_serve_config adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_config(self, request):$/;" m class:ProjectorPlugin +_serve_environment adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_environment(self, request):$/;" m class:CorePlugin +_serve_experiments adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_experiments(self, request):$/;" m class:CorePlugin +_serve_experiment_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_experiment_runs(self, request):$/;" m class:CorePlugin +_serve_file adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_file(self, file_path, request):$/;" m class:ProjectorPlugin +_serve_image_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _serve_image_data(self, request):$/;" m class:MetricsPlugin +_serve_image_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _serve_image_metadata(self, request):$/;" m class:ImagesPlugin +_serve_index adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_index(self, index_asset_bytes, request):$/;" m class:CorePlugin +_serve_individual_audio adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _serve_individual_audio(self, request):$/;" m class:AudioPlugin +_serve_individual_image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _serve_individual_image(self, request):$/;" m class:ImagesPlugin +_serve_js adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _serve_js(self, request):$/;" m class:WhatIfToolPlugin +_serve_logdir adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_logdir(self, request):$/;" m class:CorePlugin +_serve_mesh_data adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _serve_mesh_data(self, request):$/;" m class:MeshPlugin +_serve_mesh_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _serve_mesh_metadata(self, request):$/;" m class:MeshPlugin +_serve_metadata adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_metadata(self, request):$/;" m class:ProjectorPlugin +_serve_plugins_listing adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def _serve_plugins_listing(self, request):$/;" m class:TensorBoardWSGI +_serve_plugin_entry adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def _serve_plugin_entry(self, request):$/;" m class:TensorBoardWSGI +_serve_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_runs(self, request):$/;" m class:CorePlugin +_serve_runs adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_runs(self, request):$/;" m class:ProjectorPlugin +_serve_sprite adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _serve_sprite(self, request):$/;" m class:WhatIfToolPlugin +_serve_sprite_image adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_sprite_image(self, request):$/;" m class:ProjectorPlugin +_SERVE_SUBCOMMAND_NAME adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^_SERVE_SUBCOMMAND_NAME = "serve"$/;" v +_serve_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def _serve_tags(self, request):$/;" m class:AudioPlugin +_serve_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def _serve_tags(self, request):$/;" m class:ImagesPlugin +_serve_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _serve_tags(self, request):$/;" m class:MeshPlugin +_serve_tags adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _serve_tags(self, request):$/;" m class:MetricsPlugin +_serve_tensor adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def _serve_tensor(self, request):$/;" m class:ProjectorPlugin +_serve_time_series adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _serve_time_series(self, request):$/;" m class:MetricsPlugin +_serve_window_properties adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def _serve_window_properties(self, request):$/;" m class:CorePlugin +_serve_wit adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _serve_wit(self, request):$/;" m class:WhatIfToolPlugin +_serve_wit_js adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _serve_wit_js(self, request):$/;" m class:WhatIfToolPlugin +_ServiceBuilder adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^class _ServiceBuilder(object):$/;" c +_SERVICEDESCRIPTORPROTO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_SERVICEDESCRIPTORPROTO = _descriptor.Descriptor($/;" v +_SERVICEOPTIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_SERVICEOPTIONS = _descriptor.Descriptor($/;" v +_ServicePipeline adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _ServicePipeline(object):$/;" c +_ServiceStubBuilder adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^class _ServiceStubBuilder(object):$/;" c +_ServiceStubInit adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _ServiceStubInit(stub, rpc_channel):$/;" f member:_ServiceStubBuilder.BuildServiceStub file: +_SERVICE_ACCOUNT_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_SERVICE_ACCOUNT_TYPE = "service_account"$/;" v +_SERVICE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_SERVICE_KEY = 'service'$/;" v +_SERVICE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^_SERVICE_KEY = run_config_lib._SERVICE_KEY$/;" v +_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def _serving_input_receiver_fn():$/;" f member:TimeSeriesRegressor.build_one_shot_parsing_serving_input_receiver_fn file: +_serving_input_receiver_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def _serving_input_receiver_fn():$/;" f member:TimeSeriesRegressor.build_raw_serving_input_receiver_fn file: +_serving_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _serving_ops(self, features):$/;" m class:OneShotPredictionHead +_serving_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _serving_ops(self, features):$/;" m class:TimeSeriesRegressionHead +_SESSION adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_SESSION = _descriptor.Descriptor($/;" v +_session adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.client import session as _session$/;" x +_SESSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_SESSION = threading.local()$/;" v +_SessionConverterData adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _SessionConverterData(_ConverterData):$/;" c +_SESSIONENDINFO adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_SESSIONENDINFO = _descriptor.Descriptor($/;" v +_SESSIONGROUP adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_SESSIONGROUP = _descriptor.Descriptor($/;" v +_SESSIONGROUP_HPARAMSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_SESSIONGROUP_HPARAMSENTRY = _descriptor.Descriptor($/;" v +_SESSIONINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_SESSIONINFO = _descriptor.Descriptor($/;" v +_SESSIONLOG adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_SESSIONLOG = _descriptor.Descriptor($/;" v +_SESSIONLOG adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_SESSIONLOG = _descriptor.Descriptor($/;" v +_SESSIONLOG_SESSIONSTATUS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_SESSIONLOG_SESSIONSTATUS = _descriptor.EnumDescriptor($/;" v +_SESSIONLOG_SESSIONSTATUS adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_SESSIONLOG_SESSIONSTATUS = _descriptor.EnumDescriptor($/;" v +_SESSIONMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_SESSIONMETADATA = _descriptor.Descriptor($/;" v +_SESSIONMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_SESSIONMETADATA = _descriptor.Descriptor($/;" v +_SESSIONRUNLOG adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_SESSIONRUNLOG = _descriptor.Descriptor($/;" v +_SESSIONRUNREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^_SESSIONRUNREQUEST = _descriptor.Descriptor($/;" v +_SESSIONRUNRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^_SESSIONRUNRESPONSE = _descriptor.Descriptor($/;" v +_SESSIONSERVICE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^_SESSIONSERVICE = _descriptor.ServiceDescriptor($/;" v +_SESSIONSTARTINFO adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_SESSIONSTARTINFO = _descriptor.Descriptor($/;" v +_SESSIONSTARTINFO_HPARAMSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_SESSIONSTARTINFO_HPARAMSENTRY = _descriptor.Descriptor($/;" v +_SessionWithFeedDictAdditions adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^class _SessionWithFeedDictAdditions(session_lib.SessionInterface):$/;" c +_SESSION_MASTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_SESSION_MASTER_KEY = 'session_master'$/;" v +_SESSION_MASTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^_SESSION_MASTER_KEY = 'session_master'$/;" v +_SESSION_MASTER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_SESSION_MASTER_KEY = 'session_master'$/;" v +_SESSION_PROVIDER adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^_SESSION_PROVIDER = None$/;" v +_session_run_for_graph_structure_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def _session_run_for_graph_structure_lookup(self):$/;" m class:SessionDebugTestBase +_session_run_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _session_run_lock(self):$/;" m class:Graph +_SESSION_RUN_LOCK_GROUP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_SESSION_RUN_LOCK_GROUP = 1$/;" v +_Set adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Set(self, t):$/;" m class:Unparser +_set adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def _set(self, child):$/;" m class:TransformWrapper +_set adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def _set(self, profile, filename=None):$/;" m class:ImageCmsProfile +_set adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _set(key, value):$/;" f member:LegacyMetadata.update file: +_set adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def _set(self, key, value):$/;" m class:AttributeSentinel +_Set1_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Set1_data = ($/;" v +_Set2_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Set2_data = ($/;" v +_Set3_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Set3_data = ($/;" v +_SetAllFieldTypes adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _SetAllFieldTypes(self, package, desc_proto, scope):$/;" m class:DescriptorPool +_setattr adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def _setattr(self, dest_op, name, value):$/;" m class:OpHint +_setAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setAttributes(self, attributes):$/;" m class:getETreeBuilder.Element +_setAttributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setAttributes(self, value):$/;" m class:TreeBuilder.__init__.Element +_setAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setAttributes(self, attributes):$/;" m class:getETreeBuilder.Element +_setAttributes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setAttributes(self, attributes):$/;" m class:TreeBuilder.__init__.Element +_setattr_cm adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _setattr_cm(obj, **kwargs):$/;" f +_setattr_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _setattr_tracking(self):$/;" m class:_DelegatingTrackableMixin +_setattr_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _setattr_tracking(self, value):$/;" m class:_DelegatingTrackableMixin +_setattr_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _setattr_tracking(self):$/;" m class:Trackable +_setattr_tracking adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _setattr_tracking(self, value):$/;" m class:Trackable +_setChildNodes adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setChildNodes(self, value):$/;" m class:getETreeBuilder.Element +_setChildNodes adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setChildNodes(self, value):$/;" m class:getETreeBuilder.Element +_SetComp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _SetComp(self, t):$/;" m class:Unparser +_SetConsoleCursorPosition adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _SetConsoleCursorPosition = windll.kernel32.SetConsoleCursorPosition$/;" v +_SetConsoleTextAttribute adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _SetConsoleTextAttribute = windll.kernel32.SetConsoleTextAttribute$/;" v +_SetConsoleTitleW adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ _SetConsoleTitleW = windll.kernel32.SetConsoleTitleW$/;" v +_setData adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setData(self, value):$/;" m class:getETreeBuilder.Comment +_setData adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setData(self, data):$/;" m class:TreeBuilder.__init__.Comment +_setData adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setData(self, value):$/;" m class:getETreeBuilder.Comment +_setData adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setData(self, data):$/;" m class:TreeBuilder.__init__.Comment +_setdef adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^def _setdef():$/;" f +_SetDefaultAttrValues adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/importer.py /^def _SetDefaultAttrValues(node_def, op_def):$/;" f +_setdefaults adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _setdefaults(self, defaults, kw):$/;" m class:_process_plot_var_args +_setDevicePixelRatioF adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^def _setDevicePixelRatioF(obj, val):$/;" f +_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _setdiag(self, values, k):$/;" m class:spmatrix +_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _setdiag(self, values, k):$/;" m class:_cs_matrix +_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _setdiag(self, values, k):$/;" m class:coo_matrix +_setdiag adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def _setdiag(self, values, k=0):$/;" m class:dia_matrix +_setdiag_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/_laplacian.py /^def _setdiag_dense(A, d):$/;" f +_setdiff1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _setdiff1d_dispatcher(ar1, ar2, assume_unique=None):$/;" f +_SetEvalIterationsHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _SetEvalIterationsHook(tf.compat.v1.train.SessionRunHook):$/;" c +_setfieldnames adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def _setfieldnames(self, names, titles):$/;" m class:format_parser +_SetFieldType adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _SetFieldType(self, field_proto, field_desc, package, scope):$/;" m class:DescriptorPool +_SetGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _SetGrad(grads, t, grad):$/;" f +_setInsertFromTable adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def _setInsertFromTable(self, value):$/;" m class:TreeBuilder +_setInsertFromTable adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def _setInsertFromTable(self, value):$/;" m class:TreeBuilder +_setitem adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _setitem(self, tag, value, legacy_api):$/;" m class:ImageFileDirectory_v2 +_setlinkpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _setlinkpath(self, linkname):$/;" m class:TarInfo +_SetListener adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _SetListener(self, listener):$/;" f +_SetListener adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def _SetListener(self, message_listener):$/;" m class:Message +_setName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setName(self, name):$/;" m class:getETreeBuilder.Element +_setName adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setName(self, name):$/;" m class:TreeBuilder.__init__.Element +_setName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setName(self, name):$/;" m class:getETreeBuilder.Element +_setName adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def _setName(self, name):$/;" m class:TreeBuilder.__init__.Element +_setNamespace adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setNamespace(self, namespace):$/;" m class:getETreeBuilder.Element +_setNamespace adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setNamespace(self, namespace):$/;" m class:getETreeBuilder.Element +_SetOptions adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def _SetOptions(self, options, options_class_name):$/;" m class:DescriptorBase +_setpath adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def _setpath(self, name):$/;" m class:TarInfo +_SetPath adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def _SetPath(self, path):$/;" m class:DirectoryWatcher +_setPublicId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setPublicId(self, value):$/;" m class:getETreeBuilder.DocumentType +_setPublicId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setPublicId(self, value):$/;" m class:getETreeBuilder.DocumentType +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:Forward +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:MatchFirst +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:Or +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:ParseExpression +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:ParserElement +_setResultsName adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:_MultipleMatch +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:Forward +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:MatchFirst +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:Or +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:ParseExpression +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:ParserElement +_setResultsName adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _setResultsName(self, name, listAllMatches=False):$/;" m class:_MultipleMatch +_SetShapeInvariants adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _SetShapeInvariants(input_vars, enter_vars, shapes):$/;" f +_SetStatsAggregatorDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _SetStatsAggregatorDataset(UnaryUnchangedStructureDataset):$/;" c +_SetStructValue adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _SetStructValue(struct_value, value):$/;" f +_SetSumOpsDeviceOption adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _SetSumOpsDeviceOption(self, sum_ops, generators):$/;" m class:IR +_setSystemId adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def _setSystemId(self, value):$/;" m class:getETreeBuilder.DocumentType +_setSystemId adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def _setSystemId(self, value):$/;" m class:getETreeBuilder.DocumentType +_SetType adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/api_implementation.py /^def _SetType(implementation_type):$/;" f +_settzkeyname adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^def _settzkeyname():$/;" f +_setup adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def _setup(self, dt):$/;" m class:TestCorrelate +_setup adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _setup(self):$/;" m class:TiffImageFile +_SetupBuilder adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _SetupBuilder(NetBuilder):$/;" c +_SETUPTOOLS_SHIM adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/setuptools_build.py /^_SETUPTOOLS_SHIM = ($/;" v +_setup_blit adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _setup_blit(self):$/;" m class:Animation +_setup_compile adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def _setup_compile(self, outdir, macros, incdirs, sources, depends,$/;" m class:CCompiler +_setup_custom_validators adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def _setup_custom_validators(self, kwargs):$/;" m class:GrantTypeBase +_setup_distribution adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^_setup_distribution = None$/;" v +_setup_filtering adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _setup_filtering(argv):$/;" f +_setup_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _setup_fn(session, feed_list, fetch_list, target_list):$/;" f member:BaseSession.partial_run_setup file: +_setup_functions_captures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _setup_functions_captures(self):$/;" m class:Loader +_setup_functions_structures adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def _setup_functions_structures(self):$/;" m class:Loader +_setup_isolation adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/sdist.py /^ def _setup_isolation(self, finder):$/;" m class:SourceDistribution +_setup_latex_process adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _setup_latex_process(self):$/;" m class:LatexManager +_setup_prefix adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _setup_prefix(self):$/;" m class:EggProvider +_setup_prefix adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _setup_prefix(self):$/;" m class:EggProvider +_setup_pyplot_info_docstrings adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def _setup_pyplot_info_docstrings():$/;" f +_setup_pyqt4 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^def _setup_pyqt4():$/;" f +_setup_pyqt4_internal adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^ def _setup_pyqt4_internal(api):$/;" f function:_setup_pyqt4 file: +_setup_pyqt5 adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_compat.py /^def _setup_pyqt5():$/;" f +_setup_queues adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/pool.py /^ def _setup_queues(self):$/;" m class:Pool +_setup_rank1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def _setup_rank1(self, dt):$/;" m class:TestCorrelateReal +_setup_rank1 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def _setup_rank1(self, dt, mode):$/;" m class:TestCorrelateComplex +_setup_rank3 adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def _setup_rank3(self, dt):$/;" m class:TestCorrelateReal +_setup_sharding adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _setup_sharding(custom_loader=None):$/;" f +_setup_stop_after adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/core.py /^_setup_stop_after = None$/;" v +_setup_test_runner_fail_fast adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _setup_test_runner_fail_fast(argv):$/;" f +_setup_test_suite adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def _setup_test_suite(self, suite_name):$/;" m class:_TestSuiteResult +_setup_textpos adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _setup_textpos(self, x, y, angle, oldx=0, oldy=0, oldangle=0):$/;" m class:RendererPdf +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:AbstractConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:AbstractConstraintSet +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:ComponentAbsentConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:ComponentPresentConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:ConstraintsExclusion +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:InnerTypeConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:PermittedAlphabetConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:SingleValueConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:ValueRangeConstraint +_setValues adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _setValues(self, values):$/;" m class:WithComponentsConstraint +_setxor1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _setxor1d_dispatcher(ar1, ar2, assume_unique=None):$/;" f +_set_aborted adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _set_aborted(self):$/;" m class:RemoteValueImpl +_set_accumulators_stamp adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _set_accumulators_stamp():$/;" f member:_AccumulatorEnsembleGrower.center_bias file: +_set_active_handle adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _set_active_handle(self, event):$/;" m class:RectangleSelector +_set_alpn_protocols adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _set_alpn_protocols(self, protocols):$/;" m class:WrappedSocket +_set_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _set_arrayXarray(self, row, col, x):$/;" m class:_cs_matrix +_set_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _set_arrayXarray(self, row, col, x):$/;" m class:dok_matrix +_set_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _set_arrayXarray(self, row, col, x):$/;" m class:lil_matrix +_set_arrayXarray adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _set_arrayXarray(self, row, col, x):$/;" m class:IndexMixin +_set_arrayXarray_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _set_arrayXarray_sparse(self, row, col, x):$/;" m class:_cs_matrix +_set_arrayXarray_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _set_arrayXarray_sparse(self, row, col, x):$/;" m class:lil_matrix +_set_arrayXarray_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _set_arrayXarray_sparse(self, row, col, x):$/;" m class:IndexMixin +_set_array_types adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _set_array_types():$/;" f +_set_artist_props adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_artist_props(self, a):$/;" m class:_AxesBase +_set_artist_props adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_artist_props(self, a):$/;" m class:Axis +_set_artist_props adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_artist_props(self, a):$/;" m class:Tick +_set_artist_props adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _set_artist_props(self, a):$/;" m class:Figure +_set_artist_props adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _set_artist_props(self, a):$/;" m class:Legend +_set_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_attr(self, attr_name, attr_value):$/;" m class:Operation +_set_attributes adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _set_attributes(self, **attributes):$/;" m class:FlagValues +_set_attr_with_buf adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_attr_with_buf(self, attr_name, attr_buf):$/;" m class:Operation +_set_avg_session_metrics adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _set_avg_session_metrics(session_group):$/;" f +_set_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _set_batch_size(self, batch_size):$/;" m class:TFLiteConverterBaseV1 +_set_cache_enabled adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _set_cache_enabled(self, value):$/;" m class:DistributionPath +_set_cache_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _set_cache_value(self, key, value, type):$/;" m class:_CacheControl +_set_call_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_call_arg_value($/;" m class:Layer +_set_call_arg_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _set_call_arg_value($/;" m class:Layer +_set_capture adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _set_capture(self, capture=True):$/;" m class:_FigureCanvasWxBase +_set_caretdown adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretdown(self):$/;" m class:MarkerStyle +_set_caretdownbase adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretdownbase(self):$/;" m class:MarkerStyle +_set_caretleft adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretleft(self):$/;" m class:MarkerStyle +_set_caretleftbase adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretleftbase(self):$/;" m class:MarkerStyle +_set_caretright adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretright(self):$/;" m class:MarkerStyle +_set_caretrightbase adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretrightbase(self):$/;" m class:MarkerStyle +_set_caretup adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretup(self):$/;" m class:MarkerStyle +_set_caretupbase adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_caretupbase(self):$/;" m class:MarkerStyle +_set_char adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _set_char(self, char):$/;" m class:Dvi +_set_char_immediate adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _set_char_immediate(self, char):$/;" m class:Dvi +_set_checkpoint_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _set_checkpoint_initializer(variable,$/;" f +_set_ciphers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def _set_ciphers(self):$/;" m class:WrappedSocket +_set_ciphers adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def _set_ciphers(self):$/;" m class:WrappedSocket +_set_circle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_circle(self, reduction=1.0):$/;" m class:MarkerStyle +_set_class adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _set_class(self, cls):$/;" m class:UnivariateSpline +_set_command_options adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _set_command_options(self, command_obj, option_dict=None):$/;" m class:Distribution +_set_command_options adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _set_command_options(self, command_obj, option_dict=None):$/;" m class:Distribution +_set_config adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/register.py /^ def _set_config(self):$/;" m class:register +_set_connectivity_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_connectivity_metadata(self, args, kwargs, outputs):$/;" m class:Layer +_set_const_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _set_const_tensor(val, dtype, shape):$/;" f member:LayerNormalization.call file: +_set_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def _set_context(ctx):$/;" f +_set_context_locked adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def _set_context_locked(ctx):$/;" f +_set_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_control_flow_context(self, ctx):$/;" m class:Graph +_set_control_flow_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_control_flow_context(self, ctx):$/;" m class:Operation +_set_cursor_cbk adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _set_cursor_cbk(self, event):$/;" m class:SetCursorBase +_set_custom_marker adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_custom_marker(self, path):$/;" m class:MarkerStyle +_set_c_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _set_c_attrs(self, attrs):$/;" m class:_DefinedFunction +_set_dead adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _set_dead(self):$/;" m class:Worker +_set_default adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def _set_default(self, value):$/;" m class:Flag +_set_defaults adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def _set_defaults(opts):$/;" f member:ProxyMiddleware.__init__ file: +_set_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^ def _set_device(self, device):$/;" m class:_FakeOperation +_set_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _set_device(self, device_name, device_spec):$/;" m class:Context +_set_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_device(self, device): # pylint: disable=redefined-outer-name$/;" m class:Operation +_set_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _set_device(self, device):$/;" m class:_TfDeviceCaptureOp +_set_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _set_device(self, device):$/;" m class:TPUReplicateContext._EnterOutsideCompilationScope.FakeOp +_set_device_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^ def _set_device_from_string(self, device_str):$/;" m class:_FakeOperation +_set_device_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_device_from_string(self, device_str):$/;" m class:Operation +_set_device_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _set_device_from_string(self, device_str):$/;" m class:_TfDeviceCaptureOp +_set_device_from_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def _set_device_from_string(self, device_str):$/;" m class:TPUReplicateContext._EnterOutsideCompilationScope.FakeOp +_set_device_scale adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def _set_device_scale(self, value):$/;" m class:FigureCanvasMac +_set_diag_operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _set_diag_operators(self, diag_update, is_diag_update_positive):$/;" m class:LinearOperatorLowRankUpdate +_set_diamond adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_diamond(self):$/;" m class:MarkerStyle +_set_doc adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^def _set_doc(obj):$/;" f +_set_doc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _set_doc(doc):$/;" f +_set_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def _set_dpi(self, dpi, forward=True):$/;" m class:Figure +_set_dtype adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _set_dtype(self, dtype, union=False):$/;" m class:_Interpolator1D +_set_dtype adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def _set_dtype(self, newtype):$/;" m class:_data_matrix +_set_dtype_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_dtype_policy(self, dtype):$/;" m class:Layer +_set_dtype_policy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _set_dtype_policy(self, dtype):$/;" m class:Layer +_set_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _set_edgecolor(self, c):$/;" m class:Collection +_set_edgecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _set_edgecolor(self, color):$/;" m class:Patch +_set_egg adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _set_egg(self, path):$/;" m class:EggProvider +_set_error adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _set_error(self, exception):$/;" m class:RemoteValueImpl +_set_evals_completed_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def _set_evals_completed_tensor(self, updated_eval_step):$/;" m class:_MultiStepStopAfterNEvalsHook +_set_evals_completed_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def _set_evals_completed_tensor(self, updated_eval_step):$/;" m class:_StopAfterNEvalsHook +_set_external_pad adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _set_external_pad(self, p):$/;" m class:AxisLabel +_set_extremes adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _set_extremes(self):$/;" m class:Colormap +_set_extremum_session_metrics adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _set_extremum_session_metrics($/;" f +_set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _set_facecolor(self, c):$/;" m class:Collection +_set_facecolor adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _set_facecolor(self, color):$/;" m class:Patch +_set_fapl_fileobj adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def _set_fapl_fileobj(plist, **kwargs):$/;" f +_set_fapl_mpio adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^def _set_fapl_mpio(plist, **kwargs):$/;" f +_set_fetcher_options adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _set_fetcher_options(self, base):$/;" m class:easy_install +_set_field_nested_item adpeps/utils/ctmtensors.py /^ def _set_field_nested_item(self, fieldname=None, ix=None, value=None):$/;" m class:CTMTensors +_set_form adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def _set_form(self, name, value):$/;" m class:EnvironBuilder +_set_format adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _set_format(self):$/;" m class:ScalarFormatter +_set_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_formatter(self, formatter, level):$/;" m class:Axis +_set_forward_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def _set_forward_vocabulary(self, vocab):$/;" m class:IndexLookup +_set_frame_icon adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^def _set_frame_icon(frame):$/;" f +_set_function_name adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _set_function_name(func, name):$/;" f +_set_function_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _set_function_spec(self, function_spec):$/;" m class:ConcreteFunction +_set_func_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_func_attr(self, attr_name, func_name):$/;" m class:Operation +_set_func_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_func_list_attr(self, attr_name, func_names):$/;" m class:Operation +_set_gc_clip adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def _set_gc_clip(self, gc):$/;" m class:Artist +_set_global_flags adpepsenv/lib/python3.8/site-packages/caffe2/python/extension_loader.py /^_set_global_flags = ($/;" v +_SET_GLOBAL_FLAGS adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^_SET_GLOBAL_FLAGS = hasattr(sys, 'getdlopenflags') and hasattr(sys, 'setdlopenflags')$/;" v +_set_global_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def _set_global_seed(self, seed):$/;" m class:Context +_set_glue adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _set_glue(self, x, sign, totals, error_type):$/;" m class:List +_set_graph_parents adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _set_graph_parents(self, graph_parents):$/;" m class:LinearOperator +_set_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _set_handle_data(list_handle, element_shape, element_dtype):$/;" f +_set_handle_shapes_and_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _set_handle_shapes_and_types(tensor, handle_data, graph_mode):$/;" f +_set_hexagon1 adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_hexagon1(self):$/;" m class:MarkerStyle +_set_hexagon2 adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_hexagon2(self):$/;" m class:MarkerStyle +_set_hline adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_hline(self):$/;" m class:MarkerStyle +_set_hyper adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _set_hyper(self, name, value):$/;" m class:OptimizerV2 +_set_index_array adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def _set_index_array(self):$/;" m class:Iterator +_set_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _set_inputs(self, inputs, outputs=None, training=None):$/;" m class:Model +_set_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_inputs(self, inputs, outputs=None, training=None):$/;" m class:Model +_set_input_and_output_names adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _set_input_and_output_names(graph, input_names, output_names):$/;" f +_set_input_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_input_attrs(self, inputs):$/;" m class:Model +_set_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _set_intXint(self, row, col, x):$/;" m class:_cs_matrix +_set_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _set_intXint(self, row, col, x):$/;" m class:dok_matrix +_set_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def _set_intXint(self, row, col, x):$/;" m class:lil_matrix +_set_intXint adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _set_intXint(self, row, col, x):$/;" m class:IndexMixin +_set_inverse_vocabulary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def _set_inverse_vocabulary(self, vocab):$/;" m class:IndexLookup +_set_is_retired_flag_func adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _set_is_retired_flag_func(self, is_retired_flag_func):$/;" m class:FlagValues +_set_iters adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _set_iters(self, iters):$/;" m class:PForConfig +_set_jit_function_cache adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _set_jit_function_cache(key, value):$/;" f +_set_jit_overload_cache adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _set_jit_overload_cache(key, compiled_fns):$/;" f +_set_label adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _set_label(self):$/;" m class:ColorbarBase +_set_labelrotation adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_labelrotation(self, labelrotation):$/;" m class:Tick +_set_label_text adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _set_label_text(self):$/;" m class:ColorbarBase +_set_last_step_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _set_last_step_outputs(self, outputs):$/;" m class:MultiStepContext +_set_last_step_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^def _set_last_step_outputs(ctx, last_step_tensor_outputs):$/;" f +_set_lims adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def _set_lims(self):$/;" m class:SecondaryAxis +_set_lim_and_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_lim_and_transforms(self):$/;" m class:_AxesBase +_set_lim_and_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def _set_lim_and_transforms(self):$/;" m class:GeoAxes +_set_lim_and_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _set_lim_and_transforms(self):$/;" m class:PolarAxes +_set_lim_and_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_skew.py /^ def _set_lim_and_transforms(self):$/;" m class:SkewXAxes +_set_lim_and_transforms adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def _set_lim_and_transforms(self):$/;" m class:ParasiteAxesAuxTransBase +_set_list_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def _set_list_item(list_object, index_string, value):$/;" f +_set_loc adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _set_loc(self, loc):$/;" m class:Legend +_set_locator adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _set_locator(self, locator):$/;" m class:AutoDateFormatter +_set_locator adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _set_locator(self, locator):$/;" m class:Formatter +_set_many adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _set_many(self, i, j, x):$/;" m class:_cs_matrix +_set_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ _set_mask = __setmask__$/;" v class:MaskedArray +_set_mask adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _set_mask(data_dict):$/;" f member:_InputsWithStoppingSignals.__init__ file: +_set_mask_keras_history_checked adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_mask_keras_history_checked(self, flat_outputs):$/;" m class:Layer +_set_mask_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_mask_metadata(self, inputs, outputs, previous_mask, build_graph):$/;" m class:Layer +_set_mask_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _set_mask_metadata(self, inputs, outputs, previous_mask):$/;" m class:Layer +_set_mathtext_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_mathtext_path(self):$/;" m class:MarkerStyle +_set_matrix adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def _set_matrix(fid):$/;" f function:hb_write file: +_set_median_session_metrics adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _set_median_session_metrics(session_group, aggregation_metric):$/;" f +_set_metric_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_metric_attributes(self):$/;" m class:Model +_set_metric_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _set_metric_names(self):$/;" m class:MetricsContainer +_set_module adpepsenv/lib/python3.8/site-packages/torch/quantization/fuse_modules.py /^def _set_module(model, submodule_key, module):$/;" f +_set_months adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def _set_months(self, months):$/;" m class:relativedelta +_set_mouse_enabled adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _set_mouse_enabled(self, enabled):$/;" m class:CursesUI +_set_name_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _set_name_scope(self):$/;" m class:Loss +_set_network_attributes_from_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _set_network_attributes_from_metadata(revived_obj):$/;" f +_set_new_active_manager adpepsenv/lib/python3.8/site-packages/matplotlib/_pylab_helpers.py /^ def _set_new_active_manager(cls, manager):$/;" m class:Gcf +_set_nothing adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_nothing(self):$/;" m class:MarkerStyle +_set_octagon adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_octagon(self):$/;" m class:MarkerStyle +_set_offset_radius adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _set_offset_radius(self, offset_radius):$/;" m class:LabelBase +_set_onnx_shape_inference adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _set_onnx_shape_inference(onnx_shape_inference):$/;" f +_set_operation adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^def _set_operation(a, b, set_operation, validate_indices=True):$/;" f +_set_operator_export_type adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _set_operator_export_type(operator_export_type):$/;" f +_set_opset_version adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _set_opset_version(opset_version):$/;" f +_set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _set_optimization_parameters($/;" m class:Adagrad +_set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _set_optimization_parameters($/;" m class:Adam +_set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _set_optimization_parameters($/;" m class:SGD +_set_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _set_optimization_parameters($/;" m class:_Optimizer +_set_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_optimizer(self, optimizer):$/;" m class:Model +_set_order_of_magnitude adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _set_order_of_magnitude(self):$/;" m class:ScalarFormatter +_set_output_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_output_attrs(self, outputs):$/;" m class:Model +_set_output_blobs adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def _set_output_blobs(self, net, context):$/;" m class:SelectRecordByContext +_set_output_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _set_output_names(self):$/;" m class:Functional +_set_ox adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _set_ox(self, ox):$/;" m class:Shadow +_set_oy adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _set_oy(self, oy):$/;" m class:Shadow +_set_pad_area adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _set_pad_area(padded, axis, width_pair, value_pair):$/;" f +_set_param adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def _set_param(self, params_data):$/;" m class:LBFGS +_set_parent adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _set_parent(self, parent, relative_id):$/;" m class:Field +_set_parent_ns adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _set_parent_ns(packageName):$/;" f +_set_parent_ns adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _set_parent_ns(packageName):$/;" f +_set_path_marker adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_path_marker(self):$/;" m class:MarkerStyle +_set_pentagon adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_pentagon(self):$/;" m class:MarkerStyle +_set_per_output_metric_attributes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_per_output_metric_attributes(self, metrics_dict, output_index):$/;" m class:Model +_set_pixel adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_pixel(self):$/;" m class:MarkerStyle +_set_plus adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_plus(self):$/;" m class:MarkerStyle +_set_plus_filled adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_plus_filled(self):$/;" m class:MarkerStyle +_set_point adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_point(self):$/;" m class:MarkerStyle +_set_position adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_position(self, pos, which='both'):$/;" m class:_AxesBase +_set_profile_generation_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def _set_profile_generation_mode(value, node):$/;" f member:TrtGraphConverterV2.build file: +_set_property adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _set_property(name, doc=None): # noqa: B902$/;" m class:WWWAuthenticate +_set_property adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/common_descriptors.py /^ def _set_property(name, doc=None): # noqa: B902$/;" m class:CommonResponseDescriptorsMixin +_set_proxy_headers adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def _set_proxy_headers(self, url, headers=None):$/;" m class:ProxyManager +_set_proxy_headers adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def _set_proxy_headers(self, url, headers=None):$/;" m class:ProxyManager +_set_python_exit_flag adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/__init__.py /^def _set_python_exit_flag():$/;" f +_set_ragged_reduce_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def _set_ragged_reduce_docstring(func, combination, combined, default, example):$/;" f +_set_ragged_segment_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_math_ops.py /^def _set_ragged_segment_docstring(func, combination, combined):$/;" f +_set_read_only_resource_inputs_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _set_read_only_resource_inputs_attr(op, branch_graphs):$/;" f +_set_read_only_resource_inputs_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/functional_ops.py /^def _set_read_only_resource_inputs_attr(op, func_graph):$/;" f +_set_read_only_resource_inputs_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _set_read_only_resource_inputs_attr(op, branch_graphs):$/;" f +_set_reflect_both adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _set_reflect_both(padded, axis, width_pair, method, include_edge=False):$/;" f +_set_ref_angle adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _set_ref_angle(self, a):$/;" m class:LabelBase +_set_requirement adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def _set_requirement(self):$/;" m class:InstallRequirement +_set_req_to_reinstall adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def _set_req_to_reinstall(self, req):$/;" m class:Resolver +_set_resources_aborted adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _set_resources_aborted(self):$/;" m class:Worker +_set_rpc_done adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _set_rpc_done(ctx_id, rank_distance):$/;" f +_set_rule adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _set_rule(self, a, b):$/;" m class:Dvi +_set_sample_static_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _set_sample_static_shape(self, x, sample_shape):$/;" m class:Distribution +_set_save_slice_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _set_save_slice_info(self, save_slice_info):$/;" m class:DistributedVariable +_set_save_slice_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _set_save_slice_info(self, save_slice_info):$/;" m class:Variable +_set_save_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_save_spec(self, inputs):$/;" m class:Layer +_set_save_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _set_save_spec(self, inputs):$/;" m class:Model +_set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def _set_scale(self):$/;" m class:SecondaryAxis +_set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_scale(self, value, **kwargs):$/;" m class:Axis +_set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _set_scale(self, value, **kwargs):$/;" m class:RadialAxis +_set_scale adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _set_scale(self, value, **kwargs):$/;" m class:ThetaAxis +_set_schema adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def _set_schema(self, schema):$/;" m class:Reader +_set_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _set_scheme(self, value):$/;" m class:AggregatingLocator +_set_scheme adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _set_scheme(self, value):$/;" m class:Locator +_set_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _set_scope(self, scope=None):$/;" m class:Layer +_set_scope_for_node adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def _set_scope_for_node(self, node, node_scope):$/;" m class:RootScope +_set_self adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _set_self(self, other, copy=False):$/;" m class:_cs_matrix +_set_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _set_shape(self, shape):$/;" m class:RaggedTensor +_set_shape_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_shape_list_attr(self, attr_name, shapes):$/;" m class:Operation +_set_shared_pool adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _set_shared_pool(obj):$/;" f +_set_SIGCHLD_handler adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/signal_handling.py /^def _set_SIGCHLD_handler():$/;" f +_set_socket_options adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/connection.py /^def _set_socket_options(sock, options):$/;" f +_set_socket_options adpepsenv/lib/python3.8/site-packages/urllib3/util/connection.py /^def _set_socket_options(sock, options):$/;" f +_set_span_xy adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _set_span_xy(self, event):$/;" m class:SpanSelector +_set_spawn_exe_path adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^def _set_spawn_exe_path():$/;" f +_set_square adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_square(self):$/;" m class:MarkerStyle +_set_star adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_star(self):$/;" m class:MarkerStyle +_set_state_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def _set_state_variables(self, updates):$/;" m class:CombinerPreprocessingLayer +_set_state_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer_v1.py /^ def _set_state_variables(self, updates):$/;" m class:CombinerPreprocessingLayer +_set_state_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def _set_state_variables(self, updates):$/;" m class:CategoryEncoding +_set_state_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def _set_state_variables(self, updates):$/;" m class:IndexLookup +_set_state_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def _set_state_variables(self, updates):$/;" m class:TextVectorization +_set_steps_per_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def _set_steps_per_run(self, steps_per_run):$/;" m class:AsyncCheckpointSaverHook +_set_steps_per_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _set_steps_per_run(self, steps_per_run):$/;" m class:CheckpointSaverHook +_set_steps_per_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _set_steps_per_run(self, steps_per_run):$/;" m class:StepCounterHook +_set_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _set_strategy(self, strategy):$/;" m class:Model +_set_tensor_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.util import set_tensor_shapes as _set_tensor_shapes$/;" x +_set_text_position adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _set_text_position(self, renderer):$/;" m class:Cell +_set_tf_attr adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _set_tf_attr(m, arg):$/;" f +_set_tf_attr adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _set_tf_attr(attr_dict, arg):$/;" f +_set_tf_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def _set_tf_config(task_type, task_id, cluster_spec, rpc_layer=None):$/;" f +_set_thin_diamond adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_thin_diamond(self):$/;" m class:MarkerStyle +_set_tickdown adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tickdown(self):$/;" m class:MarkerStyle +_set_ticklabels adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _set_ticklabels(self, labels, fontdict=None, minor=False, **kwargs):$/;" m class:Axis +_set_tickleft adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tickleft(self):$/;" m class:MarkerStyle +_set_tickright adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tickright(self):$/;" m class:MarkerStyle +_set_ticks_on_axis_warn adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^def _set_ticks_on_axis_warn(*args, **kw):$/;" f +_set_tickup adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tickup(self):$/;" m class:MarkerStyle +_set_title_offset_trans adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_title_offset_trans(self, title_offset_points):$/;" m class:_AxesBase +_set_trainable_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_trainable_state(self, trainable_state):$/;" m class:Layer +_set_trainable_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _set_trainable_state(self, trainable_state):$/;" m class:Layer +_set_training_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _set_training_mode(self, args, kwargs, call_context):$/;" m class:Layer +_set_training_mode adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _set_training_mode(training_mode):$/;" f +_set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _set_transform(self):$/;" m class:Quiver +_set_transform adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _set_transform(self):$/;" m class:QuiverKey +_set_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def _set_transforms(self):$/;" m class:EllipseCollection +_set_triangle adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_triangle(self, rot, skip):$/;" m class:MarkerStyle +_set_triangle_down adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_triangle_down(self):$/;" m class:MarkerStyle +_set_triangle_left adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_triangle_left(self):$/;" m class:MarkerStyle +_set_triangle_right adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_triangle_right(self):$/;" m class:MarkerStyle +_set_triangle_up adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_triangle_up(self):$/;" m class:MarkerStyle +_set_tri_down adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tri_down(self):$/;" m class:MarkerStyle +_set_tri_left adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tri_left(self):$/;" m class:MarkerStyle +_set_tri_right adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tri_right(self):$/;" m class:MarkerStyle +_set_tri_up adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tri_up(self):$/;" m class:MarkerStyle +_set_tuple_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def _set_tuple_item(list_object, index_string, value):$/;" f +_set_tuple_marker adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_tuple_marker(self):$/;" m class:MarkerStyle +_set_type_list_attr adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _set_type_list_attr(self, attr_name, types):$/;" m class:Operation +_set_tzdata adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def _set_tzdata(self, tzobj):$/;" m class:tzfile +_set_uid_gid adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^ def _set_uid_gid(tarinfo):$/;" f function:_make_tarball file: +_set_uid_gid adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/archive_util.py /^ def _set_uid_gid(tarinfo):$/;" f function:make_tarball file: +_set_unknown_flag adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def _set_unknown_flag(self, name, value):$/;" m class:FlagValues +_set_up_aliases adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^def _set_up_aliases():$/;" f +_set_v2_dtype_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^def _set_v2_dtype_behavior(fn, enabled):$/;" f +_set_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _set_value(self, value):$/;" m class:RemoteValueImpl +_set_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _set_value(self, value):$/;" f member:WWWAuthenticate.auth_property file: +_set_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _set_value(self, key, value):$/;" m class:ContentSecurityPolicy +_set_variable_or_list_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^def _set_variable_or_list_initializer(variable_or_list, ckpt_file,$/;" f +_set_vertices adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_vertices(self):$/;" m class:MarkerStyle +_set_view adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_view(self, view):$/;" m class:_AxesBase +_set_view adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _set_view(self, view):$/;" m class:Axes3D +_set_view_from_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _set_view_from_bbox(self, bbox, direction='in',$/;" m class:_AxesBase +_set_vline adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_vline(self):$/;" m class:MarkerStyle +_set_weights_v1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def _set_weights_v1(self, weights):$/;" m class:TrackableWeightHandler +_set_wrap_both adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _set_wrap_both(padded, axis, width_pair):$/;" f +_set_x adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_x(self):$/;" m class:MarkerStyle +_set_x_filled adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def _set_x_filled(self):$/;" m class:MarkerStyle +_set_yi adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def _set_yi(self, yi, xi=None, axis=None):$/;" m class:_Interpolator1D +_SEUPD_ERRORS adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_SEUPD_ERRORS = {'d': DSEUPD_ERRORS,$/;" v +_SEUPD_WHICH adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_SEUPD_WHICH = ['LM', 'SM', 'LA', 'SA', 'BE']$/;" v +_se_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/applications/mobilenet_v3.py /^def _se_block(inputs, filters, se_ratio, prefix):$/;" f +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, r):$/;" m class:rayleigh_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:cauchy_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:expon_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:gumbel_l_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:gumbel_r_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:kstwobign_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:levy_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:levy_l_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:logistic_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:moyal_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x):$/;" m class:norm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a):$/;" m class:dgamma_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a):$/;" m class:gamma_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a):$/;" m class:invgamma_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a):$/;" m class:skew_norm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a, b):$/;" m class:truncnorm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, a, c):$/;" m class:gengamma_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, b):$/;" m class:exponpow_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, b):$/;" m class:pareto_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, beta):$/;" m class:gennorm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, beta):$/;" m class:halfgennorm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:fisk_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:genextreme_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:genpareto_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:lomax_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:weibull_max_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c):$/;" m class:weibull_min_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c, d):$/;" m class:burr12_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, c, d):$/;" m class:burr_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, chi):$/;" m class:argus_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, df):$/;" m class:chi2_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, df):$/;" m class:t_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, dfn, dfd):$/;" m class:f_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, K):$/;" m class:exponnorm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, kappa):$/;" m class:laplace_asymmetric_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, n):$/;" m class:ksone_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, n):$/;" m class:kstwo_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, nu):$/;" m class:nakagami_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _sf(self, x, s):$/;" m class:lognorm_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, k, M, n, N):$/;" m class:hypergeom_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, alpha):$/;" m class:yulesimon_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, lambda_):$/;" m class:planck_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, mu):$/;" m class:poisson_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, n, p):$/;" m class:binom_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, p):$/;" m class:bernoulli_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf(self, x, p):$/;" m class:geom_gen +_sf adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _sf(self, x, *args):$/;" m class:rv_generic +_sf_error_actions adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^_sf_error_actions = [$/;" v +_sf_error_code_map adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_sf_error.py /^_sf_error_code_map = {$/;" v +_sf_skip adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _sf_skip(self, x, n, p):$/;" m class:nbinom_gen +_sget_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _sget_dict(val):$/;" f +_sget_dict adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _sget_dict(val):$/;" f +_sget_none adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_sget_none = _sset_none = lambda *args: None$/;" v +_sget_none adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_sget_none = _sset_none = lambda *args: None$/;" v +_sget_object adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _sget_object(val):$/;" f +_sget_object adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _sget_object(val):$/;" f +_SHA256 adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^_SHA256 = hashes.SHA256()$/;" v +_shade_colors adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def _shade_colors(self, color, normals, lightsource=None):$/;" m class:Axes3D +_shadows_builtin_name adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^def _shadows_builtin_name(name: str) -> bool:$/;" f +_SHALLOW_TREE_HAS_INVALID_KEYS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_SHALLOW_TREE_HAS_INVALID_KEYS = ($/;" v +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape(self):$/;" m class:Tensor +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape(self, value):$/;" m class:Tensor +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _shape(self):$/;" m class:LinearOperator +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _shape(self):$/;" m class:LinearOperatorAdjoint +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _shape(self):$/;" m class:LinearOperatorBlockDiag +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _shape(self):$/;" m class:LinearOperatorBlockLowerTriangular +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _shape(self):$/;" m class:_BaseLinearOperatorCirculant +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _shape(self):$/;" m class:LinearOperatorComposition +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _shape(self):$/;" m class:LinearOperatorDiag +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _shape(self):$/;" m class:LinearOperatorFullMatrix +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _shape(self):$/;" m class:LinearOperatorHouseholder +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _shape(self):$/;" m class:LinearOperatorIdentity +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _shape(self):$/;" m class:LinearOperatorScaledIdentity +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _shape(self):$/;" m class:LinearOperatorInversion +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _shape(self):$/;" m class:LinearOperatorKronecker +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _shape(self):$/;" m class:LinearOperatorLowerTriangular +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _shape(self):$/;" m class:LinearOperatorLowRankUpdate +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _shape(self):$/;" m class:LinearOperatorPermutation +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _shape(self):$/;" m class:LinearOperatorToeplitz +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _shape(self):$/;" m class:LinearOperatorTridiag +_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _shape(self):$/;" m class:LinearOperatorZeros +_ShapeLessThanOrEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _ShapeLessThanOrEqual(shape1, shape2):$/;" f +_SHAPEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_SHAPEPROTO = _descriptor.Descriptor($/;" v +_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _shapes(pytree):$/;" f +_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _shapes(tensor_list_list, shapes, enqueue_many):$/;" f +_ShapesFullySpecifiedAndEqual adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ShapesFullySpecifiedAndEqual(x, y, grad):$/;" f +_shape_and_dtype_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _shape_and_dtype_str(tensor):$/;" f +_shape_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape_as_list(self):$/;" m class:Tensor +_shape_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape_as_list(self):$/;" m class:_EagerTensorBase +_shape_as_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _shape_as_list(self):$/;" m class:BaseResourceVariable +_shape_as_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^def _shape_as_tensor(shape, dtype):$/;" f +_shape_as_tensor adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _shape_as_tensor(g, input):$/;" f +_shape_as_value_abstract adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_abstract(x_aval: core.AbstractValue) -> Sequence[core.AbstractValue]:$/;" f +_shape_as_value_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_impl(x):$/;" f +_shape_as_value_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_jvp_rule(primals, tangents):$/;" f +_shape_as_value_masking_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_masking_rule(operands, operands_logical_shapes):$/;" f +_shape_as_value_tf adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_tf(x: TfVal,$/;" f +_shape_as_value_translation adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value_translation(comp, x):$/;" f +_shape_as_value__batching_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shape_as_value__batching_rule(batched_args, batch_dims):$/;" f +_shape_checked adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^ def _shape_checked(fun, name):$/;" f function:custom_linear_solve file: +_shape_common adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^def _shape_common(s1, s2):$/;" f +_shape_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _shape_dispatcher(a):$/;" f +_shape_env adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_shape_env = {} # type: ShapeEnv$/;" v +_shape_invariants_mapping_to_positional_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _shape_invariants_mapping_to_positional_list(mapping, keys):$/;" f +_shape_invariants_mapping_to_positional_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _shape_invariants_mapping_to_positional_list(mapping, keys):$/;" f +_shape_invariant_to_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor.py /^ def _shape_invariant_to_type_spec(self, shape):$/;" m class:CompositeTensor +_shape_invariant_to_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _shape_invariant_to_type_spec(self, shape):$/;" m class:IndexedSlices +_shape_invariant_to_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _shape_invariant_to_type_spec(self, shape):$/;" m class:SparseTensor +_shape_invariant_to_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _shape_invariant_to_type_spec(var, shape):$/;" f +_shape_invariant_to_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _shape_invariant_to_type_spec(self, shape):$/;" m class:RaggedTensor +_shape_klass adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ _shape_klass = Ellipse$/;" v class:EllipseSelector +_shape_klass adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ _shape_klass = Rectangle$/;" v class:RectangleSelector +_shape_offsets adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _shape_offsets(shape):$/;" f +_shape_or_none adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^def _shape_or_none(M):$/;" f +_shape_relaxed_type_for_composite_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _shape_relaxed_type_for_composite_tensor(x):$/;" f +_shape_spec_consistent adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^def _shape_spec_consistent(spec, expr):$/;" f +_shape_t adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^_shape_t = Union[int, List[int], Size]$/;" v +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _shape_tensor(self):$/;" m class:LinearOperator +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorAdjoint +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorBlockDiag +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorBlockLowerTriangular +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _shape_tensor(self, spectrum=None):$/;" m class:_BaseLinearOperatorCirculant +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorComposition +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorDiag +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorFullMatrix +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorHouseholder +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorIdentity +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorScaledIdentity +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorInversion +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorKronecker +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorLowerTriangular +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorLowRankUpdate +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorPermutation +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _shape_tensor(self, row=None, col=None):$/;" m class:LinearOperatorToeplitz +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _shape_tensor(self, diagonals=None):$/;" m class:LinearOperatorTridiag +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _shape_tensor(self):$/;" m class:LinearOperatorZeros +_shape_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _shape_tensor(shape):$/;" f +_shape_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape_tuple(self):$/;" m class:Tensor +_shape_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _shape_tuple(self):$/;" m class:_EagerTensorBase +_shape_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _shape_tuple(self):$/;" m class:BaseResourceVariable +_shape_with_dropped_axis adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _shape_with_dropped_axis(a, axis):$/;" f +_ShardedMutableDenseHashTable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^class _ShardedMutableDenseHashTable(object):$/;" c +_sharded_call adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _sharded_call(f: lu.WrappedFun, vals: Sequence[TfVal],$/;" f +_sharded_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _sharded_callable($/;" f +_sharded_call_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _sharded_call_impl(fun, *args, nparts, in_parts, out_parts_thunk,$/;" f +_sharded_device_array_constant_handler adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _sharded_device_array_constant_handler(c, val, canonicalize_types=True):$/;" f +_sharded_jit_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _sharded_jit_translation_rule(c, axis_env, in_nodes, name_stack,$/;" f +_SHARDED_SAVE_OP_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^_SHARDED_SAVE_OP_PATTERN = "_temp_[0-9a-z]{32}\/part"$/;" v +_sharding_constraint adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _sharding_constraint(arg: TfVal, *,$/;" f +_sharding_constraint_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _sharding_constraint_impl(x, partitions):$/;" f +_sharding_constraint_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _sharding_constraint_translation_rule(c, x_node, partitions):$/;" f +_sharding_grad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _sharding_grad(op, grad):$/;" f +_sharding_to_proto adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^def _sharding_to_proto(sharding: SpatialSharding):$/;" f +_shard_abstract_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _shard_abstract_array(size, axis: int, x):$/;" f +_shard_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _shard_array(x, devices, indices):$/;" f +_shard_device_array adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _shard_device_array(x, devices, indices):$/;" f +_shard_indices adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def _shard_indices(self, keys):$/;" m class:_ShardedMutableDenseHashTable +_shard_sharded_device_array_slow_path adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _shard_sharded_device_array_slow_path(x, devices, indices):$/;" f +_SharedEmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _SharedEmbeddingColumn($/;" c +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _shared_name(self):$/;" m class:DistributedVariable +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _shared_name(self):$/;" m class:AutoCastVariable +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^def _shared_name(shared_name):$/;" f +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _shared_name(self):$/;" m class:TableInitializerBase +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def _shared_name(self):$/;" m class:TextFileInitializer +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _shared_name(self):$/;" m class:Variable +_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _shared_name(self):$/;" m class:TPUShardedVariable +_shared_name_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nccl_ops.py /^_shared_name_counter = 0$/;" v +_SHARED_SEQUENCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_SHARED_SEQUENCES = {}$/;" v +_shared_x_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ _shared_x_axes = cbook.Grouper()$/;" v class:_AxesBase +_shared_y_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ _shared_y_axes = cbook.Grouper()$/;" v class:_AxesBase +_shared_z_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ _shared_z_axes = cbook.Grouper()$/;" v class:Axes3D +_SHARING_STACK adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^_SHARING_STACK = defaultdict(list)$/;" v +_sharing_strategy adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^ _sharing_strategy = 'file_system'$/;" v +_shift adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^ from scipy.ndimage.interpolation import shift as _shift$/;" x function:shift file: +_shift_in_bounds adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_in_bounds(x: TfVal, y: TfVal) -> TfVal:$/;" f +_shift_left adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_left(x, y):$/;" f +_SHIFT_LUT adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^_SHIFT_LUT = {59: ':',$/;" v +_shift_right_arithmetic adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_right_arithmetic(x, y):$/;" f +_shift_right_arithmetic_helper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _shift_right_arithmetic_helper(x, y, name=None):$/;" f +_shift_right_arithmetic_raw adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_right_arithmetic_raw(x, y):$/;" f +_shift_right_logical adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_right_logical(x, y):$/;" f +_shift_right_logical_helper adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _shift_right_logical_helper(x, y, name=None):$/;" f +_shift_right_logical_raw adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _shift_right_logical_raw(x, y):$/;" f +_shlex_quote adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _shlex_quote(s):$/;" f +_shlex_quote_bytes adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def _shlex_quote_bytes(b):$/;" f +_shortTZ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _shortTZ = False$/;" v class:TimeMixIn +_shortTZ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _shortTZ = False$/;" v class:UTCTime +_shortTZ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _shortTZ = True$/;" v class:GeneralizedTime +_short_ipv6_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _short_ipv6_address = (Optional(_ipv6_part + (':' + _ipv6_part) * (0, 6))$/;" v class:pyparsing_common +_short_ipv6_address adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _short_ipv6_address = (Optional(_ipv6_part + (':' + _ipv6_part)*(0,6)) + "::" + Optional(_ip/;" v class:pyparsing_common +_short_ipv6_address adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _short_ipv6_address = (Optional(_ipv6_part + (':' + _ipv6_part) * (0, 6))$/;" v class:pyparsing_common +_short_ipv6_address adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _short_ipv6_address = (Optional(_ipv6_part + (':' + _ipv6_part)*(0,6)) + "::" + Optional(_ip/;" v class:pyparsing_common +_ShouldInclude adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _ShouldInclude(net_state, layer):$/;" f +_ShouldStop adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ class _ShouldStop(Exception):$/;" c +_should_act_as_resource_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def _should_act_as_resource_variable(self):$/;" m class:AggregatingVariable +_should_act_as_resource_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _should_act_as_resource_variable(self):$/;" m class:DistributedVariable +_should_act_as_resource_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _should_act_as_resource_variable(self):$/;" m class:AutoCastVariable +_should_add_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def _should_add_regularizer(variable, existing_variable_set):$/;" f member:Layer.add_weight file: +_should_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _should_broadcast(self, obj):$/;" m class:LossesContainer +_should_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _should_broadcast(self, obj):$/;" m class:MetricsContainer +_should_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def _should_broadcast(self, objects):$/;" m class:Container +_should_build adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _should_build($/;" f +_should_cache adpepsenv/lib/python3.8/site-packages/pip/_internal/wheel_builder.py /^def _should_cache($/;" f +_should_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _should_cache():$/;" f +_should_cast adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def _should_cast(self):$/;" m class:AutoCastVariable +_should_cast_single_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _should_cast_single_input(self, x):$/;" m class:Layer +_should_compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _should_compute_mask(self):$/;" m class:Layer +_should_compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _should_compute_mask(self):$/;" m class:Functional +_should_compute_mask adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _should_compute_mask(self):$/;" m class:Model +_should_convert adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def _should_convert(self, name):$/;" m class:_ConverterData +_should_dropout adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _should_dropout(p):$/;" f member:DropoutWrapperBase._call_wrapped_cell file: +_should_dump_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _should_dump_tensor(self, op_type, dtype):$/;" m class:_DumpingCallback +_should_eval adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _should_eval(self, epoch, validation_freq):$/;" m class:Model +_should_include_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^def _should_include_node(node_or_node_name, export_scope, exclude_nodes):$/;" f +_should_lift_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _should_lift_variable(v):$/;" f function:_lift_unlifted_variables file: +_should_not_autopack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _should_not_autopack(v):$/;" f +_should_queue adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _should_queue(self, link, referrer, rel):$/;" m class:SimpleScrapingLocator +_should_read_directly adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^def _should_read_directly(f):$/;" f +_should_record_summaries_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _should_record_summaries_internal(default_state):$/;" f +_should_record_summaries_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^def _should_record_summaries_v2():$/;" f +_should_save_on_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _should_save_on_batch(self, batch):$/;" m class:ModelCheckpoint +_should_save_password_to_keyring adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def _should_save_password_to_keyring(self):$/;" m class:MultiDomainBasicAuth +_should_skip_first_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^def _should_skip_first_node(layer):$/;" f +_should_suppress_warning adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^ def _should_suppress_warning(msg):$/;" m class:manifest_maker +_should_trace_in_control_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _should_trace_in_control_flow(self):$/;" m class:TensorTracer +_should_transform adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _should_transform(self, parent, field, child):$/;" m class:AnfTransformer +_should_unpack_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^def _should_unpack_args(args):$/;" f +_should_unpack_list_index adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _should_unpack_list_index(x):$/;" f +_should_use_collective_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def _should_use_collective_v2(self):$/;" m class:CollectiveReplicaLauncher +_should_use_multi_device_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^def _should_use_multi_device_iterator(options):$/;" f +_should_wrap_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^def _should_wrap_tuple(t):$/;" f +_show adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _show(image, **options):$/;" f +_show adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^def _show(image, title):$/;" f +_showwarning adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def _showwarning(self, message, category, filename, lineno,$/;" m class:suppress_warnings +_showwarning adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/deprecation.py /^def _showwarning(message, category, filename, lineno, file=None, line=None):$/;" f +_showxv adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _showxv(image, title=None, **options):$/;" f +_show_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _show_all(saved_model_dir):$/;" f +_show_array_index_at_line adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _show_array_index_at_line(self, line_index):$/;" m class:CursesUI +_show_array_indices adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _show_array_indices(self):$/;" m class:CursesUI +_show_compute adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _show_compute(self, show_dataflow):$/;" m class:Timeline +_show_defined_functions adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _show_defined_functions(saved_model_dir):$/;" f +_show_full_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_full_tensor(tensor):$/;" f member:TensorTracer._make_tensor_trace_fun file: +_show_graph adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard.py /^def _show_graph(graph_def):$/;" f +_show_help adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _show_help(self, *args, **kw):$/;" m class:main.DistributionWithoutHelpCommands +_show_help adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _show_help(self, parser, global_options=1, display_options=1,$/;" m class:Distribution +_show_inputs_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _show_inputs_outputs(saved_model_dir, tag_set, signature_def_key, indent=0):$/;" f +_show_max adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_max(tensor, cast_to_f32=True):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_max_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_max_abs(tensor):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_mean_and_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_mean_and_variance(tensor, cast_to_f32=True):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_memory_counters adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def _show_memory_counters(self):$/;" m class:Timeline +_show_min adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_min(tensor, cast_to_f32=True):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_norm adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_norm(tensor, cast_to_f32=True):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_numpy_info adpepsenv/lib/python3.8/site-packages/numpy/_pytesttester.py /^def _show_numpy_info():$/;" f +_show_part_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_part_tensor(tensor):$/;" f member:TensorTracer._make_tensor_trace_fun file: +_show_shortcuts_dialog adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _show_shortcuts_dialog(self):$/;" m class:HelpGTK3 +_show_shortcuts_window adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _show_shortcuts_window(self):$/;" m class:HelpGTK3 +_show_signature_def_map_keys adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _show_signature_def_map_keys(saved_model_dir, tag_set):$/;" f +_show_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _show_size(tensor):$/;" f member:TensorTracer._preprocess_traced_tensor file: +_show_system_info adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def _show_system_info(self):$/;" m class:NoseTester +_show_tag_sets adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^def _show_tag_sets(saved_model_dir):$/;" f +_shrink adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _shrink(self, path, shrinkA, shrinkB):$/;" m class:ConnectionStyle._Base +_shrink_mask adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^def _shrink_mask(m):$/;" f +_shuffle adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _shuffle(key, x, axis) -> jnp.ndarray:$/;" f +_ShuffleAndRepeatDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/shuffle_ops.py /^class _ShuffleAndRepeatDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_shuffle_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _shuffle_batch(tensors, batch_size, capacity, min_after_dequeue,$/;" f +_shuffle_batch_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _shuffle_batch_join(tensors_list, batch_size, capacity,$/;" f +_shuffle_forward_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _shuffle_forward_outputs(self, forward_wrapper):$/;" m class:_TapeGradientFunctions +_shutdown_all_pool_runners adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^def _shutdown_all_pool_runners():$/;" f +_SHUTDOWN_TAG adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^_SHUTDOWN_TAG = 'shutdown'$/;" v +_shutdown_workers adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _shutdown_workers(self):$/;" m class:_MultiProcessingDataLoaderIter +_SIGCHLD_handler_set adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/signal_handling.py /^_SIGCHLD_handler_set = False$/;" v +_sigmoid adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _sigmoid(x):$/;" f +_sigmoid adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _sigmoid(logits):$/;" f +_SigmoidGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SigmoidGrad(op, grad):$/;" f +_SigmoidGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SigmoidGradGrad(op, grad):$/;" f +_sign adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^def _sign(x):$/;" f +_sign adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^def _sign(key, msg):$/;" f +_SIGNAL adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _SIGNAL(object):$/;" c +_signals adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^_signals = Namespace()$/;" v +_signal_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^def _signal_handler(unused_signal, unused_frame):$/;" f +_SIGNATURE adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^_SIGNATURE = '^{0:}->{0:}$'.format(_ARGUMENT_LIST)$/;" v +_SIGNATURE adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^_SIGNATURE = '^{0:}->{0:}$'.format(_ARGUMENT_LIST)$/;" v +_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def _signature(self):$/;" m class:_DefinedFunction +_SIGNATUREDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_SIGNATUREDEF = _descriptor.Descriptor($/;" v +_SIGNATUREDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_SIGNATUREDEF = _descriptor.Descriptor($/;" v +_SIGNATUREDEFMAP adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_SIGNATUREDEFMAP = _descriptor.Descriptor($/;" v +_SIGNATUREDEFMAP_SIGNATUREDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_SIGNATUREDEFMAP_SIGNATUREDEFENTRY = _descriptor.Descriptor($/;" v +_SIGNATUREDEF_INPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_SIGNATUREDEF_INPUTSENTRY = _descriptor.Descriptor($/;" v +_SIGNATUREDEF_INPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_SIGNATUREDEF_INPUTSENTRY = _descriptor.Descriptor($/;" v +_SIGNATUREDEF_OUTPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_SIGNATUREDEF_OUTPUTSENTRY = _descriptor.Descriptor($/;" v +_SIGNATUREDEF_OUTPUTSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_SIGNATUREDEF_OUTPUTSENTRY = _descriptor.Descriptor($/;" v +_SignatureMap adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^class _SignatureMap(collections_abc.Mapping, base.Trackable):$/;" c +_signature_cache adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^_signature_cache = WeakKeyDictionary()$/;" v +_signature_constants adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.saved_model import signature_constants as _signature_constants$/;" x +_signature_to_tf2xla_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _signature_to_tf2xla_config(signature_def, variable_nodes_to_feed):$/;" f +_signature_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _signature_types(self):$/;" m class:TensorTracer +_SignedVarintDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SignedVarintDecoder(bits, result_type):$/;" f +_SignedVarintEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _SignedVarintEncoder():$/;" f +_SignedVarintSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _SignedVarintSize(value):$/;" f +_SIGNED_TO_UNSIGNED_TABLE adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_SIGNED_TO_UNSIGNED_TABLE = {$/;" v +_SIGNED_TO_UNSIGNED_TABLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^_SIGNED_TO_UNSIGNED_TABLE = {$/;" v +_SignGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SignGrad(op, _):$/;" f +_signs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^def _signs(dt):$/;" f +_signs adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def _signs(dt):$/;" f +_SIGN_BLOB_URI adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^_SIGN_BLOB_URI = _IAM_API_ROOT_URI + "\/projects\/-\/serviceAccounts\/{}:signBlob?alt=json"$/;" v +_sign_request adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^def _sign_request(callback, token, error):$/;" f +_sign_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sign_translation_rule(c, x):$/;" f +_silence_deprecation_warnings adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^def _silence_deprecation_warnings():$/;" f +_SimpleDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SimpleDecoder(wire_type, decode_value):$/;" f +_SimpleEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _SimpleEncoder(wire_type, encode_value, compute_value_size):$/;" f +_SimpleMethodHandler adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^class _SimpleMethodHandler($/;" c +_SimpleMLP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ class _SimpleMLP(keras.Model):$/;" c function:simple_subclassed_model file: +_SimpleMLP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ class _SimpleMLP(keras.Model):$/;" c member:TestDistributionStrategyErrorCases.test_distribution_strategy_on_subclassed_model file: +_SimpleModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class _SimpleModel(keras.Model):$/;" c +_SimpleModule adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^class _SimpleModule(module.Module):$/;" c +_simpleprint_styles adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^def _simpleprint_styles(_styles):$/;" f +_SimpleSizer adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _SimpleSizer(compute_value_size):$/;" f +_Simplex adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Simplex(Constraint):$/;" c +_simple_chooser adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _simple_chooser(queue, remaining):$/;" f +_simple_gather adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _simple_gather(per_replica_value, reduce_to_device, axis):$/;" f +_simple_method_handler adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^def _simple_method_handler(implementation, request_deserializer,$/;" f +_simple_palette adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^_simple_palette = re.compile(b"^\\xff*\\x00\\xff*$")$/;" v +_simple_reduce adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _simple_reduce(per_replica_value, reduce_to_device, accumulation_fn,$/;" f +_SIMPLE_RNN_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^_SIMPLE_RNN_KEY = 'simple_rnn'$/;" v +_simple_rule_re adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_simple_rule_re = re.compile(r"<([^>]+)>")$/;" v +_simplifyargs adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^def _simplifyargs(argsline):$/;" f +_simplify_cells adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^def _simplify_cells(d):$/;" f +_simulate_error adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ _simulate_error = True$/;" v class:SimulateBackwardError +_simulate_installation_of adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/check.py /^def _simulate_installation_of(to_install, package_set):$/;" f +_simulations adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _simulations(self, samps=100, dims=1, sim_type=""):$/;" m class:TestMGCStat +_sinc_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _sinc_dispatcher(x):$/;" f +_sinc_maclaurin adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _sinc_maclaurin(k, x):$/;" f +_sinc_maclaurin_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _sinc_maclaurin_jvp(k, primals, tangents):$/;" f +_single adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^_single = _ntuple(1)$/;" v +_singleChar adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^_singleChar = _escapedPunc | _escapedHexChar | _escapedOctChar | CharsNotIn(r'\\]', exact=1)$/;" v +_singleChar adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^_singleChar = _escapedPunc | _escapedHexChar | _escapedOctChar | CharsNotIn(r'\\]', exact=1)$/;" v +_singleChar adpepsenv/lib/python3.8/site-packages/pyparsing.py /^_singleChar = _escapedPunc | _escapedHexChar | _escapedOctChar | CharsNotIn(r'\\]', exact=1)$/;" v +_singleChar adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^_singleChar = _escapedPunc | _escapedHexChar | _escapedOctChar | CharsNotIn(r'\\]', exact=1)$/;" v +_SingleCharLiteral adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _SingleCharLiteral(Literal):$/;" c +_SingleCharLiteral adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _SingleCharLiteral(Literal):$/;" c +_SingleDeviceSaver adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^class _SingleDeviceSaver(object):$/;" c +_SingleProcessDataLoaderIter adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^class _SingleProcessDataLoaderIter(_BaseDataLoaderIter):$/;" c +_SingleThreadedRendezvous adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _SingleThreadedRendezvous(_Rendezvous, grpc.Call): # pylint: disable=too-many-ancestors$/;" c +_SingleThreadedUnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _SingleThreadedUnaryStreamMultiCallable(grpc.UnaryStreamMultiCallable):$/;" c +_singleton adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ _singleton = None$/;" v class:ChannelCache +_SingleWorkerCallableIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _SingleWorkerCallableIterator(object):$/;" c +_SingleWorkerDatasetIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _SingleWorkerDatasetIterator(_SingleWorkerDatasetIteratorBase):$/;" c +_SingleWorkerDatasetIteratorBase adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _SingleWorkerDatasetIteratorBase(object):$/;" c +_SingleWorkerDatasetIteratorSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _SingleWorkerDatasetIteratorSpec(type_spec.TypeSpec):$/;" c +_SingleWorkerOwnedDatasetIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^class _SingleWorkerOwnedDatasetIterator(_SingleWorkerDatasetIteratorBase,$/;" c +_single_batch_sampler adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def _single_batch_sampler(self, sampler):$/;" m class:_InitializeClustersOpFactory +_single_instance_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _single_instance_lock = threading.Lock()$/;" v class:CursesUI +_single_line_message adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _single_line_message(self, message):$/;" m class:UploadTracker +_single_operation_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _single_operation_run():$/;" f member:BaseSession.make_callable file: +_SINGLE_OUTPUT_DEFAULT_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ _SINGLE_OUTPUT_DEFAULT_NAME = 'output'$/;" v class:PredictOutput +_single_restoration_from_checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _single_restoration_from_checkpoint_position(self, checkpoint_position,$/;" m class:_DelegatingTrackableMixin +_single_restoration_from_checkpoint_position adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _single_restoration_from_checkpoint_position(self, checkpoint_position,$/;" m class:Trackable +_single_rnn_cell adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^def _single_rnn_cell(units, cell_type):$/;" f +_SINGLE_RUN_PLUGINS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^_SINGLE_RUN_PLUGINS = frozenset($/;" v +_single_spectrum_docs adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^_single_spectrum_docs = """\\$/;" v +_single_spectrum_helper adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def _single_spectrum_helper($/;" f +_SINGLE_TENSOR_DEFAULT_NAMES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^_SINGLE_TENSOR_DEFAULT_NAMES = {$/;" v +_single_tensor_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _single_tensor_run():$/;" f member:BaseSession.make_callable file: +_SinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SinGrad(op, grad):$/;" f +_SinhGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SinhGrad(op, grad):$/;" f +_sinusoidal_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _sinusoidal_rule(sign, prims, primals_in, series_in):$/;" f +_site adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import site as _site$/;" I +_site adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import site as _site$/;" I +_sitelocal_network adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _sitelocal_network = IPv6Network('fec0::\/10')$/;" v class:_IPv6Constants +_site_packages_dirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_site_packages_dirs = list(set(_site_packages_dirs))$/;" v +_site_packages_dirs adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_site_packages_dirs = []$/;" v +_site_packages_dirs adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_site_packages_dirs = list(set(_site_packages_dirs))$/;" v +_site_packages_dirs adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_site_packages_dirs = []$/;" v +_six adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/compat/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/compat.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import six as _six$/;" I +_six adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import six as _six$/;" I +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^class _SixMetaPathImporter(object):$/;" c +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^class _SixMetaPathImporter(object):$/;" c +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^class _SixMetaPathImporter(object):$/;" c +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^class _SixMetaPathImporter(object):$/;" c +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/six.py /^class _SixMetaPathImporter(object):$/;" c +_SixMetaPathImporter adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^class _SixMetaPathImporter(object):$/;" c +_size adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _size(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_size adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^_size = getattr(_from_nx.size, '__wrapped__', _from_nx.size)$/;" v +_size adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _size(path):$/;" f member:EggInfoDistribution.list_installed_files file: +_size adpepsenv/lib/python3.8/site-packages/torch/types.py /^_size = Union[torch.Size, List[_int], Tuple[_int, ...]]$/;" v +_sizes adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^_sizes = np.array([2, 3, 4, 5, 4, 3, 2, 6, 5, 4, 3, 2, 5, 7, 4, 3, 2, 3, 4])$/;" v +_size_1_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_1_t = _scalar_or_tuple_1_t[int]$/;" v +_size_2_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_2_t = _scalar_or_tuple_2_t[int]$/;" v +_size_3_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_3_t = _scalar_or_tuple_3_t[int]$/;" v +_size_4_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_4_t = _scalar_or_tuple_4_t[int]$/;" v +_size_5_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_5_t = _scalar_or_tuple_5_t[int]$/;" v +_size_6_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_6_t = _scalar_or_tuple_6_t[int]$/;" v +_size_alternatives adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _size_alternatives = {$/;" v class:BakomaFonts +_size_any_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_size_any_t = _scalar_or_tuple_any_t[int]$/;" v +_size_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _size_dispatcher(a, axis=None):$/;" f +_size_foot_doc adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^_size_foot_doc = ($/;" v +_size_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _size_helper(g, self, dim):$/;" f +_size_to_string adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def _size_to_string(num_bytes):$/;" m class:_ArrayMemoryError +_skew adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^def _skew(data):$/;" f +_skew_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_skew_example = '''\\$/;" v +_SkipField adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _SkipField(tokenizer):$/;" f +_SkipFieldContents adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _SkipFieldContents(tokenizer):$/;" f +_SkipFieldMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _SkipFieldMessage(tokenizer):$/;" f +_SkipFieldValue adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _SkipFieldValue(tokenizer):$/;" f +_SkipFixed32 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SkipFixed32(buffer, pos, end):$/;" f +_SkipFixed64 adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SkipFixed64(buffer, pos, end):$/;" f +_SkipGroup adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SkipGroup(buffer, pos, end):$/;" f +_skipIgnorables adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _skipIgnorables(self, instring, loc):$/;" m class:ParserElement +_skipIgnorables adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _skipIgnorables( self, instring, loc ):$/;" m class:ParserElement +_skipIgnorables adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _skipIgnorables(self, instring, loc):$/;" m class:ParserElement +_skipIgnorables adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _skipIgnorables( self, instring, loc ):$/;" m class:ParserElement +_SkipLengthDelimited adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SkipLengthDelimited(buffer, pos, end):$/;" f +_skipped_any adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _skipped_any(self):$/;" m class:UploadStats +_skipped_summary adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _skipped_summary(self):$/;" m class:UploadStats +_SkipVarint adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _SkipVarint(buffer, pos, end):$/;" f +_SkipWhitespace adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _SkipWhitespace(self):$/;" m class:Tokenizer +_skip_bytes adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^def _skip_bytes(f, n):$/;" f +_skip_common_stack_elements adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^def _skip_common_stack_elements(stacktrace, base_case):$/;" f +_SKIP_FAILED_SERIALIZATION adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^_SKIP_FAILED_SERIALIZATION = False$/;" v +_skip_if_format_is_uncomparable adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^def _skip_if_format_is_uncomparable(extension):$/;" f +_skip_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _skip_op(self, op_id, op, ops_in_exec_path, report_handler):$/;" m class:TensorTracer +_SKIP_REPORT_FILE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_SKIP_REPORT_FILE = 'None' # Do not write report proto if --report_file=None$/;" v +_skip_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _skip_tensor(self, op_id, out_tensor, report_handler):$/;" m class:TensorTracer +_skip_unknown_chunk adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^def _skip_unknown_chunk(fid, is_big_endian):$/;" f +_slanted_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _slanted_symbols = set(r"\\int \\oint".split())$/;" v class:BakomaFonts +_slanted_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _slanted_symbols = set(r"\\int \\oint".split())$/;" v class:UnicodeFonts +_sleep adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^def _sleep(cycles):$/;" f +_sleep adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ _sleep = staticmethod(time.sleep)$/;" v class:ReloaderLoop +_SleepDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^class _SleepDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_sleep_backoff adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def _sleep_backoff(self):$/;" m class:Retry +_sleep_backoff adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def _sleep_backoff(self):$/;" m class:Retry +_Slice adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Slice(self, t):$/;" m class:Unparser +_slice adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _slice(operand, start_indices, limit_indices, strides):$/;" f +_slice adpepsenv/lib/python3.8/site-packages/jax/lax_reference.py /^_slice = builtins.slice$/;" v +_slice adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^_slice = slice # pylint: disable=invalid-name$/;" v +_slice adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def _slice(input, begin, size, name=None):$/;" f +_slice adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def _slice(self, z):$/;" m class:StackTransform +_slice adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def _slice(g, input, axes, starts, ends, steps=None, dynamic_slice=False):$/;" f +_slice adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _slice(g, input, axes, starts, ends):$/;" f +_SliceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SliceGrad(op, grad):$/;" f +_SliceHelperVar adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _SliceHelperVar(var, slice_spec):$/;" f +_slice_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def _slice_assign(self, batch_element, batch_start, batch_end, is_finished):$/;" m class:SliceAggregator +_slice_at_axis adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _slice_at_axis(sl, axis):$/;" f +_slice_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _slice_batching_rule(batched_args, batch_dims, *, start_indices,$/;" f +_slice_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^def _slice_eager_fallback(input, begin, size, name, ctx):$/;" f +_SLICE_ERORR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^_SLICE_ERORR = ($/;" v +_slice_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _slice_helper(tensor, slice_spec, var=None):$/;" f +_slice_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _slice_helper(tensor, slice_spec, update_method=None, updates=None):$/;" f +_slice_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _slice_helper(g, input, axes, starts, ends, steps=None, dynamic_slice=False):$/;" f +_slice_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _slice_length(value_length, slice_key):$/;" f +_slice_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _slice_masking_rule($/;" f +_slice_or_none adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def _slice_or_none(in_v, slc):$/;" f function:_mark_every_path file: +_slice_ragged_row_dimension adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _slice_ragged_row_dimension(rt_input, row_key):$/;" f +_slice_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _slice_shape_rule(operand, *, start_indices, limit_indices, strides):$/;" f +_slice_to_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^def _slice_to_dict(x):$/;" f +_slice_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _slice_translation_rule(c, operand, *, start_indices, limit_indices,$/;" f +_slice_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _slice_transpose_rule(t, operand, *, start_indices, limit_indices, strides):$/;" f +_SLICE_TYPE_ERROR adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^_SLICE_TYPE_ERROR = ($/;" v +_slogdet_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^def _slogdet_jvp(primals, tangents):$/;" f +_SlotVariableRestoration adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^_SlotVariableRestoration = collections.namedtuple($/;" v +_slot_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _slot_dict(self, slot_name):$/;" m class:Optimizer +_slot_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_initializers(self) -> List[init_ops_v2.Initializer]:$/;" m class:Adagrad +_slot_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_initializers(self) -> List[init_ops_v2.Initializer]:$/;" m class:Adam +_slot_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_initializers(self) -> List[init_ops_v2.Initializer]:$/;" m class:SGD +_slot_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_initializers(self) -> List[init_ops_v2.Initializer]:$/;" m class:_Optimizer +_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_names(self) -> List[Text]:$/;" m class:Adagrad +_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_names(self) -> List[Text]:$/;" m class:Adam +_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_names(self) -> List[Text]:$/;" m class:SGD +_slot_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def _slot_names(self) -> List[Text]:$/;" m class:_Optimizer +_slot_variable_naming_for_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^def _slot_variable_naming_for_optimizer(optimizer_path):$/;" f +_slow_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _slow_add(t1, t2):$/;" m class:DistAutogradTest +_slow_add adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _slow_add(x, y):$/;" m class:RpcTest +_slow_forward adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def _slow_forward(self, *input, **kwargs):$/;" m class:Module +_smallest_integer_by_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^def _smallest_integer_by_dtype(dt):$/;" f +_smallest_supported_type adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _smallest_supported_type(self):$/;" m class:QuantizationMode +_SmallSubclassMLPCustomBuild adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class _SmallSubclassMLPCustomBuild(models.Model):$/;" c +_smart_matrix_product adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _smart_matrix_product(A, B, alpha=None, structure=None):$/;" f +_smc_smci adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def _smc_smci(n, p):$/;" f member:TestSmirnovi.test_round_trip file: +_smimeCapabilityMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc5751.py /^_smimeCapabilityMapUpdate = {$/;" v +_smimeCapabilityMapUpdate adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc6664.py /^_smimeCapabilityMapUpdate = {$/;" v +_smoketest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/tests/test_linsolve.py /^ def _smoketest(self, spxlu, check, dtype):$/;" m class:TestSplu +_smooth_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _smooth_labels():$/;" f function:binary_crossentropy file: +_smooth_labels adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def _smooth_labels():$/;" f function:categorical_crossentropy file: +_smooth_mgc_map adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _smooth_mgc_map(sig_connect, stat_mgc_map):$/;" f +_SM_CURRENT_HOST adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_SM_CURRENT_HOST = 'SM_CURRENT_HOST'$/;" v +_SM_HOSTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_SM_HOSTS = 'SM_HOSTS'$/;" v +_sm_smi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_kolmogorov.py /^ def _sm_smi(n, p):$/;" f member:TestSmirnovi.test_round_trip file: +_SnakeCaseToCamelCase adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _SnakeCaseToCamelCase(path_name):$/;" f +_SNAPSHOT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_SNAPSHOT = _descriptor.Descriptor($/;" v +_SnapshotDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^class _SnapshotDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_SNAPSHOTMETADATARECORD adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_SNAPSHOTMETADATARECORD = _descriptor.Descriptor($/;" v +_SNAPSHOTRECORD adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_SNAPSHOTRECORD = _descriptor.Descriptor($/;" v +_SNAPSHOTTENSORMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_SNAPSHOTTENSORMETADATA = _descriptor.Descriptor($/;" v +_snapshot_colocation_stack_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _snapshot_colocation_stack_metadata(self):$/;" m class:Graph +_snapshot_device_function_stack_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _snapshot_device_function_stack_metadata(self):$/;" m class:Graph +_snapshot_diff adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def _snapshot_diff(self, old_index, new_index):$/;" m class:_PythonMemoryChecker +_snapshot_diff adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^def _snapshot_diff(old_snapshot, new_snapshot, exclude_ids):$/;" f +_snapshot_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _snapshot_tensor(self, tensor):$/;" m class:TensorTracer +_socket_timeout adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _socket_timeout(*args, **kwargs):$/;" f function:socket_timeout._socket_timeout file: +_socket_timeout adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _socket_timeout(func):$/;" f function:socket_timeout file: +_SOCKET_TIMEOUT adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^_SOCKET_TIMEOUT = 15$/;" v +_SoftmaxCrossEntropyWithLogitsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SoftmaxCrossEntropyWithLogitsGrad(op, grad_loss, grad_grad):$/;" f +_SoftmaxCrossEntropyWithLogitsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_SoftmaxCrossEntropyWithLogitsOutput = collections.namedtuple($/;" v +_SoftmaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SoftmaxGrad(op, grad_softmax):$/;" f +_softmax_cross_entropy_with_logits_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _softmax_cross_entropy_with_logits_transformer($/;" f +_softmax_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _softmax_flops(graph, node):$/;" f +_SoftplusGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SoftplusGrad(op, grad):$/;" f +_SoftplusGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SoftplusGradGrad(op, grad):$/;" f +_SoftsignGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SoftsignGrad(op, grad):$/;" f +_soft_mask_state adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _soft_mask_state(self, smask):$/;" m class:PdfFile +_soft_pmap_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _soft_pmap_callable(fun, axis_name, axis_size, in_axes, out_axes_thunk, *avals):$/;" f +_soft_pmap_interp adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _soft_pmap_interp(chunk_size, jaxpr, consts, mapped_invars, *args):$/;" f +_soft_pmap_jaxpr adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _soft_pmap_jaxpr(jaxpr, consts, in_axes, axis_name, axis_size, chunk_size):$/;" f +_solout adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def _solout(self, nr, xold, x, y, nd, icomp, con):$/;" m class:dopri5 +_solve adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _solve(a, b):$/;" f +_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _solve(a, b, sym_pos, lower):$/;" f +_solve adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^ def _solve(A, b):$/;" f function:gmres file: +_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _solve(v, alpha, cs, ds):$/;" m class:LowRankMatrix +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperator +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _solve($/;" f +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorAdjoint +_SOLVE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^_SOLVE = {}$/;" v +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:_BaseLinearOperatorCirculant +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorComposition +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorDiag +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorFullMatrix +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorHouseholder +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorIdentity +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorScaledIdentity +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorInversion +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorKronecker +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorLowerTriangular +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorLowRankUpdate +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorPermutation +_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _solve(self, rhs, adjoint=False, adjoint_arg=False):$/;" m class:LinearOperatorTridiag +_solvevec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _solvevec(self, rhs, adjoint=False):$/;" m class:LinearOperator +_solvevec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _solvevec(self, rhs, adjoint=False):$/;" m class:LinearOperatorAdjoint +_solve_check adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def _solve_check(n, info, lamch=None, rcond=None):$/;" f +_solve_discrete_lyapunov_bilinear adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def _solve_discrete_lyapunov_bilinear(a, q):$/;" f +_solve_discrete_lyapunov_direct adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^def _solve_discrete_lyapunov_direct(a, q):$/;" f +_solve_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _solve_dispatcher(a, b):$/;" f +_solve_for_angular_rates adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^ def _solve_for_angular_rates(self, dt, angular_rates, rotvecs):$/;" m class:RotationSpline +_solve_inverse_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_inverse_linear_operator(linop_a, linop_b):$/;" f +_solve_linear_operator adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator(linop_a, linop_b):$/;" f +_solve_linear_operator_circulant_circulant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_circulant_circulant(linop_a, linop_b):$/;" f +_solve_linear_operator_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_diag(linop_a, linop_b):$/;" f +_solve_linear_operator_diag_scaled_identity_left adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_diag_scaled_identity_left($/;" f +_solve_linear_operator_diag_scaled_identity_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_diag_scaled_identity_right($/;" f +_solve_linear_operator_diag_tril adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_diag_tril(linop_diag, linop_triangular):$/;" f +_solve_linear_operator_identity_left adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_identity_left(identity, linop):$/;" f +_solve_linear_operator_identity_right adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_identity_right(linop, identity):$/;" f +_solve_linear_operator_scaled_identity adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/solve_registrations.py /^def _solve_linear_operator_scaled_identity(linop_a, linop_b):$/;" f +_solve_linear_sys adpepsenv/lib/python3.8/site-packages/scipy/integrate/tests/test_banded_ode_solvers.py /^def _solve_linear_sys(a, y0, tend=1, dt=0.1,$/;" f +_solve_P_Q adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _solve_P_Q(P, Q, upper_triangular=False):$/;" f +_solve_P_Q adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^def _solve_P_Q(U, V, structure=None):$/;" f +_solve_registrations adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg.py /^from tensorflow.python.ops.linalg import solve_registrations as _solve_registrations$/;" x +_solve_shape_vars adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _solve_shape_vars(eqns: Sequence[ShapeEqn]) -> Dict[str, TfVal]:$/;" f +_solve_simplex adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_simplex.py /^def _solve_simplex(T, n, basis, callback, postsolve_args,$/;" f +_solve_triangular adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _solve_triangular(a, b, trans, lower, unit_diagonal):$/;" f +_solve_v_and_rescale adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def _solve_v_and_rescale(self, weight_mat, u, target_sigma):$/;" m class:SpectralNorm +_sort adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _sort(*operands: TfVal, dimension: int, is_stable: bool,$/;" f +_sort adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def _sort(self):$/;" m class:Registry +_sort adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def _sort(self, session_groups):$/;" m class:Handler +_sorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def _sorted(dict_):$/;" f +_sorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _sorted(dict_):$/;" f +_sorted_dims_in_range adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sorted_dims_in_range(dims, rank, op_name, name):$/;" f +_sorted_list_difference adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _sorted_list_difference(expected, actual):$/;" f +_SORTORDER adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_SORTORDER = _descriptor.EnumDescriptor($/;" v +_sort_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sort_abstract_eval(*args, **kwargs):$/;" f +_sort_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sort_batch_rule(batched_args, batch_dims, *, dimension, is_stable, num_keys):$/;" f +_sort_complex adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _sort_complex(a):$/;" f +_sort_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _sort_dispatcher(a, axis=None, kind=None, order=None):$/;" f +_sort_dump_data_by adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _sort_dump_data_by(self, data, sort_by, reverse):$/;" m class:DebugAnalyzer +_sort_eligible_features_handler adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _sort_eligible_features_handler(self, request):$/;" m class:WhatIfToolPlugin +_sort_function_defs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _sort_function_defs(library, library_function_names):$/;" f +_sort_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _sort_helper(g, input, dim, decending=True, out=None):$/;" f +_SORT_IMPL adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^_SORT_IMPL = {$/;" v +_sort_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sort_jvp(primals, tangents, *, dimension, is_stable, num_keys):$/;" f +_sort_key adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def _sort_key(self, candidate):$/;" m class:CandidateEvaluator +_sort_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^ def _sort_key(device):$/;" f function:_query_tpu_system_metadata file: +_sort_links adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def _sort_links(self, links):$/;" m class:PackageFinder +_sort_lt_comparator adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sort_lt_comparator(*operands, num_keys=1):$/;" f +_sort_matches adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def _sort_matches(self, matches):$/;" m class:PipProvider +_sort_or_argsort adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sort_ops.py /^def _sort_or_argsort(values, axis, direction, return_argsort):$/;" f +_sort_scores_and_boxes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^ def _sort_scores_and_boxes(scores, boxes):$/;" f function:non_max_suppression_padded_v2 file: +_sort_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sort_translation_rule(c, *operands, dimension, is_stable, num_keys):$/;" f +_sort_tree_leaves adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^def _sort_tree_leaves(g, root):$/;" f +_SOURCECODEINFO adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_SOURCECODEINFO = _descriptor.Descriptor($/;" v +_SOURCECODEINFO_LOCATION adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_SOURCECODEINFO_LOCATION = _descriptor.Descriptor($/;" v +_SOURCECONTEXT adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^_SOURCECONTEXT = _descriptor.Descriptor($/;" v +_SOURCEFILE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_SOURCEFILE = _descriptor.Descriptor($/;" v +_SourceInfoContext adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^class _SourceInfoContext(threading.local):$/;" c +_sources_for_node adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^def _sources_for_node(node, graph):$/;" f +_SOURCETARGET adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_SOURCETARGET = _descriptor.Descriptor($/;" v +_source_file_paths_outside_tensorflow_py_library adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def _source_file_paths_outside_tensorflow_py_library(code_defs, id_to_string):$/;" f +_SOURCE_FILE_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _SOURCE_FILE_SUFFIX = ".source_files"$/;" v class:DebugEventsReader +_source_filter_stacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^_source_filter_stacks = collections.defaultdict(list)$/;" v +_SOURCE_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ _SOURCE_HEAD = "source"$/;" v class:ProfileAnalyzer +_source_info_context adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^_source_info_context = _SourceInfoContext()$/;" v +_source_mapper_stacks adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^_source_mapper_stacks = collections.defaultdict(list)$/;" v +_spaced_symbols adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _spaced_symbols = _binary_operators | _relation_symbols | _arrow_symbols$/;" v class:Parser +_Spacer adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _Spacer(self):$/;" m class:NavigationToolbar2Tk +_SpaceToBatchGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SpaceToBatchGrad(op, grad):$/;" f +_SpaceToBatchNDGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SpaceToBatchNDGrad(op, grad):$/;" f +_SpaceToDepthGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SpaceToDepthGrad(op, grad):$/;" f +_space_between adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def _space_between(self, start_loc, end_loc):$/;" m class:TokenGenerator +_space_widths adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _space_widths = {$/;" v class:Parser +_spans_overlap adpepsenv/lib/python3.8/site-packages/matplotlib/_constrained_layout.py /^def _spans_overlap(span0, span1):$/;" f +_SparseAccumulatorTakeGradientOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_SparseAccumulatorTakeGradientOutput = collections.namedtuple($/;" v +_SparseAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseAddGrad(op, *grads):$/;" f +_SparseAddGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseAddGradOutput = collections.namedtuple($/;" v +_SparseAddOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseAddOutput = collections.namedtuple($/;" v +_SparseConcatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseConcatOutput = collections.namedtuple($/;" v +_SparseCountSparseOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_count_ops.py /^_SparseCountSparseOutputOutput = collections.namedtuple($/;" v +_SparseCrossHashedOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseCrossHashedOutput = collections.namedtuple($/;" v +_SparseCrossOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseCrossOutput = collections.namedtuple($/;" v +_SparseCrossV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseCrossV2Output = collections.namedtuple($/;" v +_SparseDenseCwiseAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseDenseCwiseAddGrad(unused_op, unused_grad):$/;" f +_SparseDenseCwiseDivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseDenseCwiseDivGrad(op, grad):$/;" f +_SparseDenseCwiseMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseDenseCwiseMulGrad(op, grad):$/;" f +_SparseDenseCwiseMulOrDivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseDenseCwiseMulOrDivGrad(op, grad, is_mul):$/;" f +_SparseFeatureColumn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^class _SparseFeatureColumn(object):$/;" c +_SparseFillEmptyRowsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseFillEmptyRowsGrad(op, unused_grad_output_indices, output_grad_values,$/;" f +_SparseFillEmptyRowsGradOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseFillEmptyRowsGradOutput = collections.namedtuple($/;" v +_SparseFillEmptyRowsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseFillEmptyRowsOutput = collections.namedtuple($/;" v +_SparseMatMul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^ def _SparseMatMul(t1, t2, out_dtype, transpose_a=False, transpose_b=False):$/;" f function:_SparseMatMulGrad file: +_SparseMatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseMatMulGrad(op, grad):$/;" f +_SparseMatrixAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixAddGrad(op, grad):$/;" f +_SparseMatrixMatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixMatMulGrad(op, grad):$/;" f +_SparseMatrixMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixMulGrad(op, grad):$/;" f +_SparseMatrixSoftmaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixSoftmaxGrad(op, grad_softmax):$/;" f +_SparseMatrixSparseMatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixSparseMatMulGrad(op, grad):$/;" f +_SparseMatrixTransposeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_grad.py /^def _SparseMatrixTransposeGrad(op, grad):$/;" f +_SparseMetaData adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^class _SparseMetaData(object):$/;" c +_SparseReduceMaxSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseReduceMaxSparseOutput = collections.namedtuple($/;" v +_SparseReduceSumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseReduceSumGrad(op, out_grad):$/;" f +_SparseReduceSumSparseOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseReduceSumSparseOutput = collections.namedtuple($/;" v +_SparseReorderGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseReorderGrad(op, unused_output_indices_grad, output_values_grad):$/;" f +_SparseReorderOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseReorderOutput = collections.namedtuple($/;" v +_SparseReshapeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseReshapeOutput = collections.namedtuple($/;" v +_SparseSegmentMeanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentMeanGrad(op, grad):$/;" f +_SparseSegmentMeanWithNumSegmentsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentMeanWithNumSegmentsGrad(op, grad):$/;" f +_SparseSegmentSqrtNGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentSqrtNGrad(op, grad):$/;" f +_SparseSegmentSqrtNWithNumSegmentsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentSqrtNWithNumSegmentsGrad(op, grad):$/;" f +_SparseSegmentSumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentSumGrad(op, grad):$/;" f +_SparseSegmentSumWithNumSegmentsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SparseSegmentSumWithNumSegmentsGrad(op, grad):$/;" f +_SparseSliceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseSliceGrad(op, *grads):$/;" f +_SparseSliceOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseSliceOutput = collections.namedtuple($/;" v +_SparseSoftmaxCrossEntropyWithLogitsGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _SparseSoftmaxCrossEntropyWithLogitsGrad(op, grad_loss, grad_grad):$/;" f +_SparseSoftmaxCrossEntropyWithLogitsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_SparseSoftmaxCrossEntropyWithLogitsOutput = collections.namedtuple($/;" v +_SparseSoftmaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseSoftmaxGrad(op, grad):$/;" f +_SparseSparseMaximumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseSparseMaximumGrad(unused_op, unused_grad):$/;" f +_SparseSparseMaximumOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseSparseMaximumOutput = collections.namedtuple($/;" v +_SparseSparseMinimumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseSparseMinimumGrad(unused_op, unused_grad):$/;" f +_SparseSparseMinimumOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseSparseMinimumOutput = collections.namedtuple($/;" v +_SparseSplitOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_SparseSplitOutput = collections.namedtuple($/;" v +_SparseTensorDenseAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseTensorDenseAddGrad(op, out_grad):$/;" f +_SparseTensorDenseMatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseTensorDenseMatMulGrad(op, grad):$/;" f +_SparseTensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _SparseTensorStructure(dtype, shape):$/;" f +_SparseToDenseGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_grad.py /^def _SparseToDenseGrad(op, grad):$/;" f +_SparseToSparseSetOperationOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_set_ops.py /^_SparseToSparseSetOperationOutput = collections.namedtuple($/;" v +_SPARSEVECTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_SPARSEVECTOR = _descriptor.Descriptor($/;" v +_sparse_apply_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _sparse_apply_args(self):$/;" m class:OptimizerV2 +_sparse_average_precision_at_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _sparse_average_precision_at_top_k(labels, predictions_idx):$/;" f +_sparse_cross adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^_sparse_cross = sparse_cross$/;" v +_sparse_cross_hashed adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^_sparse_cross_hashed = sparse_cross_hashed$/;" v +_sparse_cross_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _sparse_cross_internal(inputs,$/;" f +_sparse_cross_internval_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _sparse_cross_internval_v2(inputs):$/;" f +_sparse_dense_truediv adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _sparse_dense_truediv(sp_indices, sp_values, sp_shape, y, name=None):$/;" f +_sparse_difference adpepsenv/lib/python3.8/site-packages/scipy/optimize/_numdiff.py /^def _sparse_difference(fun, x0, f0, h, use_one_sided,$/;" f +_sparse_false_negative_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _sparse_false_negative_at_k(labels,$/;" f +_sparse_false_positive_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _sparse_false_positive_at_k(labels,$/;" f +_sparse_features_map adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/dataset_ops_test.py /^def _sparse_features_map(draw, num_records, **kwargs):$/;" f +_sparse_frobenius_norm adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_norm.py /^def _sparse_frobenius_norm(x):$/;" f +_SPARSE_LABEL_ERR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^_SPARSE_LABEL_ERR_MSG = ($/;" v +_sparse_lengths_weighted_reducer adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def _sparse_lengths_weighted_reducer($/;" m class:SparseLookup +_sparse_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _sparse_lookup(self, inputs):$/;" m class:TableHandler +_Sparse_Matrix_coo adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^class _Sparse_Matrix_coo:$/;" c +_sparse_meta_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _sparse_meta_data(t, storing_op, map_op):$/;" f function:_store_sparse_tensors file: +_sparse_num_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^def _sparse_num_jac(fun, t, y, f, h, factor, y_scale, structure, groups):$/;" f +_sparse_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _sparse_op(stored):$/;" f function:_store_sparse_tensors file: +_sparse_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^from tensorflow.python.framework import sparse_tensor as _sparse_tensor$/;" x +_sparse_tensors_to_validate adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^_sparse_tensors_to_validate = []$/;" v +_sparse_true_positive_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _sparse_true_positive_at_k(labels,$/;" f +_sparse_types adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ _sparse_types = ($/;" v class:TestVsNumpyNorm +_sparse_values_to_keep adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def _sparse_values_to_keep(t, keep_input):$/;" f function:_store_sparse_tensors._maybe_store file: +_spatial_output_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _spatial_output_shape(self, spatial_input_shape):$/;" m class:Conv +_spawn_delivery adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _spawn_delivery(state, callbacks):$/;" f +_spawn_processes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _spawn_processes(self):$/;" m class:MultiProcessTestCase +_spearmanr_2cols adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _spearmanr_2cols(x):$/;" f function:spearmanr file: +_SPECIALIZEDTYPE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^_SPECIALIZEDTYPE = _descriptor.EnumDescriptor($/;" v +_SPECIALIZEDTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^_SPECIALIZEDTYPE = _descriptor.EnumDescriptor($/;" v +_specialized_reduce_window adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _specialized_reduce_window(reducer, identity, operand, *, window_dimensions,$/;" f +_SPECIAL_CASES adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_SPECIAL_CASES = {}$/;" v +_special_names adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^_special_names = find_repl_patterns('''$/;" v +_special_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ _special_operators = {$/;" v class:Caffe2Backend +_special_operators adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ _special_operators = {}$/;" v class:Caffe2Frontend +_specificity adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _specificity(self, value):$/;" m class:Accept +_specificity adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _specificity(self, value):$/;" m class:MIMEAccept +_specify_ddp_gpu_num adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def _specify_ddp_gpu_num(self, gpu_size):$/;" m class:SyncBatchNorm +_Spectral_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_Spectral_data = ($/;" v +_spectral_helper adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^def _spectral_helper(x, y=None, NFFT=None, Fs=None, detrend_func=None,$/;" f +_spectral_helper adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def _spectral_helper(x, y, fs=1.0, window='hann', nperseg=None, noverlap=None,$/;" f +_spec_ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^_spec_ = "0.5.0"$/;" v +_SpenceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SpenceGrad(op, grad):$/;" f +_spfit_messages adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_spfit_messages = _surfit_messages.copy()$/;" v +_sph2cart adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^def _sph2cart(theta, phi):$/;" f +_spherefit_messages adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_spherefit_messages = _surfit_messages.copy()$/;" v +_spin adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def _spin(self, sink, value, terminate):$/;" m class:ThreadSwitchingConsumer +_splinefunc_cache adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^_splinefunc_cache = {}$/;" v +_split adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _split(x, indices, axis):$/;" f +_split adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _split(op, ary, indices_or_sections, axis=0):$/;" f +_split adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _split(key, num) -> jnp.ndarray:$/;" f +_split adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def _split(self, row):$/;" m class:TableProcessor +_split adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def _split(self, data):$/;" m class:Type1Font +_split adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _split(t, start, end):$/;" f function:train_validation_split file: +_SplitGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SplitGrad(op, *grads):$/;" f +_splitlines_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _splitlines_dispatcher(a, keepends=None):$/;" f +_SPLITTYPEWITHDEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_SPLITTYPEWITHDEFAULT = _descriptor.EnumDescriptor($/;" v +_splituser adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^def _splituser(host):$/;" f +_SplitVGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SplitVGrad(op, *grads):$/;" f +_splitzipext adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def _splitzipext(self, filename):$/;" m class:DataSource +_split_auth adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def _split_auth(self):$/;" m class:BaseURL +_split_aval adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _split_aval(unknown: bool, aval: AbstractValue) -> Tuple[AbstractValue, AbstractValue]:$/;" f +_split_by_task adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _split_by_task(devices, values):$/;" f +_split_cluster_for_evaluator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^def _split_cluster_for_evaluator(cluster_spec, task_type):$/;" f +_split_decimal adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ _split_decimal = re.compile("([.,])")$/;" v class:_timelex +_split_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _split_dispatcher(a, sep=None, maxsplit=None):$/;" f +_split_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _split_dispatcher(ary, indices_or_sections, axis=None):$/;" f +_split_ep adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def _split_ep(s):$/;" f function:get_entrypoints file: +_split_host adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def _split_host(self):$/;" m class:BaseURL +_split_index_for_jit adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _split_index_for_jit(idx):$/;" f +_split_into_indicator_and_other_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _split_into_indicator_and_other_columns():$/;" f function:_bt_model_fn file: +_split_leading_dim adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _split_leading_dim(i, aval, x):$/;" f +_split_line adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^def _split_line(name, arguments, width):$/;" f +_split_linear_solve_args adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _split_linear_solve_args(args, const_lengths):$/;" f +_split_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def _split_logits(self, logits):$/;" m class:MultiHead +_split_matrix adpepsenv/lib/python3.8/site-packages/scipy/optimize/_qap.py /^def _split_matrix(X, n):$/;" f +_split_netloc adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def _split_netloc(self):$/;" m class:BaseURL +_split_on_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _split_on_axis(np_fun, axis):$/;" f +_split_on_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _split_on_axis(np_fun_name, axis):$/;" f +_split_optional_netmask adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^def _split_optional_netmask(address):$/;" f +_split_out_first_arg adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _split_out_first_arg(self, args, kwargs):$/;" m class:Layer +_split_root_args adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _split_root_args(args, const_lengths):$/;" f +_split_row adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def _split_row(self, row):$/;" m class:TableProcessor +_split_tensor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^def _split_tensor(tensor, num_splits):$/;" f +_split_tensor_list_constants adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _split_tensor_list_constants(g, block):$/;" f +_spmd_full_to_shard_shape_grad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _spmd_full_to_shard_shape_grad(op, grad):$/;" f +_spmd_shard_to_full_shape_grad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _spmd_shard_to_full_shape_grad(op, grad):$/;" f +_sprandn adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_construct.py /^def _sprandn(m, n, density=0.01, format="coo", dtype=None, random_state=None):$/;" f +_spring_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_spring_data = {'red': ((0., 1., 1.), (1.0, 1.0, 1.0)),$/;" v +_SPRITEMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^_SPRITEMETADATA = _descriptor.Descriptor($/;" v +_SPRITEMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^_SPRITEMETADATA = _descriptor.Descriptor($/;" v +_sqrt2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _sqrt2(x):$/;" f +_SQRT2PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_SQRT2PI = np.sqrt(2 * np.pi)$/;" v +_SQRT3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_SQRT3 = np.sqrt(3)$/;" v +_SqrtGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SqrtGrad(op, grad):$/;" f +_SqrtGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SqrtGradGrad(op, grad):$/;" f +_sqrtm_triu adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_sqrtm.py /^def _sqrtm_triu(T, blocksize=64):$/;" f +_SQRT_2_OVER_PI adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_SQRT_2_OVER_PI = 0.7978845608028654$/;" v +_SquaredDifferenceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SquaredDifferenceGrad(op, grad):$/;" f +_squared_difference_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _squared_difference_flops(graph, node):$/;" f +_SquareGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SquareGrad(op, grad):$/;" f +_square_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _square_flops(graph, node):$/;" f +_square_of_sums adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _square_of_sums(a, axis=0):$/;" f +_squaring adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^def _squaring(R, n_squarings):$/;" f +_squaring_precise adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^ def _squaring_precise(x):$/;" f function:_squaring file: +_squeeze adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _squeeze(operand, *, dimensions, _in_avals, _out_aval):$/;" f +_SqueezeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _SqueezeGrad(op, grad):$/;" f +_squeeze_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _squeeze_batch_rule(batched_args, batch_dims, *, dimensions):$/;" f +_squeeze_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _squeeze_dispatcher(a, axis=None):$/;" f +_squeeze_dtype_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _squeeze_dtype_rule(operand, *, dimensions):$/;" f +_squeeze_mapped_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def _squeeze_mapped_axes(arg, axes: AxisNamePos):$/;" f function:hide_mapped_axes file: +_squeeze_output adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^def _squeeze_output(out):$/;" f +_squeeze_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _squeeze_shape_rule(operand, *, dimensions):$/;" f +_squeeze_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _squeeze_translation_rule(c, arg, *, dimensions):$/;" f +_squeeze_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _squeeze_transpose_rule(t, operand, *, dimensions):$/;" f +_ssa_rewrite adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/frontend.py /^ def _ssa_rewrite(cls, net, init_net, value_info):$/;" m class:Caffe2Frontend +_sset_dict adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _sset_dict(key, ob, state):$/;" f +_sset_dict adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _sset_dict(key, ob, state):$/;" f +_sset_object adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _sset_object(key, ob, state):$/;" f +_sset_object adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _sset_object(key, ob, state):$/;" f +_ssim_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _ssim_helper(x, y, reducer, max_val, compensation=1.0, k1=0.01, k2=0.03):$/;" f +_ssim_per_channel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _ssim_per_channel(img1,$/;" f +_SSLContext adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^class _SSLContext(object):$/;" c +_SslDummy adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ class _SslDummy(object):$/;" c +_SSLError adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^from pip._vendor.urllib3.exceptions import SSLError as _SSLError$/;" x +_SSLError adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^from urllib3.exceptions import SSLError as _SSLError$/;" x +_ssl_io_loop adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def _ssl_io_loop(self, func, *args):$/;" m class:SSLTransport +_ssl_wrap_socket_impl adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^def _ssl_wrap_socket_impl(sock, ssl_context, tls_in_tls, server_hostname=None):$/;" f +_stack adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def _stack(self):$/;" m class:_ContextInfo +_stack adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _stack(aval, vals):$/;" f +_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def _stack(elem_type, stack_name="", name=None):$/;" f +_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _stack(t, length):$/;" f +_Stack adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^class _Stack(Constraint):$/;" c +_STACKFRAMEWITHID adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_STACKFRAMEWITHID = _descriptor.Descriptor($/;" v +_stack_arrays_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _stack_arrays_dispatcher(arrays, defaults=None, usemask=None,$/;" f +_stack_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^_stack_cache = {}$/;" v +_stack_cache_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _stack_cache_key(pfor_input):$/;" f +_stack_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ _stack_dict = None # Subclasses should override$/;" v class:StackTraceTransform +_stack_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ _stack_dict = _source_filter_stacks$/;" v class:StackTraceFilter +_stack_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ _stack_dict = _source_mapper_stacks$/;" v class:StackTraceMapper +_stack_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _stack_dispatcher(arrays, axis=None, out=None):$/;" f +_stack_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^def _stack_eager_fallback(elem_type, stack_name, name, ctx):$/;" f +_STACK_FRAMES_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ _STACK_FRAMES_SUFFIX = ".stack_frames"$/;" v class:DebugEventsReader +_stack_handle_inside_pfor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _stack_handle_inside_pfor(handle, pfor_input):$/;" f +_stack_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _stack_tensor_list(handle, dtype, loop_len_vector, element_shape=None):$/;" f +_stack_tensor_list_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _stack_tensor_list_shape(shape, first_dim):$/;" f +_stack_trace_inside_mapped_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^def _stack_trace_inside_mapped_code(tb, source_map, converter_filename):$/;" f +_staircase adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _staircase(steps):$/;" m class:MaxNLocator +_stale_axes_callback adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^def _stale_axes_callback(self, val):$/;" f +_stale_figure_callback adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^def _stale_figure_callback(self, val):$/;" f +_standardize_and_copy_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _standardize_and_copy_config(config):$/;" f +_standardize_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^def _standardize_args(inputs, initial_state, constants, num_constants):$/;" f +_standardize_batch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _standardize_batch(self, data):$/;" m class:GeneratorDataAdapter +_standardize_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _standardize_tensors(self, x, y, sample_weight, run_eagerly, dict_inputs,$/;" m class:Model +_standardize_user_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _standardize_user_data(self,$/;" m class:Model +_standard_gamma adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^def _standard_gamma(concentration):$/;" f +_standard_gamma adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _standard_gamma(g, self, generator):$/;" f +_standard_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _standard_normal(self, shape, dtype):$/;" m class:Generator +_standard_normal adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def _standard_normal(shape, dtype, device):$/;" f +_standard_rvs adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _standard_rvs(self, n, shape, dim, df, random_state):$/;" m class:wishart_gen +_starmap_chunks adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^def _starmap_chunks(submit, function, iterable,$/;" f +_starmap_helper adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^def _starmap_helper(submit, function, iterable, timeout, unordered):$/;" f +_Starred adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Starred(self, t):$/;" m class:Unparser +_start adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def _start(self):$/;" m class:WorkerCoordinator +_start adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _start(state):$/;" f +_start adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _start(self, *args):$/;" m class:Animation +_START adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^_START = re.compile(r'\\[Ordinal\/Name Pointer\\] Table')$/;" v +_start adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _start(self):$/;" m class:MultiProcessPoolRunner +_STARTED adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^_UNKNOWN, _STARTED, _FINISHED = range(3)$/;" v +_starting_device_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_starting_device_spec = pydev.DeviceSpec.from_string("")$/;" v +_startswith_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _startswith_dispatcher(a, prefix, start=None, end=None):$/;" f +_start_check_health_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _start_check_health_thread(self):$/;" m class:CollectiveAllReduceExtended +_start_continuous_evaluation adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _start_continuous_evaluation(self):$/;" m class:_TrainingExecutor +_start_distributed_training adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _start_distributed_training(self, saving_listeners=None):$/;" m class:_TrainingExecutor +_start_local_server adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def _start_local_server(self):$/;" m class:TPUClusterResolver +_start_magic adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _start_magic(line):$/;" f +_start_processes adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def _start_processes(self, proc):$/;" m class:MultiProcessTestCase +_start_record_function adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^def _start_record_function(exec_type, func_name, current_worker_name, dest_worker_name):$/;" f +_start_std_server adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def _start_std_server(self, config):$/;" m class:_TrainingExecutor +_start_subprocess_and_reading_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _start_subprocess_and_reading_thread(self,$/;" m class:MultiProcessRunner +_start_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _start_trace(self):$/;" m class:TensorBoard +_start_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def _start_trajectory(self, xm, ym):$/;" m class:StreamMask +_start_unary_request adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _start_unary_request(request, timeout, request_serializer):$/;" f +_State adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class _State(object):$/;" c +_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^_state = threading.local()$/;" v +_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^_state = threading.local()$/;" v +_StatelessParameterizedTruncatedNormalGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_grad.py /^def _StatelessParameterizedTruncatedNormalGrad(op, grad): # pylint: disable=invalid-name$/;" f +_StatelessRandomGammaV2Grad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/random_grad.py /^def _StatelessRandomGammaV2Grad(op, grad): # pylint: disable=invalid-name$/;" f +_StatelessRandomGetKeyCounterAlgOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_stateless_random_ops_v2.py /^_StatelessRandomGetKeyCounterAlgOutput = collections.namedtuple($/;" v +_StatelessSampleDistortedBoundingBoxOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_image_ops.py /^_StatelessSampleDistortedBoundingBoxOutput = collections.namedtuple($/;" v +_StateManagerImpl adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class _StateManagerImpl(StateManager):$/;" c +_StateManagerImplV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^class _StateManagerImplV2(_StateManagerImpl):$/;" c +_StateMeetsRule adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _StateMeetsRule(state, rule):$/;" f +_Statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^class _Statement(object):$/;" c +_StateStack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^class _StateStack(object):$/;" c +_STATEVARIABLESPECIFICATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_STATEVARIABLESPECIFICATION = _descriptor.Descriptor($/;" v +_STATEVARIABLESPECIFICATION_FILLWITHCONSTANT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_STATEVARIABLESPECIFICATION_FILLWITHCONSTANT = _descriptor.Descriptor($/;" v +_STATEVARIABLESPECIFICATION_USERDEFINED adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_STATEVARIABLESPECIFICATION_USERDEFINED = _descriptor.Descriptor($/;" v +_state_callback_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _state_callback_wrapper():$/;" f member:PythonStringStateSaveable.__init__ file: +_state_change adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^def _state_change(new_state, node_name, output_slot, debug_op):$/;" f +_STATE_TO_DESCRIPTION_MAP adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^_STATE_TO_DESCRIPTION_MAP = {$/;" v +_state_to_olabel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _state_to_olabel(labels, num_labels, states):$/;" f +_state_to_olabel_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _state_to_olabel_unique(labels, num_labels, states, unique):$/;" f +_state_vars adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^_state_vars = {}$/;" v +_state_vars adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^_state_vars = {}$/;" v +_static_check_for_broadcastable_batch_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def _static_check_for_broadcastable_batch_shape(operators):$/;" f +_static_check_for_same_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def _static_check_for_same_dimensions(operators):$/;" f +_static_event_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def _static_event_size(x):$/;" f function:process_quadrature_grid_and_probs file: +_static_idx adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _static_idx(idx: slice, size: Union[int, Poly]):$/;" f +_static_rank_in adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _static_rank_in(actual_rank, given_ranks):$/;" f +_static_threads_task adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^def _static_threads_task(name, group, final_outputs, reader, num_threads,$/;" f +_static_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^def _static_value(x):$/;" f +_stats adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^def _stats(input, labels=None, index=None, centered=False):$/;" f +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _stats(x, axis=0):$/;" f function:_desc_stats file: +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:anglit_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:arcsine_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:cauchy_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:cosine_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:expon_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:gilbrat_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:gumbel_l_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:gumbel_r_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:halfcauchy_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:halfnorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:hypsecant_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:laplace_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:levy_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:levy_l_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:logistic_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:maxwell_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:moyal_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:norm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:rayleigh_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:semicircular_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:uniform_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self):$/;" m class:wald_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a):$/;" m class:alpha_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a):$/;" m class:dgamma_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a):$/;" m class:gamma_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a):$/;" m class:kappa3_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a):$/;" m class:powerlaw_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a, b):$/;" m class:beta_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a, b):$/;" m class:norminvgauss_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a, b, moments='mv'):$/;" m class:truncnorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a, moments='mvsk'):$/;" m class:invgamma_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, a, moments='mvsk'):$/;" m class:skew_norm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, alpha, beta):$/;" m class:levy_stable_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, b, moments='mv'):$/;" m class:pareto_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, beta):$/;" m class:gennorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:dweibull_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:fatiguelife_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:fisk_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:foldcauchy_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:foldnorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:genextreme_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:genlogistic_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:loggamma_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:lomax_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c):$/;" m class:triang_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c, d):$/;" m class:burr_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c, moments='mv'):$/;" m class:bradford_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, c, moments='mv'):$/;" m class:genpareto_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, chi):$/;" m class:argus_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, df):$/;" m class:chi2_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, df):$/;" m class:chi_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, df):$/;" m class:t_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, df, nc):$/;" m class:ncx2_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, df, nc, moments='mv'):$/;" m class:nct_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, dfn, dfd):$/;" m class:f_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, dfn, dfd, nc):$/;" m class:ncf_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, h, k):$/;" m class:kappa4_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, K):$/;" m class:exponnorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, kappa):$/;" m class:laplace_asymmetric_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, lam):$/;" m class:tukeylambda_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, mu):$/;" m class:invgauss_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, nu):$/;" m class:nakagami_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, s):$/;" m class:lognorm_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats(self, skew):$/;" m class:pearson3_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, a):$/;" m class:dlaplace_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, alpha):$/;" m class:yulesimon_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, lambda_):$/;" m class:planck_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, lambda_, N):$/;" m class:boltzmann_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, low, high):$/;" m class:randint_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, M, n, N):$/;" m class:hypergeom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, M, n, r):$/;" m class:nhypergeom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, mu):$/;" m class:poisson_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, mu1, mu2):$/;" m class:skellam_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, n, a, b, moments='mv'):$/;" m class:betabinom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, n, p):$/;" m class:nbinom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, n, p, moments='mv'):$/;" m class:binom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, p):$/;" m class:bernoulli_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, p):$/;" m class:geom_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^ def _stats(self, p):$/;" m class:logser_gen +_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _stats(self, *args, **kwds):$/;" m class:rv_generic +_StatsDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^class _StatsDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_stats_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_stats_registry = registry.Registry("statistical functions")$/;" v +_stats_skip adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _stats_skip(self, kappa):$/;" m class:vonmises_gen +_status adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _status(rpc_event, state, serialized_response):$/;" f +_STATUS adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_STATUS = _descriptor.EnumDescriptor($/;" v +_STATUSPROTO adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^_STATUSPROTO = _descriptor.Descriptor($/;" v +_STATUS_BAR_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _STATUS_BAR_COLOR_PAIR = ($/;" v class:CursesUI +_STATUS_CODE_TO_ABORTION_KIND_AND_ABORTION_ERROR_CLASS adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^_STATUS_CODE_TO_ABORTION_KIND_AND_ABORTION_ERROR_CLASS = {$/;" v +_status_message adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^_status_message = {'success': 'Optimization terminated successfully.',$/;" v +_status_to_exception adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^def _status_to_exception(code, message):$/;" f +_stay adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def _stay(self):$/;" m class:LazyZipOverHTTP +_std adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def _std(a, **args):$/;" f +_std adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _std(a, axis=None, dtype=None, out=None, ddof=0, keepdims=False):$/;" f +_std adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _std(g, input, dim, unbiased, keepdim):$/;" f +_stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _stddev(self):$/;" m class:Distribution +_stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _stddev(self):$/;" m class:Gamma +_stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _stddev(self):$/;" m class:Laplace +_stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _stddev(self):$/;" m class:Normal +_stddev adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _stddev(self):$/;" m class:Uniform +_stdemed_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _stdemed_1D(data):$/;" f function:stde_median file: +_StderrthresholdFlag adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class _StderrthresholdFlag(flags.Flag):$/;" c +_stderr_supports_color adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^def _stderr_supports_color():$/;" f +_stdin_writeln adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _stdin_writeln(self, s):$/;" m class:LatexManager +_stdlib_to_openssl_verify adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^_stdlib_to_openssl_verify = {$/;" v +_stdlib_to_openssl_verify adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^_stdlib_to_openssl_verify = {$/;" v +_std_basis adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _std_basis(pytree):$/;" f +_std_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _std_dispatcher($/;" f +_std_step_time_secs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def _std_step_time_secs(self):$/;" m class:IterationCountEstimator +_step adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _step(self, *args):$/;" m class:Animation +_step adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _step(self, *args):$/;" m class:TimedAnimation +_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _step(time, output_ta_t, *states):$/;" f function:rnn file: +_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def _step(time, output_ta_t, prev_output, *states):$/;" f function:rnn file: +_STEPSTATS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_STEPSTATS = _descriptor.Descriptor($/;" v +_STEPSTATS adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_STEPSTATS = _descriptor.Descriptor($/;" v +_STEPSUMMARY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_STEPSUMMARY = _descriptor.Descriptor($/;" v +_STEPS_PER_RUN_VAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^_STEPS_PER_RUN_VAR = "steps_per_run"$/;" v +_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def _step_fn(ctx, inputs):$/;" f function:_make_train_step_fn file: +_step_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def _step_impl(self):$/;" m class:OdeSolver +_step_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def _step_impl(self):$/;" m class:BDF +_step_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^ def _step_impl(self):$/;" m class:LSODA +_step_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def _step_impl(self):$/;" m class:Radau +_step_impl adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def _step_impl(self):$/;" m class:RungeKutta +_step_names_used adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ _step_names_used = set()$/;" v class:ExecutionStep +_STIRLING_COEFFS adpepsenv/lib/python3.8/site-packages/scipy/stats/_ksstats.py /^_STIRLING_COEFFS = [-2.955065359477124183e-2, 6.4102564102564102564e-3,$/;" v +_StochasticGradientDescentHandler adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^class _StochasticGradientDescentHandler(_OptimizerHandler):$/;" c +_STOCHASTICGRADIENTDESCENTPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_STOCHASTICGRADIENTDESCENTPARAMETERS = _descriptor.Descriptor($/;" v +_stop adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def _stop(self, reason=None):$/;" m class:WorkerCoordinator +_stop adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _stop(state, grace):$/;" f +_stop adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _stop(self, *args):$/;" m class:Animation +_stop adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def _stop(self, *args):$/;" m class:TimedAnimation +_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _stop(self):$/;" m class:DispatchServer +_stop adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def _stop(self):$/;" m class:WorkerServer +_StopAfterNEvalsHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^class _StopAfterNEvalsHook(session_run_hook.SessionRunHook):$/;" c +_StopAtAttemptsHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^class _StopAtAttemptsHook(tf.compat.v1.train.SessionRunHook):$/;" c +_StopAtCheckpointStepHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^class _StopAtCheckpointStepHook(tf.compat.v1.train.SessionRunHook):$/;" c +_StopAtSecsHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _StopAtSecsHook(tf.compat.v1.train.SessionRunHook):$/;" c +_StopGuard adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^class _StopGuard(_RunOnce):$/;" c +_StopOnPredicateHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^class _StopOnPredicateHook(tf.compat.v1.train.SessionRunHook):$/;" c +_StopOps adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _StopOps(from_ops, stop_gradient_ops, pending_count, xs_set):$/;" f +_StoppingPredictHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _StoppingPredictHook(tf.compat.v1.train.SessionRunHook):$/;" c +_StopSignals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _StopSignals(object):$/;" c +_stoptest adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^def _stoptest(residual, atol):$/;" f +_stop_checking_inefficient_unroll adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def _stop_checking_inefficient_unroll(self):$/;" m class:_PythonLoopChecker +_stop_checking_inefficient_unroll adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def _stop_checking_inefficient_unroll(self):$/;" m class:_PythonLoopChecker +_stop_check_health_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _stop_check_health_thread(self):$/;" m class:CollectiveAllReduceExtended +_stop_gradient adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _stop_gradient(x):$/;" f +_stop_gradient_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _stop_gradient_batch_rule(batched_args, batch_dims):$/;" f +_stop_gradient_fun adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _stop_gradient_fun(f):$/;" f +_stop_gradient_impl adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^def _stop_gradient_impl(x):$/;" f +_stop_gradient_jvp_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _stop_gradient_jvp_rule(primals, tangents):$/;" f +_stop_if_no_metric_improvement_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def _stop_if_no_metric_improvement_hook(estimator, metric_name,$/;" f +_stop_if_threshold_crossed_hook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def _stop_if_threshold_crossed_hook(estimator, metric_name, threshold,$/;" f +_stop_serving adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _stop_serving(state):$/;" f +_stop_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _stop_trace(self, batch=None):$/;" m class:TensorBoard +_StorageBase adpepsenv/lib/python3.8/site-packages/torch/storage.py /^class _StorageBase(object):$/;" c +_storages adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^_storages : List[Any] = [$/;" v +_storage_classes adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^_storage_classes = {$/;" v +_store adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^_store = _ThreadLocalStore()$/;" v +_store_many_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^_store_many_sparse = sparse_ops._add_many_sparse_to_tensors_map$/;" v +_store_pypirc adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/config.py /^ def _store_pypirc(self, username, password):$/;" m class:PyPIRCCommand +_store_sparse adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^_store_sparse = sparse_ops._add_sparse_to_tensors_map$/;" v +_store_sparse_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _store_sparse_tensors(tensor_list, enqueue_many, keep_input,$/;" f +_store_sparse_tensors_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _store_sparse_tensors_join(tensor_list_list, enqueue_many, keep_input):$/;" f +_Str adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Str(self, tree):$/;" m class:Unparser +_str adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _str(self):$/;" f +_StrConvert adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^def _StrConvert(value):$/;" f +_Stream adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^class _Stream:$/;" c +_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ _stream = "stderr"$/;" v class:redirect_stderr +_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ _stream = "stdout"$/;" v class:redirect_stdout +_stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ _stream = None$/;" v class:_RedirectStream +_Stream adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class _Stream(object):$/;" c +_STREAMBLOBDATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMBLOBDATAREQUEST = _descriptor.Descriptor($/;" v +_STREAMBLOBDATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMBLOBDATARESPONSE = _descriptor.Descriptor($/;" v +_StreamCallResponseIterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^class _StreamCallResponseIterator:$/;" c +_STREAMEXPERIMENTDATAREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTDATAREQUEST = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTDATARESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTDATARESPONSE = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTDATARESPONSE_BLOBSEQUENCEPOINTS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTDATARESPONSE_BLOBSEQUENCEPOINTS = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTDATARESPONSE_SCALARPOINTS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTDATARESPONSE_SCALARPOINTS = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTDATARESPONSE_TENSORPOINTS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTDATARESPONSE_TENSORPOINTS = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTSREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTSREQUEST = _descriptor.Descriptor($/;" v +_STREAMEXPERIMENTSRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_STREAMEXPERIMENTSRESPONSE = _descriptor.Descriptor($/;" v +_streaming_confusion_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _streaming_confusion_matrix(labels, predictions, num_classes, weights=None):$/;" f +_STREAMING_MODEL_PORTS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ _STREAMING_MODEL_PORTS = "streaming_model_ports"$/;" v class:GraphKeys +_streaming_sparse_average_precision_at_top_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _streaming_sparse_average_precision_at_top_k(labels,$/;" f +_streaming_sparse_false_negative_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _streaming_sparse_false_negative_at_k(labels,$/;" f +_streaming_sparse_false_positive_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _streaming_sparse_false_positive_at_k(labels,$/;" f +_streaming_sparse_true_positive_at_k adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/metrics_impl.py /^def _streaming_sparse_true_positive_at_k(labels,$/;" f +_StreamProxy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^class _StreamProxy(object):$/;" c +_StreamRequestMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class _StreamRequestMixin(Call):$/;" c +_StreamResponseMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class _StreamResponseMixin(Call):$/;" c +_streams adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/_functions.py /^_streams = None$/;" v +_StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _StreamStreamMultiCallable(face.StreamStreamMultiCallable):$/;" c +_StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _StreamStreamMultiCallable(grpc.StreamStreamMultiCallable):$/;" c +_StreamStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _StreamStreamMultiCallable(grpc.StreamStreamMultiCallable):$/;" c +_StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _StreamUnaryMultiCallable(face.StreamUnaryMultiCallable):$/;" c +_StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _StreamUnaryMultiCallable(grpc.StreamUnaryMultiCallable):$/;" c +_StreamUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _StreamUnaryMultiCallable(grpc.StreamUnaryMultiCallable):$/;" c +_stream_response adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def _stream_response(self, start, end, base_headers=HEADERS):$/;" m class:LazyZipOverHTTP +_stream_response_in_pool adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _stream_response_in_pool(rpc_event, state, behavior, argument_thunk,$/;" f +_stream_stderr_single_process adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _stream_stderr_single_process(process, type_string, index,$/;" f member:MultiWorkerMultiProcessTest.stream_stderr file: +_stream_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _stream_stream(channel, group, method, timeout, protocol_options, metadata,$/;" f +_STREAM_STREAM_INITIAL_DUE adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_STREAM_STREAM_INITIAL_DUE = ($/;" v +_STREAM_UNARY_INITIAL_DUE adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_STREAM_UNARY_INITIAL_DUE = ($/;" v +_stream_unary_invocation_operationses adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _stream_unary_invocation_operationses(metadata, initial_metadata_flags):$/;" f +_stream_unary_invocation_operationses_and_tags adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _stream_unary_invocation_operationses_and_tags(metadata,$/;" f +_stress_test_rpc adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _stress_test_rpc(self, f, repeat=1000, args=()):$/;" m class:RpcTest +_strictly_valid_num adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _strictly_valid_num(n):$/;" f +_strict_call adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _strict_call(self, value):$/;" m class:StringConverter +_StridedSliceGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _StridedSliceGrad(op, grad):$/;" f +_StridedSliceGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _StridedSliceGradGrad(op, grad):$/;" f +_strided_slice_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _strided_slice_assign(self, begin, end, strides, value, name, begin_mask,$/;" m class:BaseResourceVariable +_strided_slice_assign adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _strided_slice_assign(self, begin, end, strides, value, name, begin_mask,$/;" m class:RefVariable +_stride_comb_iter adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^def _stride_comb_iter(x):$/;" f +_stride_repeat adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_mlab.py /^def _stride_repeat(*args, **kwargs):$/;" f +_StringCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _StringCodec(object):$/;" c +_StringifyName adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _StringifyName(name, expected_type):$/;" f +_STRINGMAP adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_STRINGMAP = _descriptor.Descriptor($/;" v +_StringNGramsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^_StringNGramsOutput = collections.namedtuple($/;" v +_StringParseError adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _StringParseError(self, e):$/;" m class:Tokenizer +_StringSplitOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^_StringSplitOutput = collections.namedtuple($/;" v +_StringSplitV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^_StringSplitV2Output = collections.namedtuple($/;" v +_STRINGVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_STRINGVALUE = _descriptor.Descriptor($/;" v +_string_classes adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^from ._six import string_classes as _string_classes$/;" x +_string_classes adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^from ._six import string_classes as _string_classes$/;" x +_string_escape adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^def _string_escape(match):$/;" f +_string_escape_regex adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^_string_escape_regex = re.compile(br'([\\\\()\\r\\n])')$/;" v +_string_from_ip_int adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _string_from_ip_int(cls, ip_int):$/;" m class:_BaseV4 +_string_from_ip_int adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def _string_from_ip_int(cls, ip_int=None):$/;" m class:_BaseV6 +_string_gauge_methods adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^_string_gauge_methods = [$/;" v +_string_lists adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/string_ops_test.py /^def _string_lists(alphabet=None):$/;" f +_string_merge_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^_string_merge_cache = {}$/;" v +_string_permute adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def _string_permute(self, pattern, permutation):$/;" m class:LutBuilder +_string_split_rtype_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _string_split_rtype_transformer(parent, node, full_name, name, logs):$/;" f +_string_split_transformer adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^def _string_split_transformer(parent, node, full_name, name, logs):$/;" f +_string_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def _string_to_components(spec=None):$/;" m class:DeviceSpecV2 +_STRING_TO_COMPONENTS_CACHE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^_STRING_TO_COMPONENTS_CACHE = {}$/;" v +_string_to_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_remote.py /^def _string_to_id(string, string_to_id):$/;" f +_STRING_TO_TF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_STRING_TO_TF = {$/;" v +_STRING_TO_TF adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_STRING_TO_TF = {$/;" v +_strip_addresses adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_client.py /^def _strip_addresses(addresses, prefix):$/;" f +_strip_annotations adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _strip_annotations(t):$/;" f +_strip_checkpoint_v2_randomized adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _strip_checkpoint_v2_randomized(graph_def):$/;" f +_strip_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _strip_dispatcher(a, chars=None):$/;" f +_strip_extras adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^def _strip_extras(path):$/;" f +_strip_hash_table_shared_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^def _strip_hash_table_shared_name(graph_def):$/;" f +_strip_leading_slashes adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _strip_leading_slashes(name):$/;" f +_strip_node_default_valued_attrs adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^ def _strip_node_default_valued_attrs(node_def):$/;" f function:strip_graph_default_valued_attrs file: +_strip_padding adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/all_reduce.py /^def _strip_padding(tensors, pad_len):$/;" f +_strip_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/remote.py /^def _strip_prefix(s, prefix):$/;" f +_strip_prefix adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_client.py /^def _strip_prefix(s, prefix):$/;" f +_strip_quotes adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _strip_quotes(item):$/;" m class:CommandSpec +_strip_terminator adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _strip_terminator(self, command):$/;" m class:CursesUI +_strip_undefok_args adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^def _strip_undefok_args(undefok, args):$/;" f +_StrongRef adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^class _StrongRef:$/;" c +_strong_wolfe adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^def _strong_wolfe(obj_func,$/;" f +_STRUCT adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_STRUCT = _descriptor.Descriptor($/;" v +_StructMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _StructMessageToJsonObject(self, message):$/;" m class:_Printer +_StructPackDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _StructPackDecoder(wire_type, format):$/;" f +_StructPackEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _StructPackEncoder(wire_type, format):$/;" f +_structure adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ _structure = None$/;" v class:OutputsAggregator +_STRUCTUREDVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_STRUCTUREDVALUE = _descriptor.Descriptor($/;" v +_STRUCTUREDVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_STRUCTUREDVALUE = _descriptor.Descriptor($/;" v +_structured_output_mapping adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _structured_output_mapping(fetched):$/;" f member:WrappedFunction.prune file: +_structured_signature_check_arg_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _structured_signature_check_arg_type(self, arg, spec, name):$/;" m class:ConcreteFunction +_structured_signature_check_arg_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _structured_signature_check_arg_types(self, args, kwargs):$/;" m class:ConcreteFunction +_structured_signature_check_missing_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _structured_signature_check_missing_args(self, args, kwargs):$/;" m class:ConcreteFunction +_structured_signature_check_unexpected_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _structured_signature_check_unexpected_args(self, args, kwargs):$/;" m class:ConcreteFunction +_structured_signature_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _structured_signature_summary(self, default_values=False):$/;" m class:ConcreteFunction +_structured_tensor_factory_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^_structured_tensor_factory_key = object() # unique private object$/;" v +_structured_to_unstructured_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _structured_to_unstructured_dispatcher(arr, dtype=None, copy=None,$/;" f +_STRUCTURES_HAVE_MISMATCHING_LENGTHS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_STRUCTURES_HAVE_MISMATCHING_LENGTHS = ($/;" v +_STRUCTURES_HAVE_MISMATCHING_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^_STRUCTURES_HAVE_MISMATCHING_TYPES = ($/;" v +_structure_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _structure_summary(structure):$/;" f +_struct_dict_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _struct_dict_str(dtype, includealignedflag):$/;" f +_STRUCT_FIELDSENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_STRUCT_FIELDSENTRY = _descriptor.Descriptor($/;" v +_struct_from_nested_name adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _struct_from_nested_name(self, nested_name, field):$/;" m class:Struct +_struct_list_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _struct_list_str(dtype):$/;" f +_struct_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _struct_str(dtype, include_align):$/;" f +_str_equal adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _str_equal(obj, s):$/;" f +_str_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/tfprof_logger.py /^def _str_id(s, str_to_id):$/;" f +_str_intern adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _str_intern(self):$/;" f +_str_is_convertible adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def _str_is_convertible(val):$/;" m class:UnitData +_str_lower_equal adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _str_lower_equal(obj, s):$/;" f +_STS_GRANT_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^_STS_GRANT_TYPE = "urn:ietf:params:oauth:grant-type:token-exchange"$/;" v +_STS_REQUESTED_TOKEN_TYPE adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^_STS_REQUESTED_TOKEN_TYPE = "urn:ietf:params:oauth:token-type:access_token"$/;" v +_StubMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _StubMethod(self, stub, method_descriptor,$/;" m class:_ServiceStubBuilder +_stub_construct_rpc_backend_options_handler adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def _stub_construct_rpc_backend_options_handler(**kwargs):$/;" f +_stub_init_rpc_backend_handler adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^def _stub_init_rpc_backend_handler(store, name, rank, world_size, rpc_backend_options):$/;" f +_student_t_cdf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _student_t_cdf(df, t, dps=None):$/;" f +_Style adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^class _Style:$/;" c +_STYLE_BOLD adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_BOLD = "\\033[1m"$/;" v +_STYLE_DARKGRAY adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_DARKGRAY = "\\033[90m"$/;" v +_STYLE_ERASE_LINE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_ERASE_LINE = "\\033[2K"$/;" v +_STYLE_GREEN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_GREEN = "\\033[32m"$/;" v +_style_list adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _style_list = {}$/;" v class:ArrowStyle +_style_list adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _style_list = {}$/;" v class:BoxStyle +_style_list adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ _style_list = {}$/;" v class:ConnectionStyle +_style_list adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ _style_list = {}$/;" v class:AxislineStyle +_STYLE_RESET adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_RESET = "\\033[0m"$/;" v +_STYLE_YELLOW adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^_STYLE_YELLOW = "\\033[33m"$/;" v +_sub adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_sub = partial(tree_multimap, operator.sub)$/;" v +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _sub = staticmethod(chebsub)$/;" v class:Chebyshev +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _sub = staticmethod(hermsub)$/;" v class:Hermite +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _sub = staticmethod(hermesub)$/;" v class:HermiteE +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _sub = staticmethod(lagsub)$/;" v class:Laguerre +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _sub = staticmethod(legsub)$/;" v class:Legendre +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _sub = staticmethod(polysub)$/;" v class:Polynomial +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _sub(c1, c2):$/;" f +_sub adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _sub(c1, c2):$/;" m class:ABCPolyBase +_sub adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _sub(x, y, name=None):$/;" f +_sub2 adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^def _sub2(x, y):$/;" f +_subarray_str adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _subarray_str(dtype):$/;" f +_SubclassModel adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class _SubclassModel(models.Model):$/;" c +_SubclassModelCustomBuild adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^class _SubclassModelCustomBuild(models.Model):$/;" c +_subclass_must_implement adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def _subclass_must_implement(self, fn):$/;" m class:RequestValidator +_subclass_with_normal adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^def _subclass_with_normal(effect_class):$/;" f +_SUBCOMMAND_FLAG adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^_SUBCOMMAND_FLAG = "__tensorboard_subcommand"$/;" v +_SUBCOMPAT_MODULE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_SUBCOMPAT_MODULE_TEMPLATE = 'compat.v%d.compat.v%d'$/;" v +_subDescription adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def _subDescription(self):$/;" m class:_SubTest +_subdirectory_fragment_re adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ _subdirectory_fragment_re = re.compile(r'[#&]subdirectory=([^&]*)')$/;" v class:Link +_subdivide_interval adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^def _subdivide_interval(args):$/;" f +_SubGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SubGrad(op, grad):$/;" f +_SUBMODE_BRIEF adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_SUBMODE_BRIEF = 'brief'$/;" v +_SUBMODE_DETAILED adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_SUBMODE_DETAILED = 'detailed'$/;" v +_subplots adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^ def _subplots():$/;" f function:test_tight_layout_offsetboxes file: +_subplot_classes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^_subplot_classes = {}$/;" v +_subprocess adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^import subprocess as _subprocess$/;" I +_SUBPROCESS adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_MAIN, _SUBPROCESS = "main", "subprocess"$/;" v +_SUBPROCESS_CMD_TEMPLATE adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/end_to_end.py /^_SUBPROCESS_CMD_TEMPLATE = ($/;" v +_subs adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^_subs = {"hex": HEX_PAT, "ls32": LS32_PAT}$/;" v +_subs adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^_subs = {"hex": HEX_PAT, "ls32": LS32_PAT}$/;" v +_subscribe adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _subscribe(state, callback, try_to_connect):$/;" f +_subscribe adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _subscribe(tensor, side_effects, control_cache):$/;" f +_subscribe_extend adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _subscribe_extend(tensor, side_effects):$/;" f +_subscribe_new adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^def _subscribe_new(tensor, side_effects, control_cache):$/;" f +_Subscript adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Subscript(self, t):$/;" m class:Unparser +_Subscription adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/utilities.py /^class _Subscription(base.Subscription,$/;" c +_subst adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^ def _subst (match, local_vars=local_vars):$/;" f function:subst_vars file: +_substitute_raw_value adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _substitute_raw_value(cls, tp, raw_values_dict):$/;" m class:Caffe2Backend +_subst_vars adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^def _subst_vars(path, local_vars):$/;" f +_subs_tree adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _subs_tree(self, tvars=None, args=None): # noqa$/;" m class:AnnotatedMeta +_SubTest adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ class _SubTest(TestCase):$/;" c +_subtest_msg_sentinel adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ _subtest_msg_sentinel = object()$/;" v +_subval adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ _subval = lambda x, i, v: subvals(x, [(i, v)])$/;" f function:_split file: +_sub_dense adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _sub_dense(self, other):$/;" m class:spmatrix +_sub_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _sub_flops(graph, node):$/;" f +_sub_labels adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def _sub_labels(self, axis, subs=()):$/;" m class:TestLogFormatter +_sub_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def _sub_sparse(self, other):$/;" m class:spmatrix +_sub_sparse adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _sub_sparse(self, other):$/;" m class:_cs_matrix +_sub_transpose adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _sub_transpose(t, x, y):$/;" f +_suffix_for adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _suffix_for(req):$/;" m class:Distribution +_SUFFIX_REPLACEMENTS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_SUFFIX_REPLACEMENTS = ($/;" v +_SUGGESTION_ERROR_RATE_THRESHOLD adpepsenv/lib/python3.8/site-packages/absl/flags/_helpers.py /^_SUGGESTION_ERROR_RATE_THRESHOLD = 0.50$/;" v +_suggest_normalized_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _suggest_normalized_version(s):$/;" f +_suggest_semantic_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^def _suggest_semantic_version(s):$/;" f +_sum adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_sum = builtins.sum$/;" v +_sum adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _sum(a, axis=None, dtype=None, out=None, keepdims=False,$/;" f +_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _sum(input, axis, keep_dims=False, name=None):$/;" f +_sum adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_sum = sum$/;" v +_SumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _SumGrad(op, grad):$/;" f +_SumLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _SumLinearOperator(LinearOperator):$/;" c +_summaries adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^def _summaries(eval_dir):$/;" f +_summarize_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _summarize_eager(tensor, summarize=None):$/;" f +_SUMMARY adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARY = _descriptor.Descriptor($/;" v +_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^def _summary(tag, hparams_plugin_data):$/;" f +_SUMMARY adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARY = _descriptor.Descriptor($/;" v +_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^from tensorflow.python.summary import summary as _summary$/;" x +_SUMMARYDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARYDESCRIPTION = _descriptor.Descriptor($/;" v +_SUMMARYDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARYDESCRIPTION = _descriptor.Descriptor($/;" v +_SummaryHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^class _SummaryHook(tf.compat.v1.train.SessionRunHook):$/;" c +_SummaryHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^class _SummaryHook(tf.compat.v1.train.SessionRunHook):$/;" c +_SummaryIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^class _SummaryIterator(object):$/;" c +_SUMMARYMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARYMETADATA = _descriptor.Descriptor($/;" v +_SUMMARYMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARYMETADATA = _descriptor.Descriptor($/;" v +_SummaryMetadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.core.framework.summary_pb2 import SummaryMetadata as _SummaryMetadata # pylint:/;" x +_SUMMARYMETADATA_PLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARYMETADATA_PLUGINDATA = _descriptor.Descriptor($/;" v +_SUMMARYMETADATA_PLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARYMETADATA_PLUGINDATA = _descriptor.Descriptor($/;" v +_SummaryState adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^class _SummaryState(threading.local):$/;" c +_SUMMARY_AUDIO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARY_AUDIO = _descriptor.Descriptor($/;" v +_SUMMARY_AUDIO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARY_AUDIO = _descriptor.Descriptor($/;" v +_SUMMARY_COLLECTION adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ _SUMMARY_COLLECTION = "_SUMMARY_V2"$/;" v class:GraphKeys +_SUMMARY_IMAGE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARY_IMAGE = _descriptor.Descriptor($/;" v +_SUMMARY_IMAGE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARY_IMAGE = _descriptor.Descriptor($/;" v +_summary_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _summary_key(head_name, val):$/;" f +_summary_key adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^ def _summary_key(self, key):$/;" m class:Head +_summary_op_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary.py /^from tensorflow.python.ops import summary_op_util as _summary_op_util$/;" x +_summary_pb adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def _summary_pb(tag, hparams_plugin_data):$/;" f +_summary_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_summary_state = _SummaryState()$/;" v +_SUMMARY_VALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_SUMMARY_VALUE = _descriptor.Descriptor($/;" v +_SUMMARY_VALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_SUMMARY_VALUE = _descriptor.Descriptor($/;" v +_summary_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^_summary_writer = _sentinel_summary_writer$/;" v +_SUMMARY_WRITER_INIT_COLLECTION_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_SUMMARY_WRITER_INIT_COLLECTION_NAME = "_SUMMARY_WRITER_V2"$/;" v +_summer_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_summer_data = {'red': ((0., 0., 0.), (1.0, 1.0, 1.0)),$/;" v +_sum_ adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^_sum_ = sum$/;" v +_sum_abs_axis0 adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^def _sum_abs_axis0(X):$/;" f +_sum_basis_elements adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_bsplines.py /^def _sum_basis_elements(x, t, c, k):$/;" f +_sum_by_feature_col_name_and_sort adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees_utils.py /^def _sum_by_feature_col_name_and_sort(names, vals):$/;" f +_sum_centered adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^ def _sum_centered(labels):$/;" f function:_stats file: +_sum_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _sum_dispatcher(a, axis=None, dtype=None, out=None, keepdims=None,$/;" f +_sum_duplicates adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _sum_duplicates(self, row, col, data):$/;" m class:coo_matrix +_sum_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_math_ops.py /^def _sum_eager_fallback(input, axis, keep_dims, name, ctx):$/;" f +_sum_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _sum_flops(graph, node):$/;" f +_sum_keywords adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _sum_keywords(self, num_files):$/;" m class:MakeBatchedFeaturesDatasetTestBase +_sum_of_squares adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _sum_of_squares(a, axis=0):$/;" f +_sum_rightmost adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^def _sum_rightmost(value, dim):$/;" f +_sum_rows adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_impl.py /^def _sum_rows(x):$/;" f +_sum_states adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^def _sum_states(idx, states):$/;" f +_sum_tangents adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _sum_tangents(_, x, *xs):$/;" f +_SupervisedOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^class _SupervisedOutput(ExportOutput):$/;" c +_supervised_signature_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_def_utils_impl.py /^def _supervised_signature_def($/;" f +_SupportedQEnginesProp adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^class _SupportedQEnginesProp(object):$/;" c +_SUPPORTED_CATEGORICAL_COLUMNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_SUPPORTED_CATEGORICAL_COLUMNS = (fc._IdentityCategoricalColumn,$/;" v +_SUPPORTED_CATEGORICAL_COLUMNS_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_SUPPORTED_CATEGORICAL_COLUMNS_V2 = (fc_lib.CategoricalColumn,)$/;" v +_SUPPORTED_FEATURE_COLUMNS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_SUPPORTED_FEATURE_COLUMNS = (core_fc._NumericColumn, core_fc_lib.NumericColumn)$/;" v +_SUPPORTED_INFEED_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/ops/tpu_ops.py /^_SUPPORTED_INFEED_DTYPES = set([$/;" v +_supported_modules adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^_supported_modules = {nn.Linear, nn.Conv2d}$/;" v +_supported_modules_quantized adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^_supported_modules_quantized = {nnq.Linear, nnq.Conv2d}$/;" v +_supported_multipart_encodings adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^_supported_multipart_encodings = frozenset(["base64", "quoted-printable"])$/;" v +_SUPPORTED_OPTIMIZERS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_SUPPORTED_OPTIMIZERS = ($/;" v +_SUPPORTED_RAGGED_VALUE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^_SUPPORTED_RAGGED_VALUE_TYPES = (ops.Tensor, RaggedTensor)$/;" v +_SUPPORTED_RBF_KERNEL_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^_SUPPORTED_RBF_KERNEL_TYPES = ['gaussian', 'laplacian']$/;" v +_SUPPORTED_SEQUENCE_COLUMNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_SUPPORTED_SEQUENCE_COLUMNS = (fc._SequenceCategoricalColumn,$/;" v +_SUPPORTED_SLICE_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^_SUPPORTED_SLICE_DTYPES = (dtypes.int32, dtypes.int32_ref, dtypes.int64,$/;" v +_supported_types adpepsenv/lib/python3.8/site-packages/torch/quantization/_equalize.py /^_supported_types = {torch.nn.Conv2d, torch.nn.Linear}$/;" v +_SUPPORTED_VERBISITY_VALUES adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ _SUPPORTED_VERBISITY_VALUES = (0, 1)$/;" v class:UploadTracker +_supports_context adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^_supports_context = sys.version_info >= (3, 4)$/;" v +_supports_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _supports_signature():$/;" f +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:alpha_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:betaprime_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:fatiguelife_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:gilbrat_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:invgamma_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:invgauss_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:invweibull_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:johnsonsb_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:levy_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:levy_l_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:lognorm_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:norminvgauss_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:powerlognorm_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:rayleigh_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._open_support_mask$/;" v class:wald_gen +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ _support_mask = rv_continuous._support_mask$/;" v class:rv_histogram +_support_mask adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _support_mask(self, x, *args):$/;" m class:rv_generic +_support_per_replica_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _support_per_replica_values(self):$/;" m class:OneDeviceExtended +_support_zero_size_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _support_zero_size_input(self):$/;" m class:BatchNormalizationBase +_suppression_loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _suppression_loop_body(boxes, iou_threshold, output_size, idx, tile_size):$/;" f +_suppress_matplotlib_deprecation_warning adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^def _suppress_matplotlib_deprecation_warning():$/;" f +_surfit_cache adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^_surfit_cache = {'tx': array([], float), 'ty': array([], float),$/;" v +_surfit_messages adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^_surfit_messages = {1: """$/;" v +_SURROGATE_PATTERN adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^ _SURROGATE_PATTERN = re.compile(six.u(r'[\\ud800-\\udfff]'))$/;" v +_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _survival_function(self, value):$/;" m class:Distribution +_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _survival_function(self, x):$/;" m class:Normal +_survival_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def _survival_function(self, y):$/;" m class:TransformedDistribution +_svd adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _svd(operand, full_matrices, compute_uv):$/;" f +_SvdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _SvdGrad(op, grad_s, grad_u, grad_v):$/;" f +_SvdOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_linalg_ops.py /^_SvdOutput = collections.namedtuple($/;" v +_svd_cpu_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _svd_cpu_gpu_translation_rule(gesvd_impl, c, operand, full_matrices, compute_uv):$/;" f +_svd_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _svd_dispatcher(a, full_matrices=None, compute_uv=None, hermitian=None):$/;" f +_svd_impl adpeps/tensor/ops.py /^def _svd_impl(m):$/;" f +_svd_impl_bwd adpeps/tensor/ops.py /^def _svd_impl_bwd(res, g):$/;" f +_svd_impl_fwd adpeps/tensor/ops.py /^def _svd_impl_fwd(m):$/;" f +_svd_lowrank adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^def _svd_lowrank(A, q=6, niter=2, M=None):$/;" f +_SVGConverter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^class _SVGConverter(_Converter):$/;" c +_svn_info_xml_rev_re adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^_svn_info_xml_rev_re = re.compile(r'\\s*revision="(\\d+)"')$/;" v +_svn_info_xml_url_re adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^_svn_info_xml_url_re = re.compile(r'<url>(.*)<\/url>')$/;" v +_svn_rev_re adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^_svn_rev_re = re.compile(r'committed-rev="(\\d+)"')$/;" v +_svn_xml_url_re adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^_svn_xml_url_re = re.compile('url="([^"]+)"')$/;" v +_swap adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def _swap(self, x):$/;" m class:csc_matrix +_swap adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def _swap(self, x):$/;" m class:csr_matrix +_swapaxes_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _swapaxes_dispatcher(a, axis1, axis2):$/;" f +_swap_args adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _swap_args(f):$/;" f +_swap_axis adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def _swap_axis(input_tensor, dim_index, last_index, name=None):$/;" f function:_wrap_2d_function file: +_sweep adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def _sweep(self):$/;" m class:CheckpointManager +_sweep_poly_phase adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^def _sweep_poly_phase(t, poly):$/;" f +_swig_module_name_match adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/build_src.py /^_swig_module_name_match = re.compile(r'\\s*%module\\s*(.*\\(\\s*package\\s*=\\s*"(?P<package>[\\/;" v +_swish adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _swish(x):$/;" f +_SwitchGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_grad.py /^def _SwitchGrad(op, *grad):$/;" f +_SwitchOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_control_flow_ops.py /^_SwitchOutput = collections.namedtuple($/;" v +_SwitchRefOrTensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _SwitchRefOrTensor(data, pred, name="Switch"):$/;" f +_switch_off_zoom_mode adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _switch_off_zoom_mode(self, event):$/;" m class:ToolZoom +_switch_on_zoom_mode adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _switch_on_zoom_mode(self, event):$/;" m class:ToolZoom +_SymbolicException adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^class _SymbolicException(Exception):$/;" c +_symbolic_add_metric adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _symbolic_add_metric(self, value, aggregation=None, name=None):$/;" m class:Layer +_symbolic_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _symbolic_call(self, inputs):$/;" m class:Layer +_symbolic_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _symbolic_call(self, inputs):$/;" m class:Layer +_symbolic_dimension_sizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _symbolic_dimension_sizes(symbolic_shape):$/;" f +_symbolic_versions adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_registry.py /^_symbolic_versions = {}$/;" v +_SYMBOLS_TO_SKIP_EXPLICITLY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^_SYMBOLS_TO_SKIP_EXPLICITLY = {$/;" v +_SymbolTable adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^class _SymbolTable(object):$/;" c +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symbol_database adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^from google.protobuf import symbol_database as _symbol_database$/;" x +_symeig_backward adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _symeig_backward(D_grad, U_grad, A, D, U, largest):$/;" f +_symeig_backward_complete_eigenspace adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _symeig_backward_complete_eigenspace(D_grad, U_grad, A, D, U):$/;" f +_symeig_backward_partial_eigenspace adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _symeig_backward_partial_eigenspace(D_grad, U_grad, A, D, U, largest):$/;" f +_SymGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _SymGrad(op, out_grads):$/;" f +_SymmetricArpackParams adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class _SymmetricArpackParams(_ArpackParams):$/;" c +_symmetric_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_symmetric_example = '''\\$/;" v +_symmetric_l1_regularization adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _symmetric_l1_regularization(self):$/;" m class:_SDCAModel +_symmetric_l2_regularization adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _symmetric_l2_regularization(self):$/;" m class:_SDCAModel +_symmetric_pattern_example adpepsenv/lib/python3.8/site-packages/scipy/io/tests/test_mmio.py /^_symmetric_pattern_example = '''\\$/;" v +_symmetric_projection adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def _symmetric_projection(self, n):$/;" m class:ConvolutionOrthogonal +_symptom_msg adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _symptom_msg(self, msg):$/;" m class:Client +_symv adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ _symv = get_blas_funcs('symv', dtype='d')$/;" v class:FullHessianUpdateStrategy +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_legacy_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/metanet_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/predictor_consts_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/any_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/api_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/duration_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/empty_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/field_mask_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/source_context_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/allocation_description_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/api_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/attr_value_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cluster_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cost_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/cpp_shape_inference_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/debug_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/function_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/node_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/op_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/resource_handle_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/rewriter_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saved_object_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/saver_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/step_stats_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/summary_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/types_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/layout_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/api_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_util_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/blob_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/experiment_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/scalar_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/diagnostics_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/input_pipeline_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/kernel_stats_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/overview_page_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/classification_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_metadata_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/get_model_status_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/inference_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/input_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_management_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_log_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/predict_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/regression_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/session_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/logging_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/log_collector_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/config/model_server_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/sources/storage_path/file_system_storage_path_source_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/util/status_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/service/hlo_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debugger_event_metadata_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/feature_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/allocation_description_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/api_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/attr_value_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/cost_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/device_attributes_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/function_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/graph_transfer_info_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/kernel_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/log_memory_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/node_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/op_def_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/reader_base_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/remote_fused_graph_execute_info_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/resource_handle_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/step_stats_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/summary_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/types_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/grappler/costs/op_performance_data_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/lib/core/error_codes_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_options_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_monitor_result_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_options_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/bfc_memory_map_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/cluster_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_properties_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/error_codes_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/graph_debug_info_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/named_tensor_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/queue_runner_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/remote_tensor_handle_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/rewriter_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_model_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saved_object_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/saver_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensorflow_server_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tensor_bundle_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/compilation_result_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/dynamic_padding_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/transport_options_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/memmapped_file_system_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/saved_tensor_slice_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/model_flags_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/types_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/cpp_shape_inference_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/projector_config_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/protobuf/saved_metadata_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_db adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_state_pb2.py /^_sym_db = _symbol_database.Default()$/;" v +_sym_ortho adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsqr.py /^def _sym_ortho(a, b):$/;" f +_sym_solve adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_ip.py /^def _sym_solve(Dinv, A, r1, r2, solve):$/;" f +_SyncAllParams adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _SyncAllParams($/;" f +_SyncAllParamsDistributed adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _SyncAllParamsDistributed($/;" f +_SyncAllParamsSingleHost adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _SyncAllParamsSingleHost(devices, model, net, unique_param_names):$/;" f +_synchronized adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _synchronized(wrapped, instance, args, kwargs):$/;" f function:synchronized file: +_synchronized_lock adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _synchronized_lock(context):$/;" f function:synchronized file: +_synchronized_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _synchronized_wrapper(wrapped, instance, args, kwargs):$/;" f function:synchronized file: +_SyncOnReadSaveable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^class _SyncOnReadSaveable(saveable_object.SaveableObject):$/;" c +_SyncReplicasOptimizerHook adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^class _SyncReplicasOptimizerHook(session_run_hook.SessionRunHook):$/;" c +_sync_final_model adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _sync_final_model(self, is_last_joiner):$/;" m class:DistributedDataParallel +_sync_get_data adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _sync_get_data(options):$/;" f +_sync_params adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _sync_params(self):$/;" m class:DistributedDataParallel +_sync_params_and_buffers adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def _sync_params_and_buffers(self, authoritative_rank=0):$/;" m class:DistributedDataParallel +_sync_set_data adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^def _sync_set_data(data):$/;" f +_sync_variables_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _sync_variables_ops(ctx):$/;" f +_SYNTAX adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_SYNTAX = _descriptor.EnumDescriptor($/;" v +_syr adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ _syr = get_blas_funcs('syr', dtype='d') # Symmetric rank 1 update$/;" v class:FullHessianUpdateStrategy +_syr2 adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ _syr2 = get_blas_funcs('syr2', dtype='d') # Symmetric rank 2 update$/;" v class:FullHessianUpdateStrategy +_sys adpepsenv/lib/python3.8/site-packages/gast/gast.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/mpi4py/bench.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/activations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/densenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/efficientnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/imagenet_utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/inception_resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/inception_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/mobilenet_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/nasnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet50/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/vgg16/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/vgg19/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/xception/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/applications/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/backend/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/callbacks/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/callbacks/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/constraints/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/boston_housing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/cifar10/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/cifar100/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/fashion_mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/imdb/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/reuters/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/datasets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/initializers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/experimental/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/layers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/losses/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/metrics/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/models/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/optimizers/schedules/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/optimizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/sequence/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/text/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/regularizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/wrappers/scikit_learn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/wrappers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/keras/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/activations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/densenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/efficientnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/imagenet_utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/inception_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/mobilenet_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/nasnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet50/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg16/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/vgg19/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/xception/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/applications/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/backend/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/callbacks/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/constraints/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/boston_housing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar10/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/cifar100/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/fashion_mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/imdb/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/reuters/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/datasets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/initializers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/layers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/losses/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/metrics/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/models/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/schedules/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/optimizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/sequence/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/text/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/regularizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/scikit_learn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/wrappers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/keras/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/activations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/densenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/efficientnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/imagenet_utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/inception_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/mobilenet_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/nasnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet50/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg16/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/vgg19/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/xception/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/applications/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/backend/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/callbacks/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/constraints/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/boston_housing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar10/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/cifar100/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/fashion_mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/imdb/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/reuters/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/datasets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/initializers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/layers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/losses/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/metrics/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/models/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/schedules/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/optimizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/sequence/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/text/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/regularizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/scikit_learn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/wrappers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/keras/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v1/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/activations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/densenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/efficientnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/imagenet_utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/inception_resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/inception_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/mobilenet_v3/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/nasnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet50/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/resnet_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/vgg16/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/vgg19/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/xception/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/applications/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/backend/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/callbacks/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/callbacks/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/constraints/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/boston_housing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/cifar10/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/cifar100/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/fashion_mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/imdb/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/mnist/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/reuters/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/datasets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/initializers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/experimental/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/layers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/losses/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/metrics/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/models/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/optimizers/schedules/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/optimizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/sequence/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/text/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/preprocessing/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/regularizers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/wrappers/scikit_learn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/wrappers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/keras/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/_v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/api/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/standard_ops.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/app.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/resource_loader.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/audio/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autodiff/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autograph/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/autograph/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/bitwise/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/app/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/audio/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/autograph/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/bitwise/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/optimizer/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/threading/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/config/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/service/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/data/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/debugging/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/debugging/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/cluster_resolver/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distribute/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/distributions/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/dtypes/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/errors/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/feature_column/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/gfile/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/graph_util/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/initializers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/gfile/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/io/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/layers/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/layers/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/linalg/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/constants/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/experimental/nn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lite/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/logging/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/lookup/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/losses/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/manip/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/special/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/math/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/metrics/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mlir/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/mlir/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nest/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/rnn_cell/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/nn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/profiler/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/python_io/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/quantization/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/queue/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/ragged/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/random/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/raw_ops/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/resource_loader/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/builder/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/constants/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/loader/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/main_op/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/signature_constants/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/signature_def_utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/tag_constants/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/utils/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/saved_model/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/signal/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sparse/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/spectral/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/strings/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/summary/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/sysconfig/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/test/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/embedding/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/tpu/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/queue_runner/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/train/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/types/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/types/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/user_ops/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/version/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/xla/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/xla/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/audio/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autodiff/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autograph/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/autograph/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/bitwise/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/optimizer/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/threading/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/config/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/service/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/data/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/debugging/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/cluster_resolver/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/coordinator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/partitioners/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/distribute/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/dtypes/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/errors/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/dlpack/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/numpy/random/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/numpy/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/tensorrt/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/feature_column/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/graph_util/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/gfile/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/io/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/linalg/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lite/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lite/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lookup/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/lookup/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/special/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/math/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mlir/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/mlir/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nest/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/nn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/client/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/server/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/profiler/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/quantization/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/queue/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/ragged/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/random/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/raw_ops/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/saved_model/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/saved_model/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/signal/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sparse/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/strings/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/summary/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/summary/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/sysconfig/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/test/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/experimental/embedding/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/tpu/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/train/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/train/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/types/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/types/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/version/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/xla/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/xla/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/decorator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/combinations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/multi_process_runner/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/distribute/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/test/combinations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/test/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/tracking/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__internal__/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__operators__/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/optimizer/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/threading/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/config/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/service/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/data/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/debugging/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/cluster_resolver/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/coordinator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/partitioners/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/distribute/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/dtypes/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/errors/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/dlpack/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/numpy/random/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/numpy/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/tensorrt/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/feature_column/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/graph_util/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/image/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/gfile/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/io/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/linalg/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lite/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lite/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lookup/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/lookup/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/special/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/math/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mixed_precision/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mixed_precision/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mlir/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/mlir/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nest/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/nn/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/client/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/server/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/profiler/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/quantization/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/queue/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/ragged/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/random/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/raw_ops/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/saved_model/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/saved_model/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sets/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/signal/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sparse/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/strings/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/summary/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/summary/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/sysconfig/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/test/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/experimental/embedding/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/tpu/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/train/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/train/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/types/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/types/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/version/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/xla/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/xla/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/decorator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/combinations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/multi_process_runner/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/distribute/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/test/combinations/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/test/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/tracking/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__internal__/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/__operators__/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/export/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/inputs/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/tpu/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v1/v1.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/export/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/_v2/v2.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/api/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/export/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/inputs/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/tpu/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v1/v1.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/experimental/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/export/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/estimator/__init__.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/_api/v2/v2.py /^import sys as _sys$/;" I +_sys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/__init__.py /^import sys as _sys$/;" I +_sysconfig_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _sysconfig_module():$/;" f +_system_info adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^class _system_info(system_info):$/;" c +_sys_exception adpepsenv/lib/python3.8/site-packages/mpi4py/futures/aplus.py /^ def _sys_exception():$/;" f +_sys_executable adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _sys_executable(cls):$/;" m class:CommandSpec +_sys_home adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^ _sys_home = _fix_pcbuild(_sys_home)$/;" v +_sys_home adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^_sys_home = getattr(sys, '_home', None)$/;" v +_sys_rng adpepsenv/lib/python3.8/site-packages/werkzeug/security.py /^_sys_rng = SystemRandom()$/;" v +_T adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ _T = typing.TypeVar('_T')$/;" v +_T adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ _T = typing.TypeVar('_T')$/;" v +_T adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _T(x): return jnp.swapaxes(x, -1, -2)$/;" f +_T adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^_T = lambda x: jnp.swapaxes(x, -1, -2)$/;" f +_t adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _t(key, df, shape, dtype):$/;" f +_T adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/linalg.py /^_T = lambda x: jnp.swapaxes(x, -1, -2)$/;" f +_T adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/command_context.py /^ _T = TypeVar('_T', covariant=True)$/;" v +_T adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ _T = TypeVar('_T', bound='TempDirectory')$/;" v +_tab10_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_tab10_data = ($/;" v +_tab20b_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_tab20b_data = ($/;" v +_tab20c_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_tab20c_data = ($/;" v +_tab20_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_tab20_data = ($/;" v +_TABLE adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^_TABLE = re.compile(r'^\\s+\\[([\\s*[0-9]*)\\] ([a-zA-Z0-9_]*)')$/;" v +_TABLE_NAME_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_TABLE_NAME_PREFIX = 'tbl_'$/;" v +_TABLE_SHARED_NAME_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^_TABLE_SHARED_NAME_PATTERN = r"hash_table_[0-9a-z\\-]+"$/;" v +_tab_complete adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _tab_complete(self, command_str):$/;" m class:CursesUI +_tag adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def _tag(self, run, instance_tag):$/;" m class:MeshPlugin +_TAGGEDRUNMETADATA adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_TAGGEDRUNMETADATA = _descriptor.Descriptor($/;" v +_TAGGEDRUNMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_TAGGEDRUNMETADATA = _descriptor.Descriptor($/;" v +_TagSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _TagSize(field_number):$/;" f +_tags_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _tags_impl(self, ctx, experiment=None):$/;" m class:MetricsPlugin +_tag_and_add_meta_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _tag_and_add_meta_graph(self, meta_graph_def, tags, signature_def_map):$/;" m class:_SavedModelBuilder +_tag_callable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _tag_callable(loss):$/;" f member:Layer.add_loss file: +_tag_constants adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.saved_model import tag_constants as _tag_constants$/;" x +_TAG_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/error_interpolation.py /^_TAG_REGEX = r"{{{{({name}) ({name})}}}}".format(name=_NAME_REGEX)$/;" v +_tag_sharding_attribute_for_dequeued_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^def _tag_sharding_attribute_for_dequeued_tensor(tensor, dims):$/;" f +_TAG_TO_EVENTS_PROPERTY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^_TAG_TO_EVENTS_PROPERTY = "tag_to_events"$/;" v +_tag_unconditional adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _tag_unconditional(loss):$/;" f member:Layer.add_loss file: +_tag_zeros_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _tag_zeros_tensor(fun):$/;" f +_TakeManySparseFromTensorsMapOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_sparse_ops.py /^_TakeManySparseFromTensorsMapOutput = collections.namedtuple($/;" v +_takes_ascii adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^def _takes_ascii(f):$/;" f +_TakeWhileDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/take_while_ops.py /^class _TakeWhileDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_take_along_axis adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _take_along_axis(arr, indices, axis):$/;" f +_take_along_axis_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _take_along_axis_dispatcher(arr, indices, axis):$/;" f +_take_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _take_dispatcher(a, indices, axis=None, out=None, mode=None):$/;" f +_take_many_sparse_from_tensors_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^def _take_many_sparse_from_tensors_map(sparse_map_op,$/;" f +_take_response_from_response_iterator adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _take_response_from_response_iterator(rpc_event, state, response_iterator):$/;" f +_take_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _take_tensors(tensors, size_limit):$/;" f +_tangent_linear_map adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _tangent_linear_map(func, params, params_dot, *x):$/;" f +_TanGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _TanGrad(op, grad):$/;" f +_tanh adpepsenv/lib/python3.8/site-packages/caffe2/python/fakelowp/test_utils.py /^def _tanh(x):$/;" f +_TanhGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _TanhGrad(op, grad):$/;" f +_TanhGradGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _TanhGradGrad(op, grad):$/;" f +_tanh_taylor adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _tanh_taylor(primals_in, series_in):$/;" f +_TapeGradientFunctions adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^class _TapeGradientFunctions(object):$/;" c +_TapFunc adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^_TapFunc = Callable[[T, _Transforms], Any]$/;" v +_targets adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _targets(self):$/;" m class:Model +_TARGET_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/numpy_io.py /^_TARGET_KEY = '__target_key__'$/;" v +_target_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^ def _target_shape(self, input_shape, total_elements):$/;" m class:DenseFeatures +_target_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^ def _target_shape(self, input_shape, total_elements):$/;" m class:SequenceFeatures +_TASKDEVICEFILTERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/device_filters_pb2.py /^_TASKDEVICEFILTERS = _descriptor.Descriptor($/;" v +_TASKSPEC_FIELDS adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^_TASKSPEC_FIELDS = tuple(i.name for i in dataclasses.fields(TaskSpec))$/;" v +_TaskType adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^class _TaskType(object):$/;" c +_TASK_ENV_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_TASK_ENV_KEY = 'task'$/;" v +_task_group adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def _task_group(self, func, *args, **kw):$/;" m class:MultiNodeCheckpointManager +_TASK_ID_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_TASK_ID_KEY = 'index'$/;" v +_TASK_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_TASK_KEY = 'task'$/;" v +_TASK_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^_TASK_KEY = 'task'$/;" v +_task_thread adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def _task_thread(self, task_fn, tf_config, executing_eagerly, *args,$/;" m class:IndependentWorkerTestBase +_TASK_TYPE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_TASK_TYPE_KEY = 'type'$/;" v +_tcp_rendezvous_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rendezvous.py /^def _tcp_rendezvous_handler(url, timeout=default_pg_timeout, **kwargs):$/;" f +_tdata adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^_tdata = tlocal()$/;" v +_tdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^_tdist = np.array([[0, 662, 877, 255, 412, 996],$/;" v +_TempAxisName adpepsenv/lib/python3.8/site-packages/jax/core.py /^class _TempAxisName:$/;" c +_TempDir adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class _TempDir(object):$/;" c +_tempdir_manager adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^_tempdir_manager = None # type: Optional[ExitStack]$/;" v +_tempdir_registry adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^_tempdir_registry = None # type: Optional[TempDirectoryTypeRegistry]$/;" v +_TempFile adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^class _TempFile(object):$/;" c +_tempfile adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^import tempfile as _tempfile$/;" I +_template adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ _template = "{self.dist} is installed but {self.req} is required"$/;" v class:VersionConflict +_template adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ _template = ("The '{self.req}' distribution was not found "$/;" v class:DistributionNotFound +_template adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ _template = VersionConflict._template + ' by {self.required_by}'$/;" v class:ContextualVersionConflict +_template adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ _template = "{self.dist} is installed but {self.req} is required"$/;" v class:VersionConflict +_template adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ _template = ("The '{self.req}' distribution was not found "$/;" v class:DistributionNotFound +_template adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ _template = VersionConflict._template + ' by {self.required_by}'$/;" v class:ContextualVersionConflict +_temporary_keychain adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/_securetransport/low_level.py /^def _temporary_keychain():$/;" f +_temporary_keychain adpepsenv/lib/python3.8/site-packages/urllib3/contrib/_securetransport/low_level.py /^def _temporary_keychain():$/;" f +_TEMP_DIR adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^_TEMP_DIR = tempfile.TemporaryDirectory()$/;" v +_TensorArrayConcatGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArrayConcatGrad(op, grad, unused_lengths_grad):$/;" f +_TensorArrayConcatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayConcatOutput = collections.namedtuple($/;" v +_TensorArrayConcatV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayConcatV2Output = collections.namedtuple($/;" v +_TensorArrayConcatV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayConcatV3Output = collections.namedtuple($/;" v +_TensorArrayGatherGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArrayGatherGrad(op, grad):$/;" f +_TensorArrayGradV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayGradV3Output = collections.namedtuple($/;" v +_TensorArrayGradWithShapeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayGradWithShapeOutput = collections.namedtuple($/;" v +_TensorArrayReadGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArrayReadGrad(op, grad):$/;" f +_TensorArrayScatterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArrayScatterGrad(op, flow):$/;" f +_TensorArraySplitGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArraySplitGrad(op, flow):$/;" f +_TensorArrayStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _TensorArrayStructure(dtype, element_shape, dynamic_size, infer_shape):$/;" f +_TensorArrayV3Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_data_flow_ops.py /^_TensorArrayV3Output = collections.namedtuple($/;" v +_TensorArrayWriteGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_grad.py /^def _TensorArrayWriteGrad(op, flow):$/;" f +_TensorBatchedRequestSender adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^class _TensorBatchedRequestSender(object):$/;" c +_TENSORBOARDEXPORTERSERVICE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2.py /^_TENSORBOARDEXPORTERSERVICE = _descriptor.ServiceDescriptor($/;" v +_TensorBoardRequestContextKey adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^class _TensorBoardRequestContextKey:$/;" c +_TENSORBOARDWRITERSERVICE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_TENSORBOARDWRITERSERVICE = _descriptor.ServiceDescriptor($/;" v +_TENSORBOARD_INFO_FIELDS adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^_TENSORBOARD_INFO_FIELDS = collections.OrderedDict($/;" v +_TENSORBOUNDSHAPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORBOUNDSHAPE = _descriptor.Descriptor($/;" v +_TENSORBOUNDSHAPES adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORBOUNDSHAPES = _descriptor.Descriptor($/;" v +_TENSORBOUNDSHAPE_DIMTYPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORBOUNDSHAPE_DIMTYPE = _descriptor.EnumDescriptor($/;" v +_TensorCacheDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _TensorCacheDeleter(object):$/;" c +_TensorCaches adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^class _TensorCaches(threading.local):$/;" c +_TENSORCONNECTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_TENSORCONNECTION = _descriptor.Descriptor($/;" v +_TENSORCONNECTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_TENSORCONNECTION = _descriptor.Descriptor($/;" v +_TensorConversionFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _TensorConversionFunction(v, dtype=None, name=None, as_ref=False): # pylint: disable=inva/;" m class:RefVariable +_TensorConversionFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _TensorConversionFunction(v, dtype=None, name=None, as_ref=False): # pylint: disable=inva/;" m class:Variable +_TensorConversionFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _TensorConversionFunction(v, dtype=None, name=None, as_ref=False):$/;" m class:PartitionedVariable +_TensorCPU_reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^def _TensorCPU_reshape(self, shape):$/;" f +_TensorCPU_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/_import_c_extension.py /^def _TensorCPU_shape(self):$/;" f +_TensorData adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _TensorData($/;" c +_TENSORDEBUGMODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/debug_event_pb2.py /^_TENSORDEBUGMODE = _descriptor.EnumDescriptor($/;" v +_TENSORDEF adpepsenv/lib/python3.8/site-packages/caffe2/proto/torch_pb2.py /^_TENSORDEF = _descriptor.Descriptor($/;" v +_TENSORDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_description_pb2.py /^_TENSORDESCRIPTION = _descriptor.Descriptor($/;" v +_TENSORDESCRIPTION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_description_pb2.py /^_TENSORDESCRIPTION = _descriptor.Descriptor($/;" v +_TensorDimSizes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^_TensorDimSizes = collections.namedtuple($/;" v +_tensordot adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _tensordot(x, y, axes, backend='numpy'):$/;" f +_tensordot adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _tensordot(a, b):$/;" f function:_slice_helper file: +_tensordot_axes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def _tensordot_axes(a, axes):$/;" f function:tensordot file: +_tensordot_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _tensordot_dispatcher(a, b, axes=None):$/;" f +_tensordot_reshape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def _tensordot_reshape(a, axes, flipped=False):$/;" f function:tensordot file: +_tensorflow adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^from . import tensorflow as _tensorflow$/;" x +_TensorFlowPngEncoder adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^class _TensorFlowPngEncoder(op_evaluator.PersistentOpEvaluator):$/;" c +_TensorFlowWavEncoder adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^class _TensorFlowWavEncoder(op_evaluator.PersistentOpEvaluator):$/;" c +_TENSORFLOW_BASEDIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^_TENSORFLOW_BASEDIR = os.path.dirname($/;" v +_TENSORFLOW_DOC_SOURCES adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/doc_srcs.py /^_TENSORFLOW_DOC_SOURCES = {$/;" v +_tensorflow_output_name adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _tensorflow_output_name(tensor_name, output_index):$/;" f +_TENSORID adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_TENSORID = _descriptor.Descriptor($/;" v +_TENSORINFO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_TENSORINFO = _descriptor.Descriptor($/;" v +_TENSORINFO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_TENSORINFO = _descriptor.Descriptor($/;" v +_TENSORINFO_COMPOSITETENSOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_TENSORINFO_COMPOSITETENSOR = _descriptor.Descriptor($/;" v +_TENSORINFO_COMPOSITETENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_TENSORINFO_COMPOSITETENSOR = _descriptor.Descriptor($/;" v +_TENSORINFO_COOSPARSE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/meta_graph_pb2.py /^_TENSORINFO_COOSPARSE = _descriptor.Descriptor($/;" v +_TENSORINFO_COOSPARSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/meta_graph_pb2.py /^_TENSORINFO_COOSPARSE = _descriptor.Descriptor($/;" v +_tensorinv_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _tensorinv_dispatcher(a, ind=None):$/;" f +_TensorIterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class _TensorIterator(object):$/;" c +_TensorListConcatGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListConcatGrad(op, dtensor, unused_dlengths):$/;" f +_TensorListConcatOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^_TensorListConcatOutput = collections.namedtuple($/;" v +_TensorListConcatV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^_TensorListConcatV2Output = collections.namedtuple($/;" v +_TensorListFromTensorGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListFromTensorGrad(op, dlist):$/;" f +_TensorListGatherGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListGatherGrad(op, dtensor):$/;" f +_TensorListGetItemGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListGetItemGrad(op, ditem):$/;" f +_TensorListPopBackOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_list_ops.py /^_TensorListPopBackOutput = collections.namedtuple($/;" v +_TensorListResizeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListResizeGrad(op, dlist):$/;" f +_TensorListScatterGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListScatterGrad(op, dlist):$/;" f +_TensorListScatterIntoExistingListGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListScatterIntoExistingListGrad(op, dlist):$/;" f +_TensorListSetItemGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListSetItemGrad(op, dlist):$/;" f +_TensorListSplitGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListSplitGrad(op, dlist):$/;" f +_TensorListStackGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/list_ops.py /^def _TensorListStackGrad(unused_op, dtensor):$/;" f +_TENSORMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/snapshot_pb2.py /^_TENSORMETADATA = _descriptor.Descriptor($/;" v +_TensorOrTensors adpepsenv/lib/python3.8/site-packages/torch/types.py /^_TensorOrTensors = Union[torch.Tensor, Sequence[torch.Tensor]]$/;" v +_tensorpipe_construct_rpc_backend_options_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _tensorpipe_construct_rpc_backend_options_handler($/;" f +_tensorpipe_init_backend_handler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/backend_registry.py /^def _tensorpipe_init_backend_handler(store, name, rank, world_size, rpc_backend_options):$/;" f +_TENSORPOINT adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^_TENSORPOINT = _descriptor.Descriptor($/;" v +_TensorProcessor adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^class _TensorProcessor(_OptimizableVariable):$/;" c +_TENSORPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORPROTO = _descriptor.Descriptor($/;" v +_TENSORPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^_TENSORPROTO = _descriptor.Descriptor($/;" v +_TENSORPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^_TENSORPROTO = _descriptor.Descriptor($/;" v +_TENSORPROTOS adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORPROTOS = _descriptor.Descriptor($/;" v +_TENSORPROTO_DATATYPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORPROTO_DATATYPE = _descriptor.EnumDescriptor($/;" v +_TENSORPROTO_SEGMENT adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORPROTO_SEGMENT = _descriptor.Descriptor($/;" v +_TENSORPROTO_STORAGETYPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORPROTO_STORAGETYPE = _descriptor.EnumDescriptor($/;" v +_TensorScatterAddGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterAddGrad(op, grad):$/;" f +_TensorScatterMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterMaxGrad(op, grad):$/;" f +_TensorScatterMinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterMinGrad(op, grad):$/;" f +_TensorScatterMinOrMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterMinOrMaxGrad(op, grad):$/;" f +_TensorScatterSubGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterSubGrad(op, grad):$/;" f +_TensorScatterUpdateGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorScatterUpdateGrad(op, grad):$/;" f +_TENSORSHAPE adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORSHAPE = _descriptor.Descriptor($/;" v +_TensorShapeCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _TensorShapeCodec(object):$/;" c +_TENSORSHAPEPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^_TENSORSHAPEPROTO = _descriptor.Descriptor($/;" v +_TENSORSHAPEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^_TENSORSHAPEPROTO = _descriptor.Descriptor($/;" v +_TENSORSHAPEPROTO_DIM adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_shape_pb2.py /^_TENSORSHAPEPROTO_DIM = _descriptor.Descriptor($/;" v +_TENSORSHAPEPROTO_DIM adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_shape_pb2.py /^_TENSORSHAPEPROTO_DIM = _descriptor.Descriptor($/;" v +_TENSORSHAPES adpepsenv/lib/python3.8/site-packages/caffe2/proto/caffe2_pb2.py /^_TENSORSHAPES = _descriptor.Descriptor($/;" v +_TENSORSHAPE_V2_OVERRIDE adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^_TENSORSHAPE_V2_OVERRIDE = None$/;" v +_TENSORSLICEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^_TENSORSLICEPROTO = _descriptor.Descriptor($/;" v +_TENSORSLICEPROTO_EXTENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_slice_pb2.py /^_TENSORSLICEPROTO_EXTENT = _descriptor.Descriptor($/;" v +_tensorsolve_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _tensorsolve_dispatcher(a, b, axes=None):$/;" f +_TensorSpecCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _TensorSpecCodec(object):$/;" c +_TENSORSPECPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_TENSORSPECPROTO = _descriptor.Descriptor($/;" v +_TENSORSPECPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_TENSORSPECPROTO = _descriptor.Descriptor($/;" v +_TensorStridedSliceUpdateGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TensorStridedSliceUpdateGrad(op, grad): # pylint:disable=missing-function-docstring$/;" f +_TensorStructure adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _TensorStructure(dtype, shape):$/;" f +_tensors_in_key_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_getitem.py /^def _tensors_in_key_list(key_list):$/;" f +_TENSORTAG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/tensor_pb2.py /^_TENSORTAG = _descriptor.Descriptor($/;" v +_TENSORTRACERREPORT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_TENSORTRACERREPORT = _descriptor.Descriptor($/;" v +_TENSORTRACERREPORT_TENSORDEFENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_TENSORTRACERREPORT_TENSORDEFENTRY = _descriptor.Descriptor($/;" v +_TENSORTRACERREPORT_TENSORTRACERCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_TENSORTRACERREPORT_TENSORTRACERCONFIG = _descriptor.Descriptor($/;" v +_TENSORTRACERREPORT_TRACEDTENSORDEF adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_pb2.py /^_TENSORTRACERREPORT_TRACEDTENSORDEF = _descriptor.Descriptor($/;" v +_TensorTracker adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^class _TensorTracker(object):$/;" c +_TensorTypeCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _TensorTypeCodec(object):$/;" c +_tensor_and_indices adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def _tensor_and_indices(min_dim=1, max_dim=4, dtype=np.float32,$/;" f +_tensor_and_prefix adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def _tensor_and_prefix(draw, dtype, elements, min_dim=1, max_dim=4, **kwargs):$/;" f +_tensor_array_to_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _tensor_array_to_flow(loop_vars):$/;" f +_tensor_caches_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^_tensor_caches_map = {}$/;" v +_TENSOR_CACHE_CAPACITY adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^_TENSOR_CACHE_CAPACITY = 1$/;" v +_tensor_classes adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^_tensor_classes: Set[Type] = set()$/;" v +_tensor_comes_from_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def _tensor_comes_from_variable(v):$/;" f +_TENSOR_CONTENT_TYPES adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^_TENSOR_CONTENT_TYPES = frozenset($/;" v +_TENSOR_CONTENT_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_TENSOR_CONTENT_TYPES = frozenset([$/;" v +_tensor_conversion_aggregate adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^def _tensor_conversion_aggregate(var, dtype=None, name=None, as_ref=False):$/;" f +_tensor_conversion_distributed_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^def _tensor_conversion_distributed_var(var, dtype=None, name=None,$/;" f +_tensor_conversion_func_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^_tensor_conversion_func_cache = {}$/;" v +_tensor_conversion_func_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^_tensor_conversion_func_lock = threading.Lock()$/;" v +_tensor_conversion_func_registry adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^_tensor_conversion_func_registry = collections.defaultdict(list)$/;" v +_tensor_conversion_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^def _tensor_conversion_mirrored(var, dtype=None, name=None, as_ref=False):$/;" f +_tensor_conversion_mirrored_val adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^def _tensor_conversion_mirrored_val(value, dtype=None, name=None, as_ref=False):$/;" f +_tensor_conversion_packed_var_and_device adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^def _tensor_conversion_packed_var_and_device(var,$/;" f +_tensor_conversion_sync_on_read adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^def _tensor_conversion_sync_on_read(var, dtype=None, name=None, as_ref=False):$/;" f +_TENSOR_CORE_MASK_KEY_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^_TENSOR_CORE_MASK_KEY_SUFFIX = '__TENSOR_CORE_MASK'$/;" v +_tensor_dict_to_tensorinfo adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _tensor_dict_to_tensorinfo(tensor_dict):$/;" f +_tensor_equality_api_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_tensor_equality_api_usage_gauge = monitoring.BoolGauge($/;" v +_tensor_getitem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _tensor_getitem(self, key):$/;" m class:StructuredTensor +_tensor_list_column_heads adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def _tensor_list_column_heads(self, parsed, max_timestamp_width,$/;" m class:DebugAnalyzer +_tensor_list_t adpepsenv/lib/python3.8/site-packages/torch/nn/common_types.py /^_tensor_list_t = _scalar_or_tuple_any_t[Tensor]$/;" v +_tensor_lookup adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def _tensor_lookup(self, inputs):$/;" m class:TableHandler +_tensor_name_base adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^def _tensor_name_base(full_tensor_name):$/;" f +_TENSOR_NAME_COLUMN_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ _TENSOR_NAME_COLUMN_HEAD = "Tensor name"$/;" v class:DebugAnalyzer +_tensor_or_tensors adpepsenv/lib/python3.8/site-packages/torch/nn/utils/clip_grad.py /^_tensor_or_tensors = Union[torch.Tensor, Iterable[torch.Tensor]]$/;" v +_tensor_or_tensors_to_tuple adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^def _tensor_or_tensors_to_tuple(tensors: Optional[_TensorOrTensors], length: int) -> Tuple[_Opti/;" f +_TENSOR_PACKER_CONCATENATED_SMALL_FEATURES_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TENSOR_PACKER_CONCATENATED_SMALL_FEATURES_KEY = '_concatenated_small_features'$/;" v +_TENSOR_PACKER_MINIMUM_NUM_SMALL_FEATURES_TO_GROUP adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TENSOR_PACKER_MINIMUM_NUM_SMALL_FEATURES_TO_GROUP = 5$/;" v +_TENSOR_PACKER_SMALL_FEATURE_DIM_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TENSOR_PACKER_SMALL_FEATURE_DIM_SIZE = 1$/;" v +_tensor_rank_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _tensor_rank_tensor(self, shape=None):$/;" m class:LinearOperator +_tensor_receiver adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def _tensor_receiver(cls, tensor_index):$/;" m class:_InternalRPCPickler +_tensor_reducer adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def _tensor_reducer(self, tensor):$/;" m class:_InternalRPCPickler +_TENSOR_RESERVOIR_KEY adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^_TENSOR_RESERVOIR_KEY = "." # arbitrary$/;" v +_tensor_shape_tensor_conversion_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/constant_op.py /^def _tensor_shape_tensor_conversion_function(s,$/;" f +_tensor_size adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^def _tensor_size(tensor_proto):$/;" f +_tensor_splits adpepsenv/lib/python3.8/site-packages/caffe2/python/functional_test.py /^def _tensor_splits(draw, add_axis=False):$/;" f +_tensor_splits adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/concat_split_op_test.py /^def _tensor_splits(draw, add_axis=False):$/;" f +_tensor_splits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/concat_split_op_test.py /^def _tensor_splits(draw, add_axis=False):$/;" f +_tensor_splits adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/gather_ranges_op_test.py /^def _tensor_splits(draw):$/;" f +_tensor_str adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _tensor_str(self, indent):$/;" f +_tensor_str_with_formatter adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _tensor_str_with_formatter(self, indent, summarize, formatter1, formatter2=None):$/;" f +_tensor_to_argdef adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_to_function_def.py /^def _tensor_to_argdef(t, name=None, used_names=None):$/;" f +_tensor_to_grad_debug_op_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^def _tensor_to_grad_debug_op_name(tensor, grad_debugger_uuid):$/;" f +_tensor_to_ndarray adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def _tensor_to_ndarray(x):$/;" f member:GradientTape.gradient file: +_tensor_to_object adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _tensor_to_object(tensor, tensor_size):$/;" f +_Tensor_to_torch adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Tensor_to_torch(tensor):$/;" f +_TENSOR_TRACER_COLLECTION adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TENSOR_TRACER_COLLECTION = 'tensor_tracer_variables'$/;" v +_TENSOR_TRACER_STORAGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TENSOR_TRACER_STORAGE = 'tensor_tracer_storage'$/;" v +_tensor_util adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.framework import tensor_util as _tensor_util$/;" x +_tensor_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^from tensorflow.python.framework import tensor_util as _tensor_util$/;" x +_TERMINATEREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_TERMINATEREQUEST = _descriptor.Descriptor($/;" v +_TERMINATERESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_TERMINATERESPONSE = _descriptor.Descriptor($/;" v +_terminate_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def _terminate_all(self, sig=None):$/;" m class:MultiProcessRunner +_terrain_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_terrain_data = ($/;" v +_terrible_magic_get_defining_classes adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def _terrible_magic_get_defining_classes(self):$/;" m class:parameterized +_test adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/segment_ops_test.py /^ def _test(self, prefix, input_strategy, refs, gpu=False, **kwargs):$/;" m class:TesterBase +_test adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def _test(v):$/;" f member:_TestNormGeneral.test_vector file: +_test adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def _test(x, xr):$/;" f member:_TestIRFFTBase.test_definition file: +_test adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def _test(x, xr):$/;" f member:_TestIRFFTBase.test_definition file: +_test adpepsenv/lib/python3.8/site-packages/scipy/io/arff/tests/test_arffread.py /^ def _test(self, test_file):$/;" m class:TestData +_Test adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _Test(self):$/;" f function:_GetTest file: +_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/test.py /^from tensorflow.python.platform import test as _test$/;" x +_testAll adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _testAll(self, np_inputs, np_bias):$/;" m class:BiasAddTestBase +_TESTANY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTANY = _descriptor.Descriptor($/;" v +_TestArithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestArithmetic(object):$/;" c +_TESTBASE64BYTEARRAYS adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTBASE64BYTEARRAYS = _descriptor.Descriptor($/;" v +_testBias adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _testBias(self, np_inputs, np_bias, use_gpu=False):$/;" m class:BiasAddTestBase +_testBiasNCHW adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _testBiasNCHW(self, np_inputs, np_bias, use_gpu):$/;" m class:BiasAddTestBase +_TESTBOOLMAP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTBOOLMAP = _descriptor.Descriptor($/;" v +_TESTBOOLMAP_BOOLMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTBOOLMAP_BOOLMAPENTRY = _descriptor.Descriptor($/;" v +_TESTBOOLVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTBOOLVALUE = _descriptor.Descriptor($/;" v +_TESTBOOLVALUE_BOOLMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTBOOLVALUE_BOOLMAPENTRY = _descriptor.Descriptor($/;" v +_TESTCAMELCASE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTCAMELCASE = _descriptor.Descriptor($/;" v +_TestCaseResult adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^class _TestCaseResult(object):$/;" c +_TestCommon adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestCommon(object):$/;" c +_TestConvolve adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class _TestConvolve(object):$/;" c +_TestConvolve2d adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class _TestConvolve2d(object):$/;" c +_TestCookieHeaders adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class _TestCookieHeaders(object):$/;" c +_TestCookieJar adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class _TestCookieJar(CookieJar):$/;" c +_TestCookieResponse adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^class _TestCookieResponse(object):$/;" c +_TESTCUSTOMJSONNAME adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTCUSTOMJSONNAME = _descriptor.Descriptor($/;" v +_TestDCTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDCTBase(object):$/;" c +_TestDCTIBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDCTIBase(_TestDCTBase):$/;" c +_TestDCTIIBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDCTIIBase(_TestDCTBase):$/;" c +_TestDCTIIIBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDCTIIIBase(_TestDCTBase):$/;" c +_TestDCTIVBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDCTIVBase(_TestDCTBase):$/;" c +_testDeviceScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _testDeviceScope(self, distribution):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_TestDSTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDSTBase(object):$/;" c +_TestDSTIBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDSTIBase(_TestDSTBase):$/;" c +_TestDSTIVBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestDSTIVBase(_TestDSTBase):$/;" c +_TESTDURATION adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTDURATION = _descriptor.Descriptor($/;" v +_tested_keys adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^_tested_keys = ['natural unit of velocity',$/;" v +_TESTENUMVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTENUMVALUE = _descriptor.Descriptor($/;" v +_TestException adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^class _TestException(Exception):$/;" c +_TESTEXTENSION adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTEXTENSION = _descriptor.Descriptor($/;" v +_TESTEXTENSIONS adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTEXTENSIONS = _descriptor.Descriptor($/;" v +_TestFancyIndexing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestFancyIndexing(object):$/;" c +_TestFancyIndexingAssign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestFancyIndexingAssign(object):$/;" c +_TestFancyMultidim adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestFancyMultidim(object):$/;" c +_TestFancyMultidimAssign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestFancyMultidimAssign(object):$/;" c +_TestFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class _TestFFTBase(object):$/;" c +_TestFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class _TestFFTBase(object):$/;" c +_TESTFIELDMASK adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTFIELDMASK = _descriptor.Descriptor($/;" v +_TESTFLAGSANDSTRINGS adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTFLAGSANDSTRINGS = _descriptor.Descriptor($/;" v +_TESTFLAGSANDSTRINGS_REPEATEDGROUP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTFLAGSANDSTRINGS_REPEATEDGROUP = _descriptor.Descriptor($/;" v +_testFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testFromCheckpoint(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromCheckpoint adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testFromCheckpoint(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testFromCheckpointFloatLabels(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromCheckpointFloatLabels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testFromCheckpointFloatLabels(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testFromCheckpointMultiBatch(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromCheckpointMultiBatch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testFromCheckpointMultiBatch(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testFromScratch(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromScratch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testFromScratch(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testFromScratchWithDefaultOptimizer(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testFromScratchWithDefaultOptimizer adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testFromScratchWithDefaultOptimizer(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_TestGetNnzAxis adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestGetNnzAxis(object):$/;" c +_TestGetSet adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestGetSet(object):$/;" c +_testGradient adpepsenv/lib/python3.8/site-packages/tensorflow/python/kernel_tests/bias_op_base.py /^ def _testGradient(self, np_input, bias, dtype, data_format, use_gpu):$/;" m class:BiasAddTestBase +_TestIDCTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestIDCTBase(object):$/;" c +_TestIDSTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_real_transforms.py /^class _TestIDSTBase(object):$/;" c +_TestIFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class _TestIFFTBase(object):$/;" c +_TestIFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class _TestIFFTBase(object):$/;" c +_TestImpulseFuncs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class _TestImpulseFuncs(object):$/;" c +_TestInplaceArithmetic adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestInplaceArithmetic(object):$/;" c +_TestIRFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class _TestIRFFTBase(object):$/;" c +_TestIRFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class _TestIRFFTBase(object):$/;" c +_TESTJAVASCRIPTJSON adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTJAVASCRIPTJSON = _descriptor.Descriptor($/;" v +_TESTJAVASCRIPTORDERJSON1 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTJAVASCRIPTORDERJSON1 = _descriptor.Descriptor($/;" v +_TESTJAVASCRIPTORDERJSON2 adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTJAVASCRIPTORDERJSON2 = _descriptor.Descriptor($/;" v +_testLabelSmooth adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _testLabelSmooth(self, categories, binary_prob_label, bsz):$/;" m class:TestLayers +_TESTLARGEINT adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTLARGEINT = _descriptor.Descriptor($/;" v +_TestLinearFilter adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^class _TestLinearFilter(object):$/;" c +_TESTLISTVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTLISTVALUE = _descriptor.Descriptor($/;" v +_testMakeInputFnIteratorWithCallable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _testMakeInputFnIteratorWithCallable(self, distribution):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_testMakeInputFnIteratorWithDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _testMakeInputFnIteratorWithDataset(self, distribution):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_TESTMAP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP = _descriptor.Descriptor($/;" v +_TESTMAP_BOOLMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_BOOLMAPENTRY = _descriptor.Descriptor($/;" v +_TESTMAP_INT32MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_INT32MAPENTRY = _descriptor.Descriptor($/;" v +_TESTMAP_INT64MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_INT64MAPENTRY = _descriptor.Descriptor($/;" v +_TESTMAP_STRINGMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_STRINGMAPENTRY = _descriptor.Descriptor($/;" v +_TESTMAP_UINT32MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_UINT32MAPENTRY = _descriptor.Descriptor($/;" v +_TESTMAP_UINT64MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMAP_UINT64MAPENTRY = _descriptor.Descriptor($/;" v +_TESTMESSAGE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTMESSAGE = _descriptor.Descriptor($/;" v +_TESTMESSAGEWITHEXTENSION adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTMESSAGEWITHEXTENSION = _descriptor.Descriptor($/;" v +_testMiniAlexNet adpepsenv/lib/python3.8/site-packages/caffe2/python/model_device_test.py /^ def _testMiniAlexNet(self, order):$/;" m class:TestMiniAlexNet +_testMinimizeLoss adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _testMinimizeLoss(self, distribution):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_TestMinMax adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestMinMax(object):$/;" c +_TESTNESTEDMAP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_BOOLMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_BOOLMAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_INT32MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_INT32MAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_INT64MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_INT64MAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_MAPMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_MAPMAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_STRINGMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_STRINGMAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_UINT32MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_UINT32MAPENTRY = _descriptor.Descriptor($/;" v +_TESTNESTEDMAP_UINT64MAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTNESTEDMAP_UINT64MAPENTRY = _descriptor.Descriptor($/;" v +_TestNorm adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNorm(_TestNorm2D, _TestNormGeneral):$/;" c +_TestNorm2D adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNorm2D(_TestNormBase):$/;" c +_TestNorm2DMatrix adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^class _TestNorm2DMatrix(_TestNorm2D):$/;" c +_TestNormBase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNormBase:$/;" c +_TestNormDoubleBase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNormDoubleBase(_TestNormBase):$/;" c +_TestNormGeneral adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNormGeneral(_TestNormBase):$/;" c +_TestNormInt64Base adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNormInt64Base(_TestNormBase):$/;" c +_TestNormSingleBase adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^class _TestNormSingleBase(_TestNormBase):$/;" c +_TESTNUMBERS adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTNUMBERS = _descriptor.Descriptor($/;" v +_TESTNUMBERS_MYTYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTNUMBERS_MYTYPE = _descriptor.EnumDescriptor($/;" v +_testNumReplicasInSync adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _testNumReplicasInSync(self, distribution):$/;" m class:RemoteSingleWorkerMirroredStrategyBase +_testOne adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _testOne(self, x, alternative, expected_statistic, expected_prob, mode='auto', decimal=1/;" m class:TestKSOneSample +_testOne adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _testOne(self, x, alternative, expected_statistic, expected_prob, mode='auto', decimal=1/;" m class:TestKSTest +_testOne adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _testOne(self, x1, x2, alternative, expected_statistic, expected_prob, mode='auto'):$/;" m class:TestKSTwoSamples +_TESTONEOF adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTONEOF = _descriptor.Descriptor($/;" v +_testPredictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testPredictions(self, n_classes, label_vocabulary, label_output_fn):$/;" m class:BaseLinearClassifierPredictTest +_testPredictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testPredictions(self, n_classes, label_vocabulary, label_output_fn):$/;" m class:BaseLinearClassifierPredictTest +_TestQR adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_matrix_linalg.py /^ LinalgCase, apply_tag, TestQR as _TestQR, LinalgTestCase,$/;" x +_TESTRECURSION adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTRECURSION = _descriptor.Descriptor($/;" v +_TestResult adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^_TestResult = collections.namedtuple("_TestResult", ["status", "message"])$/;" v +_TESTRESULTS adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_TESTRESULTS = _descriptor.Descriptor($/;" v +_TESTRESULTS_BENCHMARKTYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/test_log_pb2.py /^_TESTRESULTS_BENCHMARKTYPE = _descriptor.EnumDescriptor($/;" v +_TestRFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^class _TestRFFTBase(object):$/;" c +_TestRFFTBase adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^class _TestRFFTBase(object):$/;" c +_TestSlicing adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestSlicing(object):$/;" c +_TestSlicingAssign adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestSlicingAssign(object):$/;" c +_TestSolve adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^class _TestSolve(object):$/;" c +_TestStepFuncs adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_ltisys.py /^class _TestStepFuncs(object):$/;" c +_TESTSTRINGMAP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTSTRINGMAP = _descriptor.Descriptor($/;" v +_TESTSTRINGMAP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTSTRINGMAP = _descriptor.Descriptor($/;" v +_TESTSTRINGMAP_STRINGMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTSTRINGMAP_STRINGMAPENTRY = _descriptor.Descriptor($/;" v +_TESTSTRINGMAP_STRINGMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTSTRINGMAP_STRINGMAPENTRY = _descriptor.Descriptor($/;" v +_TestStringOutputOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_TestStringOutputOutput = collections.namedtuple($/;" v +_TESTSTRINGSERIALIZER adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTSTRINGSERIALIZER = _descriptor.Descriptor($/;" v +_TESTSTRINGSERIALIZER_STRINGMAPENTRY adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_pb2.py /^_TESTSTRINGSERIALIZER_STRINGMAPENTRY = _descriptor.Descriptor($/;" v +_TESTSTRUCT adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTSTRUCT = _descriptor.Descriptor($/;" v +_TestSuiteResult adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^class _TestSuiteResult(object):$/;" c +_testsuites_properties adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _testsuites_properties = {}$/;" v class:TextAndXMLTestRunner +_TESTTIMESTAMP adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTTIMESTAMP = _descriptor.Descriptor($/;" v +_testTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testTrainWithOneDimLabel(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithOneDimLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testTrainWithOneDimLabel(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testTrainWithOneDimWeight(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithOneDimWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testTrainWithOneDimWeight(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testTrainWithTwoDimsLabel(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithTwoDimsLabel adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testTrainWithTwoDimsLabel(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _testTrainWithTwoDimsWeight(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_testTrainWithTwoDimsWeight adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _testTrainWithTwoDimsWeight(self, n_classes):$/;" m class:BaseLinearClassifierTrainingTest +_TESTVALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTVALUE = _descriptor.Descriptor($/;" v +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:AbstractConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ComponentAbsentConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ComponentPresentConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ConstraintsExclusion +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ConstraintsIntersection +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ConstraintsUnion +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ContainedSubtypeConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:InnerTypeConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:PermittedAlphabetConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:SingleValueConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ValueRangeConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:ValueSizeConstraint +_testValue adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def _testValue(self, value, idx):$/;" m class:WithComponentsConstraint +_TESTWRAPPER adpepsenv/lib/python3.8/site-packages/google/protobuf/util/json_format_proto3_pb2.py /^_TESTWRAPPER = _descriptor.Descriptor($/;" v +_test_abs_func adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def _test_abs_func(self, absfunc):$/;" m class:TestAbs +_test_add_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_add_to_tensor(use_placeholder, shapes_info, dtype):$/;" f +_test_adjoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_adjoint(use_placeholder, shapes_info, dtype):$/;" f +_test_allgather adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_allgather(self,$/;" m class:TestCase +_test_allreduce adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_allreduce(self,$/;" m class:TestCase +_test_allreduce_multicw adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_allreduce_multicw(self,$/;" m class:TestCase +_test_all_gather_coalesced_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_gather_coalesced_helper($/;" m class:DistributedTest._DistTestBase +_test_all_gather_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_gather_helper($/;" m class:DistributedTest._DistTestBase +_test_all_gather_multigpu_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_gather_multigpu_helper(self, group, group_id, rank, rank_to_GPU):$/;" m class:DistributedTest._DistTestBase +_test_all_reduce_coalesced_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_reduce_coalesced_helper($/;" m class:DistributedTest._DistTestBase +_test_all_reduce_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_reduce_helper($/;" m class:DistributedTest._DistTestBase +_test_all_reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_mean adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_all_reduce_mean_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean_gradients(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_mean_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean_gradients(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_all_reduce_mean_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean_gradient_tape(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_mean_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_mean_gradient_tape(self, strategy,$/;" m class:TwoDeviceDistributionTestBase +_test_all_reduce_multigpu_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_reduce_multigpu_helper($/;" m class:DistributedTest._DistTestBase +_test_all_reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_sum adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_all_reduce_sum_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum_gradients(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_sum_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum_gradients(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_all_reduce_sum_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum_gradient_tape(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_all_reduce_sum_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_all_reduce_sum_gradient_tape(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_all_to_all_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_to_all_helper(self, group, group_id, rank):$/;" m class:DistributedTest._DistTestBase +_test_all_to_all_single_equal_split_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_to_all_single_equal_split_helper($/;" m class:DistributedTest._DistTestBase +_test_all_to_all_single_unequal_split_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_all_to_all_single_unequal_split_helper($/;" m class:DistributedTest._DistTestBase +_test_args adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def _test_args(self, a, b):$/;" m class:MaratosTestArgs +_test_args adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def _test_args(self):$/;" m class:test +_test_argv adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def _test_argv(self, label, verbose, extra_argv):$/;" m class:NoseTester +_test_async_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_async_function(self, fn, mode=RPCExecMode.SYNC):$/;" m class:RpcTest +_test_async_function_multi adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_async_function_multi(self, fn, mode=RPCExecMode.SYNC):$/;" m class:RpcTest +_test_async_function_raise adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_async_function_raise(self, mode):$/;" m class:RpcTest +_test_async_function_wrong_return_type adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_async_function_wrong_return_type(self, mode):$/;" m class:RpcTest +_test_b8 adpepsenv/lib/python3.8/site-packages/h5py/tests/test_dtype.py /^ def _test_b8(self, arr1, dtype=None):$/;" m class:TestB8 +_test_backward_pass adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _test_backward_pass(x, devices, device_type, scale, tolerance):$/;" f member:DataParallelModelTest._bn_check_op_level file: +_test_backward_rref adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_backward_rref(self, callee, rref_owner):$/;" m class:DistAutogradTest +_test_backward_simple adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_backward_simple(self, dst):$/;" m class:DistAutogradTest +_test_barrier adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_barrier($/;" m class:TestCase +_test_barrier_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_barrier_helper($/;" m class:DistributedTest._DistTestBase +_test_barrier_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_barrier_timeout(self, group_id, timeout):$/;" m class:DistributedTest._DistTestBase +_test_base adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_deprecations.py /^ def _test_base(self, argsort, cls):$/;" m class:TestArgsort +_test_batch_matmul_with_broadcast_common adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/matmul_op_test.py /^ def _test_batch_matmul_with_broadcast_common($/;" m class:TestBatchMatMul +_test_binary adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def _test_binary(name, ref, filter_=None, gcs=hu.gcs,$/;" f +_test_binary_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/python/hypothesis_test.py /^def _test_binary_broadcast(name, ref, filter_=None,$/;" f +_test_binary_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def _test_binary_op($/;" m class:TestElementwiseOps +_test_binary_op_in_place adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def _test_binary_op_in_place($/;" m class:TestElementwiseOps +_test_bitwise_binary_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_ops_test.py /^ def _test_bitwise_binary_op(self, op_name, np_ref, n, m, k, t, gc, dc):$/;" m class:TestElementwiseOps +_test_bmuf_distributed adpepsenv/lib/python3.8/site-packages/caffe2/python/parallelize_bmuf_distributed_test.py /^ def _test_bmuf_distributed(self, cpu_device=False, nesterov=False):$/;" m class:DistributedTest +_test_broadcast adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_broadcast(self,$/;" m class:TestCase +_test_broadcast_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_broadcast_helper($/;" m class:DistributedTest._DistTestBase +_test_broadcast_multigpu_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_broadcast_multigpu_helper(self, group, group_id, rank, rank_to_GPU):$/;" m class:DistributedTest._DistTestBase +_test_call_and_merge_exceptions adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_call_and_merge_exceptions(self, dist):$/;" m class:DistributionTestBase +_TEST_CASE_RESULT_CLASS adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _TEST_CASE_RESULT_CLASS = _TestCaseResult$/;" v class:_TextAndXMLTestResult +_test_cast_from_flexible adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _test_cast_from_flexible(self, dtype):$/;" m class:TestBool +_test_ccallback_cython adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_ccallback.py /^from scipy._lib import _ccallback_c as _test_ccallback_cython$/;" x +_test_cholesky adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_cholesky(use_placeholder, shapes_info, dtype):$/;" f +_test_clean_context_backward_context_id adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ _test_clean_context_backward_context_id = None$/;" v class:DistAutogradTest +_test_close_connection adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_close_connection($/;" m class:TestCase +_test_collective_comms adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms(self, strategy, comm_fn, inputs, expected):$/;" m class:OneDeviceDistributionTestBase +_test_collective_comms adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms(self, strategy, comm_fn, inputs, expected,$/;" m class:TwoDeviceDistributionTestBase +_test_collective_comms_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms_gradients(self, strategy, comm_fn, inputs,$/;" m class:OneDeviceDistributionTestBase +_test_collective_comms_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms_gradients(self, strategy, comm_fn, inputs,$/;" m class:TwoDeviceDistributionTestBase +_test_collective_comms_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms_gradient_tape(self, strategy, comm_fn, inputs,$/;" m class:OneDeviceDistributionTestBase +_test_collective_comms_gradient_tape adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_collective_comms_gradient_tape(self, strategy, comm_fn, inputs,$/;" m class:TwoDeviceDistributionTestBase +_test_common adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def _test_common(self, data):$/;" m class:TestLU +_test_complete_flow adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_complete_flow(self, n_classes, train_input_fn, eval_input_fn,$/;" m class:BaseLinearClassifierIntegrationTest +_test_complete_flow adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_complete_flow(self, train_input_fn, eval_input_fn, predict_input_fn,$/;" m class:BaseLinearRegressorIntegrationTest +_test_complete_flow adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_complete_flow(self, n_classes, train_input_fn, eval_input_fn,$/;" m class:BaseLinearClassifierIntegrationTest +_test_complete_flow adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_complete_flow(self, train_input_fn, eval_input_fn, predict_input_fn,$/;" m class:BaseLinearRegressorIntegrationTest +_test_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_cond(use_placeholder, shapes_info, dtype):$/;" f +_Test_count_neighbors adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_count_neighbors(count_neighbors_consistency):$/;" c +_test_create_blobs_queue_db adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/blobs_queue_db_test.py /^ def _test_create_blobs_queue_db(self, add_blobs_fun):$/;" m class:BlobsQueueDBTest +_test_create_blobs_queue_db adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/blobs_queue_db_test.py /^ def _test_create_blobs_queue_db(self, add_blobs_fun):$/;" m class:BlobsQueueDBTest +_test_date2num_dst adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def _test_date2num_dst(date_range, tz_convert):$/;" f +_test_DDP_5iter adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_DDP_5iter($/;" m class:DistributedTest._DistTestBase +_test_DDP_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_DDP_helper(self, model, input_var, target, loss, scale_factor=1.0):$/;" m class:DistributedTest._DistTestBase +_test_det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_det(use_placeholder, shapes_info, dtype):$/;" f +_test_device_and_input_device_are_colocated adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_device_and_input_device_are_colocated(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_device_and_input_device_are_colocated_with_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_device_and_input_device_are_colocated_with_function(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_diag_part adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_diag_part(use_placeholder, shapes_info, dtype):$/;" f +_test_DistributedDataParallel adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_DistributedDataParallel(self, gpu_subset, rank, output_device=None, gradient_a/;" m class:DistributedTest._DistTestBase +_test_DistributedDataParallelCPU adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_DistributedDataParallelCPU(self, gradient_as_bucket_view=False):$/;" m class:DistributedTest._DistTestBase +_test_DistributedDataParallel_SyncBatchNorm adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_DistributedDataParallel_SyncBatchNorm(self, gpu_subset, rank, local_bs, global/;" m class:DistributedTest._DistTestBase +_test_dnnlowp_nd_int adpepsenv/lib/python3.8/site-packages/caffe2/quantization/server/conv_dnnlowp_op_test.py /^ def _test_dnnlowp_nd_int($/;" m class:DNNLowPOpConvTest +_test_eigvalsh adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_eigvalsh(use_placeholder, shapes_info, dtype):$/;" f +_test_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def _test_equal(self, a, b):$/;" m class:_GenericTest +_test_equal adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def _test_equal(self, format, ref):$/;" m class:TestFortranFormatParser +_test_equiv_sparse adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _test_equiv_sparse(self, cpu_indices):$/;" m class:SparseDataParallelModelTest +_test_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_evaluation_batch(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_evaluation_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_evaluation_batch(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_evaluation_for_simple_data(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_evaluation_for_simple_data adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_evaluation_for_simple_data(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_evaluation_weights(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_evaluation_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_evaluation_weights(self, n_classes):$/;" m class:BaseLinearClassifierEvaluationTest +_test_factory adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def _test_factory(case, dec):$/;" f function:test_solve_continuous_are file: +_test_factory adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def _test_factory(case, dec):$/;" f function:test_solve_discrete_are file: +_test_factory adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def _test_factory(case, dec):$/;" f function:test_solve_generalized_continuous_are file: +_test_factory adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_solvers.py /^ def _test_factory(case, dec):$/;" f function:test_solve_generalized_discrete_are file: +_test_factory adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_data.py /^def _test_factory(test, dtype=np.double):$/;" f +_test_forward_pass adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _test_forward_pass(x, devices, device_type, scale, bias, epsilon):$/;" f member:DataParallelModelTest._bn_check_op_level file: +_test_func2d adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^def _test_func2d(x):$/;" f +_test_func2d_nograd adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^def _test_func2d_nograd(x):$/;" f +_test_function adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^def _test_function(fn, device):$/;" f +_test_functional_model_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_functional_model_type(f, test_or_class, *args, **kwargs):$/;" f +_test_future_cb adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_future_cb(self, func):$/;" m class:RpcTest +_test_fwd_bwd adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/prepend_dim_test.py /^ def _test_fwd_bwd(self):$/;" m class:TestPrependDim +_test_gather_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_gather_helper(self, group, group_id, rank):$/;" m class:DistributedTest._DistTestBase +_test_gcd_inner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def _test_gcd_inner(self, dtype):$/;" m class:TestRationalFunctions +_test_gelu_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_gelu_op(self, X, fast_gelu, device):$/;" m class:TorchIntegration +_test_global_step_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_global_step_update(self, strategy):$/;" m class:DistributionTestBase +_test_grad_only_on_return_value adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_grad_only_on_return_value(self, exec_mode):$/;" m class:DistAutogradTest +_test_graph adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_graph(self, fn, exec_mode):$/;" m class:DistAutogradTest +_test_graph_for_py_nested_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_graph_for_py_nested_call(self, exec_mode):$/;" m class:DistAutogradTest +_test_graph_for_py_nested_call_itself adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_graph_for_py_nested_call_itself(self, exec_mode):$/;" m class:DistAutogradTest +_test_group_override_backend adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_group_override_backend(self, initializer):$/;" m class:DistributedTest._DistTestBase +_test_h5_saved_model_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_h5_saved_model_format(f, test_or_class, *args, **kwargs):$/;" f +_test_histogram adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/histogram_test.py /^ def _test_histogram(self, tensors, bin_edges):$/;" m class:TestHistogram +_test_hyperbolic_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/hyperbolic_ops_test.py /^ def _test_hyperbolic_op(self, op_name, np_ref, X, in_place, engine, gc, dc):$/;" m class:TestHyperbolicOps +_test_if adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^def _test_if(x):$/;" f +_test_index adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def _test_index(i):$/;" f member:TestMaskedFields.test_getitem file: +_test_index_ops adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/index_ops_test.py /^ def _test_index_ops(self, entries, dtype, index_create_op):$/;" m class:TestIndexOps +_test_inner_stop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^def _test_inner_stop(x):$/;" f +_test_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_input_fn_from_parse_example(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_input_fn_from_parse_example adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_input_fn_from_parse_example(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_input_fn_iterable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_input_fn_iterable($/;" m class:DistributionTestBase +_test_input_fn_iterator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_input_fn_iterator(self,$/;" m class:DistributionTestBase +_test_invalid adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/tests/test_fortran_format.py /^ def _test_invalid(bad_format):$/;" f member:TestFortranFormatParser.test_wrong_formats file: +_test_inverse adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_inverse(use_placeholder, shapes_info, dtype):$/;" f +_test_io_error adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_io_error($/;" m class:TestCase +_test_kstest_and_ks1samp adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def _test_kstest_and_ks1samp(self, x, alternative, mode='auto', decimal=14):$/;" m class:TestKSTest +_test_lcm_inner adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def _test_lcm_inner(self, dtype):$/;" m class:TestRationalFunctions +_test_lengths_max_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_lengths_max_op(self, device):$/;" m class:TorchIntegration +_test_lengths_mean_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_lengths_mean_op(self, device):$/;" m class:TorchIntegration +_test_lengths_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_lengths_op(self, inputs, ref_op_name, torch_op, device):$/;" m class:TorchIntegration +_test_lengths_sum_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_lengths_sum_op(self, device):$/;" m class:TorchIntegration +_test_limit_reader_init_shared adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _test_limit_reader_init_shared(self, size):$/;" m class:TestReaderWithLimit +_test_limit_reader_shared adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def _test_limit_reader_shared(self, reader_class, size, expected_read_len,$/;" m class:TestReaderWithLimit +_test_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _test_logits(self, mode, hidden_units, logits_dimension, inputs,$/;" m class:BaseDNNModelFnTest +_test_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _test_logits(self,$/;" m class:BaseDNNLogitFnTest +_test_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _test_logits(self, mode, hidden_units, logits_dimension, inputs,$/;" m class:BaseDNNModelFnTest +_test_logits adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _test_logits(self,$/;" m class:BaseDNNLogitFnTest +_test_log_abs_det adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_log_abs_det(use_placeholder, shapes_info, dtype):$/;" f +_test_loop adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^def _test_loop():$/;" f +_test_loop_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/test_util.py /^ def _test_loop_fn(self,$/;" m class:PForTestCase +_test_main_called adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^_test_main_called = False$/;" v +_test_matmul adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_matmul($/;" f +_test_matmul_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_matmul_base($/;" f +_test_matmul_with_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_matmul_with_broadcast($/;" f +_test_matrices adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_norm.py /^ _test_matrices = ($/;" v class:TestVsNumpyNorm +_test_merge_multi_list_or_map_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def _test_merge_multi_list_or_map_feature_tensors_gradient(self, op_name):$/;" m class:TestFeatureMapsOps +_test_merge_single_list_or_map_feature_tensors_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/feature_maps_ops_test.py /^ def _test_merge_single_list_or_map_feature_tensors_gradient(self, op_name):$/;" m class:TestFeatureMapsOps +_TEST_METRICS adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^_TEST_METRICS = {'test_' + name: globals()[name] for name in _METRICS.keys()}$/;" v +_test_minimize_loss_eager adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_minimize_loss_eager(self, d):$/;" m class:DistributionTestBase +_test_minimize_loss_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_minimize_loss_graph(self,$/;" m class:DistributionTestBase +_test_model adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def _test_model(self, model_name, input_shape = (3, 224, 224), normalization_hint = 0):$/;" m class:Test_PT_ONNX_TRT +_test_moments_one_range adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_distributions.py /^ def _test_moments_one_range(self, a, b, expected, decimal_s=7):$/;" m class:TestTruncnorm +_test_mtsame adpepsenv/lib/python3.8/site-packages/numpy/fft/tests/test_pocketfft.py /^ def _test_mtsame(self, func, *args):$/;" m class:TestFFTThreadSafe +_test_mtsame adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/test_numpy.py /^ def _test_mtsame(self, func, *args):$/;" m class:TestFFTThreadSafe +_test_multi_device_bn_net_lvl adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _test_multi_device_bn_net_lvl(self, device_type, seed, batch_size):$/;" m class:DataParallelModelTest +_test_multi_dim_with_3_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _test_multi_dim_with_3_classes(self, label_vocabulary, label_output_fn):$/;" m class:BaseDNNClassifierPredictTest +_test_multi_dim_with_3_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _test_multi_dim_with_3_classes(self, label_vocabulary, label_output_fn):$/;" m class:BaseDNNClassifierPredictTest +_test_multi_remote_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_multi_remote_call(self, fn, args_fn=lambda x: (), kwargs_fn=lambda x: {}):$/;" m class:RpcTest +_test_nccl_sync adpepsenv/lib/python3.8/site-packages/caffe2/contrib/nccl/nccl_ops_test.py /^ def _test_nccl_sync(self, n, m, iters, net_type):$/;" m class:NCCLOpsTest +_test_nested_backward_accumulate_grads adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_nested_backward_accumulate_grads(t1, t2, dst_rank):$/;" m class:DistAutogradTest +_test_net adpepsenv/lib/python3.8/site-packages/caffe2/python/layers_test.py /^ def _test_net(self, net, ops_list):$/;" m class:TestLayers +_test_net adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/tests/c2_ref_test.py /^ def _test_net(self,$/;" m class:TestCaffe2End2End +_test_nextafter adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def _test_nextafter(t):$/;" f +_test_not_equal adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def _test_not_equal(self, a, b):$/;" m class:_GenericTest +_test_no_graph_with_tensors_not_require_grad adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_no_graph_with_tensors_not_require_grad(self, exec_mode):$/;" m class:DistAutogradTest +_test_numpy_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_numpy_dataset(self, strategy, session=None, run_in_function=False):$/;" m class:DistributionTestBase +_test_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_numpy_input_fn(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_numpy_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_numpy_input_fn(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_n_argument_complex adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def _test_n_argument_complex(self):$/;" m class:_TestFFTBase +_test_n_argument_complex adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def _test_n_argument_complex(self):$/;" m class:_TestFFTBase +_test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def _test_one_dim(self, label_vocabulary, label_output_fn):$/;" m class:BaseDNNClassifierPredictTest +_test_one_dim adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def _test_one_dim(self, label_vocabulary, label_output_fn):$/;" m class:BaseDNNClassifierPredictTest +_test_only_channel_count adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def _test_only_channel_count(self) -> int:$/;" m class:ChannelCache +_test_onnx_importer adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def _test_onnx_importer(self, model_name, data_input_index, opset_version=onnx.defs.onnx_ops/;" m class:TensorRTOpTest +_test_op adpepsenv/lib/python3.8/site-packages/caffe2/python/core_test.py /^ def _test_op($/;" m class:TestInferDevice +_test_or_class_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_or_class_decorator(test_or_class, single_method_decorator):$/;" f +_test_outer adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder_test.py /^def _test_outer():$/;" f +_test_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _test_pandas_input_fn(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_pandas_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _test_pandas_input_fn(self, n_classes):$/;" m class:BaseLinearClassifierIntegrationTest +_test_paths adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^_test_paths = [$/;" v +_test_path_extents adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_path.py /^_test_path_extents = [(0., 0., 0.75, 1.), (0., 0., 1., 0.5), (0., 1., 1., 1.),$/;" v +_test_percentile_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def _test_percentile_op($/;" m class:TestPercentileOp +_test_phaseshift adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_signaltools.py /^ def _test_phaseshift(self, method, zero_phase):$/;" m class:TestDecimate +_test_proj_draw_axes adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def _test_proj_draw_axes(M, s=1, *args, **kwargs):$/;" f +_test_proj_make_M adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_mplot3d.py /^def _test_proj_make_M():$/;" f +_test_quantile adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/quantile_test.py /^ def _test_quantile(self, inputs, quantile, abs, tol):$/;" m class:TestQuantile +_Test_random adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random(ConsistencyTests):$/;" c +_Test_random_ball adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball(ball_consistency):$/;" c +_Test_random_ball_approx adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_approx(_Test_random_ball):$/;" c +_Test_random_ball_approx_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_approx_periodic(_Test_random_ball):$/;" c +_Test_random_ball_far adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_far(_Test_random_ball):$/;" c +_Test_random_ball_far_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_far_periodic(_Test_random_ball_periodic):$/;" c +_Test_random_ball_l1 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_l1(_Test_random_ball):$/;" c +_Test_random_ball_largep_issue9890 adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_largep_issue9890(ball_consistency):$/;" c +_Test_random_ball_linf adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_linf(_Test_random_ball):$/;" c +_Test_random_ball_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_ball_periodic(ball_consistency):$/;" c +_Test_random_far adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_random_far(_Test_random):$/;" c +_test_redirected_print adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_print.py /^def _test_redirected_print(x, tp, ref=None):$/;" f +_test_reduce_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_reduce_helper($/;" m class:DistributedTest._DistTestBase +_test_reduce_multigpu_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_reduce_multigpu_helper($/;" m class:DistributedTest._DistTestBase +_test_reduce_scatter adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def _test_reduce_scatter(self,$/;" m class:TestCase +_test_relu_graph adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_trt.py /^ def _test_relu_graph(self, X, batch_size, trt_max_batch_size):$/;" m class:TensorRTOpTest +_test_remote_message_delay_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_remote_message_delay_timeout(self, func, args, dst=None):$/;" m class:FaultyAgentRpcTest +_test_remote_message_dropped_pickle adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_remote_message_dropped_pickle(self, dst=None):$/;" m class:FaultyAgentRpcTest +_test_remote_message_dropped_timeout adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_remote_message_dropped_timeout(self, func, args, dst=None):$/;" m class:FaultyAgentRpcTest +_test_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_replica_id(self, d):$/;" m class:DistributionTestBase +_test_reshape adpepsenv/lib/python3.8/site-packages/caffe2/python/ideep/reshape_op_test.py /^def _test_reshape(old_shape, new_shape, expected_shape=None, arg_shape=True,$/;" f +_test_reshape_output_and_gradient adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/reshape_ops_test.py /^def _test_reshape_output_and_gradient($/;" f +_test_resize_nearest_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_resize_nearest_op(self, device):$/;" m class:TorchIntegration +_TEST_RESULT_CLASS adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _TEST_RESULT_CLASS = _TextAndXMLTestResult$/;" v class:TextAndXMLTestRunner +_TEST_RESULT_CLASS adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ _TEST_RESULT_CLASS = TextTestResult$/;" v class:TextTestRunner +_test_return_future adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_return_future(self, mode):$/;" m class:RpcTest +_test_roi_align adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_roi_align(self, N, C, H, W, device):$/;" m class:TorchIntegration +_test_roi_align_rotated adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/torch_integration_test.py /^ def _test_roi_align_rotated(self, N, C, H, W, device):$/;" m class:TorchIntegration +_test_rpc_complex_args adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_rpc_complex_args(self, exec_mode):$/;" m class:DistAutogradTest +_test_rref_leak adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_rref_leak(self, _mock_delete_all_user_and_unforked_owner_rrefs, ignore_leak):$/;" m class:RpcTest +_test_rref_proxy_class adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_rref_proxy_class(self, dst):$/;" m class:RpcTest +_test_rref_proxy_tensor adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_rref_proxy_tensor(self, dst):$/;" m class:RpcTest +_test_rrulewrapper adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^def _test_rrulewrapper(attach_tz, get_tz):$/;" f +_test_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_run(self, strategy):$/;" m class:OneDeviceDistributionTestBase +_test_run adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_run(self, strategy, run_in_function=False):$/;" m class:TwoDeviceDistributionTestBase +_test_runner adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^def _test_runner(test_id):$/;" f +_test_runners adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^_test_runners = set(["unittest", "unittest2", "nose", "nose2", "pytest"])$/;" v +_test_runner_aliases adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^_test_runner_aliases = {$/;" v +_test_runner_guess adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^_test_runner_guess = False$/;" v +_test_runner_override adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^_test_runner_override = 'nose'$/;" v +_test_run_tag adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _test_run_tag(self, run_tag_filter, run, tag):$/;" m class:MultiplexerDataProvider +_test_scatter_helper adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_scatter_helper(self, group, group_id, rank):$/;" m class:DistributedTest._DistTestBase +_test_script adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^_test_script = """\\$/;" v +_test_self_remote_rref_as_remote_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_self_remote_rref_as_remote_arg(self, dst):$/;" m class:RpcTest +_test_self_remote_rref_as_rpc_arg adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_self_remote_rref_as_rpc_arg(self, dst):$/;" m class:RpcTest +_test_sequential_model_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_sequential_model_type(f, test_or_class, *args, **kwargs):$/;" f +_test_set adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _test_set(i, j):$/;" f member:_TestSlicingAssign.test_slice_assign_2 file: +_test_set adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _test_set(i, j, nitems):$/;" f member:_TestGetSet.test_scalar_assign_2 file: +_test_set_get adpepsenv/lib/python3.8/site-packages/caffe2/distributed/store_ops_test_util.py /^ def _test_set_get(cls, queue, create_store_handler_fn, index, num_procs):$/;" m class:StoreOpsTests +_test_set_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _test_set_slice(i, j):$/;" f member:_TestFancyIndexingAssign.test_fancy_indexing_set file: +_test_set_slice adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _test_set_slice(i, j):$/;" f member:_TestFancyMultidimAssign.test_fancy_indexing_multidim_set file: +_test_shape_inference adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/percentile_op_test.py /^ def _test_shape_inference($/;" m class:TestPercentileOp +_test_sh_chebyt adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class _test_sh_chebyt(object):$/;" c +_test_sh_chebyu adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class _test_sh_chebyu(object):$/;" c +_test_sh_jacobi adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class _test_sh_jacobi(object):$/;" c +_test_sh_legendre adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_orthogonal.py /^class _test_sh_legendre(object):$/;" c +_Test_small adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_small(ConsistencyTests):$/;" c +_Test_small_nonleaf adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_small_nonleaf(_Test_small):$/;" c +_test_solve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_solve($/;" f +_test_solve_base adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_solve_base($/;" f +_test_solve_with_broadcast adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_solve_with_broadcast($/;" f +_Test_sorted_query_ball_point adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_sorted_query_ball_point(object):$/;" c +_test_sort_partition adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _test_sort_partition(self, name, kinds, **kwargs):$/;" m class:TestZeroSizeFlexible +_test_spacing adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^def _test_spacing(t):$/;" f +_test_sparse_all_reduce_sum adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def _test_sparse_all_reduce_sum(self, fn):$/;" m class:DistributedTest._DistTestBase +_Test_sparse_distance_matrix adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_sparse_distance_matrix(sparse_distance_matrix_consistency):$/;" c +_test_step_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def _test_step_fn(inputs):$/;" f function:experimental_tpu_test_loop file: +_test_subclass_model_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_subclass_model_type(f, test_or_class, *args, **kwargs):$/;" f +_TEST_SUITE_RESULT_CLASS adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _TEST_SUITE_RESULT_CLASS = _TestSuiteResult$/;" v class:_TextAndXMLTestResult +_test_summary_for_replica_zero_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_summary_for_replica_zero_only(self, d):$/;" m class:DistributionTestBase +_test_test_async_class_rref_proxy adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def _test_test_async_class_rref_proxy(self, mode=RPCExecMode.SYNC):$/;" m class:RpcTest +_test_tf_saved_model_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_tf_saved_model_format(f, test_or_class, *args, **kwargs):$/;" f +_test_tf_saved_model_format_no_traces adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _test_tf_saved_model_format_no_traces(f, test_or_class, *args, **kwargs):$/;" f +_test_timeout adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_backends_interactive.py /^_test_timeout = 10 # Empirically, 1s is not enough on Travis.$/;" v +_test_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_to_dense(use_placeholder, shapes_info, dtype):$/;" f +_test_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^def _test_trace(use_placeholder, shapes_info, dtype):$/;" f +_test_trainable_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_test_lib.py /^ def _test_trainable_variable(self, strategy):$/;" m class:DistributionTestBase +_test_trainer_ps adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _test_trainer_ps(self, create_ref_fn, trainer_fn):$/;" m class:DistAutogradTest +_Test_two_random_trees adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees(two_trees_consistency):$/;" c +_Test_two_random_trees_far adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees_far(_Test_two_random_trees):$/;" c +_Test_two_random_trees_far_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees_far_periodic(_Test_two_random_trees_periodic):$/;" c +_Test_two_random_trees_linf adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees_linf(_Test_two_random_trees):$/;" c +_Test_two_random_trees_linf_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees_linf_periodic(_Test_two_random_trees_periodic):$/;" c +_Test_two_random_trees_periodic adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_kdtree.py /^class _Test_two_random_trees_periodic(two_trees_consistency):$/;" c +_test_type_repr adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def _test_type_repr(self, t):$/;" m class:TestRepr +_TEST_UNDECLARED_OUTPUTS_DIR_ENV_VAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TEST_UNDECLARED_OUTPUTS_DIR_ENV_VAR = 'TEST_UNDECLARED_OUTPUTS_DIR'$/;" v +_test_util adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/test.py /^from tensorflow.python.framework import test_util as _test_util$/;" x +_test_writable_dir_win adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/filesystem.py /^def _test_writable_dir_win(path):$/;" f +_text adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def _text(value):$/;" m class:StrCategoryFormatter +_TextAndXMLTestResult adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^class _TextAndXMLTestResult(_pretty_print_reporter.TextTestResult):$/;" c +_TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class _TextLineDataset(dataset_ops.DatasetSource):$/;" c +_TextLineDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^def _TextLineDataset(filename):$/;" f +_TEXTPLUGINDATA adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/plugin_data_pb2.py /^_TEXTPLUGINDATA = _descriptor.Descriptor($/;" v +_TEXTUAL_MIMETYPES adpepsenv/lib/python3.8/site-packages/tensorboard/backend/http_util.py /^_TEXTUAL_MIMETYPES = set($/;" v +_text_format adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/__init__.py /^from google.protobuf import text_format as _text_format$/;" x +_text_format adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from google.protobuf import text_format as _text_format$/;" x +_text_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^from google.protobuf import text_format as _text_format$/;" x +_TEXT_OR_BINARY_TYPES adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^_TEXT_OR_BINARY_TYPES = (six.text_type, six.binary_type)$/;" v +_text_summary adpepsenv/lib/python3.8/site-packages/tensorboard/summary/v1.py /^from tensorboard.plugins.text import summary as _text_summary$/;" x +_text_x adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _text_x(self, x):$/;" m class:QuiverKey +_text_y adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def _text_y(self, y):$/;" m class:QuiverKey +_tf2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^from tensorflow.python import tf2 as _tf2$/;" x +_tf2 adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python import tf2 as _tf2$/;" x +_tf2 adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python import tf2 as _tf2$/;" x +_tf2_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^_tf2_gauge = _monitoring.BoolGauge($/;" v +_TFDATABOTTLENECKANALYSIS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_TFDATABOTTLENECKANALYSIS = _descriptor.Descriptor($/;" v +_TFDATASTATS adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_TFDATASTATS = _descriptor.Descriptor($/;" v +_TFDATASTATS_INPUTPIPELINESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_TFDATASTATS_INPUTPIPELINESENTRY = _descriptor.Descriptor($/;" v +_TFDATASTATS_ITERATORMETADATAENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_data_stats_pb2.py /^_TFDATASTATS_ITERATORMETADATAENTRY = _descriptor.Descriptor($/;" v +_TfDeviceCaptureOp adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^class _TfDeviceCaptureOp(object):$/;" c +_TFLITE_FILE_IDENTIFIER adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^_TFLITE_FILE_IDENTIFIER = b"TFL3"$/;" v +_tfmfile adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^_tfmfile = partial(_fontfile, Tfm, ".tfm")$/;" v +_tfmw_add_deprecation_warning adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def _tfmw_add_deprecation_warning(self, name, attr):$/;" m class:TFModuleWrapper +_tfmw_import_module adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def _tfmw_import_module(self, name):$/;" m class:TFModuleWrapper +_TFPROFTENSORPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_output_pb2.py /^_TFPROFTENSORPROTO = _descriptor.Descriptor($/;" v +_TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^class _TFRecordDataset(dataset_ops.DatasetSource):$/;" c +_TFRecordDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/datasets.py /^def _TFRecordDataset(filename):$/;" f +_TFShouldUseHelper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^class _TFShouldUseHelper(object):$/;" c +_TFSTATSDATABASE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^_TFSTATSDATABASE = _descriptor.Descriptor($/;" v +_TFSTATSRECORD adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^_TFSTATSRECORD = _descriptor.Descriptor($/;" v +_TFSTATSTABLE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/tf_stats_pb2.py /^_TFSTATSTABLE = _descriptor.Descriptor($/;" v +_tfval_shape_dtype adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _tfval_shape_dtype(val: TfVal) -> Tuple[Sequence[Optional[int]], DType]:$/;" f +_tf_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_abs(x):$/;" f +_TF_ACTIVATIONS_V2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/activations.py /^_TF_ACTIVATIONS_V2 = {$/;" v +_tf_api_dir adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^_tf_api_dir = _os.path.dirname(_os.path.dirname(_API_MODULE.__file__))$/;" v +_tf_api_dir adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^_tf_api_dir = _os.path.dirname(_os.path.dirname(_API_MODULE.__file__))$/;" v +_tf_assert_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/exceptions.py /^def _tf_assert_stmt(expression1, expression2):$/;" f +_TF_CONFIG adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_backends.py /^ _TF_CONFIG = tf.ConfigProto()$/;" v +_TF_CONFIG_ENV adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^_TF_CONFIG_ENV = 'TF_CONFIG'$/;" v +_TF_CONFIG_ENV adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_TF_CONFIG_ENV = 'TF_CONFIG'$/;" v +_TF_CONFIG_ENV adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^_TF_CONFIG_ENV = run_config_lib._TF_CONFIG_ENV$/;" v +_TF_CONFIG_ENV adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_TF_CONFIG_ENV = 'TF_CONFIG'$/;" v +_tf_dataset_abs adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_abs(x):$/;" f +_tf_dataset_all adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_all(iterable):$/;" f +_tf_dataset_any adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_any(iterable):$/;" f +_tf_dataset_enumerate adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_enumerate(s, start=0):$/;" f +_tf_dataset_filter adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_filter(function, iterable):$/;" f +_tf_dataset_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_dataset_for_stmt($/;" f +_tf_dataset_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_dataset_for_stmt(ds, extra_test, body, get_state, set_state, init_vars,$/;" f +_tf_dataset_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_len(s):$/;" f +_tf_dataset_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_map(fn, *iterables):$/;" f +_tf_dataset_zip adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_dataset_zip(*iterables):$/;" f +_tf_deterministic_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _tf_deterministic_ops():$/;" f +_tf_device adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _tf_device(device_option):$/;" f +_tf_device adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _tf_device(device_option):$/;" f +_tf_distributed_dataset_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_distributed_dataset_for_stmt(iter_, extra_test, body, init_state):$/;" f +_tf_distributed_iterable_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_distributed_iterable_for_stmt($/;" f +_tf_equal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _tf_equal(a, b):$/;" f +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^from tensorflow.python.util.tf_export import tf_export as _tf_export$/;" x +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def _tf_export(*x, **kwargs):$/;" f +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ from tensorflow.python.util.tf_export import tf_export as _tf_export$/;" x +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.python.util.tf_export import tf_export as _tf_export$/;" x +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^from tensorflow.python.util.tf_export import tf_export as _tf_export$/;" x +_tf_export adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^from tensorflow.python.util.tf_export import tf_export as _tf_export$/;" x +_tf_float adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_float(x):$/;" f +_tf_float_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^_tf_float_types = [$/;" v +_tf_function_api_guage adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_tf_function_api_guage = monitoring.BoolGauge($/;" v +_tf_gcd adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _tf_gcd(x1, x2): # pylint: disable=missing-function-docstring$/;" f +_tf_if_exp adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/conditional_expressions.py /^def _tf_if_exp(cond, if_true, if_false, expr_repr):$/;" f +_tf_if_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_if_stmt($/;" f +_tf_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _tf_input(self, input_idx):$/;" m class:Operation +_tf_inspect adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^from tensorflow.python.util import tf_inspect as _tf_inspect$/;" x +_tf_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_int(x, base):$/;" f +_TF_INTERNAL_API_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^_TF_INTERNAL_API_PREFIX = "__internal__.distribute.combinations."$/;" v +_tf_iterator_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_iterator_for_stmt($/;" f +_tf_iterator_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_iterator_for_stmt(itr, extra_test, body, get_state, set_state,$/;" f +_tf_lazy_and adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _tf_lazy_and(cond, b):$/;" f +_tf_lazy_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _tf_lazy_or(cond, b):$/;" f +_TF_MODULE_IGNORED_PROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ _TF_MODULE_IGNORED_PROPERTIES = frozenset(itertools.chain($/;" v class:Layer +_TF_MODULE_IGNORED_PROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ _TF_MODULE_IGNORED_PROPERTIES = frozenset(itertools.chain($/;" v class:Layer +_TF_MODULE_IGNORED_PROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ _TF_MODULE_IGNORED_PROPERTIES = frozenset(itertools.chain($/;" v class:Functional +_TF_MODULE_IGNORED_PROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ _TF_MODULE_IGNORED_PROPERTIES = frozenset($/;" v class:Model +_TF_MODULE_IGNORED_PROPERTIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ _TF_MODULE_IGNORED_PROPERTIES = frozenset(($/;" v class:Module +_tf_not adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/logical.py /^def _tf_not(a):$/;" f +_TF_NULL_TENSOR adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary.py /^_TF_NULL_TENSOR = type(tf.make_tensor_proto(0)).FromString($/;" v +_TF_OP_LAYER_NAME_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^_TF_OP_LAYER_NAME_PREFIX = 'tf_op_layer_'$/;" v +_tf_output adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _tf_output(self, output_idx):$/;" m class:Operation +_tf_py_func_print adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_py_func_print(objects, kwargs):$/;" f +_tf_ragged_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_ragged_for_stmt($/;" f +_tf_ragged_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_ragged_for_stmt(iter_,$/;" f +_tf_range adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_range(start_or_stop, stop, step):$/;" f +_tf_range_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_range_for_stmt($/;" f +_tf_range_for_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_range_for_stmt(iter_,$/;" f +_tf_sess adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def _tf_sess(self):$/;" m class:_MonitoredSession +_tf_sorted adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_sorted(iterable, key, reverse):$/;" f +_tf_tensorarray_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _tf_tensorarray_append(list_, x):$/;" f +_tf_tensorarray_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensorarray_get_item(target, i):$/;" f +_tf_tensorarray_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensorarray_set_item(target, i, x):$/;" f +_tf_tensorarray_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _tf_tensorarray_stack(list_):$/;" f +_tf_tensor_array_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_tensor_array_len(s):$/;" f +_tf_tensor_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensor_get_item(target, i):$/;" f +_tf_tensor_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_tensor_len(s):$/;" f +_tf_tensor_list_append adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _tf_tensor_list_append(list_, x):$/;" f +_tf_tensor_list_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensor_list_get_item(target, i, opts):$/;" f +_tf_tensor_list_len adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _tf_tensor_list_len(s):$/;" f +_tf_tensor_list_pop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _tf_tensor_list_pop(list_, i, opts):$/;" f +_tf_tensor_list_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensor_list_set_item(target, i, x):$/;" f +_tf_tensor_list_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/data_structures.py /^def _tf_tensor_list_stack(list_, opts):$/;" f +_tf_tensor_set_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensor_set_item(target, i, x):$/;" f +_tf_tensor_string_get_item adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/slices.py /^def _tf_tensor_string_get_item(target, i):$/;" f +_TF_TO_IS_OK adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^_TF_TO_IS_OK = {$/;" v +_TF_TO_IS_OK adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_util.py /^_TF_TO_IS_OK = {$/;" v +_TF_TO_NP adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_TF_TO_NP = {$/;" v +_TF_TO_NP adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_TF_TO_NP = {$/;" v +_tf_while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _tf_while_stmt(test, body, get_state, set_state, symbol_names, opts):$/;" f +_tf_while_stmt adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _tf_while_stmt(test, body, get_state, set_state, init_vars,$/;" f +_theano adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^from . import theano as _theano$/;" x +_theta adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^_theta = {$/;" v +_ThetaShift adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class _ThetaShift(mtransforms.ScaledTranslation):$/;" c +_threaded_measurement_loop adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def _threaded_measurement_loop($/;" m class:Timer +_ThreadLocalState adpepsenv/lib/python3.8/site-packages/jax/api.py /^class _ThreadLocalState(threading.local):$/;" c +_ThreadLocalState adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^class _ThreadLocalState(threading.local):$/;" c +_ThreadLocalStore adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^class _ThreadLocalStore(threading.local):$/;" c +_threadlocal_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/helpers/arg_scope.py /^_threadlocal_scope = threading.local()$/;" v +_threadlocal_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/scope.py /^_threadlocal_scope = threading.local()$/;" v +_ThreadMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^class _ThreadMode(object):$/;" c +_ThreadPoolDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^class _ThreadPoolDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_THREADPOOLOPTIONPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/config_pb2.py /^_THREADPOOLOPTIONPROTO = _descriptor.Descriptor($/;" v +_THREADPOOLOPTIONPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/config_pb2.py /^_THREADPOOLOPTIONPROTO = _descriptor.Descriptor($/;" v +_ThreadUnsafeUnigramCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_ThreadUnsafeUnigramCandidateSamplerOutput = collections.namedtuple($/;" v +_THREAD_ID_MASK adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_THREAD_ID_MASK = 2 ** (struct.calcsize('L') * 8) - 1$/;" v +_THREAD_ID_MASK adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^_THREAD_ID_MASK = 2 * _sys.maxsize + 1$/;" v +_thread_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ _thread_key = None$/;" v class:StackTraceTransform +_thread_lib adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ import thread as _thread_lib # For .get_ident().$/;" I +_thread_lib adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ import threading as _thread_lib # For .get_ident().$/;" I +_thread_local adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^_thread_local = threading.local()$/;" v +_thread_local_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^_thread_local_data = threading.local()$/;" v +_thread_local_state adpepsenv/lib/python3.8/site-packages/jax/api.py /^_thread_local_state = _ThreadLocalState()$/;" v +_thread_local_state adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^_thread_local_state = _ThreadLocalState()$/;" v +_thread_local_tensor_tables adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^_thread_local_tensor_tables = threading.local()$/;" v +_threefry2x32_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _threefry2x32_abstract_eval(*args):$/;" f +_threefry2x32_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _threefry2x32_gpu_translation_rule(c, k1, k2, x1, x2):$/;" f +_threefry2x32_jax_impl adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _threefry2x32_jax_impl(*args: TfVal, _in_avals, _out_aval):$/;" f +_threefry2x32_lowering adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _threefry2x32_lowering(key1, key2, x1, x2, use_rolled_loops=True):$/;" f +_threshold adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _threshold(input, threshold, value, inplace=False):$/;" f +_threshold_mgc_map adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _threshold_mgc_map(stat_mgc_map, samp_size):$/;" f +_ticker adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _ticker(self, locator, formatter):$/;" m class:ColorbarBase +_tickhoriz_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _tickhoriz_path = Path([[0.0, 0.0], [1.0, 0.0]])$/;" v class:MarkerStyle +_tickvert_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _tickvert_path = Path([[-0.0, 0.0], [-0.0, 1.0]])$/;" v class:MarkerStyle +_tickvert_path adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ _tickvert_path = Path([[0., 0.], [1., 0.]])$/;" v class:Ticks +_tick_only adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^def _tick_only(ax, bottom_on, left_on):$/;" f +_tie_in_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _tie_in_batch_rule(batched_args, batch_dims):$/;" f function:omnistaging_disabler file: +_tie_in_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _tie_in_impl(x, y):$/;" f function:omnistaging_disabler file: +_tie_in_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _tie_in_jvp(primals, tangents):$/;" f function:omnistaging_disabler file: +_tie_in_transpose_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^ def _tie_in_transpose_rule(t, x, y):$/;" f function:omnistaging_disabler file: +_tight_layout adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _tight_layout(self):$/;" m class:SubplotToolQt +_TileGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TileGrad(op, grad):$/;" f +_TileGradShape adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _TileGradShape(op):$/;" f +_TILEPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_TILEPROTO = _descriptor.Descriptor($/;" v +_tilesort adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^def _tilesort(t):$/;" f +_tile_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^def _tile_dispatcher(A, reps):$/;" f +_tile_for_broadcasting adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _tile_for_broadcasting(matrix, t):$/;" f function:_rfft_grad_helper._grad file: +_tile_ragged_splits adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def _tile_ragged_splits(rt_input, multiples, const_multiples=None):$/;" f +_tile_ragged_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_array_ops.py /^def _tile_ragged_values(rt_input, multiples, const_multiples=None):$/;" f +_tile_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _tile_variant(t, pfor_input):$/;" f +_tile_variant_with_length adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _tile_variant_with_length(t, length):$/;" f +_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^import time as _time$/;" I +_time adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _time(trace_name, name, time=True):$/;" f +_timed_task adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def _timed_task(self, cp_op_name, add_op):$/;" m class:CheckpointManager +_timeit_fast adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _timeit_fast(stmt="pass", setup="pass", repeat=3):$/;" f +_timelex adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class _timelex(object):$/;" c +_timelex adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^_timelex = __deprecate_private_class(_timelex)$/;" v +_TimelikeFormat adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^class _TimelikeFormat:$/;" c +_timeout_to_deadline adpepsenv/lib/python3.8/site-packages/grpc/aio/_utils.py /^def _timeout_to_deadline(timeout: Optional[float]) -> Optional[float]:$/;" f +_Timer adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^class _Timer(object):$/;" c +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ _timer_cls = TimerGTK3$/;" v class:FigureCanvasGTK3 +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ _timer_cls = TimerMac$/;" v class:FigureCanvasMac +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ _timer_cls = TimerTornado$/;" v class:FigureCanvasNbAgg +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ _timer_cls = TimerQT$/;" v class:FigureCanvasQT +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ _timer_cls = TimerTornado$/;" v class:FigureCanvasWebAgg +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ _timer_cls = TimerWx$/;" v class:_FigureCanvasWxBase +_timer_cls adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ _timer_cls = TimerBase$/;" v class:FigureCanvasBase +_timer_cls adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ _timer_cls = timeit.Timer$/;" v class:Timer +_timer_set_interval adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _timer_set_interval(self):$/;" m class:TimerGTK3 +_timer_set_interval adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _timer_set_interval(self):$/;" m class:TimerQT +_timer_set_interval adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _timer_set_interval(self):$/;" m class:TimerTornado +_timer_set_interval adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _timer_set_interval(self):$/;" m class:TimerWx +_timer_set_interval adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _timer_set_interval(self):$/;" m class:TimerBase +_timer_set_single_shot adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _timer_set_single_shot(self):$/;" m class:TimerQT +_timer_set_single_shot adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _timer_set_single_shot(self):$/;" m class:TimerBase +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _timer_start(self):$/;" m class:TimerGTK3 +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _timer_start(self):$/;" m class:TimerQT +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _timer_start(self):$/;" m class:TimerTornado +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _timer_start(self):$/;" m class:TimerWx +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _timer_start(self):$/;" m class:TimerTk +_timer_start adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _timer_start(self):$/;" m class:TimerBase +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _timer_stop(self):$/;" m class:TimerGTK3 +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _timer_stop(self):$/;" m class:TimerQT +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def _timer_stop(self):$/;" m class:TimerTornado +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def _timer_stop(self):$/;" m class:TimerWx +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _timer_stop(self):$/;" m class:TimerTk +_timer_stop adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _timer_stop(self):$/;" m class:TimerBase +_TimeSeries adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^class _TimeSeries(object):$/;" c +_TIMESTAMP adpepsenv/lib/python3.8/site-packages/google/protobuf/timestamp_pb2.py /^_TIMESTAMP = _descriptor.Descriptor($/;" v +_TIMESTAMPFOMAT adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^_TIMESTAMPFOMAT = '%Y-%m-%dT%H:%M:%S'$/;" v +_TIMESTAMPFOMAT adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_TIMESTAMPFOMAT = '%Y-%m-%dT%H:%M:%S'$/;" v +_TIMESTAMP_COLUMN_HEAD adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ _TIMESTAMP_COLUMN_HEAD = "t (ms)"$/;" v class:DebugAnalyzer +_time_copy adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^_time_copy = time.time$/;" v +_time_delta_from_info adpepsenv/lib/python3.8/site-packages/tensorboard/notebook.py /^def _time_delta_from_info(info):$/;" f +_TIME_SEP adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ _TIME_SEP = b':'$/;" v class:isoparser +_time_series_impl adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def _time_series_impl(self, ctx, experiment, series_requests):$/;" m class:MetricsPlugin +_time_step adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^ def _time_step(time, output_ta_t, state):$/;" f function:_dynamic_rnn_loop file: +_TInt adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ _TInt = typing.TypeVar('_TInt')$/;" v +_title adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _title(self, title, title_color=None):$/;" m class:CursesUI +_title_fmt adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^_title_fmt = 'numpy {} precision floating point number'$/;" v +_tlkurt adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ tukeylambda_kurtosis as _tlkurt)$/;" x +_tls adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^_tls = threading.local()$/;" v +_tls adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ _tls = threading.local()$/;" v class:DeviceTypeTestBase +_tlvar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^from ._tukeylambda_stats import (tukeylambda_variance as _tlvar,$/;" x +_tmpdir adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _tmpdir(self):$/;" m class:easy_install +_tmpdirs adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^_tmpdirs = []$/;" v +_tmpl adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^_tmpl = "setuptools\/{setuptools.__version__} Python-urllib\/{py_major}"$/;" v +_tmp_donotuse_dont_inline_everything adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def _tmp_donotuse_dont_inline_everything(fn):$/;" f +_tmp_in_graph_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^def _tmp_in_graph_mode():$/;" f +_toadd adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_toadd = ['int', 'float', 'complex', 'bool', 'object',$/;" v +_toast adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _toast(self, message, color=None, line_index=None):$/;" m class:CursesUI +_ToBytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _ToBytes(self, s):$/;" m class:TfTrtIntegrationTestBase +_ToCamelCase adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def _ToCamelCase(name):$/;" f +_TOCOCONVERSIONLOG adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_TOCOCONVERSIONLOG = _descriptor.Descriptor($/;" v +_TOCOCONVERSIONLOG_BUILTINOPSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_TOCOCONVERSIONLOG_BUILTINOPSENTRY = _descriptor.Descriptor($/;" v +_TOCOCONVERSIONLOG_CUSTOMOPSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_TOCOCONVERSIONLOG_CUSTOMOPSENTRY = _descriptor.Descriptor($/;" v +_TOCOCONVERSIONLOG_SELECTOPSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/toco_conversion_log_pb2.py /^_TOCOCONVERSIONLOG_SELECTOPSENTRY = _descriptor.Descriptor($/;" v +_TOCOFLAGS adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/toco_flags_pb2.py /^_TOCOFLAGS = _descriptor.Descriptor($/;" v +_tocomplex adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _tocomplex(arr):$/;" f +_toco_conversion_log_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^from tensorflow.lite.toco.logging import toco_conversion_log_pb2 as _toco_conversion_log_pb2$/;" x +_toco_convert_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert import toco_convert_graph_def as _toco_convert_graph_def$/;" x +_toco_convert_impl adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^from tensorflow.lite.python.convert import toco_convert_impl as _toco_convert_impl$/;" x +_toco_flags_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^from tensorflow.lite.toco import toco_flags_pb2 as _toco_flags_pb2$/;" x +_toco_flags_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite_constants.py /^from tensorflow.lite.toco import toco_flags_pb2 as _toco_flags_pb2$/;" x +_toco_flags_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^from tensorflow.lite.toco import toco_flags_pb2 as _toco_flags_pb2$/;" x +_toco_from_proto_bin adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ _toco_from_proto_bin = ""$/;" v +_toco_from_proto_bin adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ _toco_from_proto_bin = "toco_from_protos"$/;" v +_ToJsonName adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^def _ToJsonName(name):$/;" f +_ToJSonObj adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def _ToJSonObj(self, columns_order=None, order_by=()):$/;" m class:DataTable +_TOKEN adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _TOKEN = re.compile('|'.join([$/;" v class:Tokenizer +_token adpepsenv/lib/python3.8/site-packages/PIL/PpmImagePlugin.py /^ def _token(self, s=b""):$/;" m class:PpmImageFile +_Tokenizer adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^_Tokenizer = Tokenizer # pylint: disable=invalid-name$/;" v +_tokens adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def _tokens(cls, text):$/;" m class:Type1Font +_TokenType adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^_TokenType = enum.Enum('_TokenType',$/;" v +_token_chars adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_token_chars = frozenset($/;" v +_token_endpoint_request adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^def _token_endpoint_request(request, token_uri, body):$/;" f +_token_endpoint_request adpepsenv/lib/python3.8/site-packages/google/oauth2/_client_async.py /^async def _token_endpoint_request(request, token_uri, body):$/;" f +_token_re adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ _token_re = re.compile(br'\/{0,2}[^]\\0\\t\\r\\v\\n ()<>{}\/%[]+')$/;" v class:Type1Font +_TOLERANCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^_TOLERANCE = 1e-30$/;" v +_ToolEnableAllNavigation adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class _ToolEnableAllNavigation(ToolBase):$/;" c +_ToolEnableNavigation adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^class _ToolEnableNavigation(ToolBase):$/;" c +_TOOLREQUESTOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2.py /^_TOOLREQUESTOPTIONS = _descriptor.Descriptor($/;" v +_tool_added_event adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _tool_added_event(self, tool):$/;" m class:ToolManager +_tool_toggled_cbk adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _tool_toggled_cbk(self, event):$/;" m class:ToolContainerBase +_tool_trigger_cbk adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def _tool_trigger_cbk(self, event):$/;" m class:SetCursorBase +_TopKGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_grad.py /^def _TopKGrad(op, grad, _):$/;" f +_TopKOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_TopKOutput = collections.namedtuple($/;" v +_TopKV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_nn_ops.py /^_TopKV2Output = collections.namedtuple($/;" v +_topk_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _topk_helper(g, input, k, dim, largest=True, sorted=False, out=None):$/;" f +_topmost_artist adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _topmost_artist($/;" f +_TOPOLOGYPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/topology_pb2.py /^_TOPOLOGYPROTO = _descriptor.Descriptor($/;" v +_top_k adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _top_k(operand: TfVal, k: int) -> Tuple[TfVal, TfVal]:$/;" f +_top_k_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _top_k_abstract_eval(operand, *, k):$/;" f +_top_k_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _top_k_batch_rule(batched_args, batch_dims, *, k):$/;" f +_top_k_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _top_k_jvp(primals, tangents, *, k):$/;" f +_toqclass_helper adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^def _toqclass_helper(im):$/;" f +_torch adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^from . import torch as _torch$/;" x +_TORCH_DEVICE adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^_TORCH_DEVICE = None$/;" v +_torch_get_device_index adpepsenv/lib/python3.8/site-packages/torch/cuda/_utils.py /^from torch._utils import _get_device_index as _torch_get_device_index$/;" x +_TORCH_HAS_TENSORDOT adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^_TORCH_HAS_TENSORDOT = None$/;" v +_torch_ones adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^def _torch_ones(sizes, requires_grad=False):$/;" f +_torch_symbols_base adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^_torch_symbols_base = 'abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ'$/;" v +_TORCH_TEST_DEVICES adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^_TORCH_TEST_DEVICES = os.environ.get('TORCH_TEST_DEVICES', None)$/;" v +_ToString adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _ToString(self, s):$/;" m class:TfTrtIntegrationTestBase +_TotalOrderingMixin adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^class _TotalOrderingMixin(object):$/;" c +_TOTAL_REPEAT_LENGTH_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_TOTAL_REPEAT_LENGTH_DOC = """\\$/;" v +_total_size adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def _total_size(self):$/;" m class:_ArrayMemoryError +_total_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _total_size(shape_values):$/;" f +_total_to_compress_renum adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def _total_to_compress_renum(valid):$/;" m class:TriAnalyzer +_ToUnicode adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _ToUnicode(self, s):$/;" m class:TfTrtIntegrationTestBase +_to_batchable_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _to_batchable_tensor_list(self, value, batched=False):$/;" m class:TensorSpec +_to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_batched_tensor_list(self, value):$/;" m class:DatasetSpec +_to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_batched_tensor_list(self, value):$/;" m class:NoneTensorSpec +_to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_batched_tensor_list(self, value):$/;" m class:SparseTensorSpec +_to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_batched_tensor_list(self, value):$/;" m class:BatchableTypeSpec +_to_batched_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_batched_tensor_list(self, value):$/;" m class:RaggedTensorSpec +_to_bool adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_bool(s):$/;" f +_to_bytes adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _to_bytes(s):$/;" f +_to_bytes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _to_bytes(s):$/;" f +_to_complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^def _to_complex(x):$/;" f +_to_complex adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^def _to_complex(x):$/;" f +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_components(self, value):$/;" m class:DatasetSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _to_components(self, value):$/;" m class:IteratorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _to_components(self, value):$/;" m class:MultiDeviceIteratorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _to_components(self, value):$/;" m class:OptionalSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_components(self, value):$/;" m class:NoneTensorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _to_components(self, value):$/;" m class:DistributedIteratorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _to_components(self, value):$/;" m class:_SingleWorkerDatasetIteratorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _to_components(self, value):$/;" m class:ShardedVariableSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _to_components(self, value):$/;" m class:PerReplicaSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _to_components(self, value):$/;" m class:IndexedSlicesSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_components(self, value):$/;" m class:SparseTensorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _to_components(self, value):$/;" m class:TensorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_components(self, value):$/;" m class:TypeSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _to_components(self, value):$/;" m class:UserRegisteredSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _to_components(self, value):$/;" m class:NdarraySpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_components(self, value):$/;" m class:RaggedTensorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _to_components(self, value):$/;" m class:RowPartitionSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def _to_components(self, value):$/;" m class:VariableSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _to_components(self, value):$/;" m class:GeneratorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _to_components(self, value):$/;" m class:StructuredTensorSpec +_to_components adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _to_components(self, value):$/;" m class:TensorArraySpec +_to_context adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def _to_context(ctx):$/;" f +_to_corr adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _to_corr(self, m):$/;" m class:random_correlation_gen +_to_decimal adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def _to_decimal(self, val):$/;" m class:parser +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _to_dense(self):$/;" m class:LinearOperator +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _to_dense(self):$/;" m class:LinearOperatorAdjoint +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _to_dense(self):$/;" m class:LinearOperatorBlockDiag +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _to_dense(self):$/;" m class:LinearOperatorBlockLowerTriangular +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def _to_dense(self):$/;" m class:LinearOperatorDiag +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def _to_dense(self):$/;" m class:LinearOperatorFullMatrix +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _to_dense(self):$/;" m class:LinearOperatorHouseholder +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _to_dense(self):$/;" m class:LinearOperatorKronecker +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def _to_dense(self):$/;" m class:LinearOperatorLowerTriangular +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def _to_dense(self):$/;" m class:LinearOperatorPermutation +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _to_dense(self):$/;" m class:LinearOperatorToeplitz +_to_dense adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def _to_dense(self):$/;" m class:LinearOperatorTridiag +_to_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _to_dict(self):$/;" m class:ArchiveInfo +_to_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _to_dict(self):$/;" m class:DirInfo +_to_dict adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def _to_dict(self):$/;" m class:VcsInfo +_to_equivalence_class adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def _to_equivalence_class(dtype):$/;" f function:_can_bitcast file: +_to_float adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_float(x):$/;" f +_TO_FLOAT adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^_TO_FLOAT = 1$/;" v +_to_float32 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_dtypes.py /^_to_float32 = {$/;" v +_to_inexact_dtype adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _to_inexact_dtype(dtype):$/;" f +_to_inexact_type adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/linalg.py /^ def _to_inexact_type(type):$/;" f function:_promote_arg_dtypes file: +_to_inexact_type adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/polynomial.py /^def _to_inexact_type(type):$/;" f +_to_int adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_int(x):$/;" f +_TO_INT_ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^_TO_INT_ = 0$/;" v +_to_iri_unsafe adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_to_iri_unsafe = "".join([chr(c) for c in range(128) if c not in _always_safe])$/;" v +_to_legacy adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _to_legacy(self):$/;" m class:Metadata +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_legacy_output_classes(self):$/;" m class:DatasetSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _to_legacy_output_classes(self):$/;" m class:OptionalSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_legacy_output_classes(self):$/;" m class:NoneTensorSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_legacy_output_classes(self):$/;" m class:SparseTensorSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _to_legacy_output_classes(self):$/;" m class:DenseSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_legacy_output_classes(self):$/;" m class:TypeSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_legacy_output_classes(self):$/;" m class:RaggedTensorSpec +_to_legacy_output_classes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _to_legacy_output_classes(self):$/;" m class:TensorArraySpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_legacy_output_shapes(self):$/;" m class:DatasetSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _to_legacy_output_shapes(self):$/;" m class:OptionalSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_legacy_output_shapes(self):$/;" m class:NoneTensorSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_legacy_output_shapes(self):$/;" m class:SparseTensorSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _to_legacy_output_shapes(self):$/;" m class:DenseSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_legacy_output_shapes(self):$/;" m class:TypeSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_legacy_output_shapes(self):$/;" m class:RaggedTensorSpec +_to_legacy_output_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _to_legacy_output_shapes(self):$/;" m class:TensorArraySpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_legacy_output_types(self):$/;" m class:DatasetSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _to_legacy_output_types(self):$/;" m class:OptionalSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_legacy_output_types(self):$/;" m class:NoneTensorSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_legacy_output_types(self):$/;" m class:SparseTensorSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _to_legacy_output_types(self):$/;" m class:DenseSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_legacy_output_types(self):$/;" m class:TypeSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_legacy_output_types(self):$/;" m class:RaggedTensorSpec +_to_legacy_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _to_legacy_output_types(self):$/;" m class:TensorArraySpec +_to_list_of_floats adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_list_of_floats(s):$/;" f +_to_list_of_ints adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_list_of_ints(s):$/;" f +_to_matrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/kernelized_utils.py /^def _to_matrix(u):$/;" f +_to_matrix_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _to_matrix_vectorized(M):$/;" f +_to_native_byte_order adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _to_native_byte_order(*arrays):$/;" f +_to_numpy adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradient_checker_v2.py /^def _to_numpy(a):$/;" f +_to_numpy_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _to_numpy_type(dtype):$/;" f +_to_ordered_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^ def _to_ordered_tensor_list(obj, key_order, obj_name, order_name):$/;" f function:_convert_estimator_io_to_keras file: +_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _to_placeholder(self):$/;" m class:KerasTensor +_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _to_placeholder(self):$/;" m class:RaggedKerasTensor +_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _to_placeholder(self):$/;" m class:SparseKerasTensor +_to_placeholder adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def _to_placeholder(self):$/;" m class:UserRegisteredTypeKerasTensor +_to_points adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_axes.py /^ def _to_points(xy1, xy2, slope):$/;" f member:Axes.axline file: +_to_proto_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _to_proto_fn(v, export_scope=None):$/;" f +_to_rgba_no_colorcycle adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _to_rgba_no_colorcycle(c, alpha=None):$/;" f +_to_single_numpy_or_python_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def _to_single_numpy_or_python_type(t):$/;" f function:to_numpy_or_python_type file: +_to_snake_case adpepsenv/lib/python3.8/site-packages/requests_oauthlib/compliance_fixes/plentymarkets.py /^ def _to_snake_case(n):$/;" f function:plentymarkets_compliance_fix file: +_to_sparse_input_and_drop_ignore_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _to_sparse_input_and_drop_ignore_values(input_tensor, ignore_value=None):$/;" f +_to_sparse_input_and_drop_ignore_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _to_sparse_input_and_drop_ignore_values(input_tensor, ignore_value=None):$/;" f +_to_str adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^def _to_str(x):$/;" f +_to_str adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _to_str(text):$/;" m class:LinuxDistribution +_to_str adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^def _to_str(s):$/;" f +_to_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^def _to_string(s):$/;" f +_to_string_or_unicode_array adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _to_string_or_unicode_array(result):$/;" f +_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^def _to_tensor(x, dtype):$/;" f +_to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _to_tensor_list(self, value):$/;" m class:DatasetSpec +_to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _to_tensor_list(self, value):$/;" m class:NoneTensorSpec +_to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _to_tensor_list(self, value):$/;" m class:SparseTensorSpec +_to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _to_tensor_list(self, value):$/;" m class:TypeSpec +_to_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_tensor_list(self, value):$/;" m class:RaggedTensorSpec +_to_tensor_list_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^def _to_tensor_list_helper(encode_fn, element_spec, element):$/;" f +_to_tf_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^def _to_tf_type(dtype):$/;" f +_to_unicode adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^def _to_unicode(obj):$/;" f +_to_unicode adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^def _to_unicode(obj):$/;" f +_to_unmasked_float_array adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _to_unmasked_float_array(x):$/;" f +_to_uri_safe adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_to_uri_safe = ":\/?#[]@!$&'()*+,;=%"$/;" v +_to_values_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def _to_values_def(self, export_scope=None):$/;" m class:ControlFlowContext +_to_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _to_variant(self, batched_input=False, name=None):$/;" m class:RaggedTensor +_to_worker_info adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _to_worker_info(name_or_info):$/;" f +_TPUBaseEmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^class _TPUBaseEmbeddingColumn(object):$/;" c +_TPUDeviceSpecificEmbeddingColumnV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^class _TPUDeviceSpecificEmbeddingColumnV2(_TPUEmbeddingColumnV2):$/;" c +_TPUEmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^class _TPUEmbeddingColumn(_TPUBaseEmbeddingColumn, fc._EmbeddingColumn):$/;" c +_TPUEmbeddingColumnV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^class _TPUEmbeddingColumnV2(_TPUBaseEmbeddingColumn, fc_lib.EmbeddingColumn):$/;" c +_TPUEMBEDDINGCONFIGURATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_TPUEMBEDDINGCONFIGURATION = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGCONFIGURATION_MODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_TPUEMBEDDINGCONFIGURATION_MODE = _descriptor.EnumDescriptor($/;" v +_TPUEMBEDDINGCONFIGURATION_SHARDINGSTRATEGY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_TPUEMBEDDINGCONFIGURATION_SHARDINGSTRATEGY = _descriptor.EnumDescriptor($/;" v +_TPUEMBEDDINGCONFIGURATION_TABLEDESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_configuration_pb2.py /^_TPUEMBEDDINGCONFIGURATION_TABLEDESCRIPTOR = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT_EMBEDDINGOUTPUTTENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT_EMBEDDINGOUTPUTTENSOR = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT_FEATUREDESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT_FEATUREDESCRIPTOR = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT_OUTPUTLOCATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT_OUTPUTLOCATION = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT_TABLEDESCRIPTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT_TABLEDESCRIPTOR = _descriptor.Descriptor($/;" v +_TPUEMBEDDINGOUTPUTLAYOUT_TWODOUTPUTTENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/tpu_embedding_output_layout_pb2.py /^_TPUEMBEDDINGOUTPUTLAYOUT_TWODOUTPUTTENSOR = _descriptor.Descriptor($/;" v +_TPUEstimatorReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^class _TPUEstimatorReplicaContext(tf.distribute.ReplicaContext):$/;" c +_TPUEstimatorSpec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^class _TPUEstimatorSpec($/;" c +_TPUInferenceContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^class _TPUInferenceContext(control_flow_ops.XLAControlFlowContext):$/;" c +_TPUPollingThread adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^class _TPUPollingThread(threading.Thread):$/;" c +_TPUReplicaContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^class _TPUReplicaContext(distribute_lib.ReplicaContext):$/;" c +_TPUSharedDeviceSpecificEmbeddingColumnV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^class _TPUSharedDeviceSpecificEmbeddingColumnV2(_TPUSharedEmbeddingColumnV2):$/;" c +_TPUSharedEmbeddingColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^class _TPUSharedEmbeddingColumn(_TPUBaseEmbeddingColumn,$/;" c +_TPUSharedEmbeddingColumnV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^class _TPUSharedEmbeddingColumnV2(_TPUBaseEmbeddingColumn,$/;" c +_TPUStopAtStepHook adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^class _TPUStopAtStepHook(tf.compat.v1.train.SessionRunHook):$/;" c +_tpu_backend adpepsenv/lib/python3.8/site-packages/jax/lib/xla_bridge.py /^_tpu_backend = None$/;" v +_tpu_backend_factory adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^def _tpu_backend_factory():$/;" f +_tpu_call adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _tpu_call(args):$/;" f function:inference_on_tpu file: +_tpu_client adpepsenv/lib/python3.8/site-packages/jaxlib/tpu_client.py /^from . import tpu_client_extension as _tpu_client$/;" x +_TPU_COMPILATION_STATUS_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_TPU_COMPILATION_STATUS_ATTR = "_tpu_compilation_status"$/;" v +_TPU_CONN_RETRIES adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^_TPU_CONN_RETRIES = 120$/;" v +_tpu_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^def _tpu_device_name(job, task, device):$/;" f +_TPU_DEVICE_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^_TPU_DEVICE_REGEX = re.compile($/;" v +_TPU_DEVICE_SPECIFIC_EMBEDDING_COLUMNS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_TPU_DEVICE_SPECIFIC_EMBEDDING_COLUMNS = ($/;" v +_TPU_EMBEDDING_COLUMN_CLASSES adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^_TPU_EMBEDDING_COLUMN_CLASSES = (tpu_fc._TPUEmbeddingColumn,$/;" v +_TPU_ENQUEUE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TPU_ENQUEUE_OPS = '_tpu_enqueue_ops'$/;" v +_TPU_ESTIMATOR adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TPU_ESTIMATOR = 'tpu_estimator'$/;" v +_tpu_estimator_gauge adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_tpu_estimator_gauge = monitoring.BoolGauge($/;" v +_TPU_FC_TO_SCOPE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^_TPU_FC_TO_SCOPE = '_tpu_feature_column_scope'$/;" v +_tpu_function_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _tpu_function_creator(self, fn, options):$/;" m class:TPUExtended +_tpu_host_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^def _tpu_host_device_name(job, task):$/;" f +_tpu_init_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^ def _tpu_init_fn():$/;" f function:initialize_tpu_system file: +_tpu_multi_host_concat adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^def _tpu_multi_host_concat(v, strategy):$/;" f +_tpu_ordinal_function adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _tpu_ordinal_function(shard_index_in_host):$/;" f member:_InternalTPUContext.tpu_ordinal_function file: +_TPU_REPLICATE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_TPU_REPLICATE_ATTR = "_tpu_replicate"$/;" v +_tpu_service adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def _tpu_service(self):$/;" m class:Client +_tpu_shutdown_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_strategy_util.py /^ def _tpu_shutdown_fn():$/;" f function:shutdown_tpu_system file: +_tpu_system_device_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^def _tpu_system_device_name(job):$/;" f +_TPU_TOLERANCE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^_TPU_TOLERANCE = 1e-7$/;" v +_TPU_TRAIN_OP adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_TPU_TRAIN_OP = '_tpu_train_op'$/;" v +_TPU_WORKER_JOB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^_TPU_WORKER_JOB_NAME = 'tpu_worker_job_name'$/;" v +_tp_cache adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _tp_cache(x):$/;" f +_trace adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^def _trace(A):$/;" f +_TRACE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_TRACE = _descriptor.Descriptor($/;" v +_TRACE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_TRACE = _descriptor.Descriptor($/;" v +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def _trace(self):$/;" m class:LinearOperator +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^def _trace(x, name=None):$/;" f +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def _trace(self):$/;" m class:LinearOperatorAdjoint +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def _trace(self):$/;" m class:LinearOperatorBlockDiag +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _trace(self):$/;" m class:LinearOperatorBlockLowerTriangular +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _trace(self):$/;" m class:_BaseLinearOperatorCirculant +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def _trace(self):$/;" m class:LinearOperatorHouseholder +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _trace(self):$/;" m class:LinearOperatorIdentity +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def _trace(self):$/;" m class:LinearOperatorScaledIdentity +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def _trace(self):$/;" m class:LinearOperatorKronecker +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def _trace(self):$/;" m class:LinearOperatorToeplitz +_trace adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _trace(self):$/;" m class:LinearOperatorZeros +_trace adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _trace(func, args, operator_export_type, return_outs=False):$/;" f +_trace adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^def _trace(*args, **kwargs):$/;" f +_traceback adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/tf_logging.py /^import traceback as _traceback$/;" I +_TraceContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_TraceContext = collections.namedtuple("TraceContext", ("graph", "profiler"))$/;" v +_traced_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ _traced_graphs = set()$/;" v class:TensorTracer +_traced_restore adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def _traced_restore(self, file_prefix):$/;" m class:MultiDeviceSaver +_traced_save adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def _traced_save(self, file_prefix):$/;" m class:MultiDeviceSaver +_TRACEEVENT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_TRACEEVENT = _descriptor.Descriptor($/;" v +_TRACEEVENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_TRACEEVENT = _descriptor.Descriptor($/;" v +_TRACEEVENT_ARGSENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_TRACEEVENT_ARGSENTRY = _descriptor.Descriptor($/;" v +_TRACEEVENT_ARGSENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_TRACEEVENT_ARGSENTRY = _descriptor.Descriptor($/;" v +_TRACER_LOG_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_TRACER_LOG_PREFIX = ' [>>>TT>>>]'$/;" v +_trace_and_get_graph_from_model adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _trace_and_get_graph_from_model(model, args):$/;" f +_TRACE_COUNT adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_TRACE_COUNT = {}$/;" v +_TRACE_COUNT_CONSISTENCY_LOCK adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_TRACE_COUNT_CONSISTENCY_LOCK = threading.Lock()$/;" v +_TRACE_COUNT_LIMIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^_TRACE_COUNT_LIMIT = 32$/;" v +_TRACE_DEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/protobuf/trace_events_pb2.py /^_TRACE_DEVICESENTRY = _descriptor.Descriptor($/;" v +_TRACE_DEVICESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/trace_events_pb2.py /^_TRACE_DEVICESENTRY = _descriptor.Descriptor($/;" v +_trace_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _trace_dispatcher($/;" f +_trace_execution adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _trace_execution(self, graph,$/;" m class:TensorTracer +_TRACE_FILE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TRACE_FILE_NAME = 'trace.all'$/;" v +_TRACE_MODE_PART_TENSOR_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TRACE_MODE_PART_TENSOR_SIZE = 3$/;" v +_trace_module_map adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_trace_module_map: Optional[Dict[Any, Any]] = None$/;" v +_trace_resource_initializers adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _trace_resource_initializers(accessible_objects):$/;" f +_trace_save_and_restore_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^def _trace_save_and_restore_function(saveable_factory, object_to_save):$/;" f +_trace_variant_creation adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _trace_variant_creation(self):$/;" m class:DatasetV2 +_TRACKABLEOBJECTGRAPH adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_OBJECTREFERENCE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_OBJECTREFERENCE = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_OBJECTREFERENCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_OBJECTREFERENCE = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SERIALIZEDTENSOR adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SERIALIZEDTENSOR = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SERIALIZEDTENSOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SERIALIZEDTENSOR = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SLOTVARIABLEREFERENCE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SLOTVARIABLEREFERENCE = _descriptor.Descriptor($/;" v +_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SLOTVARIABLEREFERENCE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/trackable_object_graph_pb2.py /^_TRACKABLEOBJECTGRAPH_TRACKABLEOBJECT_SLOTVARIABLEREFERENCE = _descriptor.Descriptor($/;" v +_trackable_custom_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def _trackable_custom_creator(next_creator,$/;" f function:capture_dependencies file: +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _trackable_saved_model_saver(self):$/;" m class:Layer +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _trackable_saved_model_saver(self):$/;" m class:Layer +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _trackable_saved_model_saver(self):$/;" m class:Functional +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^ def _trackable_saved_model_saver(self):$/;" m class:InputLayer +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def _trackable_saved_model_saver(self):$/;" m class:Sequential +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _trackable_saved_model_saver(self):$/;" m class:Model +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _trackable_saved_model_saver(self):$/;" m class:Model +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _trackable_saved_model_saver(self):$/;" m class:RNN +_trackable_saved_model_saver adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def _trackable_saved_model_saver(self):$/;" m class:Metric +_tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def _tracking_metadata(self):$/;" m class:Layer +_tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def _tracking_metadata(self):$/;" m class:Layer +_tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^ def _tracking_metadata(self):$/;" m class:DenseFeatures +_tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _tracking_metadata(self):$/;" m class:_DelegatingTrackableMixin +_tracking_metadata adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _tracking_metadata(self):$/;" m class:Trackable +_track_and_visit_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def _track_and_visit_loop(self, node):$/;" m class:DirectivesTransformer +_track_symbol adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _track_symbol(self, node, composite_writes_alter_parent=False):$/;" m class:ActivityAnalyzer +_track_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _track_trackable(self, trackable, name, overwrite=False): # pylint: disable=redefined-out/;" m class:_DelegatingTrackableMixin +_track_trackable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _track_trackable(self, trackable, name, overwrite=False):$/;" m class:Trackable +_track_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _track_value(self, value, name):$/;" m class:ListWrapper +_track_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _track_value(self, value, name):$/;" m class:TrackableDataStructure +_track_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _track_value(self, value, name):$/;" m class:_DictWrapper +_track_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _track_value(self, value, name):$/;" m class:_TupleWrapper +_TRAINER_JOBS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^_TRAINER_JOBS = (run_config_lib.TaskType.CHIEF, run_config_lib.TaskType.MASTER,$/;" v +_trainer_process adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def _trainer_process(self, rank: int):$/;" m class:DdpUnderDistAutogradTest +_TrainingEndpoint adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^class _TrainingEndpoint(object):$/;" c +_TrainingExecutor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^class _TrainingExecutor(object):$/;" c +_TrainingTarget adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^class _TrainingTarget(object):$/;" c +_training_mode adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^_training_mode = None$/;" v +_train_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _train_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_train_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def _train_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_train_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _train_input_fn():$/;" f member:BaseLinearClassifierIntegrationTest._test_input_fn_from_parse_example file: +_train_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def _train_input_fn():$/;" f member:BaseLinearRegressorIntegrationTest.test_input_fn_from_parse_example file: +_train_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/saved_model_test_base.py /^ def _train_model(self, model, x_train, y_train, batch_size):$/;" m class:TestSavedModelBase +_train_model adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _train_model(self, input_fn, hooks, saving_listeners):$/;" m class:Estimator +_train_model_default adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _train_model_default(self, input_fn, hooks, saving_listeners):$/;" m class:Estimator +_train_model_distributed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _train_model_distributed(self, input_fn, hooks, saving_listeners):$/;" m class:Estimator +_train_on_tpu_system adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _train_on_tpu_system(ctx, model_fn_wrapper, dequeue_fn):$/;" f +_train_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def _train_ops(self, features):$/;" m class:TimeSeriesRegressionHead +_train_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _train_op_fn(loss):$/;" f function:_bt_model_fn file: +_train_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _train_op_fn(loss):$/;" f function:_dnn_linear_combined_model_fn file: +_train_op_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def _train_op_fn(loss):$/;" f function:_dnn_linear_combined_model_fn_v2 file: +_TRAIN_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^_TRAIN_SIZE = 200$/;" v +_train_with_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _train_with_estimator_spec(self, estimator_spec, worker_hooks, hooks,$/;" m class:Estimator +_train_with_estimator_spec_distributed adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _train_with_estimator_spec_distributed(self, estimator_spec, worker_hooks,$/;" m class:Estimator +_train_with_multi_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^def _train_with_multi_worker(method):$/;" f +_train_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _train_writer(self):$/;" m class:TensorBoard +_transform adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def _transform(message, transformer, exception_message):$/;" f +_transform adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _transform(self, a):$/;" m class:SymLogNorm +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _transformation_name(self):$/;" m class:_GroupByReducerDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def _transformation_name(self):$/;" m class:_GroupByWindowDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def _transformation_name(self):$/;" m class:_MapOnGpuDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^ def _transformation_name(self):$/;" m class:_ScanDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def _transformation_name(self):$/;" m class:_SnapshotDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:FilterDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:FlatMapDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:InterleaveDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:MapDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:ParallelInterleaveDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:ParallelMapDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _transformation_name(self):$/;" m class:_GeneratorDataset +_transformation_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def _transformation_name(self):$/;" m class:ParallelInterleaveDataset +_TransformedFnCache adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^class _TransformedFnCache(object):$/;" c +_transformer adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def _transformer(cls, tokens, slant, extend):$/;" m class:Type1Font +_transformList adpepsenv/lib/python3.8/site-packages/caffe2/python/serialized_test/serialized_test_util.py /^def _transformList(l):$/;" f +_Transforms adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^_Transforms = Sequence[Tuple[str, Dict[str, Any]]]$/;" v +_transforms adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _transforms = np.empty((0, 3, 3))$/;" v class:Collection +_transform_affine adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ _transform_affine = transform_affine$/;" v class:Affine2DBase +_transform_banded_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^def _transform_banded_jac(bjac):$/;" f +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_BucketizedColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_CrossedColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_EmbeddingColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_FeatureColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_HashedCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_IdentityCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_IndicatorColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_NumericColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_SequenceCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_SharedEmbeddingColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_VocabularyFileCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_VocabularyListCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _transform_feature(self, inputs):$/;" m class:_WeightedCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:BucketizedColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:CrossedColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:EmbeddingColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:HashedCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:IdentityCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:IndicatorColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:NumericColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:SequenceCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:SharedEmbeddingColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:VocabularyFileCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:VocabularyListCategoricalColumn +_transform_feature adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_feature(self, inputs):$/;" m class:WeightedCategoricalColumn +_transform_features adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _transform_features(features, feature_columns):$/;" f +_transform_features_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _transform_features_v2(features, feature_columns, state_manager):$/;" f +_transform_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _transform_gradients(self, grads_and_vars):$/;" m class:OptimizerV2 +_transform_id_weight_pair adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_id_weight_pair(self, id_weight_pair, size):$/;" m class:IndicatorColumn +_transform_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_input_tensor(self, input_tensor):$/;" m class:HashedCategoricalColumn +_transform_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_input_tensor(self, input_tensor):$/;" m class:IdentityCategoricalColumn +_transform_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_input_tensor(self, input_tensor):$/;" m class:NumericColumn +_transform_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_input_tensor(self, input_tensor, state_manager=None):$/;" m class:VocabularyFileCategoricalColumn +_transform_input_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_input_tensor(self, input_tensor, state_manager=None):$/;" m class:VocabularyListCategoricalColumn +_transform_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _transform_loss(self, loss):$/;" m class:OptimizerV2 +_transform_path adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def _transform_path(self, subslice=None):$/;" m class:Line2D +_transform_to_cat adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_cat(constraint):$/;" f +_transform_to_greater_than adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_greater_than(constraint):$/;" f +_transform_to_interval adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_interval(constraint):$/;" f +_transform_to_less_than adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_less_than(constraint):$/;" f +_transform_to_lower_cholesky adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_lower_cholesky(constraint):$/;" f +_transform_to_positive adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_positive(constraint):$/;" f +_transform_to_real adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_real(constraint):$/;" f +_transform_to_simplex adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_simplex(constraint):$/;" f +_transform_to_stack adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^def _transform_to_stack(constraint):$/;" f +_transform_unaggregated_gradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _transform_unaggregated_gradients(self, grads_and_vars):$/;" m class:OptimizerV2 +_transform_url adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^def _transform_url(url, transform_netloc):$/;" f +_transform_vmin_vmax adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def _transform_vmin_vmax(self):$/;" m class:SymLogNorm +_transform_weight_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _transform_weight_tensor(self, weight_tensor):$/;" m class:WeightedCategoricalColumn +_TranslateStridePadKernelHelper adpepsenv/lib/python3.8/site-packages/caffe2/python/caffe_translator.py /^def _TranslateStridePadKernelHelper(param, caffe_op):$/;" f +_translate_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _translate_dispatcher(a, table, deletechars=None):$/;" f +_translate_int adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def _translate_int(exp, length):$/;" f +_translate_pattern adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def _translate_pattern(self, pattern, anchor=True, prefix=None,$/;" m class:Manifest +_translate_slice adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^def _translate_slice(exp, length):$/;" f +_translate_tick_kw adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _translate_tick_kw(kw):$/;" m class:Axis +_transOffset adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ _transOffset = transforms.IdentityTransform()$/;" v class:Collection +_TRANSPILER adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^_TRANSPILER = PyToTF()$/;" v +_transpose adpepsenv/lib/python3.8/site-packages/cycler.py /^ _transpose = by_key$/;" v class:Cycler +_transpose adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _transpose(operand, *, permutation):$/;" f +_transpose adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^def _transpose(x, axes, backend='numpy'):$/;" f +_transpose adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def _transpose(self):$/;" m class:LinearOperator +_TransposedLinearOperator adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^class _TransposedLinearOperator(LinearOperator):$/;" c +_TransposeGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _TransposeGrad(op, grad):$/;" f +_TransposeTridiagonalMatrix adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _TransposeTridiagonalMatrix(diags):$/;" f +_transpose_batch_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _transpose_batch_rule(batched_args, batch_dims, *, permutation):$/;" f +_transpose_batch_time adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn.py /^def _transpose_batch_time(x):$/;" f +_transpose_cond_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _transpose_cond_jaxpr(jaxpr, num_res):$/;" f +_transpose_dim_to_front adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _transpose_dim_to_front(x, dim):$/;" f +_transpose_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _transpose_dispatcher(a, axes=None):$/;" f +_transpose_elem adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _transpose_elem(i, h):$/;" f function:_convert_tensor_list_concat_v2 file: +_transpose_first_two_dims adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _transpose_first_two_dims(value):$/;" f +_transpose_if_necessary adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/special_math_ops.py /^def _transpose_if_necessary(tensor, perm):$/;" f +_transpose_impl adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _transpose_impl(operand, *, permutation):$/;" f +_transpose_masking_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _transpose_masking_rule(padded_vals, logical_shapes, permutation):$/;" f +_transpose_one_output adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _transpose_one_output(linear_fun, primals):$/;" f +_transpose_scan_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _transpose_scan_jaxpr(num_res1, num_c, num_res2, jaxpr):$/;" f +_transpose_shape_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _transpose_shape_rule(operand, *, permutation):$/;" f +_transpose_vectorized adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^def _transpose_vectorized(M):$/;" f +_trapz_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _trapz_dispatcher(y, x=None, dx=None, axis=None):$/;" f +_traverse_internal adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/traverse.py /^def _traverse_internal(root, visit, stack, path):$/;" f +_TREE adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_TREE = _descriptor.Descriptor($/;" v +_TREEENSEMBLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_TREEENSEMBLE = _descriptor.Descriptor($/;" v +_TreeEnsembleSavable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^class _TreeEnsembleSavable(saver.BaseSaverBuilder.SaveableObject):$/;" c +_TreeHParams adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^_TreeHParams = collections.namedtuple('TreeHParams', [$/;" v +_TREEMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_TREEMETADATA = _descriptor.Descriptor($/;" v +_TREEMETADATA_POSTPRUNENODEUPDATE adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_TREEMETADATA_POSTPRUNENODEUPDATE = _descriptor.Descriptor($/;" v +_TreeNormalizer adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^class _TreeNormalizer(ast.NodeTransformer):$/;" c +_TREEPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/hsm_pb2.py /^_TREEPROTO = _descriptor.Descriptor($/;" v +_tree_normalizer adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^_tree_normalizer = _TreeNormalizer()$/;" v +_tree_repr adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _tree_repr(self, tree):$/;" m class:AnnotatedMeta +_tree_to_sequence adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _tree_to_sequence(c):$/;" f +_tri adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _tri(dtype: DType, shape: Shape, offset: int) -> Array:$/;" f +_triage_segments adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^def _triage_segments(window, nperseg, input_length):$/;" f +_trial_greedy_ssa_path_and_cost adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^def _trial_greedy_ssa_path_and_cost(r, inputs, output, size_dict, choose_fn, cost_fn):$/;" f +_triangle_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _triangle_path = Path([[0, 1], [-1, -1], [1, -1], [0, 1]], closed=True)$/;" v class:MarkerStyle +_triangle_path_d adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _triangle_path_d = Path($/;" v class:MarkerStyle +_triangle_path_l adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _triangle_path_l = Path([[0, 1], [0, -1], [-1, -1], [0, 1]], closed=True)$/;" v class:MarkerStyle +_triangle_path_r adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _triangle_path_r = Path([[0, 1], [0, -1], [1, -1], [0, 1]], closed=True)$/;" v class:MarkerStyle +_triangle_path_u adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _triangle_path_u = Path([[0, 1], [-3\/5, -1\/5], [3\/5, -1\/5], [0, 1]],$/;" v class:MarkerStyle +_triangular2_scale_fn adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _triangular2_scale_fn(self, x):$/;" m class:CyclicLR +_TriangularSolve adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _TriangularSolve(x, r):$/;" f function:_QrGrad file: +_TRIANGULARSOLVEOPTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_TRIANGULARSOLVEOPTIONS = _descriptor.Descriptor($/;" v +_TRIANGULARSOLVEOPTIONS_TRANSPOSE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_TRIANGULARSOLVEOPTIONS_TRANSPOSE = _descriptor.EnumDescriptor($/;" v +_triangular_scale_fn adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def _triangular_scale_fn(self, x):$/;" m class:CyclicLR +_triangular_solve adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _triangular_solve(a: TfVal, b: TfVal, *, left_side: bool, lower: bool,$/;" f +_triangular_solve_cpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _triangular_solve_cpu_translation_rule($/;" f +_triangular_solve_gpu_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _triangular_solve_gpu_translation_rule(trsm_impl,$/;" f +_triangular_solve_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _triangular_solve_translation_rule($/;" f +_TridiagonalMatMulGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _TridiagonalMatMulGrad(op, grad):$/;" f +_TridiagonalSolveGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^def _TridiagonalSolveGrad(op, grad):$/;" f +_tridiagonal_solve_compact_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linalg_impl.py /^def _tridiagonal_solve_compact_format(diagonals, rhs, transpose_rhs,$/;" f +_trigger_tool adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def _trigger_tool(self, name, sender=None, canvasevent=None, data=None):$/;" m class:ToolManager +_TRIL adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^_TRIL = "tril"$/;" v +_trilu_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _trilu_dispatcher(m, k=None):$/;" f +_trilu_indices_form_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _trilu_indices_form_dispatcher(arr, k=None):$/;" f +_trimmed_stde_1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _trimmed_stde_1D(a, low_limit, up_limit, low_inclusive, up_inclusive):$/;" f function:trimmed_stde file: +_trimr1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _trimr1D(a, low_limit, up_limit, low_inclusive, up_inclusive):$/;" f function:trimr file: +_trim_arity adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _trim_arity(func, maxargs=2):$/;" f +_trim_arity adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _trim_arity(func, maxargs=2):$/;" f +_trim_arity adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _trim_arity(func, maxargs=2):$/;" f +_trim_arity adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _trim_arity(func, maxargs=2):$/;" f +_trim_traceback adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _trim_traceback(cls, tb):$/;" m class:ParserElement +_trim_traceback adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _trim_traceback(cls, tb):$/;" m class:ParserElement +_trim_zeros adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _trim_zeros(filt, trim=None):$/;" f +_triple adpepsenv/lib/python3.8/site-packages/torch/nn/modules/utils.py /^_triple = _ntuple(3)$/;" v +_tri_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _tri_path = Path([[0.0, 0.0], [0.0, -1.0],$/;" v class:MarkerStyle +_TRTENGINEINSTANCE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2tensorrt/utils/trt_engine_instance_pb2.py /^_TRTENGINEINSTANCE = _descriptor.Descriptor($/;" v +_TRTEngineResource adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class _TRTEngineResource(tracking.TrackableResource):$/;" c +_TRTEngineResourceDeleter adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^class _TRTEngineResourceDeleter(tracking.CapturableResourceDeleter):$/;" c +_TRT_ENGINE_OP_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^_TRT_ENGINE_OP_NAME = "TRTEngineOp"$/;" v +_truediv_python3 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _truediv_python3(x, y, name=None):$/;" f +_TRUEDIV_TABLE adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^_TRUEDIV_TABLE = {$/;" v +_true_getter adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def _true_getter( # pylint: disable=missing-docstring$/;" f member:_VariableStore.get_variable file: +_truncate adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^def _truncate(w, needed):$/;" f +_TruncateDivGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _TruncateDivGrad(_, unused_grad):$/;" f +_truncated_normal adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _truncated_normal(key, lower, upper, shape, dtype) -> jnp.ndarray:$/;" f +_truncated_normal adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _truncated_normal(self, shape, dtype):$/;" m class:Generator +_truncate_execution_to_epoch adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _truncate_execution_to_epoch(self):$/;" m class:DataHandler +_truncate_seed adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/random_seed.py /^def _truncate_seed(seed):$/;" f +_truncation_error adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^ def _truncation_error(self, section):$/;" m class:PyRecordReader_New +_truncnorm_cdf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_cdf_scalar(x, a, b):$/;" f +_truncnorm_get_delta adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_get_delta(a, b):$/;" f +_truncnorm_get_delta_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_get_delta_scalar(a, b):$/;" f +_truncnorm_get_logdelta_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_get_logdelta_scalar(a, b):$/;" f +_truncnorm_logcdf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_logcdf_scalar(x, a, b):$/;" f +_truncnorm_logpdf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_logpdf_scalar(x, a, b):$/;" f +_truncnorm_logsf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_logsf_scalar(x, a, b):$/;" f +_truncnorm_pdf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_pdf_scalar(x, a, b):$/;" f +_truncnorm_ppf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_ppf_scalar(q, a, b):$/;" f +_truncnorm_sf_scalar adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^def _truncnorm_sf_scalar(x, a, b):$/;" f +_Try adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Try(self, t):$/;" m class:Unparser +_TryConsumeInt64 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _TryConsumeInt64(tokenizer):$/;" f +_TryConsumeInteger adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _TryConsumeInteger(tokenizer, is_signed=False, is_long=False):$/;" f +_TryConsumeUint64 adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^def _TryConsumeUint64(tokenizer):$/;" f +_tryCreateReader adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def _tryCreateReader(self):$/;" m class:DebuggerV2EventMultiplexer +_TryCustomFormatMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _TryCustomFormatMessage(self, message):$/;" m class:_Printer +_TryExcept adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _TryExcept(self, t):$/;" m class:Unparser +_TryFinally adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _TryFinally(self, t):$/;" m class:Unparser +_TryLoadExtensionFromDB adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def _TryLoadExtensionFromDB(self, message_descriptor, number):$/;" m class:DescriptorPool +_TryPrintAsAnyMessage adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def _TryPrintAsAnyMessage(self, message):$/;" m class:_Printer +_try_broadcast_shapes adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _try_broadcast_shapes(shapes):$/;" f +_try_build_layer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _try_build_layer(self, obj, node_id, build_input_shape):$/;" m class:KerasObjectLoader +_try_call adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def _try_call(self, func):$/;" m class:CPUInfoBase +_try_cast_integer_to_float adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def _try_cast_integer_to_float(g, *args):$/;" f +_try_convert_to_tensor_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^def _try_convert_to_tensor_spec(arg, dtype_hint):$/;" f +_try_convert_to_unicode adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^def _try_convert_to_unicode(output):$/;" f +_try_distill adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^def _try_distill(func, *args, **kwargs):$/;" f +_try_get_data adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _try_get_data(self, timeout=_utils.MP_STATUS_CHECK_INTERVAL):$/;" m class:_MultiProcessingDataLoaderIter +_try_get_dispatched_fn adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^def _try_get_dispatched_fn(fn):$/;" f +_try_get_jit_cached_function adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _try_get_jit_cached_function(key):$/;" f +_try_get_jit_cached_overloads adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^def _try_get_jit_cached_overloads(key):$/;" f +_try_get_scalar_type adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _try_get_scalar_type(*args):$/;" f +_try_get_shapes adpepsenv/lib/python3.8/site-packages/caffe2/contrib/tensorboard/tensorboard_exporter.py /^def _try_get_shapes(nets):$/;" f +_try_get_shapes adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_caffe2_graph.py /^def _try_get_shapes(nets):$/;" f +_try_guard_against_uninitialized_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^def _try_guard_against_uninitialized_dependencies(name, initial_value):$/;" f +_try_handling_undefineds adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _try_handling_undefineds($/;" f +_try_load_par_source adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/source_utils.py /^def _try_load_par_source(source_file_path):$/;" f +_try_makedirs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _try_makedirs(self, dir_path):$/;" m class:EventListenerTestStreamHandler +_try_put adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def _try_put(self, item):$/;" m class:EventFileWriter +_try_put_index adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def _try_put_index(self):$/;" m class:_MultiProcessingDataLoaderIter +_try_run_local_init_op adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def _try_run_local_init_op(self, sess):$/;" m class:SessionManager +_try_str adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _try_str(val):$/;" m class:Distribution +_try_tf_conv adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _try_tf_conv(lhs, rhs, window_strides, padding, lhs_dilation, rhs_dilation,$/;" f +_try_tf_pool adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _try_tf_pool(op_name, operand, window_dimensions, window_strides, padding,$/;" f +_ttest_finish adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _ttest_finish(df, t, alternative):$/;" f +_ttest_ind_from_stats adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _ttest_ind_from_stats(mean1, mean2, denom, df, alternative):$/;" f +_ttest_nans adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _ttest_nans(a, b, axis, namedtuple_type):$/;" f +_ttinfo adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class _ttinfo(object):$/;" c +_TT_DEFAULT_TRACE_LEVEL adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_DEFAULT_TRACE_LEVEL = 3$/;" v +_TT_EVENT_FILE_SUFFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_EVENT_FILE_SUFFIX = '.tensor_tracer'$/;" v +_TT_HOSTCALL_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_HOSTCALL_KEY = 'tensor_tracer_host_call'$/;" v +_TT_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_MAX = 'max'$/;" v +_TT_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_MEAN = 'mean'$/;" v +_TT_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_MIN = 'min'$/;" v +_TT_NORM adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_NORM = 'norm'$/;" v +_TT_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_PREFIX = 'tensor_tracer'$/;" v +_TT_REPORT_PROTO adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^_TT_REPORT_PROTO = 'tensor_tracer_report.report_pb'$/;" v +_TT_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_SIZE = 'size'$/;" v +_TT_SNAPSHOT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SNAPSHOT = 'tensor_tracer_snapshot'$/;" v +_TT_SUMMARY_MAX adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_MAX = tensor_tracer_flags.TT_SUMMARY_MAX$/;" v +_TT_SUMMARY_MAX_QUEUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_MAX_QUEUE = 10$/;" v +_TT_SUMMARY_MEAN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_MEAN = tensor_tracer_flags.TT_SUMMARY_MEAN$/;" v +_TT_SUMMARY_MIN adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_MIN = tensor_tracer_flags.TT_SUMMARY_MIN$/;" v +_TT_SUMMARY_NORM adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_NORM = tensor_tracer_flags.TT_SUMMARY_NORM$/;" v +_TT_SUMMARY_SIZE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_SIZE = tensor_tracer_flags.TT_SUMMARY_SIZE$/;" v +_TT_SUMMARY_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_TAG = 'tensor_tracer_summary'$/;" v +_TT_SUMMARY_VAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_SUMMARY_VAR = tensor_tracer_flags.TT_SUMMARY_VAR$/;" v +_TT_TENSORBOARD_PLUGIN_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^_TT_TENSORBOARD_PLUGIN_NAME = 'tensor_tracer'$/;" v +_TT_VAR adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^_TT_VAR = 'var'$/;" v +_tukeylambda_kurt_p adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_kurt_p = poly1d(_tukeylambda_kurt_pc[::-1])$/;" v +_tukeylambda_kurt_pc adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_kurt_pc = [1.2, -5.853465139719495, -22.653447381131077,$/;" v +_tukeylambda_kurt_q adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_kurt_q = poly1d(_tukeylambda_kurt_qc[::-1])$/;" v +_tukeylambda_kurt_qc adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_kurt_qc = [1.0, 7.171149192233599, 12.96663094361842,$/;" v +_tukeylambda_var_p adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_var_p = poly1d(_tukeylambda_var_pc[::-1])$/;" v +_tukeylambda_var_pc adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_var_pc = [3.289868133696453, 0.7306125098871127,$/;" v +_tukeylambda_var_q adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_var_q = poly1d(_tukeylambda_var_qc[::-1])$/;" v +_tukeylambda_var_qc adpepsenv/lib/python3.8/site-packages/scipy/stats/_tukeylambda_stats.py /^_tukeylambda_var_qc = [1.0, 3.683605511659861, 4.184152498888124,$/;" v +_tukey_lmbda_quantile adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^def _tukey_lmbda_quantile(p, lmbda):$/;" f +_tup adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def _tup(self):$/;" m class:_DoubleDouble +_Tuple adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Tuple(self, t):$/;" m class:Unparser +_TUPLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tfprof_log_pb2.py /^_TUPLE = _descriptor.Descriptor($/;" v +_TUPLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/tfprof_log_pb2.py /^_TUPLE = _descriptor.Descriptor($/;" v +_TupleCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _TupleCodec(object):$/;" c +_TUPLEVALUE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_TUPLEVALUE = _descriptor.Descriptor($/;" v +_TUPLEVALUE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_TUPLEVALUE = _descriptor.Descriptor($/;" v +_TupleWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class _TupleWrapper(TrackableDataStructure, wrapt.ObjectProxy):$/;" c +_tuple_or_none adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^def _tuple_or_none(data):$/;" f +_tuple_output adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _tuple_output(*args, **kwargs):$/;" f +_tuple_postprocess adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _tuple_postprocess(res, to_unpack):$/;" f +_turbo_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_turbo_data = [[0.18995, 0.07176, 0.23217],$/;" v +_twilight_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_twilight_data = [$/;" v +_twilight_shifted_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_twilight_shifted_data = (_twilight_data[len(_twilight_data)\/\/2:] +$/;" v +_twinned_axes adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ _twinned_axes = cbook.Grouper()$/;" v class:_AxesBase +_two adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_two: Callable = partial(full_like, shape=(), fill_value=2)$/;" v +_TwoFloatOutputsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_TwoFloatOutputsOutput = collections.namedtuple($/;" v +_TwoIntOutputsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_ops.py /^_TwoIntOutputsOutput = collections.namedtuple($/;" v +_TWONUMBERSTATSPROTO adpepsenv/lib/python3.8/site-packages/caffe2/proto/prof_dag_pb2.py /^_TWONUMBERSTATSPROTO = _descriptor.Descriptor($/;" v +_twos adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_twos: Callable = partial(full_like, fill_value=2)$/;" v +_two_sample_transform adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _two_sample_transform(u, v):$/;" f +_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _TYPE = int$/;" v class:Int32ValueChecker +_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _TYPE = int$/;" v class:Uint32ValueChecker +_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _TYPE = long$/;" v class:Int64ValueChecker +_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ _TYPE = long$/;" v class:Uint64ValueChecker +_TYPE adpepsenv/lib/python3.8/site-packages/google/protobuf/type_pb2.py /^_TYPE = _descriptor.Descriptor($/;" v +_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _type(self, node):$/;" m class:PrettyPrinter +_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^def _type(operator):$/;" f +_type adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _type(self, dtype=None, non_blocking=False, **kwargs):$/;" f +_TypeAliasBase adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypeAliasBase(typing._FinalTypingBase, metaclass=_TypeAliasMeta, _root=True):$/;" c +_TypeAliasForm adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypeAliasForm(typing._SpecialForm, _root=True):$/;" c +_TypeAliasMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypeAliasMeta(typing.TypingMeta):$/;" c +_TypeBasedDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^class _TypeBasedDispatcher(OpDispatcher):$/;" c +_typecheck_param adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _typecheck_param(prim, param, name, msg_required, pred):$/;" f +_typecodes_by_elsize adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^_typecodes_by_elsize = 'GDFgdfQqLlIiHhBb?'$/;" v +_TypedDictMeta adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypedDictMeta(type):$/;" c +_typeddict_new adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def _typeddict_new(*args, total=True, **kwargs):$/;" f +_typedict adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^class _typedict(dict):$/;" c +_typelessdata adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^_typelessdata = [int_, float_, complex_, bool_]$/;" v +_typename_from_ctypes adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^def _typename_from_ctypes(item):$/;" f +_types adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ _types = {$/;" v class:UnitDbl +_types adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^import types as _types$/;" I +_TypeSpecCodec adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/nested_structure_coder.py /^class _TypeSpecCodec(object):$/;" c +_TYPESPECPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_TYPESPECPROTO = _descriptor.Descriptor($/;" v +_TYPESPECPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_TYPESPECPROTO = _descriptor.Descriptor($/;" v +_TYPESPECPROTO_TYPESPECCLASS adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/struct_pb2.py /^_TYPESPECPROTO_TYPESPECCLASS = _descriptor.EnumDescriptor($/;" v +_TYPESPECPROTO_TYPESPECCLASS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/struct_pb2.py /^_TYPESPECPROTO_TYPESPECCLASS = _descriptor.EnumDescriptor($/;" v +_types_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^from tensorflow.lite.toco import types_pb2 as _types_pb2$/;" x +_types_pb2 adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/util.py /^from tensorflow.lite.toco import types_pb2 as _types_pb2$/;" x +_type_cache adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ _type_cache = {}$/;" v class:Type +_TYPE_COMPLETE adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^_TYPE_COMPLETE = 'X'$/;" v +_type_conv adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^_type_conv = {1: ('s', _np.dtype('float32')),$/;" v +_type_conv adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^_type_conv = {'f':'s', 'd':'d', 'F':'c', 'D':'z'} # 'd' will be default for 'i',..$/;" v +_type_conv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^_type_conv = {'f': 's', 'd': 'd', 'F': 'c', 'D': 'z'}$/;" v +_type_conv adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^_type_conv = {'f':'s', 'd':'d', 'F':'c', 'D':'z'}$/;" v +_TYPE_CONVERSION_FUNCTION_REGISTRY adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^_TYPE_CONVERSION_FUNCTION_REGISTRY = []$/;" v +_TYPE_ERROR adpepsenv/lib/python3.8/site-packages/scipy/linalg/interpolative.py /^_TYPE_ERROR = TypeError("invalid input type (must be array or LinearOperator)")$/;" v +_TYPE_IDENTIFIERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^_TYPE_IDENTIFIERS = []$/;" v +_TYPE_INSTANT adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^_TYPE_INSTANT = 'i'$/;" v +_type_int adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^_type_int = _FieldType($/;" v +_TYPE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_TYPE_KEY = 'type'$/;" v +_type_list_to_str adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^def _type_list_to_str(types):$/;" f +_TYPE_METADATA adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^_TYPE_METADATA = 'M'$/;" v +_type_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^def _type_name(x):$/;" f +_type_names adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^_type_names = ['BOOL', 'BYTE', 'UBYTE', 'SHORT', 'USHORT', 'INT', 'UINT',$/;" v +_type_promotion_lattice adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^def _type_promotion_lattice():$/;" f +_type_score adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^_type_score = {x: 1 for x in '?bBhHef'}$/;" v +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _type_spec(self):$/;" m class:DatasetV2 +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _type_spec(self):$/;" m class:_NestedVariant +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def _type_spec(self):$/;" m class:OwnedIterator +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def _type_spec(self):$/;" m class:OwnedMultiDeviceIterator +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def _type_spec(self):$/;" m class:_OptionalImpl +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _type_spec(self):$/;" m class:NoneTensor +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _type_spec(self):$/;" m class:DistributedIterator +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _type_spec(self):$/;" m class:_SingleWorkerOwnedDatasetIterator +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _type_spec(self):$/;" m class:ShardedVariable +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def _type_spec(self):$/;" m class:ShardedVariableMixin +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _type_spec(self):$/;" m class:PerReplica +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/composite_tensor.py /^ def _type_spec(self):$/;" m class:CompositeTensor +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def _type_spec(self):$/;" m class:IndexedSlices +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _type_spec(self):$/;" m class:SparseTensor +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _type_spec(self):$/;" m class:ndarray +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _type_spec(self):$/;" m class:RaggedTensor +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def _type_spec(self):$/;" m class:RowPartition +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _type_spec(self):$/;" m class:Generator +_type_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _type_spec(self):$/;" m class:StructuredTensor +_type_spec_for adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^def _type_spec_for(x):$/;" f +_type_spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^def _type_spec_from_value(value):$/;" f +_type_spec_from_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _type_spec_from_value(value):$/;" f member:Model._standardize_tensors file: +_type_str adpepsenv/lib/python3.8/site-packages/tensorboard/manager.py /^_type_str = _FieldType($/;" v +_TYPE_TAG adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^_TYPE_TAG = "type"$/;" v +_TYPE_TO_STRING adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^_TYPE_TO_STRING = {$/;" v +_TYPE_TO_STRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^_TYPE_TO_STRING = {$/;" v +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ import typing as _typing$/;" I +_typing adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ import typing as _typing$/;" I +_TypingEllipsis adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypingEllipsis:$/;" c +_TypingEmpty adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ class _TypingEmpty:$/;" c +_TzFactory adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^class _TzFactory(type):$/;" c +_tzfile adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class _tzfile(object):$/;" c +_tzfile adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^from dateutil.tz import tzfile as _tzfile$/;" x +_tzicalvtz adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class _tzicalvtz(_tzinfo):$/;" c +_tzicalvtzcomp adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^class _tzicalvtzcomp(object):$/;" c +_tzinfo adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^class _tzinfo(tzinfo):$/;" c +_TzOffsetFactory adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^class _TzOffsetFactory(_TzFactory):$/;" c +_tzparser adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class _tzparser(object):$/;" c +_tzparser adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^_tzparser = __deprecate_private_class(_tzparser)$/;" v +_TzSingleton adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^class _TzSingleton(type):$/;" c +_TzStrFactory adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^class _TzStrFactory(_TzFactory):$/;" c +_uarray adpepsenv/lib/python3.8/site-packages/scipy/_lib/uarray.py /^ import uarray as _uarray$/;" I +_ucrt_subdir adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _ucrt_subdir(self):$/;" m class:EnvironmentInfo +_UCS2_MAXUNICODE adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^_UCS2_MAXUNICODE = 65535$/;" v +_UFuncBinaryResolutionError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _UFuncBinaryResolutionError(UFuncTypeError):$/;" c +_UFuncCastingError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _UFuncCastingError(UFuncTypeError):$/;" c +_UFuncInputCastingError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _UFuncInputCastingError(_UFuncCastingError):$/;" c +_UFuncNoLoopError adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test__exceptions.py /^_UFuncNoLoopError = np.core._exceptions._UFuncNoLoopError$/;" v +_UFuncNoLoopError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _UFuncNoLoopError(UFuncTypeError):$/;" c +_UFuncOutputCastingError adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^class _UFuncOutputCastingError(_UFuncCastingError):$/;" c +_ufuncs_with_fixed_point_at_zero adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^_ufuncs_with_fixed_point_at_zero = frozenset([$/;" v +_ufunc_doc_signature_formatter adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _ufunc_doc_signature_formatter(ufunc):$/;" f +_ufunc_reconstruct adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^def _ufunc_reconstruct(module, name):$/;" f +_ufunc_reduce adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^def _ufunc_reduce(func):$/;" f +_uid_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^_uid_counter = 0$/;" v +_uid_counter adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^_uid_counter = 0$/;" v +_uid_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^_uid_lock = threading.Lock()$/;" v +_uid_lock adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^_uid_lock = threading.Lock()$/;" v +_UINT32VALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_UINT32VALUE = _descriptor.Descriptor($/;" v +_UINT64VALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/wrappers_pb2.py /^_UINT64VALUE = _descriptor.Descriptor($/;" v +_uint_ctypes adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^_uint_ctypes = list('u' + t for t in _int_ctypes)$/;" v +_UINT_DTYPES adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_UINT_DTYPES = {$/;" v +_UINT_DTYPES adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^_UINT_DTYPES = {8: jnp.uint8, 16: jnp.uint16, 32: jnp.uint32, 64: jnp.uint64}$/;" v +_uint_to_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^def _uint_to_int(n):$/;" f +_ui_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def _ui_loop(self):$/;" m class:CursesUI +_ui_loop adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def _ui_loop(self):$/;" m class:ReadlineUI +_UI_WAIT_COLOR_PAIR adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _UI_WAIT_COLOR_PAIR = ($/;" v class:CursesUI +_UI_WAIT_MESSAGE adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ _UI_WAIT_MESSAGE = "Processing..."$/;" v class:CursesUI +_unable_to_call_layer_due_to_serialization_issue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^def _unable_to_call_layer_due_to_serialization_issue($/;" f +_uname_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def _uname_info(self):$/;" m class:LinuxDistribution +_UnaryMapValueDispatcher adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^class _UnaryMapValueDispatcher(dispatch.OpDispatcher):$/;" c +_UnaryOp adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _UnaryOp(self, t):$/;" m class:Unparser +_UnaryOutcome adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _UnaryOutcome(grpc.Call, grpc.Future):$/;" c +_UnaryResponseMixin adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^class _UnaryResponseMixin(Call):$/;" c +_UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _UnaryStreamMultiCallable(face.UnaryStreamMultiCallable):$/;" c +_UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _UnaryStreamMultiCallable(grpc.UnaryStreamMultiCallable):$/;" c +_UnaryStreamMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _UnaryStreamMultiCallable(grpc.UnaryStreamMultiCallable):$/;" c +_UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^class _UnaryUnaryMultiCallable(face.UnaryUnaryMultiCallable):$/;" c +_UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^class _UnaryUnaryMultiCallable(grpc.UnaryUnaryMultiCallable):$/;" c +_UnaryUnaryMultiCallable adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^class _UnaryUnaryMultiCallable(grpc.UnaryUnaryMultiCallable):$/;" c +_unary_assert_doc adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^def _unary_assert_doc(sym, sym_name):$/;" f +_unary_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^def _unary_dispatcher(x):$/;" f +_unary_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^def _unary_dispatcher(a):$/;" f +_UNARY_ELEMENTWISE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_UNARY_ELEMENTWISE_OPS = [$/;" v +_UNARY_LIST_ELEMENTWISE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_UNARY_LIST_ELEMENTWISE_OPS = [$/;" v +_unary_method adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^def _unary_method(ufunc, name):$/;" f +_unary_op adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^def _unary_op(fn):$/;" f +_UNARY_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^_UNARY_OPS = [$/;" v +_unary_op_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _unary_op_dispatcher(a):$/;" f +_unary_op_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _unary_op_flops(graph, node, ops_per_element=1):$/;" f +_unary_request adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _unary_request(rpc_event, state, request_deserializer):$/;" f +_unary_response_in_pool adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _unary_response_in_pool(rpc_event, state, behavior, argument_thunk,$/;" f +_unary_stream adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^def _unary_stream(channel, group, method, timeout, protocol_options, metadata,$/;" f +_UNARY_STREAM_INITIAL_DUE adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_UNARY_STREAM_INITIAL_DUE = ($/;" v +_UNARY_UNARY_INITIAL_DUE adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_UNARY_UNARY_INITIAL_DUE = ($/;" v +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _unbatch(self):$/;" m class:DatasetSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/structure.py /^ def _unbatch(self):$/;" m class:NoneTensorSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def _unbatch(self):$/;" m class:SparseTensorSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def _unbatch(self):$/;" m class:TensorSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _unbatch(self):$/;" m class:BatchableTypeSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def _unbatch(self):$/;" m class:NdarraySpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def _unbatch(self):$/;" m class:RaggedTensorSpec +_unbatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _unbatch(self):$/;" m class:StructuredTensorSpec +_UnbatchDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _UnbatchDataset(UnaryDataset):$/;" c +_unblockify_then_matricize adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _unblockify_then_matricize(self, vec):$/;" m class:_BaseLinearOperatorCirculant +_unblock_model_reconstruction adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def _unblock_model_reconstruction(self, layer_id, layer):$/;" m class:KerasObjectLoader +_UnboundCache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^class _UnboundCache(dict):$/;" c +_UnboundedCache adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ class _UnboundedCache(object):$/;" c class:ParserElement +_UnboundedCache adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ class _UnboundedCache(object):$/;" c class:ParserElement +_UnboundedCache adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ class _UnboundedCache(object):$/;" c class:ParserElement +_UnboundedCache adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ class _UnboundedCache(object):$/;" c class:ParserElement +_UnboundTTLCache adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^class _UnboundTTLCache(TTLCache):$/;" c +_UNBOUND_INPUT_PREFIX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/meta_graph.py /^_UNBOUND_INPUT_PREFIX = "$unbound_inputs_"$/;" v +_uncache adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _uncache(normalized_path, cache):$/;" f +_unconditional_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _unconditional_checkpoint_dependencies(self):$/;" m class:_DelegatingTrackableMixin +_unconditional_checkpoint_dependencies adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _unconditional_checkpoint_dependencies(self):$/;" m class:Trackable +_unconditional_dependency_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _unconditional_dependency_names(self):$/;" m class:_DelegatingTrackableMixin +_unconditional_dependency_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _unconditional_dependency_names(self):$/;" m class:Trackable +_UNCONNECTED_OPS_TO_PRUNE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_UNCONNECTED_OPS_TO_PRUNE = set(["Placeholder", "VarHandleOp"])$/;" v +_UNCONVERTIBLE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_conversion_registry.py /^_UNCONVERTIBLE_TYPES = six.integer_types + ($/;" v +_UNCOPIABLE_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^_UNCOPIABLE_DTYPES = frozenset((dtypes.resource, dtypes.variant))$/;" v +_undeduplicated_weights adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _undeduplicated_weights(self):$/;" m class:Model +_undefined adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^_undefined = Undefined()$/;" v +_undefined adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^_undefined = object()$/;" v +_undefined adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^_undefined = object()$/;" v +_Underdetermined adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_grad.py /^ def _Underdetermined(op, grad):$/;" f function:_MatrixSolveLsGrad file: +_underdetermined adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^ def _underdetermined(matrix, rhs, l2_regularizer):$/;" f function:matrix_solve_ls file: +_underlying_arrays adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def _underlying_arrays(x):$/;" f function:sparse_may_share_memory file: +_undo_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def _undo_trajectory(self):$/;" m class:StreamMask +_unequal_var_ttest_denom adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _unequal_var_ttest_denom(v1, n1, v2, n2):$/;" f +_unescape adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^def _unescape(v):$/;" f +_unexpected_primitive adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _unexpected_primitive(p: core.Primitive, *args, **kwargs):$/;" f +_unflatten adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^def _unflatten(input, proto):$/;" f +_unflatten adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^_unflatten = torch._C._jit_unflatten$/;" v +_unflatten_dense_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _unflatten_dense_tensors(flat, tensors):$/;" f +_unflatten_first_dim adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _unflatten_first_dim(x, first_dim):$/;" f +_unflatten_sparse_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _unflatten_sparse_tensors(flat, tensors):$/;" f +_unfold adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _unfold(arr, axis, size, step):$/;" f +_unfold_continuations adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def _unfold_continuations(code_string):$/;" f +_ungroup_and_make_mirrored adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _ungroup_and_make_mirrored(grouped_reduced,$/;" f +_UnicodeDecodeOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^_UnicodeDecodeOutput = collections.namedtuple($/;" v +_UnicodeDecodeWithOffsetsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_string_ops.py /^_UnicodeDecodeWithOffsetsOutput = collections.namedtuple($/;" v +_UNICODEFIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_UNICODEFIELDS = ('Author', 'Maintainer', 'Summary', 'Description')$/;" v +_unicode_decode adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_string_ops.py /^def _unicode_decode(input, input_encoding, errors, replacement_char,$/;" f +_unicode_dots_re adpepsenv/lib/python3.8/site-packages/idna/codec.py /^_unicode_dots_re = re.compile(u'[\\u002e\\u3002\\uff0e\\uff61]')$/;" v +_unicode_dots_re adpepsenv/lib/python3.8/site-packages/idna/core.py /^_unicode_dots_re = re.compile(u'[\\u002e\\u3002\\uff0e\\uff61]')$/;" v +_unicode_dots_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/codec.py /^_unicode_dots_re = re.compile(u'[\\u002e\\u3002\\uff0e\\uff61]')$/;" v +_unicode_dots_re adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^_unicode_dots_re = re.compile(u'[\\u002e\\u3002\\uff0e\\uff61]')$/;" v +_unicodify_header_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^def _unicodify_header_value(value):$/;" f +_uniform adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _uniform(key, shape, dtype, minval, maxval) -> jnp.ndarray:$/;" f +_uniform adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _uniform(self, shape, dtype):$/;" m class:Generator +_uniform adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _uniform(self, state):$/;" m class:FuzzedParameter +_UniformCandidateSamplerOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_candidate_sampling_ops.py /^_UniformCandidateSamplerOutput = collections.namedtuple($/;" v +_uniform_full_int adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def _uniform_full_int(self, shape, dtype, name=None):$/;" m class:Generator +_uniform_y adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _uniform_y(self, N):$/;" m class:ColorbarBase +_uniform_y adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def _uniform_y(self, N):$/;" m class:ColorbarBase +_unilin adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _unilin(B, x):$/;" f +_UnilinearModel adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^class _UnilinearModel(Model):$/;" c +_unilin_est adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _unilin_est(data):$/;" f +_unilin_fjb adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _unilin_fjb(B, x):$/;" f +_unilin_fjd adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^def _unilin_fjd(B, x):$/;" f +_unimplemented adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _unimplemented(op, msg):$/;" f +_unimplemented_setitem adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _unimplemented_setitem(self, i, x):$/;" f +_uninstalled_protos adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _uninstalled_protos(*args, **kwargs):$/;" f +_uninstalled_protos_and_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _uninstalled_protos_and_services(*args, **kwargs):$/;" f +_uninstalled_services adpepsenv/lib/python3.8/site-packages/grpc/_runtime_protos.py /^def _uninstalled_services(*args, **kwargs):$/;" f +_UNINTERESTING_ERRORS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^_UNINTERESTING_ERRORS = (tf.errors.CancelledError,)$/;" v +_UNINTERPRETEDOPTION adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_UNINTERPRETEDOPTION = _descriptor.Descriptor($/;" v +_UNINTERPRETEDOPTION_NAMEPART adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pb2.py /^_UNINTERPRETEDOPTION_NAMEPART = _descriptor.Descriptor($/;" v +_union adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/gc.py /^def _union(lf, rf):$/;" f +_union1d_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _union1d_dispatcher(ar1, ar2):$/;" f +_unique adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^def _unique(fn):$/;" f +_unique adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ctc_ops.py /^ def _unique(x):$/;" f function:ctc_unique_labels file: +_unique adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _unique(g, input, sorted, return_inverse):$/;" f +_unique1d adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _unique1d(ar, return_index=False, return_inverse=False,$/;" f +_unique1d adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _unique1d(ar, return_index=False, return_inverse=False,$/;" f +_unique1d_sorted_mask adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _unique1d_sorted_mask(ar, optional_indices=False):$/;" f +_unique2 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def _unique2(g, self, sorted, return_inverse, return_counts):$/;" f +_unique2 adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _unique2(g, input, sorted, return_inverse, return_counts):$/;" f +_UniqueDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/unique.py /^class _UniqueDataset(dataset_ops.UnaryUnchangedStructureDataset):$/;" c +_UniqueOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_UniqueOutput = collections.namedtuple($/;" v +_UniqueResourceName adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^class _UniqueResourceName:$/;" c +_UniqueV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_UniqueV2Output = collections.namedtuple($/;" v +_UniqueWithCountsOutput adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_UniqueWithCountsOutput = collections.namedtuple($/;" v +_UniqueWithCountsV2Output adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_array_ops.py /^_UniqueWithCountsV2Output = collections.namedtuple($/;" v +_unique_consecutive_impl adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _unique_consecutive_impl(input: Tensor, return_inverse: bool = False,$/;" f +_unique_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _unique_dispatcher(ar, return_index=None, return_inverse=None,$/;" f +_unique_everseen adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^def _unique_everseen(iterable, key=None):$/;" f +_unique_everseen adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _unique_everseen(iterable, key=None):$/;" m class:EnvironmentInfo +_unique_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _unique_id(self):$/;" m class:DistributedVariable +_unique_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def _unique_id(self):$/;" m class:TPUShardedVariable +_unique_impl adpepsenv/lib/python3.8/site-packages/torch/functional.py /^def _unique_impl(input: Tensor, sorted: bool = True,$/;" f +_unique_impl_out adpepsenv/lib/python3.8/site-packages/torch/functional.py /^ _unique_impl_out = Any$/;" v +_unique_ref adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/unique_ops_test.py /^def _unique_ref(x, return_inverse):$/;" f +_unique_state_dict adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _unique_state_dict(module, keep_vars=False):$/;" f +_uniquify_fetches adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^def _uniquify_fetches(fetch_mappers):$/;" f +_unitary adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^def _unitary(norm):$/;" f +_unit_circle adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ _unit_circle = None$/;" v class:Path +_unit_circle_righthalf adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ _unit_circle_righthalf = None$/;" v class:Path +_unit_rectangle adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ _unit_rectangle = None$/;" v class:Path +_unit_regular_polygons adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ _unit_regular_polygons = WeakValueDictionary()$/;" v class:Path +_unit_regular_stars adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ _unit_regular_stars = WeakValueDictionary()$/;" v class:Path +_universal_flags adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/gnu.py /^ def _universal_flags(self, cmd):$/;" m class:Gnu95FCompiler +_UNIXCONFDIR adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^_UNIXCONFDIR = os.environ.get('UNIXCONFDIR', '\/etc')$/;" v +_UNKNOWN adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^_UNKNOWN, _STARTED, _FINISHED = range(3)$/;" v +_UnknownField adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^class _UnknownField(object):$/;" c +_UnknownFields adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^def _UnknownFields(self):$/;" f +_unknown_code_details adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _unknown_code_details(unknown_cygrpc_code, details):$/;" f +_UNLIMITED_MEM adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^_UNLIMITED_MEM = {-1, None, float('inf')}$/;" v +_unmultiplied_rgba8888_to_premultiplied_argb32 adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _unmultiplied_rgba8888_to_premultiplied_argb32(rgba8888):$/;" f +_unot adpepsenv/lib/python3.8/site-packages/idna/core.py /^def _unot(s):$/;" f +_unot adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^def _unot(s):$/;" f +_UnPack adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def _UnPack(self, pocketFftDescriptor):$/;" m class:PocketFftDescriptorT +_unpack adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _unpack(self, im):$/;" m class:PdfFile +_unpack adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def _unpack(self, fmt, data):$/;" m class:ImageFileDirectory_v2 +_unpack adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _unpack(self, execute=EX_CONSTRUCT):$/;" m class:Unpacker +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, absOptions):$/;" m class:AbsOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, addNOptions):$/;" m class:AddNOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, addOptions):$/;" m class:AddOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, argMaxOptions):$/;" m class:ArgMaxOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, argMinOptions):$/;" m class:ArgMinOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, batchMatMulOptions):$/;" m class:BatchMatMulOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, batchToSpaceNDOptions):$/;" m class:BatchToSpaceNDOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, bidirectionalSequenceLSTMOptions):$/;" m class:BidirectionalSequenceLSTMOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, bidirectionalSequenceRNNOptions):$/;" m class:BidirectionalSequenceRNNOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, buffer):$/;" m class:BufferT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, callOptions):$/;" m class:CallOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, castOptions):$/;" m class:CastOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, concatEmbeddingsOptions):$/;" m class:ConcatEmbeddingsOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, concatenationOptions):$/;" m class:ConcatenationOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, conv2DOptions):$/;" m class:Conv2DOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, cosOptions):$/;" m class:CosOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, cumsumOptions):$/;" m class:CumsumOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, customQuantization):$/;" m class:CustomQuantizationT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, densifyOptions):$/;" m class:DensifyOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, depthToSpaceOptions):$/;" m class:DepthToSpaceOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, depthwiseConv2DOptions):$/;" m class:DepthwiseConv2DOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, dequantizeOptions):$/;" m class:DequantizeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, dimensionMetadata):$/;" m class:DimensionMetadataT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, divOptions):$/;" m class:DivOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, embeddingLookupSparseOptions):$/;" m class:EmbeddingLookupSparseOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, equalOptions):$/;" m class:EqualOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, expandDimsOptions):$/;" m class:ExpandDimsOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, expOptions):$/;" m class:ExpOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, fakeQuantOptions):$/;" m class:FakeQuantOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, fillOptions):$/;" m class:FillOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, floorDivOptions):$/;" m class:FloorDivOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, floorModOptions):$/;" m class:FloorModOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, fullyConnectedOptions):$/;" m class:FullyConnectedOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, gatherNdOptions):$/;" m class:GatherNdOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, gatherOptions):$/;" m class:GatherOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, greaterEqualOptions):$/;" m class:GreaterEqualOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, greaterOptions):$/;" m class:GreaterOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, hardSwishOptions):$/;" m class:HardSwishOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, ifOptions):$/;" m class:IfOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, int32Vector):$/;" m class:Int32VectorT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, l2NormOptions):$/;" m class:L2NormOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, leakyReluOptions):$/;" m class:LeakyReluOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, lessEqualOptions):$/;" m class:LessEqualOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, lessOptions):$/;" m class:LessOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, localResponseNormalizationOptions):$/;" m class:LocalResponseNormalizationOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, logicalAndOptions):$/;" m class:LogicalAndOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, logicalNotOptions):$/;" m class:LogicalNotOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, logicalOrOptions):$/;" m class:LogicalOrOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, logSoftmaxOptions):$/;" m class:LogSoftmaxOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, lSHProjectionOptions):$/;" m class:LSHProjectionOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, lSTMOptions):$/;" m class:LSTMOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, matrixDiagOptions):$/;" m class:MatrixDiagOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, matrixSetDiagOptions):$/;" m class:MatrixSetDiagOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, maximumMinimumOptions):$/;" m class:MaximumMinimumOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, metadata):$/;" m class:MetadataT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, mirrorPadOptions):$/;" m class:MirrorPadOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, model):$/;" m class:ModelT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, mulOptions):$/;" m class:MulOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, negOptions):$/;" m class:NegOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, nonMaxSuppressionV4Options):$/;" m class:NonMaxSuppressionV4OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, nonMaxSuppressionV5Options):$/;" m class:NonMaxSuppressionV5OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, notEqualOptions):$/;" m class:NotEqualOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, oneHotOptions):$/;" m class:OneHotOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, operator):$/;" m class:OperatorT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, operatorCode):$/;" m class:OperatorCodeT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, packOptions):$/;" m class:PackOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, padOptions):$/;" m class:PadOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, padV2Options):$/;" m class:PadV2OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, pool2DOptions):$/;" m class:Pool2DOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, powOptions):$/;" m class:PowOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, quantizationParameters):$/;" m class:QuantizationParametersT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, quantizeOptions):$/;" m class:QuantizeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, rangeOptions):$/;" m class:RangeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, rankOptions):$/;" m class:RankOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, reducerOptions):$/;" m class:ReducerOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, reshapeOptions):$/;" m class:ReshapeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, resizeBilinearOptions):$/;" m class:ResizeBilinearOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, resizeNearestNeighborOptions):$/;" m class:ResizeNearestNeighborOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, reverseSequenceOptions):$/;" m class:ReverseSequenceOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, reverseV2Options):$/;" m class:ReverseV2OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, rNNOptions):$/;" m class:RNNOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, scatterNdOptions):$/;" m class:ScatterNdOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, segmentSumOptions):$/;" m class:SegmentSumOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, selectOptions):$/;" m class:SelectOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, selectV2Options):$/;" m class:SelectV2OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, sequenceRNNOptions):$/;" m class:SequenceRNNOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, shapeOptions):$/;" m class:ShapeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, signatureDef):$/;" m class:SignatureDefT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, skipGramOptions):$/;" m class:SkipGramOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, sliceOptions):$/;" m class:SliceOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, softmaxOptions):$/;" m class:SoftmaxOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, spaceToBatchNDOptions):$/;" m class:SpaceToBatchNDOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, spaceToDepthOptions):$/;" m class:SpaceToDepthOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, sparseToDenseOptions):$/;" m class:SparseToDenseOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, sparsityParameters):$/;" m class:SparsityParametersT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, splitOptions):$/;" m class:SplitOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, splitVOptions):$/;" m class:SplitVOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, squaredDifferenceOptions):$/;" m class:SquaredDifferenceOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, squareOptions):$/;" m class:SquareOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, squeezeOptions):$/;" m class:SqueezeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, stridedSliceOptions):$/;" m class:StridedSliceOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, subGraph):$/;" m class:SubGraphT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, subOptions):$/;" m class:SubOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, sVDFOptions):$/;" m class:SVDFOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, tensor):$/;" m class:TensorT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, tensorMap):$/;" m class:TensorMapT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, tileOptions):$/;" m class:TileOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, topKV2Options):$/;" m class:TopKV2OptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, transposeConvOptions):$/;" m class:TransposeConvOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, transposeOptions):$/;" m class:TransposeOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, uint16Vector):$/;" m class:Uint16VectorT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, uint8Vector):$/;" m class:Uint8VectorT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, unidirectionalSequenceLSTMOptions):$/;" m class:UnidirectionalSequenceLSTMOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, uniqueOptions):$/;" m class:UniqueOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, unpackOptions):$/;" m class:UnpackOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, whereOptions):$/;" m class:WhereOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, whileOptions):$/;" m class:WhileOptionsT +_UnPack adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def _UnPack(self, zerosLikeOptions):$/;" m class:ZerosLikeOptionsT +_unpack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _unpack(self):$/;" m class:QuantizedLinear +_unpack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _unpack(self):$/;" m class:QuantizedLinearFP16 +_unpack adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def _unpack(self):$/;" m class:QuantizedRNNCellBase +_unpack adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _unpack(self, value):$/;" m class:TestBase +_unpack adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def _unpack(values, cls):$/;" m class:Fuzzer +_UnpackGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_grad.py /^def _UnpackGrad(op, *grads):$/;" f +_UnpackIfSingleton adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^def _UnpackIfSingleton(res):$/;" f +_unpack_condition_args adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^def _unpack_condition_args(interval, x, peaks):$/;" f +_unpack_field adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def _unpack_field(dtype, offset, title=None):$/;" f +_UNPACK_FORMATS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^_UNPACK_FORMATS = {$/;" v +_unpack_from adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def _unpack_from(f, b, o=0):$/;" f +_unpack_index adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^def _unpack_index(index):$/;" f +_unpack_int adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _unpack_int(self):$/;" m class:netcdf_file +_unpack_int32 adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ _unpack_int32 = _unpack_int$/;" v class:netcdf_file +_unpack_int64 adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _unpack_int64(self):$/;" m class:netcdf_file +_unpack_list adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _unpack_list(list_value):$/;" f +_unpack_loc_scale adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _unpack_loc_scale(self, theta):$/;" m class:rv_continuous +_unpack_string adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _unpack_string(self):$/;" m class:netcdf_file +_unpack_tarfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _unpack_tarfile(filename, extract_dir):$/;" f +_unpack_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _unpack_tensors(reduced, tensor_packer=None):$/;" f +_unpack_transform adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def _unpack_transform(name, *params):$/;" f function:_outside_call_run_callback._unpack_transforms file: +_unpack_transforms adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def _unpack_transforms(transforms) -> Tuple[Tuple[str, Dict[str, Any]], ...]:$/;" f function:_outside_call_run_callback file: +_unpack_tuple adpepsenv/lib/python3.8/site-packages/jax/_src/lax/linalg.py /^def _unpack_tuple(f, n):$/;" f +_unpack_tuple adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^def _unpack_tuple(tup):$/;" f +_unpack_tuple adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^def _unpack_tuple(x):$/;" f +_unpack_zipfile adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^def _unpack_zipfile(filename, extract_dir):$/;" f +_UNPAIRED_SURROGATE_PATTERN adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_UNPAIRED_SURROGATE_PATTERN = re.compile(six.u($/;" v +_UnpicklerWrapper adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^class _UnpicklerWrapper(pickle._Unpickler): # type: ignore$/;" c +_unpool_output_size adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _unpool_output_size(input, kernel_size, stride, padding, output_size):$/;" f +_unquote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib import unquote as _unquote$/;" x +_unquote adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib.parse import unquote as _unquote$/;" x +_unquote adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^ from urllib.parse import unquote_to_bytes as _unquote$/;" x +_unquote adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^ from urllib2 import unquote as _unquote$/;" x +_unquote_maps adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_unquote_maps = {frozenset(): _hextobyte}$/;" v +_unquote_to_bytes adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _unquote_to_bytes(string, unsafe=""):$/;" f +_unravel_array_into_pytree adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _unravel_array_into_pytree(pytree, axis, arr):$/;" f +_unravel_index adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _unravel_index(c, axis_env):$/;" f +_unravel_index adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def _unravel_index(flat_index, shape):$/;" f +_UNRAVEL_INDEX_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_UNRAVEL_INDEX_DOC = """\\$/;" v +_UnreadVariable adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^class _UnreadVariable(BaseResourceVariable):$/;" c +_unsafe_header_chars adpepsenv/lib/python3.8/site-packages/werkzeug/http.py /^_unsafe_header_chars = set('()<>@,;:"\/[]?={} \\t')$/;" v +_unsafe_unfinalize adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _unsafe_unfinalize(self):$/;" m class:Graph +_unscale adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog_util.py /^def _unscale(x, C, b_scale):$/;" f +_unscale_grads adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def _unscale_grads(self, grads):$/;" m class:MixedPrecisionLossScaleOptimizer +_unscale_grads_ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def _unscale_grads_(self, optimizer, inv_scale, found_inf, allow_fp16):$/;" m class:GradScaler +_unscale_parameters adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def _unscale_parameters(self, parameters):$/;" m class:DifferentialEvolutionSolver +_UNSHARDED_INSTANCE adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^_UNSHARDED_INSTANCE = NoSharding()$/;" v +_unshard_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def _unshard_shape(self, shape):$/;" m class:ShardingPolicy +_unsigned_subtract adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^def _unsigned_subtract(a, b):$/;" f +_UNSIGNED_TO_SIGNED_TABLE adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_UNSIGNED_TO_SIGNED_TABLE = {u: s for s, u in _SIGNED_TO_UNSIGNED_TABLE.items()}$/;" v +_UNSIGNED_TO_SIGNED_TABLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/python/xla.py /^_UNSIGNED_TO_SIGNED_TABLE = {$/;" v +_UnsortedSegmentMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _UnsortedSegmentMaxGrad(op, grad):$/;" f +_UnsortedSegmentMinGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _UnsortedSegmentMinGrad(op, grad):$/;" f +_UnsortedSegmentMinOrMaxGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _UnsortedSegmentMinOrMaxGrad(op, grad):$/;" f +_UnsortedSegmentProdGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _UnsortedSegmentProdGrad(op, grad):$/;" f +_UnsortedSegmentSumGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _UnsortedSegmentSumGrad(op, grad):$/;" f +_unsorted_segment_N adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^def _unsorted_segment_N(data, segment_ids, num_segments):$/;" f +_unspecified adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^class _unspecified:$/;" c +_Unspecified adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^_Unspecified = _unspecified()$/;" v +_unspecified_address adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _unspecified_address = IPv4Address('0.0.0.0')$/;" v class:_IPv4Constants +_unsqueeze_helper adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_helper.py /^def _unsqueeze_helper(g, input, dim):$/;" f +_unsqueeze_mapped_axes adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def _unsqueeze_mapped_axes(out, axes: AxisNamePos):$/;" f function:hide_mapped_axes file: +_unstack_flow adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _unstack_flow(value):$/;" f +_unstale_viewLim adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _unstale_viewLim(self):$/;" m class:_AxesBase +_unstructured_to_structured_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _unstructured_to_structured_dispatcher(arr, dtype=None, names=None,$/;" f +_unsubscribe adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^def _unsubscribe(state, callback):$/;" f +_unsubscribe_all adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def _unsubscribe_all(self):$/;" m class:Channel +_unsupported adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ _unsupported = (sys.platform != "win32")$/;" v class:bdist_wininst +_unsupported_dropout adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _unsupported_dropout(name):$/;" f +_UNSUPPORTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^_UNSUPPORTED_OPS = set([$/;" v +_UNSUPPORTED_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^_UNSUPPORTED_OPS = set([$/;" v +_UnsymmetricArpackParams adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^class _UnsymmetricArpackParams(_ArpackParams):$/;" c +_untile_variant adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _untile_variant(t):$/;" f +_UntrackableError adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^class _UntrackableError(ValueError):$/;" c +_unused_handle adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^def _unused_handle():$/;" f +_unused_module_brotli adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/request.py /^ import brotli as _unused_module_brotli # noqa: F401$/;" I +_unused_module_brotli adpepsenv/lib/python3.8/site-packages/urllib3/util/request.py /^ import brotli as _unused_module_brotli # noqa: F401$/;" I +_unused_module_Queue adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/queue.py /^ import Queue as _unused_module_Queue # noqa: F401$/;" I +_unused_module_Queue adpepsenv/lib/python3.8/site-packages/urllib3/util/queue.py /^ import Queue as _unused_module_Queue # noqa: F401$/;" I +_unvec_by adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^def _unvec_by(y, num_col):$/;" f +_unverified_decode adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^def _unverified_decode(token):$/;" f +_unweighted_loss_and_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _unweighted_loss_and_weights(self, logits, labels, features):$/;" m class:BinaryClassHead +_unweighted_loss_and_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def _unweighted_loss_and_weights(self, logits, label_ids, features):$/;" m class:MultiClassHead +_unweighted_loss_and_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def _unweighted_loss_and_weights(self, logits, processed_labels, features):$/;" m class:MultiLabelHead +_unweighted_loss_and_weights adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def _unweighted_loss_and_weights(self, logits, labels, features):$/;" m class:RegressionHead +_unwindk adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^def _unwindk(z):$/;" f +_UnwrapPreventer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^class _UnwrapPreventer(object):$/;" c +_unwrap_and_concat adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _unwrap_and_concat(value):$/;" f function:_combine_distributed_scaffold file: +_unwrap_batched adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _unwrap_batched($/;" f +_unwrap_client_call_details adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^def _unwrap_client_call_details(call_details, default_details):$/;" f +_unwrap_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _unwrap_dispatcher(p, discont=None, axis=None):$/;" f +_unwrap_optional adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^def _unwrap_optional(x):$/;" f +_unwrap_or_tile adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _unwrap_or_tile(self, wrapped_tensor):$/;" m class:PFor +_unzip_dataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/preprocessing_stage.py /^def _unzip_dataset(ds):$/;" f +_upcast_fp16_for_computation adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _upcast_fp16_for_computation(f):$/;" f +_upcast_low_precision_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def _upcast_low_precision_outputs(output):$/;" f function:_build_network_on_replica file: +_upcast_low_precision_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^ def _upcast_low_precision_outputs(output):$/;" f function:_clone_and_build_model file: +_upcast_memo adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^_upcast_memo = {}$/;" v +_update adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def _update(self, connectivity):$/;" m class:_ChannelReadyFuture +_update adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def _update(self, connectivity):$/;" m class:_ChannelReadyFuture +_update adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update(self):$/;" m class:Shadow +_update adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _update(self):$/;" m class:Cursor +_update adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _update(self):$/;" m class:MultiCursor +_update adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _update(self, event):$/;" m class:Slider +_update adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def _update(self, x1, x2, y1, y2):$/;" m class:GridHelperBase +_update adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def _update(self, x1, x2, y1, y2):$/;" m class:GridHelperCurveLinear +_update adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def _update(self, status):$/;" m class:NonInteractiveSpinner +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:Anderson +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:BroydenFirst +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:BroydenSecond +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:DiagBroyden +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:ExcitingMixing +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:GenericBroyden +_update adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update(self, x, f, dx, df, dx_norm, df_norm):$/;" m class:LinearMixing +_update adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def _update(self, data):$/;" m class:dok_matrix +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:StrategyExtendedV2 +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:_DefaultDistributionExtended +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:MirroredExtended +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:OneDeviceExtended +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def _update(self, update_fn, value, **kwargs):$/;" m class:PackedDistributedVariable +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:ParameterServerStrategyExtended +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _update(self, var, fn, args, kwargs, group):$/;" m class:TPUExtended +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update(self, update_fn, value, **kwargs):$/;" m class:DistributedVariable +_update adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^def _update(strategy, var, update_fn, args):$/;" f +_updated_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _updated_config(self):$/;" m class:Model +_updated_ctor_param adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def _updated_ctor_param(self):$/;" m class:rv_histogram +_updated_ctor_param adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _updated_ctor_param(self):$/;" m class:rv_continuous +_updated_ctor_param adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def _updated_ctor_param(self):$/;" m class:rv_discrete +_UPDATEEXPERIMENTREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_UPDATEEXPERIMENTREQUEST = _descriptor.Descriptor($/;" v +_UPDATEEXPERIMENTRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_UPDATEEXPERIMENTRESPONSE = _descriptor.Descriptor($/;" v +_UpdateMetadataIntent adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^class _UpdateMetadataIntent(_Intent):$/;" c +_UpdateMethod adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^class _UpdateMethod(enum.Enum):$/;" c +_UpdateOneofState adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def _UpdateOneofState(self, field):$/;" f function:_AddPrivateHelperMethods file: +_UpdatePendingAndEnqueueReady adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _UpdatePendingAndEnqueueReady(grads, op, queue, pending_count, loop_state,$/;" f +_update_accuracy_baseline adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _update_accuracy_baseline(self, eval_metrics):$/;" m class:BinaryClassHead +_update_array adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _update_array(i, aval, xs, x):$/;" f +_update_auc adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def _update_auc(self, auc_metric, labels, predictions, weights=None):$/;" m class:BinaryClassHead +_update_axisinfo adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_axisinfo(self):$/;" m class:Axis +_update_basic adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def _update_basic(self):$/;" m class:LOBPCG +_update_bbox adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _update_bbox(self, x1, y1, x2, y2):$/;" m class:MathtextBackendAgg +_update_bbox_to_anchor adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _update_bbox_to_anchor(self, loc_in_canvas):$/;" m class:DraggableLegend +_update_blinding_factor adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def _update_blinding_factor(self):$/;" m class:AbstractKey +_update_bracket adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def _update_bracket(self, c, fc):$/;" m class:TOMS748Solver +_update_bracket adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _update_bracket(ab, fab, c, fc):$/;" f +_update_buttons_checked adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def _update_buttons_checked(self):$/;" m class:NavigationToolbar2GTK3 +_update_buttons_checked adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _update_buttons_checked(self):$/;" m class:NavigationToolbar2QT +_update_buttons_checked adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def _update_buttons_checked(self):$/;" m class:NavigationToolbar2Tk +_update_chunk_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ def _update_chunk_length(self):$/;" f member:CacheControlAdapter.build_response file: +_update_chunk_length adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def _update_chunk_length(self):$/;" m class:HTTPResponse +_update_chunk_length adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def _update_chunk_length(self):$/;" m class:HTTPResponse +_update_class_dict_for_param_test_case adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^def _update_class_dict_for_param_test_case($/;" f +_update_clip_properties adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def _update_clip_properties(self):$/;" m class:Text +_update_closure_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def _update_closure_types(self, ast_node, types):$/;" m class:Analyzer +_update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _update_config_proto(self, config_proto):$/;" m class:CollectiveAllReduceExtended +_update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _update_config_proto(self, config_proto):$/;" m class:StrategyExtendedV2 +_update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _update_config_proto(self, config_proto):$/;" m class:MirroredExtended +_update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _update_config_proto(self, config_proto):$/;" m class:ParameterServerStrategyExtended +_update_config_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _update_config_proto(self, config_proto):$/;" m class:TPUExtended +_update_converter adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^def _update_converter():$/;" f +_update_crc adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def _update_crc(newdata, eof=None):$/;" f member:WheelFile.open file: +_update_cross_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_cross_replica(self, update_fn, value, **kwargs):$/;" m class:DistributedVariable +_update_cumulative_status adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def _update_cumulative_status(self):$/;" m class:UploadTracker +_update_cursor adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _update_cursor(self, event):$/;" m class:NavigationToolbar2 +_update_defaults adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def _update_defaults(self, defaults):$/;" m class:ConfigOptionParser +_update_dicts adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def _update_dicts($/;" f +_update_diff_step adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def _update_diff_step(self):$/;" m class:KrylovJacobian +_update_dim_sizes adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/vectorize.py /^def _update_dim_sizes($/;" f +_update_dim_sizes adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _update_dim_sizes(dim_sizes, arg, core_dims):$/;" f +_update_docstring adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^def _update_docstring(old_str, append_str):$/;" f +_UPDATE_DOCSTRINGS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_UPDATE_DOCSTRINGS = False$/;" v +_update_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _update_dpi(self):$/;" m class:FigureCanvasQT +_update_enter_leave adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _update_enter_leave(self):$/;" m class:LocationEvent +_update_environment adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^def _update_environment( **env ):$/;" f +_update_example adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def _update_example(self, request):$/;" m class:WhatIfToolPlugin +_update_figure_dpi adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _update_figure_dpi(self):$/;" m class:FigureCanvasQT +_update_forward_compatibility_date_number adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/compat.py /^def _update_forward_compatibility_date_number(date_to_override=None):$/;" f +_update_from adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def _update_from(self, obj):$/;" m class:MaskedArray +_update_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_fun(self):$/;" m class:ScalarFunction +_update_fun adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_fun(self):$/;" m class:VectorFunction +_update_gc adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def _update_gc(self, gc, new_gc_dict):$/;" m class:AbstractPathEffect +_update_globals adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^def _update_globals():$/;" f +_update_glyph_map_defs adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _update_glyph_map_defs(self, glyph_map_new):$/;" m class:RendererSVG +_update_grad adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_grad(self):$/;" m class:ScalarFunction +_update_grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def _update_grid(self, x1, y1, x2, y2):$/;" m class:GridHelperCurveLinear +_update_grid adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def _update_grid(self, x1, y1, x2, y2):$/;" m class:GridHelperCurveLinear +_update_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_hess(self):$/;" m class:ScalarFunction +_update_hess adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_hess(self):$/;" m class:VectorFunction +_update_hessian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _update_hessian(self, ys, Bs, sBs, y):$/;" m class:BFGS +_update_image_limits adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _update_image_limits(self, image):$/;" m class:_AxesBase +_update_implementation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _update_implementation(self, delta_x, delta_grad):$/;" m class:BFGS +_update_implementation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _update_implementation(self, delta_x, delta_grad):$/;" m class:FullHessianUpdateStrategy +_update_implementation adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _update_implementation(self, delta_x, delta_grad):$/;" m class:SR1 +_update_indexed_slices_param adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2_indexed_slices_rewriter.py /^def _update_indexed_slices_param(graph, loop_vars, init_slices, input_slices,$/;" f +_update_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _update_input(self, index, tensor):$/;" m class:Operation +_update_inverse_hessian adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def _update_inverse_hessian(self, ys, Hy, yHy, s):$/;" m class:BFGS +_update_items adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def _update_items(self, items):$/;" m class:OrderedSet +_update_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_jac(self):$/;" m class:VectorFunction +_update_label adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _update_label(self, renderer):$/;" m class:AxisArtist +_update_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_label_position(self, renderer):$/;" m class:Axis +_update_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_label_position(self, renderer):$/;" m class:XAxis +_update_label_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_label_position(self, renderer):$/;" m class:YAxis +_update_lexical_scope adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def _update_lexical_scope(self):$/;" m class:NetBuilder +_update_line_limits adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _update_line_limits(self, line):$/;" m class:_AxesBase +_update_loc adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def _update_loc(self, loc_in_canvas):$/;" m class:DraggableLegend +_update_logger_levels adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def _update_logger_levels(self):$/;" m class:_LoggerLevelsFlag +_update_logging_levels adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def _update_logging_levels(self):$/;" m class:_VerbosityFlag +_update_methods adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def _update_methods(self):$/;" m class:RendererAgg +_update_metrics adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _update_metrics(self):$/;" m class:Accent +_update_metrics adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def _update_metrics(self):$/;" m class:Char +_update_names adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def _update_names(self, names, inplace):$/;" m class:Tensor +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, group):$/;" m class:StrategyExtendedV1 +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, should_group):$/;" m class:_DefaultDistributionExtended +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, group):$/;" m class:MirroredExtended +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, group):$/;" m class:OneDeviceExtended +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, group):$/;" m class:ParameterServerStrategyExtended +_update_non_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _update_non_slot(self, colocate_with, fn, args, kwargs, group):$/;" m class:TPUExtended +_update_notebook adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ipynb.py /^def _update_notebook(original_notebook, original_raw_lines, updated_code_lines):$/;" f +_update_offsetText adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _update_offsetText(self):$/;" m class:AxisArtist +_update_offset_func adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def _update_offset_func(self, renderer, fontsize=None):$/;" m class:AnchoredOffsetbox +_update_offset_text_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_offset_text_position(self, bboxes, bboxes2):$/;" m class:Axis +_update_offset_text_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_offset_text_position(self, bboxes, bboxes2):$/;" m class:XAxis +_update_offset_text_position adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_offset_text_position(self, bboxes, bboxes2):$/;" m class:YAxis +_update_ortho adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def _update_ortho(self):$/;" m class:LOBPCG +_update_other_results adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^def _update_other_results(results, best):$/;" f +_update_padding adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _update_padding(self, pad, angle):$/;" m class:ThetaTick +_update_param_info_deprecated adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def _update_param_info_deprecated(self):$/;" m class:ModelHelper +_update_patch_limits adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _update_patch_limits(self, patch):$/;" m class:_AxesBase +_update_patch_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update_patch_transform(self):$/;" m class:Rectangle +_update_positions adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def _update_positions(self, renderer):$/;" m class:Table +_update_position_xybox adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def _update_position_xybox(self, renderer, xy_pixel):$/;" m class:AnnotationBbox +_update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _update_prop(self, legend_handle, orig_handle):$/;" m class:HandlerBase +_update_prop adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def _update_prop(self, legend_handle, orig_handle):$/;" m class:HandlerPolyCollection +_update_quantile_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def _update_quantile_fn():$/;" f function:_bt_model_fn._train_op_fn file: +_update_range adpepsenv/lib/python3.8/site-packages/caffe2/python/memonger.py /^ def _update_range(x, max_live, size):$/;" f function:get_updated_ranges file: +_update_ref_counts adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^def _update_ref_counts(dim_to_keys, dim_ref_counts, dims):$/;" f +_update_renorm_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def _update_renorm_variable(var, value, inputs_size):$/;" f member:BatchNormalizationBase._renorm_correction_and_moments file: +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, update_fn, value, **kwargs):$/;" m class:DistributedVariable +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, update_fn, value, **kwargs):$/;" m class:MirroredVariable +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, update_fn, value, **kwargs):$/;" m class:SyncOnReadVariable +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, var, update_fn, value, **kwargs):$/;" m class:AutoPolicy +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, var, update_fn, value, **kwargs):$/;" m class:OnReadPolicy +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, var, update_fn, value, **kwargs):$/;" m class:OnWritePolicy +_update_replica adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _update_replica(self, var, update_fn, value, **kwargs):$/;" m class:VariablePolicy +_update_replica_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^_update_replica_id = threading.local()$/;" v +_update_response_style adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def _update_response_style(self, style: _APIStyle):$/;" m class:_StreamResponseMixin +_update_rrule adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def _update_rrule(self, **kwargs):$/;" m class:rrulewrapper +_update_run_calls_state adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def _update_run_calls_state(self,$/;" m class:LocalCLIDebugWrapperSession +_update_sample_weight_mode adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_arrays_v1.py /^def _update_sample_weight_mode(model, mode, inputs):$/;" f +_update_sample_weight_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _update_sample_weight_modes(model, mode, sample_weights):$/;" f +_update_sample_weight_modes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _update_sample_weight_modes(self, sample_weights=None):$/;" m class:Model +_update_savefig_format adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _update_savefig_format(value):$/;" f +_update_scalarmappable adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _update_scalarmappable(sm):$/;" f +_update_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _update_snapshot(self):$/;" m class:ListWrapper +_update_snapshot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _update_snapshot(self):$/;" m class:_DictWrapper +_update_statistics_from_mini_batch adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def _update_statistics_from_mini_batch(self, statistics, auxiliary_variables,$/;" m class:InputStatisticsFromMiniBatch +_update_steps_per_run_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def _update_steps_per_run_variable(self, global_step, session):$/;" m class:_MultiStepStopAtStepHook +_update_ticks adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def _update_ticks(self):$/;" m class:Axis +_update_ticks adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def _update_ticks(self, renderer):$/;" m class:AxisArtist +_update_title_position adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _update_title_position(self, renderer):$/;" m class:_AxesBase +_update_token adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def _update_token(self, request):$/;" m class:Credentials +_update_trajectory adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def _update_trajectory(self, xm, ym):$/;" m class:StreamMask +_update_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update_transform(self):$/;" m class:RegularPolygon +_update_transform adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update_transform(self, renderer):$/;" m class:Shadow +_update_transScale adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _update_transScale(self):$/;" m class:_AxesBase +_update_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _update_uid(self):$/;" m class:_DelegatingTrackableMixin +_update_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def _update_uid(self, value):$/;" m class:_DelegatingTrackableMixin +_update_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _update_uid(self):$/;" m class:Trackable +_update_uid adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def _update_uid(self, value):$/;" m class:Trackable +_update_v adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_v(self, v):$/;" m class:VectorFunction +_update_values adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def _update_values(self):$/;" m class:Path +_update_variable_collection adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _update_variable_collection(collection_name, vars_to_add):$/;" f +_update_version_data adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _update_version_data(self, result, info):$/;" m class:Locator +_update_view adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _update_view(self):$/;" m class:NavigationToolbar2 +_update_with_movers adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def _update_with_movers(self, feed_dict, feed_map):$/;" m class:BaseSession +_update_wrapper adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def _update_wrapper(wrapper, wrapped,$/;" f +_update_wrapper adpepsenv/lib/python3.8/site-packages/six.py /^ def _update_wrapper(wrapper, wrapped,$/;" f +_update_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_x(self, x):$/;" m class:LinearVectorFunction +_update_x adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def _update_x(self, x):$/;" m class:VectorFunction +_update_x1 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update_x1(self):$/;" m class:Rectangle +_update_y1 adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def _update_y1(self):$/;" m class:Rectangle +_update_zipimporter_cache adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^def _update_zipimporter_cache(normalized_path, cache, updater=None):$/;" f +_UpFIRDn adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^class _UpFIRDn(object):$/;" c +_upfirdn_modes adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^_upfirdn_modes = [$/;" v +_UPFIRDN_TYPES adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^_UPFIRDN_TYPES = (int, np.float32, np.complex64, float, complex)$/;" v +_UPLOADLIMITS adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/server_info_pb2.py /^_UPLOADLIMITS = _descriptor.Descriptor($/;" v +_upload_once adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _upload_once(self):$/;" m class:TensorBoardUploader +_ureduce adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^def _ureduce(a, func, **kwargs):$/;" f +_urldecode adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^from oauthlib.common import urldecode as _urldecode$/;" x +_urlencode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib import urlencode as _urlencode$/;" x +_urlencode adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ from urllib.parse import urlencode as _urlencode$/;" x +_URLENCODED_CONTENT_TYPE adpepsenv/lib/python3.8/site-packages/google/oauth2/_client.py /^_URLENCODED_CONTENT_TYPE = "application\/x-www-form-urlencoded"$/;" v +_URLENCODED_HEADERS adpepsenv/lib/python3.8/site-packages/google/oauth2/sts.py /^_URLENCODED_HEADERS = {"Content-Type": "application\/x-www-form-urlencoded"}$/;" v +_urlfetch_response_to_http_response adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def _urlfetch_response_to_http_response(self, urlfetch_resp, **response_kw):$/;" m class:AppEngineManager +_urlfetch_response_to_http_response adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def _urlfetch_response_to_http_response(self, urlfetch_resp, **response_kw):$/;" m class:AppEngineManager +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_error_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_urllib_error_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_parse_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_urllib_parse_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_request_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_urllib_request_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_response_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_urllib_response_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urllib_robotparser_moved_attributes adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^_urllib_robotparser_moved_attributes = [$/;" v +_urlnorm adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def _urlnorm(cls, uri):$/;" m class:CacheController +_URLTuple adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^_URLTuple = fix_tuple_repr($/;" v +_url_decode_impl adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _url_decode_impl(pair_iter, charset, decode_keys, include_empty, errors):$/;" f +_URL_ENCODE_AST_NAMES adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^_URL_ENCODE_AST_NAMES = (_prefix_names("q"), _prefix_names("params"))$/;" v +_url_encode_impl adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _url_encode_impl(obj, charset, encode_keys, sort, key):$/;" f +_url_for_path adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^ def _url_for_path(self, path):$/;" m class:PackageIndex +_url_slash_drive_re adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^_url_slash_drive_re = re.compile(r'\/*([a-z])\\|', re.I)$/;" v +_url_unquote_legacy adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^def _url_unquote_legacy(value, unsafe=""):$/;" f +_usage adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^def _usage(shorthelp):$/;" f +_used_step_names adpepsenv/lib/python3.8/site-packages/caffe2/python/control.py /^_used_step_names = set()$/;" v +_usefields adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _usefields(adict, align):$/;" f +_USERDEFINEDPROGRAMPARAMETERS adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/tpu/optimization_parameters_pb2.py /^_USERDEFINEDPROGRAMPARAMETERS = _descriptor.Descriptor($/;" v +_UserDeviceSpec adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^class _UserDeviceSpec(object):$/;" c +_UserObject adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ class _UserObject(tracking.AutoTrackable):$/;" c member:Loader._recreate_base_user_object file: +_userprog adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ _userprog = None$/;" v +_USER_AGENT adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^_USER_AGENT = 'grpc-python-asyncio\/{}'.format(_grpcio_metadata.__version__)$/;" v +_USER_AGENT adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^_USER_AGENT = 'grpc-python\/{}'.format(_grpcio_metadata.__version__)$/;" v +_USER_BASE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_USER_BASE = None$/;" v +_user_convertible_tensor_types adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^_user_convertible_tensor_types = set()$/;" v +_USER_NAME_PATTERNS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^_USER_NAME_PATTERNS = re.compile(r"^[a-z]([-a-z0-9]{0,29}[a-z0-9])?$", re.I)$/;" v +_use_afm_rc_name adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ _use_afm_rc_name = "pdf.use14corefonts"$/;" v class:RendererPdf +_use_afm_rc_name adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ _use_afm_rc_name = "ps.useafm"$/;" v class:RendererPS +_use_auto_colorbar_locator adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def _use_auto_colorbar_locator(self):$/;" m class:ColorbarBase +_use_collective_v2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ _use_collective_v2 = False$/;" v class:CollectiveReplicaLauncher +_use_composite_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg_ops.py /^ def _use_composite_impl(fast, tensor_shape):$/;" f function:matrix_solve_ls file: +_USE_C_API adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_USE_C_API = True$/;" v +_USE_C_DESCRIPTORS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ _USE_C_DESCRIPTORS = True$/;" v +_USE_C_DESCRIPTORS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^_USE_C_DESCRIPTORS = False$/;" v +_USE_C_DESCRIPTORS adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^_USE_C_DESCRIPTORS = descriptor._USE_C_DESCRIPTORS # pylint: disable=protected-access$/;" v +_USE_C_SHAPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_USE_C_SHAPES = True$/;" v +_USE_DEFAULT adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_USE_DEFAULT = object()$/;" v +_use_dlopen_global_flags adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^ _use_dlopen_global_flags = False$/;" v +_use_dlopen_global_flags adpepsenv/lib/python3.8/site-packages/tensorflow/python/pywrap_tensorflow.py /^ _use_dlopen_global_flags = True$/;" v +_USE_EQUALITY adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ _USE_EQUALITY = tf2.enabled()$/;" v class:Tensor +_use_header adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _use_header(new_header):$/;" m class:WindowsScriptWriter +_use_last_dir_name adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def _use_last_dir_name(path, prefix=''):$/;" m class:SystemInfo +_use_new_code adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^def _use_new_code():$/;" f +_use_packed_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _use_packed_variable(self):$/;" m class:DistributedVariable +_use_rpc_pickler adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _use_rpc_pickler(rpc_pickler):$/;" f +_use_scoped_allocator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ _use_scoped_allocator = True$/;" v class:CollectiveReplicaLauncher +_use_temp_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _use_temp_cache(self):$/;" m class:TensorTracer +_use_tensor_buffer adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _use_tensor_buffer(self):$/;" m class:TensorTracer +_use_tensor_values_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _use_tensor_values_cache(self):$/;" m class:TensorTracer +_USE_TPU_KEY adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_USE_TPU_KEY = 'use_tpu'$/;" v +_use_unicode adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _use_unicode(*args):$/;" f +_use_v1_apis adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def _use_v1_apis(self):$/;" m class:IndexLookup +_use_v1_apis adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup_v1.py /^ def _use_v1_apis(self):$/;" m class:IndexLookup +_USE_V2_BEHAVIOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ _USE_V2_BEHAVIOR = False$/;" v class:BatchNormalization +_USE_V2_BEHAVIOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ _USE_V2_BEHAVIOR = True$/;" v class:BatchNormalizationBase +_USE_V2_BEHAVIOR adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^ _USE_V2_BEHAVIOR = True$/;" v class:BatchNormalization +_use_xplane adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^def _use_xplane(tool):$/;" f +_using_stdout adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def _using_stdout(self):$/;" m class:ColorizedStreamHandler +_using_tf adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^def _using_tf():$/;" f +_ustr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def _ustr(obj):$/;" f +_ustr adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ _ustr = str$/;" v +_ustr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def _ustr(obj):$/;" f +_ustr adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ _ustr = str$/;" v +_ustr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def _ustr(obj):$/;" f +_ustr adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ _ustr = str$/;" v +_ustr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def _ustr(obj):$/;" f +_ustr adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ _ustr = str$/;" v +_utc adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ _utc = datetime.timezone(datetime.timedelta(0))$/;" v +_utc adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ _utc = None$/;" v +_utcnow adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^def _utcnow():$/;" f +_UTF16BE adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^_UTF16BE = lookup('utf-16be')$/;" v +_UTF16BE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^_UTF16BE = lookup('utf-16be')$/;" v +_UTF16LE adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^_UTF16LE = lookup('utf-16le')$/;" v +_UTF16LE adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^_UTF16LE = lookup('utf-16le')$/;" v +_util adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/_atfork.py /^ import multiprocessing.util as _util$/;" I +_utils adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^from . import _linalg_utils as _utils$/;" x +_utils adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^from . import _linalg_utils as _utils$/;" x +_uuid adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^import uuid as _uuid$/;" I +_v adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _v(param):$/;" f function:Parallelize_BMUF file: +_v1_session_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _v1_session_test(f, test_or_class, config, *args, **kwargs):$/;" f +_v2_behavior adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def _v2_behavior(self):$/;" m class:TensorShape +_v2_behavior_usage_gauge adpepsenv/lib/python3.8/site-packages/tensorflow/python/compat/v2_compat.py /^_v2_behavior_usage_gauge = monitoring.BoolGauge($/;" v +_v2_eager_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _v2_eager_test(f, test_or_class, *args, **kwargs):$/;" f +_v2_function_and_kerastensors_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _v2_function_and_kerastensors_test(f, test_or_class, *args, **kwargs):$/;" f +_v2_function_test adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/keras_parameterized.py /^def _v2_function_test(f, test_or_class, *args, **kwargs):$/;" f +_V2_OPS_THAT_ARE_DELEGATED_TO_FROM_V1_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^_V2_OPS_THAT_ARE_DELEGATED_TO_FROM_V1_OPS = [$/;" v +_V2_OPTIMIZER_MAP adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^_V2_OPTIMIZER_MAP = {$/;" v +_val adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ _val = False$/;" v class:TestNonzero.test_nonzero_sideeffect_safety.FalseThenTrue +_val adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ _val = True$/;" v class:TestNonzero.test_nonzero_sideeffect_safety.TrueThenFalse +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^ _val = staticmethod(chebval)$/;" v class:Chebyshev +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^ _val = staticmethod(hermval)$/;" v class:Hermite +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^ _val = staticmethod(hermeval)$/;" v class:HermiteE +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^ _val = staticmethod(lagval)$/;" v class:Laguerre +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^ _val = staticmethod(legval)$/;" v class:Legendre +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^ _val = staticmethod(polyval)$/;" v class:Polynomial +_val adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def _val(x, c):$/;" m class:ABCPolyBase +_valfrommode adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _valfrommode(mode):$/;" f +_ValgrindWrapper adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^class _ValgrindWrapper(object):$/;" c +_Validate adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def _Validate(self):$/;" m class:ModelHelper +_validate adpepsenv/lib/python3.8/site-packages/PIL/DdsImagePlugin.py /^def _validate(prefix):$/;" f +_validate adpepsenv/lib/python3.8/site-packages/PIL/FtexImagePlugin.py /^def _validate(prefix):$/;" f +_validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def _validate(self):$/;" m class:_ParseOpParams +_validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def _validate(self):$/;" m class:InfeedQueue +_validate adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _validate(tensor_list):$/;" f +_validate adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _validate(property_name, cond, message):$/;" f function:_validate_properties file: +_validate adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def _validate(self) -> None:$/;" m class:_ValgrindWrapper +_ValidateParams adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^def _ValidateParams(params):$/;" f +_ValidateShapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _ValidateShapes(shapes):$/;" f member:TfTrtIntegrationTestBase.BuildParamsWithMask file: +_validate_aggregation adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def _validate_aggregation(kwargs):$/;" f +_validate_alignment adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_validate_alignment = ValidateInStrings($/;" v +_validate_and_convert_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/numpy_io.py /^def _validate_and_convert_features(x):$/;" f +_validate_and_extract_outputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^def _validate_and_extract_outputs(mode, output_dict, method_name):$/;" f +_validate_and_get_batch_size adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _validate_and_get_batch_size($/;" f +_validate_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _validate_args(self, y, sample_weights, steps):$/;" m class:DatasetAdapter +_validate_args adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ _validate_args = False$/;" v class:Distribution +_validate_args_for_toeplitz_ops adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^def _validate_args_for_toeplitz_ops(c_or_cr, b, check_finite, keep_b_shape,$/;" f +_validate_args_if_ragged adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _validate_args_if_ragged(self, is_ragged_input, mask):$/;" m class:RNN +_validate_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_generator_v1.py /^def _validate_arguments(is_sequence, is_dataset, use_multiprocessing, workers,$/;" f +_validate_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/mel_ops.py /^def _validate_arguments(num_mel_bins, sample_rate,$/;" f +_validate_axis_index_groups adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^def _validate_axis_index_groups(axis_index_groups):$/;" f +_validate_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _validate_batch_size(batch_size, num_cores):$/;" f +_validate_bc adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def _validate_bc(bc_type, y, expected_deriv_shape, axis):$/;" m class:CubicSpline +_validate_call_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def _validate_call_args(self, inputs, mask):$/;" m class:BaseDenseAttention +_validate_cdist_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_cdist_input(XA, XB, mA, mB, n, metric_name, **kwargs):$/;" f +_validate_children_inputs_mappings adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def _validate_children_inputs_mappings(self, children_inputs_mappings):$/;" m class:OpHint +_validate_chunk adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def _validate_chunk(self, chunk):$/;" m class:BatchFeeder +_validate_cluster_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_util.py /^def _validate_cluster_spec(cluster_spec, task_type, task_id):$/;" f +_validate_colocate_extended adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_utils.py /^def _validate_colocate_extended(v, extended):$/;" f +_validate_colocate_with_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def _validate_colocate_with_variable(self, colocate_with_variable):$/;" m class:StrategyExtendedV2 +_validate_colocate_with_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def _validate_colocate_with_variable(self, colocate_with_variable):$/;" m class:MirroredExtended +_validate_colocate_with_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def _validate_colocate_with_variable(self, colocate_with_variable):$/;" m class:OneDeviceExtended +_validate_colocate_with_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _validate_colocate_with_variable(self, colocate_with_variable):$/;" m class:ParameterServerStrategyExtended +_validate_colocate_with_variable adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def _validate_colocate_with_variable(self, colocate_with_variable):$/;" m class:TPUExtended +_validate_compile adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def _validate_compile(self, optimizer, metrics, **kwargs):$/;" m class:Model +_validate_compile_param_for_distribution_strategy adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _validate_compile_param_for_distribution_strategy($/;" m class:Model +_validate_complex adpepsenv/lib/python3.8/site-packages/scipy/ndimage/tests/test_filters.py /^ def _validate_complex(self, array, kernel, type2, mode='reflect', cval=0):$/;" m class:TestNdimageFilters +_validate_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _validate_conn(self, conn):$/;" m class:HTTPConnectionPool +_validate_conn adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def _validate_conn(self, conn):$/;" m class:HTTPSConnectionPool +_validate_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _validate_conn(self, conn):$/;" m class:HTTPConnectionPool +_validate_conn adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def _validate_conn(self, conn):$/;" m class:HTTPSConnectionPool +_validate_content_type adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _validate_content_type(self, headers):$/;" m class:SecurityValidatorMiddleware +_validate_context adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _validate_context(self, ctx):$/;" m class:MultiplexerDataProvider +_validate_converted_limits adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def _validate_converted_limits(self, limit, convert):$/;" m class:_AxesBase +_validate_csp_headers adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _validate_csp_headers(self, headers):$/;" m class:SecurityValidatorMiddleware +_validate_csp_policies adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _validate_csp_policies(self, policies):$/;" m class:SecurityValidatorMiddleware +_validate_data_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def _validate_data_handler(self):$/;" m class:DataHandler +_validate_date adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_date(s):$/;" f +_validate_dct_arguments adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/dct_ops.py /^def _validate_dct_arguments(input_tensor, dct_type, n, axis, norm):$/;" f +_validate_delay adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _validate_delay(delay):$/;" f function:_validate_properties file: +_validate_dependencies_met adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def _validate_dependencies_met():$/;" f +_validate_dependencies_met adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def _validate_dependencies_met():$/;" f +_validate_deprecation_args adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _validate_deprecation_args(date, instructions):$/;" f +_validate_distribution adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^def _validate_distribution(values, weights):$/;" f +_validate_downsample adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _validate_downsample(self, downsample):$/;" m class:MultiplexerDataProvider +_validate_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _validate_dtype(self, dtype):$/;" m class:LinearOperatorBlockLowerTriangular +_validate_dtypes adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^def _validate_dtypes(*dtypes):$/;" f +_validate_dump_with_graphs adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def _validate_dump_with_graphs(self, device_name):$/;" m class:DebugDumpDir +_validate_dynamic_axes adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^def _validate_dynamic_axes(dynamic_axes, model, input_names, output_names):$/;" f +_validate_estimator_spec_export_outputs adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_estimator_spec_export_outputs(export_outputs, predictions, mode):$/;" f +_validate_estimator_spec_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_estimator_spec_hooks(hooks):$/;" f +_validate_estimator_spec_loss adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_estimator_spec_loss(loss, mode):$/;" f +_validate_estimator_spec_predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_estimator_spec_predictions(predictions, mode):$/;" f +_validate_estimator_spec_train_op adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_estimator_spec_train_op(train_op, mode):$/;" f +_validate_eval_metric_ops adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_eval_metric_ops(eval_metric_ops):$/;" f +_validate_experiment_id adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def _validate_experiment_id(self, experiment_id):$/;" m class:MultiplexerDataProvider +_validate_exporters adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _validate_exporters(exporters):$/;" f +_validate_features adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^def _validate_features(features):$/;" f +_validate_features_in_predict_input adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def _validate_features_in_predict_input(self, result):$/;" m class:Estimator +_validate_features_in_predict_input adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _validate_features_in_predict_input(self, result):$/;" m class:TPUEstimator +_validate_feature_columns adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^def _validate_feature_columns(linear_feature_columns, dnn_feature_columns):$/;" f +_validate_feature_to_config_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _validate_feature_to_config_dict(table_to_config_dict,$/;" f +_validate_field adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _validate_field(self, field):$/;" m class:MMFile +_validate_flag_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def _validate_flag_names(self):$/;" m class:TTParameters +_validate_format adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _validate_format(self, format):$/;" m class:MMFile +_validate_fromutc_inputs adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^def _validate_fromutc_inputs(f):$/;" f +_validate_generate_enqueue_ops_enqueue_datas_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def _validate_generate_enqueue_ops_enqueue_datas_list(self,$/;" m class:TPUEmbedding +_validate_generic_rpc_handlers adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^def _validate_generic_rpc_handlers(generic_rpc_handlers):$/;" f +_validate_gpass_gstop adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _validate_gpass_gstop(gpass, gstop):$/;" f +_validate_graph_inputs_and_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def _validate_graph_inputs_and_outputs(self):$/;" m class:Functional +_validate_greaterequal0_lessequal1 adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_greaterequal0_lessequal1(s):$/;" f +_validate_greaterequal0_lessthan1 adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_greaterequal0_lessthan1(s):$/;" f +_validate_group_id adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def _validate_group_id(self, group_id):$/;" m class:GroupLock +_validate_hamming_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_hamming_kwargs(X, m, n, **kwargs):$/;" f +_validate_headers adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _validate_headers(self, headers_list):$/;" m class:SecurityValidatorMiddleware +_validate_hinting adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_hinting(s):$/;" f +_validate_hooks adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _validate_hooks(hooks):$/;" f +_validate_indices adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def _validate_indices(self, key):$/;" m class:IndexMixin +_validate_indices_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^def _validate_indices_list(indices_list, formatted):$/;" f +_validate_inference_input_output_types adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _validate_inference_input_output_types(self, quant_mode):$/;" m class:TFLiteConverterBaseV2 +_validate_init adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def _validate_init(self):$/;" m class:Conv +_validate_input adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def _validate_input(self, value):$/;" m class:ComplicatedSubArray +_validate_input adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def _validate_input(x, y, z, w, kx, ky, eps):$/;" m class:BivariateSpline +_validate_inputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def _validate_inputs(concrete_function):$/;" f +_validate_input_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _validate_input_fn(input_fn):$/;" f +_validate_input_params adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _validate_input_params(tree_params):$/;" f +_validate_input_pipeline adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _validate_input_pipeline(self):$/;" m class:_InputPipeline +_validate_int8_required adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _validate_int8_required(self):$/;" m class:QuantizationMode +_validate_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def _validate_jac(self, jac, sparsity):$/;" m class:BDF +_validate_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def _validate_jac(self, jac, sparsity):$/;" m class:Radau +_validate_join adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _validate_join(tensor_list_list):$/;" f +_validate_keep_input adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _validate_keep_input(keep_input, enqueue_many):$/;" f +_validate_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _validate_kwargs(self, kwargs):$/;" m class:TensorBoard +_validate_kwargs adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def _validate_kwargs(self, kwargs, support_partition=True):$/;" m class:Initializer +_validate_linear_sdca_optimizer_for_linear_classifier adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _validate_linear_sdca_optimizer_for_linear_classifier($/;" f +_validate_linear_sdca_optimizer_for_linear_regressor adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^def _validate_linear_sdca_optimizer_for_linear_regressor($/;" f +_validate_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_linestyle(ls):$/;" f +_validate_list_constructor adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/lang/special_functions.py /^def _validate_list_constructor(elements, element_dtype, element_shape):$/;" f +_validate_loaded_sparse_tensors adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^def _validate_loaded_sparse_tensors():$/;" f +_validate_loss_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _validate_loss_fn_args(loss_fn):$/;" f +_validate_mahalanobis_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_mahalanobis_kwargs(X, m, n, **kwargs):$/;" f +_validate_mapping adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _validate_mapping(self, mapping, scheme):$/;" m class:Metadata +_validate_mathtext_fallback adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_mathtext_fallback(s):$/;" f +_validate_mathtext_fallback_to_cm adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_mathtext_fallback_to_cm(b):$/;" f +_validate_metadata adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def _validate_metadata(self):$/;" m class:Scalar +_validate_minkowski_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_minkowski_kwargs(X, m, n, **kwargs):$/;" f +_validate_mode adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def _validate_mode(self, mode):$/;" m class:SavedModelEstimator +_validate_model_features_and_labels adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _validate_model_features_and_labels(self, features, labels,$/;" m class:_ModelFnWrapper +_validate_named_linestyle adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_validate_named_linestyle = ValidateInStrings($/;" v +_validate_names adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^def _validate_names(typename, field_names, extra_field_names):$/;" f +_validate_namespace_whitelist adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^def _validate_namespace_whitelist(namespace_whitelist):$/;" f +_validate_non_singular adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _validate_non_singular(self, is_non_singular):$/;" m class:LinearOperatorBlockLowerTriangular +_validate_num_operators adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _validate_num_operators(self):$/;" m class:LinearOperatorBlockLowerTriangular +_validate_n_classes adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^def _validate_n_classes(n_classes):$/;" f +_validate_operator_dimensions adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _validate_operator_dimensions(self):$/;" m class:LinearOperatorBlockLowerTriangular +_validate_optimization_parameters adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _validate_optimization_parameters(optimization_parameters,$/;" f +_validate_or_infer_batch_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def _validate_or_infer_batch_size(self, batch_size, steps, x):$/;" m class:Model +_validate_outputs adpepsenv/lib/python3.8/site-packages/torch/_vmap_internals.py /^def _validate_outputs(outputs: Any, func: Callable) -> None:$/;" f +_validate_output_list_for_rank adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^def _validate_output_list_for_rank(my_rank, dst, gather_list):$/;" f +_validate_pad adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _validate_pad(padtype, padlen, x, axis, ntaps):$/;" f +_validate_param_optim adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _validate_param_optim(self, param_name, optim):$/;" m class:LayerModelHelper +_validate_param_shape adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def _validate_param_shape(self, param_name, shape):$/;" m class:LayerModelHelper +_validate_path adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _validate_path(self, path):$/;" m class:AbstractSandbox +_validate_pdist_input adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_pdist_input(X, m, n, metric_name, **kwargs):$/;" f +_validate_preemption_failure adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def _validate_preemption_failure(self, e):$/;" m class:WorkerPreemptionHandler +_validate_properties adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _validate_properties(run_config):$/;" f +_validate_proxy_scheme_url_selection adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def _validate_proxy_scheme_url_selection(self, url_scheme):$/;" m class:ProxyManager +_validate_proxy_scheme_url_selection adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def _validate_proxy_scheme_url_selection(self, url_scheme):$/;" m class:PoolManager +_validate_pruning_amount adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _validate_pruning_amount(amount, tensor_size):$/;" f +_validate_pruning_amount_init adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _validate_pruning_amount_init(amount):$/;" f +_validate_pruning_dim adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _validate_pruning_dim(t, dim):$/;" f +_validate_qmin_qmax adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def _validate_qmin_qmax(self, quant_min, quant_max):$/;" m class:_ObserverBase +_validate_quantized_input_stats adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def _validate_quantized_input_stats(self, converter_kwargs, calibrate):$/;" m class:TFLiteConverterBaseV1 +_validate_requirements adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^def _validate_requirements($/;" f +_validate_resource_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _validate_resource_path(path):$/;" m class:NullProvider +_validate_resource_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _validate_resource_path(path):$/;" m class:NullProvider +_validate_rpc_args adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/__init__.py /^ def _validate_rpc_args(backend, store, name, rank, world_size, rpc_backend_options):$/;" f +_validate_sample adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def _validate_sample(self, value):$/;" m class:Distribution +_validate_save_ckpt_with_replaced_keys adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _validate_save_ckpt_with_replaced_keys(new_copy, replaced_keys):$/;" f +_validate_saving_listeners adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^def _validate_saving_listeners(saving_listeners):$/;" f +_validate_scaffold adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^def _validate_scaffold(scaffold):$/;" f +_validate_service adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _validate_service(service):$/;" f +_validate_seuclidean_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_seuclidean_kwargs(X, m, n, **kwargs):$/;" f +_validate_signature_def_map adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _validate_signature_def_map(self, signature_def_map):$/;" m class:_SavedModelBuilder +_validate_sos adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _validate_sos(sos):$/;" f +_validate_square adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def _validate_square(self, is_square):$/;" m class:LinearOperatorBlockLowerTriangular +_validate_ssl_context_for_tls_in_tls adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def _validate_ssl_context_for_tls_in_tls(ssl_context):$/;" m class:SSLTransport +_validate_standard_backends adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_validate_standard_backends = ValidateInStrings($/;" v +_validate_state_spec adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def _validate_state_spec(cell_state_sizes, init_state_specs):$/;" m class:RNN +_validate_steps adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def _validate_steps(steps):$/;" m class:MaxNLocator +_validate_structured_pruning adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^def _validate_structured_pruning(t):$/;" f +_validate_symbol_names adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def _validate_symbol_names(self):$/;" m class:api_export +_validate_symmetry adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _validate_symmetry(self, symmetry):$/;" m class:MMFile +_validate_table_to_config_dict adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^def _validate_table_to_config_dict(table_to_config_dict):$/;" f +_validate_task_type_and_task_id adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^def _validate_task_type_and_task_id(cluster_spec, task_env, chief_task_type):$/;" f +_validate_tensor_info adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def _validate_tensor_info(self, tensor_info):$/;" m class:_SavedModelBuilder +_validate_tensor_value adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _validate_tensor_value(self, tensor_proto, tag, step, wall_time):$/;" m class:_TensorBatchedRequestSender +_validate_tex_preamble adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^def _validate_tex_preamble(s):$/;" f +_validate_timeout adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def _validate_timeout(cls, value, name):$/;" m class:Timeout +_validate_timeout adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def _validate_timeout(cls, value, name):$/;" m class:Timeout +_validate_tpu_configuration adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def _validate_tpu_configuration(self):$/;" m class:_InternalTPUContext +_validate_tpu_training_graph adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _validate_tpu_training_graph(ctx):$/;" f +_validate_v adpepsenv/lib/python3.8/site-packages/torch/autograd/functional.py /^def _validate_v(v, other, is_other_tuple):$/;" f +_validate_value adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _validate_value(self, key, value, scheme=None):$/;" m class:Metadata +_validate_value adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _validate_value(self, value):$/;" m class:Headers +_validate_value_destination_pairs adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^def _validate_value_destination_pairs(value_destination_pairs):$/;" f +_validate_vector adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_vector(u, dtype=None):$/;" f +_validate_version adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def _validate_version(version):$/;" m class:Distribution +_validate_weights adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_weights(w, dtype=np.double):$/;" f +_validate_wminkowski_kwargs adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^def _validate_wminkowski_kwargs(X, m, n, **kwargs):$/;" f +_validate_x adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^def _validate_x(x):$/;" f +_validate_x_content_type_options adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def _validate_x_content_type_options(self, headers):$/;" m class:SecurityValidatorMiddleware +_VALIDATION_ERROR_MSG adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/base_head.py /^_VALIDATION_ERROR_MSG = '{} should be a list or a tuple. Given type: {}.'$/;" v +_validators adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_validators = {$/;" v +_validators adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^_validators = {k: _convert_validator_spec(k, conv)$/;" v +_valid_chars adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^_valid_chars = "abcdefghijklmopqABC"$/;" v +_VALID_CONTRACT_KWARGS adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^_VALID_CONTRACT_KWARGS = {'optimize', 'path', 'memory_limit', 'einsum_call', 'use_blas', 'shapes/;" v +_VALID_DEVICE_FN_ARGS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^_VALID_DEVICE_FN_ARGS = set(['op'])$/;" v +_VALID_DEVICE_TYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^_VALID_DEVICE_TYPES = frozenset({"CPU", "GPU", "TPU", "CUSTOM", "EPU"})$/;" v +_valid_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def _valid_dtypes(self):$/;" m class:OptimizerV2 +_VALID_DTYPES adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sets_impl.py /^_VALID_DTYPES = set([$/;" v +_valid_dtypes adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _valid_dtypes(self):$/;" m class:Optimizer +_VALID_EXTENSION_NAME adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_VALID_EXTENSION_NAME = re.compile(r'\\[[a-zA-Z0-9\\._]*\\]$')$/;" v +_VALID_IDENTIFIER adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^_VALID_IDENTIFIER = re.compile(r"^[a-zA-Z_]([a-zA-Z0-9_])*$")$/;" v +_valid_init_meth adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^_valid_init_meth = {'random': _krandinit, 'points': _kpoints, '++': _kpp}$/;" v +_valid_inputs adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _valid_inputs(A, B, poles, method, rtol, maxiter):$/;" f +_valid_jaxtype adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _valid_jaxtype(arg):$/;" f +_valid_mask_octets adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _valid_mask_octets = frozenset([255, 254, 252, 248, 240, 224, 192, 128, 0])$/;" v class:_BaseV4 +_VALID_METRIC_FN_ARGS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^_VALID_METRIC_FN_ARGS = set(['features', 'labels', 'predictions', 'config'])$/;" v +_valid_miss_meth adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^_valid_miss_meth = {'warn': _missing_warn, 'raise': _missing_raise}$/;" v +_VALID_MODEL_FN_ARGS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^_VALID_MODEL_FN_ARGS = set($/;" v +_VALID_MODEL_FN_ARGS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^_VALID_MODEL_FN_ARGS = set($/;" v +_VALID_OP_NAME_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_VALID_OP_NAME_REGEX = re.compile(r"^[A-Za-z0-9.][A-Za-z0-9_.\\\\\/>-]*$")$/;" v +_VALID_PLUGIN_RE adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^_VALID_PLUGIN_RE = re.compile(r"^[A-Za-z0-9_-]+$")$/;" v +_VALID_SCOPE_NAME_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^_VALID_SCOPE_NAME_REGEX = re.compile(r"^[A-Za-z0-9_.\\\\\/>-]*$")$/;" v +_VALID_SCOPE_REGEX adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^_VALID_SCOPE_REGEX = re.compile("^[A-Za-z0-9.][A-Za-z0-9_.\\\\\/>-]*$")$/;" v +_valid_signature adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^def _valid_signature(concrete_function):$/;" f +_VALID_TYPES adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^_VALID_TYPES = (_AUTHORIZED_USER_TYPE, _SERVICE_ACCOUNT_TYPE, _EXTERNAL_ACCOUNT_TYPE)$/;" v +_VALID_WEBP_LEGACY_MODES adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^_VALID_WEBP_LEGACY_MODES = {"RGB": True, "RGBA": True}$/;" v +_VALID_WEBP_MODES adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^_VALID_WEBP_MODES = {"RGBX": True, "RGBA": True, "RGB": True}$/;" v +_valnd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _valnd(val_f, c, *args):$/;" f +_VALUE adpepsenv/lib/python3.8/site-packages/google/protobuf/struct_pb2.py /^_VALUE = _descriptor.Descriptor($/;" v +_value adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def _value(self):$/;" m class:ShardedDeviceArray +_value adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def _value(self):$/;" m class:_DeviceArray +_value adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def _value(self, s, loc, tokens):$/;" m class:FontconfigPatternParser +_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _value(self, name):$/;" m class:PrettyPrinter +_ValueMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _ValueMessageToJsonObject(self, message):$/;" m class:_Printer +_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _values(self):$/;" m class:List +_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _values(self):$/;" m class:Mapping +_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _values(self):$/;" m class:TrackableDataStructure +_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _values(self):$/;" m class:_DictWrapper +_values adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def _values(self):$/;" m class:_TupleWrapper +_VALUESDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_VALUESDEF = _descriptor.Descriptor($/;" v +_VALUESDEF_EXTERNALVALUESENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_VALUESDEF_EXTERNALVALUESENTRY = _descriptor.Descriptor($/;" v +_values_to_avals adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^def _values_to_avals(vals) -> Sequence[core.ShapedArray]:$/;" f +_VALUETYPE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profile_pb2.py /^_VALUETYPE = _descriptor.Descriptor($/;" v +_VALUE_CHECKERS adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^_VALUE_CHECKERS = {$/;" v +_value_in_bounds adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def _value_in_bounds(self, val):$/;" m class:Slider +_value_matches adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _value_matches(self, value, item):$/;" m class:Accept +_value_matches adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _value_matches(self, value, item):$/;" m class:CharsetAccept +_value_matches adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _value_matches(self, value, item):$/;" m class:LanguageAccept +_value_matches adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def _value_matches(self, value, item):$/;" m class:MIMEAccept +_value_or adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def _value_or(name, var, default):$/;" f function:_tf_range_for_stmt file: +_VALUE_SET_CODE_STRING adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^_VALUE_SET_CODE_STRING = """$/;" v +_value_to_python adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^def _value_to_python(value):$/;" f +_val_formatter adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ def _val_formatter(val, formatter1=formatter1, formatter2=formatter2):$/;" f function:_vector_str file: +_val_writer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _val_writer(self):$/;" m class:TensorBoard +_vander_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^def _vander_dispatcher(x, N=None, increasing=None):$/;" f +_vander_nd adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _vander_nd(vander_fs, points, degrees):$/;" f +_vander_nd_flat adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^def _vander_nd_flat(vander_fs, points, degrees):$/;" f +_var adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^def _var(a, **args):$/;" f +_var adpepsenv/lib/python3.8/site-packages/numpy/core/_methods.py /^def _var(a, axis=None, dtype=None, out=None, ddof=0, keepdims=False):$/;" f +_VAR adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^_VAR = re.compile(r'\\$\\{([a-zA-Z0-9_-]+)\\}')$/;" v +_var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _var(self, dim, df, scale):$/;" m class:invwishart_gen +_var adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def _var(self, dim, df, scale):$/;" m class:wishart_gen +_vararg_kwarg_err adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^_vararg_kwarg_err = ("Compiled functions can't take variable number of arguments "$/;" v +_VarHandle adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _VarHandle(_Node):$/;" c +_VARIABLE adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/tf2xla_pb2.py /^_VARIABLE = _descriptor.Descriptor($/;" v +_variable adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^def _variable(initial_value, trainable=False, name=None):$/;" f +_VARIABLEAGGREGATION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_VARIABLEAGGREGATION = _descriptor.EnumDescriptor($/;" v +_VARIABLEAGGREGATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_VARIABLEAGGREGATION = _descriptor.EnumDescriptor($/;" v +_VARIABLEDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_VARIABLEDEF = _descriptor.Descriptor($/;" v +_VARIABLEDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_VARIABLEDEF = _descriptor.Descriptor($/;" v +_variables adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/initializers_ns.py /^from tensorflow.python.ops import variables as _variables$/;" x +_VariableScopeStore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _VariableScopeStore(threading.local):$/;" c +_VariableStore adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^class _VariableStore(object):$/;" c +_VARIABLESYNCHRONIZATION adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/variable_pb2.py /^_VARIABLESYNCHRONIZATION = _descriptor.EnumDescriptor($/;" v +_VARIABLESYNCHRONIZATION adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/variable_pb2.py /^_VARIABLESYNCHRONIZATION = _descriptor.EnumDescriptor($/;" v +_variables_in_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def _variables_in_scope(self, variable_list):$/;" m class:_EagerTemplateVariableStore +_variablewidth_splitter adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def _variablewidth_splitter(self, line):$/;" m class:LineSplitter +_VARIABLE_COLLECTIONS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ _VARIABLE_COLLECTIONS = [$/;" v class:GraphKeys +_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^def _variable_creator(next_creator, parallel_device, **kwargs):$/;" f +_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _variable_creator(next_creator, **creator_kwargs):$/;" f member:TFOpLambda._call_wrapper file: +_variable_creator adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _variable_creator(next_creator, **kwargs):$/;" f member:Lambda.call file: +_variable_creator_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _variable_creator_scope(self, creator, priority=100):$/;" m class:Graph +_variable_creator_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _variable_creator_stack(self):$/;" m class:Graph +_variable_creator_stack adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def _variable_creator_stack(self, variable_creator_stack):$/;" m class:Graph +_variable_handle_from_shape_and_dtype adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^def _variable_handle_from_shape_and_dtype(shape,$/;" f +_VARIABLE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/graph_util_impl.py /^_VARIABLE_OPS = {$/;" v +_VARIABLE_OPS adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^_VARIABLE_OPS = set(["Variable",$/;" v +_variable_rx adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/sysconfig.py /^_variable_rx = re.compile(r"([a-zA-Z][a-zA-Z0-9_]+)\\s*=\\s*(.*)")$/;" v +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_BucketizedColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_DenseColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_EmbeddingColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_IndicatorColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_NumericColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _variable_shape(self):$/;" m class:_SharedEmbeddingColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _variable_shape(self):$/;" m class:BucketizedColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _variable_shape(self):$/;" m class:EmbeddingColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _variable_shape(self):$/;" m class:IndicatorColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _variable_shape(self):$/;" m class:NumericColumn +_variable_shape adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def _variable_shape(self):$/;" m class:SharedEmbeddingColumn +_variable_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^_variable_type = type(resource_variable_ops.BaseResourceVariable)$/;" v +_VARIABLE_UNIQUIFYING_REGEX adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^_VARIABLE_UNIQUIFYING_REGEX = re.compile(r"_\\d\/")$/;" v +_VARIABLE_UNIQUIFYING_REGEX_AT_END adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/shared_variable_creator.py /^_VARIABLE_UNIQUIFYING_REGEX_AT_END = re.compile(r"_\\d$")$/;" v +_variable_v1_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _variable_v1_call(cls,$/;" m class:VariableMetaclass +_variable_v2_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def _variable_v2_call(cls,$/;" m class:VariableMetaclass +_variadic_reduction_jaxpr adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^def _variadic_reduction_jaxpr(computation, flat_avals, aval_tree):$/;" f +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def _variance(self):$/;" m class:Bernoulli +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def _variance(self):$/;" m class:Beta +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _variance(self):$/;" m class:Dirichlet +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _variance(self):$/;" m class:DirichletMultinomial +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def _variance(self):$/;" m class:Distribution +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def _variance(self):$/;" m class:Gamma +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def _variance(self):$/;" m class:Multinomial +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def _variance(self):$/;" m class:StudentT +_variance adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def _variance(self):$/;" m class:Uniform +_VARIANCE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^_VARIANCE_NAME = 'variance'$/;" v +_variance_scale_term adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def _variance_scale_term(self):$/;" m class:Dirichlet +_variance_scale_term adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def _variance_scale_term(self):$/;" m class:DirichletMultinomial +_VariantDataset adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _VariantDataset(DatasetV2):$/;" c +_VARIANTTENSORDATAPROTO adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/tensor_pb2.py /^_VARIANTTENSORDATAPROTO = _descriptor.Descriptor($/;" v +_VARIANTTENSORDATAPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/tensor_pb2.py /^_VARIANTTENSORDATAPROTO = _descriptor.Descriptor($/;" v +_VariantTracker adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^class _VariantTracker(tracking.CapturableResource):$/;" c +_variant_handle_data adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _variant_handle_data(t):$/;" f +_variant_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _variant_tensor(self):$/;" m class:DatasetV2 +_variant_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _variant_tensor(self, _):$/;" m class:DatasetV2 +_variational_recurrent_dropout_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def _variational_recurrent_dropout_value($/;" m class:DropoutWrapperBase +_variations adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^_variations = [$/;" v +_variations adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^_variations = [$/;" v +_VarintBytes adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _VarintBytes(value):$/;" f +_VarintDecoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^def _VarintDecoder(mask, result_type):$/;" f +_VarintEncoder adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _VarintEncoder():$/;" f +_VarintSize adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^def _VarintSize(value):$/;" f +_varint_cost adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^def _varint_cost(n):$/;" f +_VARLENFEATUREPROTO adpepsenv/lib/python3.8/site-packages/tensorflow/core/example/example_parser_configuration_pb2.py /^_VARLENFEATUREPROTO = _descriptor.Descriptor($/;" v +_varname_match adpepsenv/lib/python3.8/site-packages/numpy/f2py/crackfortran.py /^_varname_match = re.compile(r'\\A[a-z]\\w*\\Z').match$/;" v +_VARSCOPESTORE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_VARSCOPESTORE_KEY = ("__varscope",)$/;" v +_VARSTORE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^_VARSTORE_KEY = ("__variable_store",)$/;" v +_VarUInt64ByteSizeNoTag adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^def _VarUInt64ByteSizeNoTag(uint64):$/;" f +_var_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _var_dispatcher($/;" f +_var_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^def _var_key(var):$/;" f +_var_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^def _var_key(var):$/;" f +_var_promote_types adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _var_promote_types(a_dtype, dtype):$/;" f +_VAR_REPL adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^_VAR_REPL = re.compile(r'\\{([^{]*?)\\}')$/;" v +_var_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _var_scope_name(self):$/;" m class:_FeatureColumn +_var_scope_name adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def _var_scope_name(self):$/;" m class:_SharedEmbeddingColumn +_var_to_list adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def _var_to_list(self, var):$/;" m class:_SDCAModel +_var_to_tensor adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^def _var_to_tensor(var, dtype=None, name=None, as_ref=False):$/;" f +_vcs_split_rev_from_url adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def _vcs_split_rev_from_url(url, pop_prefix=False):$/;" m class:PackageIndex +_vdot adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^_vdot = partial(jnp.vdot, precision=lax.Precision.HIGHEST)$/;" v +_vdot_real_part adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _vdot_real_part(x, y):$/;" f +_vdot_real_tree adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/sparse/linalg.py /^def _vdot_real_tree(x, y):$/;" f +_vec adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^def _vec(x):$/;" f +_VECTOR adpepsenv/lib/python3.8/site-packages/tensorflow/core/kernels/boosted_trees/boosted_trees_pb2.py /^_VECTOR = _descriptor.Descriptor($/;" v +_vectorize_call adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def _vectorize_call(self, func, args):$/;" m class:vectorize +_vectorize_call_with_signature adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def _vectorize_call_with_signature(self, func, args):$/;" m class:vectorize +_vectorize_then_blockify adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def _vectorize_then_blockify(self, matrix):$/;" m class:_BaseLinearOperatorCirculant +_vector_magnitude adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _vector_magnitude(arr):$/;" f +_vector_polynomial_value adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^def _vector_polynomial_value(poly, x, zero_power=None):$/;" f +_vector_str adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^def _vector_str(self, indent, summarize, formatter1, formatter2=None):$/;" f +_vec_pad_ones adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/proj3d.py /^def _vec_pad_ones(xs, ys, zs):$/;" f +_ver adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/compat.py /^_ver = sys.version_info$/;" v +_ver adpepsenv/lib/python3.8/site-packages/requests/compat.py /^_ver = sys.version_info$/;" v +_verbosity adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tensorboard_logging.py /^_verbosity = WARN$/;" v +_VerbosityFlag adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^class _VerbosityFlag(flags.Flag):$/;" c +_VERIFIERCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^_VERIFIERCONFIG = _descriptor.Descriptor($/;" v +_VERIFIERCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^_VERIFIERCONFIG = _descriptor.Descriptor($/;" v +_VERIFIERCONFIG_TOGGLE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/verifier_config_pb2.py /^_VERIFIERCONFIG_TOGGLE = _descriptor.EnumDescriptor($/;" v +_VERIFIERCONFIG_TOGGLE adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/verifier_config_pb2.py /^_VERIFIERCONFIG_TOGGLE = _descriptor.EnumDescriptor($/;" v +_VerifyConnections adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _VerifyConnections(self, expected_engines, original_gdef, converted_gdef):$/;" m class:TfTrtIntegrationTestBase +_VerifyExtensionHandle adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^def _VerifyExtensionHandle(message, extension_handle):$/;" f +_VerifyGeneratedGradients adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _VerifyGeneratedGradients(grads, op):$/;" f +_VerifyGradientGenerators adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def _VerifyGradientGenerators(self, generator):$/;" m class:IR +_VerifyGraphDef adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _VerifyGraphDef(self, run_params, original_gdef_or_saved_model_dir,$/;" m class:TfTrtIntegrationTestBase +_VerifyGraphDefV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _VerifyGraphDefV1(self, run_params, original_gdef, gdef_to_verify,$/;" m class:TfTrtIntegrationTestBase +_VerifyGraphDefV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _VerifyGraphDefV2(self, run_params, original_gdef, gdef_to_verify,$/;" m class:TfTrtIntegrationTestBase +_VerifyMaxBatchSizeAnnotations adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _VerifyMaxBatchSizeAnnotations($/;" m class:TfTrtIntegrationTestBase +_verify_and_concat_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def _verify_and_concat_tensors(self, output_tensors):$/;" m class:_BaseFeaturesLayer +_verify_and_create_loss_metric adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _verify_and_create_loss_metric(eval_metric_ops, loss, distribution=None):$/;" f +_verify_and_get_subgroup_size adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def _verify_and_get_subgroup_size(self, group_assignment, num_shards):$/;" m class:CrossShardOptimizer +_verify_and_return_same_core_count adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def _verify_and_return_same_core_count(device_dict):$/;" m class:TPUClusterResolver +_verify_args_and_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def _verify_args_and_config(self, cluster_resolver):$/;" m class:ParameterServerStrategyV2 +_verify_backwards adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _verify_backwards(self, exec_mode, tensors, context_id, local_grads, *args):$/;" m class:DistAutogradTest +_verify_backwards_remote adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _verify_backwards_remote(self, tensors, context_id, local_grads, *args):$/;" m class:DistAutogradTest +_verify_batch_size adpepsenv/lib/python3.8/site-packages/torch/nn/functional.py /^def _verify_batch_size(size):$/;" f +_verify_bn_outputs adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def _verify_bn_outputs($/;" f member:DataParallelModelTest._test_multi_device_bn_net_lvl file: +_verify_callback adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^def _verify_callback(cnx, x509, err_no, err_depth, return_code):$/;" f +_verify_callback adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^def _verify_callback(cnx, x509, err_no, err_depth, return_code):$/;" f +_verify_compare_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^def _verify_compare_fn_args(compare_fn):$/;" f +_verify_compatible_image_shapes adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^def _verify_compatible_image_shapes(img1, img2):$/;" f +_verify_conv_data_format adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _verify_conv_data_format(node):$/;" f +_verify_cross_hosts_transfer_size adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _verify_cross_hosts_transfer_size(tensor_dict, message):$/;" f +_verify_destinations_not_different_worker adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def _verify_destinations_not_different_worker(self, destinations):$/;" m class:ParameterServerStrategyExtended +_verify_equal adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^def _verify_equal(xs, ys):$/;" f +_verify_estimator_spec adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _verify_estimator_spec(self, estimator_spec):$/;" m class:_ModelFnWrapper +_verify_graph_for_first_rpc_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _verify_graph_for_first_rpc_call($/;" m class:DistAutogradTest +_verify_graph_for_nested_rpc_call adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _verify_graph_for_nested_rpc_call(self, ctx):$/;" m class:DistAutogradTest +_verify_graph_for_rpc_call_exec adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _verify_graph_for_rpc_call_exec(self, send_function):$/;" m class:DistAutogradTest +_verify_iat_and_exp adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^def _verify_iat_and_exp(payload):$/;" f +_verify_ineffcient_unroll adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def _verify_ineffcient_unroll(self):$/;" m class:_PythonLoopChecker +_verify_inefficient_unroll adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def _verify_inefficient_unroll(self):$/;" m class:_PythonLoopChecker +_verify_layer_config adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def _verify_layer_config(self):$/;" m class:Bidirectional +_verify_loop_init_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_loop_init_vars(init_vars, symbol_names, first_iter_vars=None):$/;" f +_verify_metric_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/extenders.py /^def _verify_metric_fn_args(metric_fn):$/;" f +_verify_model_fn_args adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _verify_model_fn_args(model_fn, params):$/;" f +_verify_ops adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _verify_ops(graph_def, namespace_whitelist):$/;" f +_verify_records adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/reader_dataset_ops_test_base.py /^ def _verify_records(self, outputs, batch_size, file_index, num_epochs,$/;" m class:TFRecordDatasetTestBase +_verify_rnn_cell_input adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^def _verify_rnn_cell_input(rnn_cell_fn, units, cell_type):$/;" f +_verify_setup adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _verify_setup(self):$/;" m class:Supervisor +_verify_single_cond_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _verify_single_cond_var(name, body_var, orelse_var):$/;" f +_verify_single_loop_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_single_loop_var($/;" f +_verify_single_loop_var adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _verify_single_loop_var($/;" f +_verify_spec_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _verify_spec_compatible(input_name, spec_name, input_, spec):$/;" f +_verify_static_batch_size_equality adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _verify_static_batch_size_equality(tensors, columns):$/;" f +_verify_static_batch_size_equality adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^def _verify_static_batch_size_equality(tensors, columns):$/;" f +_verify_strategy_compatibility adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def _verify_strategy_compatibility(self, train_distribute, eval_distribute):$/;" m class:RunConfig +_verify_structure_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/py_builtins.py /^def _verify_structure_compatible(input_name, spec_name, input_, spec):$/;" f +_verify_tf_condition adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_tf_condition(cond, tag):$/;" f +_verify_tf_cond_branch_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_tf_cond_branch_vars(vars_, symbol_names, branch_name):$/;" f +_verify_tf_cond_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_tf_cond_vars(body_vars, orelse_vars, symbol_names):$/;" f +_verify_tf_cond_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _verify_tf_cond_vars(body_vars, orelse_vars, symbol_names):$/;" f +_verify_tf_loop_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^def _verify_tf_loop_vars(init_vars,$/;" f +_verify_tf_loop_vars adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _verify_tf_loop_vars(init_vars,$/;" f +_verify_tpu_spec_predictions adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def _verify_tpu_spec_predictions(self, predictions):$/;" m class:_ModelFnWrapper +_VERSION adpepsenv/lib/python3.8/site-packages/google/protobuf/compiler/plugin_pb2.py /^_VERSION = _descriptor.Descriptor($/;" v +_version adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^_version = 3$/;" v +_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _version = 4$/;" v class:_BaseV4 +_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ _version = 6$/;" v class:_BaseV6 +_Version adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^_Version = collections.namedtuple($/;" v +_Version adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^_Version = collections.namedtuple($/;" v +_Version adpepsenv/lib/python3.8/site-packages/scipy/_lib/uarray.py /^ from scipy._lib._pep440 import Version as _Version$/;" x +_Version adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^_Version = collections.namedtuple($/;" v +_Version adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^_Version = collections.namedtuple($/;" v +_version adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^from tensorboard import version as _version$/;" x +_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/sysconfig.py /^from tensorflow.python.framework.versions import VERSION as _VERSION$/;" x +_VERSION adpepsenv/lib/python3.8/site-packages/tensorflow/tools/pip_package/setup.py /^_VERSION = '2.4.1'$/;" v +_version adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ _version = 2$/;" v class:_ConvBnNd +_version adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ _version = 2$/;" v class:_NormBase +_version adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ _version: int = 1$/;" v class:Module +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ _version = 4$/;" v class:Linear +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ _version = 2$/;" v class:RNNBase +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ _version = 1$/;" v class:Embedding +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ _version = 1$/;" v class:EmbeddingBag +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ _version = 1$/;" v class:EmbeddingPackedParams +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ _version = 3$/;" v class:Linear +_version adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ _version = 3$/;" v class:LinearPackedParams +_version adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ _version: int = 1$/;" v class:SpectralNorm +_version adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ _version = 2$/;" v class:_ObserverBase +_version2fieldlist adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^def _version2fieldlist(version):$/;" f +_VERSIONDEF adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/versions_pb2.py /^_VERSIONDEF = _descriptor.Descriptor($/;" v +_VERSIONDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/framework/versions_pb2.py /^_VERSIONDEF = _descriptor.Descriptor($/;" v +_VERSIONS_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_VERSIONS_FIELDS = ('Requires-Python',)$/;" v +_version_chooser adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/strategy_combinations.py /^def _version_chooser(tf1_cls, tf2_cls):$/;" f +_VERSION_FIELDS adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^_VERSION_FIELDS = ('Version',)$/;" v +_version_from_file adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def _version_from_file(lines):$/;" f +_version_from_file adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def _version_from_file(lines):$/;" f +_version_handler adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def _version_handler(self, args, screen_info=None):$/;" m class:CommandHandlerRegistry +_VERSION_METADATA_KEY adpepsenv/lib/python3.8/site-packages/tensorboard/util/grpc_util.py /^_VERSION_METADATA_KEY = "tensorboard-version"$/;" v +_version_nodot adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _version_nodot(version):$/;" f +_version_nodot adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _version_nodot(version):$/;" f +_VERSION_PART adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_VERSION_PART = re.compile(r'([a-z]+|\\d+|[\\.-])', re.I)$/;" v +_VERSION_REPLACE adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^_VERSION_REPLACE = {$/;" v +_VERSION_SPEC adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^_VERSION_SPEC = Optional(((LPAREN + VERSION_MANY + RPAREN) | VERSION_MANY))$/;" v +_VERSION_SPEC adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^_VERSION_SPEC = Optional(((LPAREN + VERSION_MANY + RPAREN) | VERSION_MANY))$/;" v +_VERSION_SPEC adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^_VERSION_SPEC = Optional(((LPAREN + VERSION_MANY + RPAREN) | VERSION_MANY))$/;" v +_version_split adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^def _version_split(version):$/;" f +_version_split adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^def _version_split(version):$/;" f +_version_split adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^def _version_split(version):$/;" f +_VERSION_SWITCHER_ENDPOINT adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^_VERSION_SWITCHER_ENDPOINT = 'http:\/\/{}:8475\/requestversion'$/;" v +_ver_info adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^_ver_info = sys.version_info[:2]$/;" v +_vffile adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^_vffile = partial(_fontfile, Vf, ".vf")$/;" v +_vhstack_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^def _vhstack_dispatcher(tup):$/;" f +_view adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _view(arr, dtype=None, type=None):$/;" f +_viewers adpepsenv/lib/python3.8/site-packages/PIL/ImageShow.py /^_viewers = []$/;" v +_views_positions adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^_views_positions = 'viewpos'$/;" v +_view_is_safe adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def _view_is_safe(oldtype, newtype):$/;" f +_view_roi adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^def _view_roi(array, original_area_slice, axis):$/;" f +_violation adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def _violation(self, operation, *args, **kw):$/;" m class:DirectorySandbox +_virama_combining_class adpepsenv/lib/python3.8/site-packages/idna/core.py /^_virama_combining_class = 9$/;" v +_virama_combining_class adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/core.py /^_virama_combining_class = 9$/;" v +_viridis_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm_listed.py /^_viridis_data = [[0.267004, 0.004874, 0.329415],$/;" v +_VisibleDeprecationTestCase adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^class _VisibleDeprecationTestCase(_DeprecationTestCase):$/;" c +_visit adpepsenv/lib/python3.8/site-packages/gast/astn.py /^ def _visit(self, node):$/;" m class:_generate_translators.Translator +_visit_and_process_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def _visit_and_process_block(self, block):$/;" m class:ListTransformer +_visit_and_substitute_raw_values adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def _visit_and_substitute_raw_values(cls, nodes, raw_values_dict):$/;" m class:Caffe2Backend +_visit_arg_annotations adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _visit_arg_annotations(self, node):$/;" m class:ActivityAnalyzer +_visit_arg_declarations adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _visit_arg_declarations(self, node):$/;" m class:ActivityAnalyzer +_visit_internal adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def _visit_internal(self, mode):$/;" m class:GraphVisitor +_visit_loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def _visit_loop_body(self, node, nodes):$/;" m class:ContinueCanonicalizationTransformer +_visit_node_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def _visit_node_list(self, nodes):$/;" m class:ActivityAnalyzer +_visit_non_loop_body adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def _visit_non_loop_body(self, nodes):$/;" m class:ContinueCanonicalizationTransformer +_visit_statement_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def _visit_statement_block(self, node, nodes):$/;" m class:ConditionalReturnRewriter +_visit_statement_block adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def _visit_statement_block(self, node, nodes):$/;" m class:ReturnStatementsTransformer +_visit_strict_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _visit_strict_expression(self, node):$/;" m class:AnfTransformer +_visit_strict_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _visit_strict_statement(self, node, children_ok_to_transform=True):$/;" m class:AnfTransformer +_visit_trivial_only_expression adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _visit_trivial_only_expression(self, node, msg):$/;" m class:AnfTransformer +_visit_trivial_only_statement adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def _visit_trivial_only_statement(self, node, msg):$/;" m class:AnfTransformer +_vjp adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _vjp(fun: lu.WrappedFun, *primals, has_aux=False):$/;" f +_vjp_pullback_wrapper adpepsenv/lib/python3.8/site-packages/jax/api.py /^def _vjp_pullback_wrapper(cotangent_dtypes, io_tree, fun, py_args):$/;" f +_VocabularyFileCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _VocabularyFileCategoricalColumn($/;" c +_VocabularyListCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _VocabularyListCategoricalColumn($/;" c +_VOCAB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^_VOCAB_NAME = "vocab"$/;" v +_VOCAB_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^_VOCAB_NAME = "vocab"$/;" v +_vode adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^from . import vode as _vode$/;" x +_vode_banded_jac_wrapper adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^def _vode_banded_jac_wrapper(jacfunc, ml, jac_params):$/;" f +_vode_int_dtype adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^_vode_int_dtype = _vode.types.intvar.dtype$/;" v +_void_scalar_repr adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^def _void_scalar_repr(x):$/;" f +_VP8_MODES_BY_IDENTIFIER adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^_VP8_MODES_BY_IDENTIFIER = {$/;" v +_vratio adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^def _vratio(u, ineps, mp):$/;" f +_v_prev adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def _v_prev(param):$/;" f function:Parallelize_BMUF file: +_wait adpepsenv/lib/python3.8/site-packages/torch/jit/__init__.py /^_wait = wait$/;" v +_Waiter adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^class _Waiter(object):$/;" c +_wait_all_workers adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^def _wait_all_workers():$/;" f +_wait_cursor_for_draw_cm adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _wait_cursor_for_draw_cm(self):$/;" m class:NavigationToolbar2 +_wait_finish adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def _wait_finish(self, cleanup=None):$/;" m class:WorkerCoordinator +_wait_for_all adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^def _wait_for_all(rpc_futs):$/;" f +_wait_for_interceptor_task_response_iterator adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ async def _wait_for_interceptor_task_response_iterator(self$/;" m class:_InterceptedStreamResponseMixin +_wait_for_variable_initialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distributed_training_utils_v1.py /^def _wait_for_variable_initialization(session):$/;" f +_wait_once adpepsenv/lib/python3.8/site-packages/grpc/_common.py /^def _wait_once(wait_fn, timeout, spin_cb):$/;" f +_wait_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def _wait_queue(self):$/;" m class:OrderedEnqueuer +_wait_threads adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def _wait_threads(self):$/;" m class:SimpleScrapingLocator +_walk adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def _walk(node, path):$/;" f function:_find_common_roots file: +_WalkMode adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^class _WalkMode(Enum):$/;" c +_walk_layers adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/keras_util.py /^def _walk_layers(keras_layer):$/;" f +_walk_structure_for_problems adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^def _walk_structure_for_problems(a, b, aname, bname, problem_list):$/;" f +_warm_start_var_with_vocab adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^def _warm_start_var_with_vocab(var,$/;" f +_warn adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from warnings import warn as _warn$/;" x +_warn adpepsenv/lib/python3.8/site-packages/scipy/special/basic.py /^from warnings import warn as _warn$/;" x +_warn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _warn(self, msg):$/;" m class:Lambda +_warn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def _warn(self, msg):$/;" m class:TFOpLambda +_warned_about_filesystem_encoding adpepsenv/lib/python3.8/site-packages/werkzeug/filesystem.py /^_warned_about_filesystem_encoding = False$/;" v +_warning adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^def _warning(s):$/;" f +_warning adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def _warning(self, name):$/;" m class:PrettyPrinter +_warnings adpepsenv/lib/python3.8/site-packages/scipy/sparse/__init__.py /^import warnings as _warnings$/;" I +_warnings_enabled adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^_warnings_enabled = {$/;" v +_warn_about_conflicts adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/install.py /^ def _warn_about_conflicts(self, conflict_details, new_resolver):$/;" m class:InstallCommand +_warn_about_problematic_credentials adpepsenv/lib/python3.8/site-packages/google/auth/_default.py /^def _warn_about_problematic_credentials(credentials):$/;" f +_warn_deprecated adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def _warn_deprecated(self):$/;" m class:_DeprecatedCmapDictWrapper +_warn_experimental adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^def _warn_experimental(api_name, stack_offset):$/;" f +_warn_external adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^def _warn_external(message, category=None):$/;" f +_warn_if_collections adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _warn_if_collections(transformation_name):$/;" f member:StructuredFunctionWrapper.__init__ file: +_warn_if_event_writer_is_closed adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def _warn_if_event_writer_is_closed(self):$/;" m class:FileWriter +_warn_if_global_cmap_modified adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^def _warn_if_global_cmap_modified(cmap):$/;" f +_warn_if_gui_out_of_main_thread adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^def _warn_if_gui_out_of_main_thread():$/;" f +_warn_if_string adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^def _warn_if_string(iterable):$/;" f +_warn_jac_unused adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^def _warn_jac_unused(jac, method):$/;" f +_warn_keyword_parameter adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^def _warn_keyword_parameter(func_name, kwargs):$/;" f +_warn_keyword_parameter adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^def _warn_keyword_parameter(func_name, kwargs):$/;" f +_warn_legacy_version adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _warn_legacy_version(self):$/;" m class:Distribution +_warn_legacy_version adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _warn_legacy_version(self):$/;" m class:Distribution +_warn_nccl_no_gpu adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def _warn_nccl_no_gpu(self):$/;" m class:CollectiveAllReduceExtended +_warn_on_replacement adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _warn_on_replacement(self, metadata):$/;" m class:FileMetadata +_warn_on_replacement adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _warn_on_replacement(self, metadata):$/;" m class:FileMetadata +_warn_preinit_stderr adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^_warn_preinit_stderr = True$/;" v +_warn_unsafe_extraction_path adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _warn_unsafe_extraction_path(path):$/;" m class:ResourceManager +_warn_unsafe_extraction_path adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _warn_unsafe_extraction_path(path):$/;" m class:ResourceManager +_was_converted adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def _was_converted(self, t):$/;" m class:PFor +_watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _watch(primal, tangent):$/;" f member:ForwardAccumulator._watch file: +_watch adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def _watch(self, primals, tangents):$/;" m class:ForwardAccumulator +_WATCHDOG adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^_WATCHDOG = None$/;" v +_WATCHDOGCONFIG adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_WATCHDOGCONFIG = _descriptor.Descriptor($/;" v +_WATCHDOGCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_WATCHDOGCONFIG = _descriptor.Descriptor($/;" v +_WeakObjectIdentityWrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^class _WeakObjectIdentityWrapper(_ObjectIdentityWrapper):$/;" c +_WeakReferencableClass adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ class _WeakReferencableClass(object):$/;" c class:_DummyEagerGraph +_weak_function_proxy_callback adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^def _weak_function_proxy_callback(ref, proxy, callback):$/;" f +_weak_types adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^_weak_types = [int, float, complex]$/;" v +_wedge adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^def _wedge():$/;" f +_WedgeBbox adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^class _WedgeBbox(mtransforms.Bbox):$/;" c +_weekday_map adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ _weekday_map = {"MO": 0, "TU": 1, "WE": 2, "TH": 3,$/;" v class:_rrulestr +_weibull_min adpepsenv/lib/python3.8/site-packages/jax/_src/random.py /^def _weibull_min(key, scale, concentration, shape, dtype):$/;" f +_weight adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def _weight(self):$/;" m class:EmbeddingPackedParams +_WeightedCategoricalColumn adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^class _WeightedCategoricalColumn($/;" c +_WeightedLoss adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^class _WeightedLoss(_Loss):$/;" c +_weighted_categorical_column adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^def _weighted_categorical_column(categorical_column,$/;" f +_weighted_sum adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^def _weighted_sum(model, values, weight, output_name):$/;" f +_WEIGHTS_VARIABLE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^_WEIGHTS_VARIABLE_NAME = "kernel"$/;" v +_WEIGHTS_VARIABLE_NAME adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^_WEIGHTS_VARIABLE_NAME = rnn_cell_impl._WEIGHTS_VARIABLE_NAME$/;" v +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _weight_bias(self):$/;" m class:RNNBase +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def _weight_bias(self):$/;" m class:RNNCellBase +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _weight_bias(self):$/;" m class:Conv1d +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _weight_bias(self):$/;" m class:Conv2d +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _weight_bias(self):$/;" m class:Conv3d +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _weight_bias(self):$/;" m class:ConvTranspose1d +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def _weight_bias(self):$/;" m class:ConvTranspose2d +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _weight_bias(self):$/;" m class:Linear +_weight_bias adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def _weight_bias(self):$/;" m class:LinearPackedParams +_weight_checked adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _weight_checked(fn, n_args=2, default_axis=None, key=lambda x: x, weight_arg='w',$/;" f +_weight_masked adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^def _weight_masked(arrays, weights, axis):$/;" f +_weight_norm adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def _weight_norm(g, weight_v, weight_g, dim):$/;" f +_weight_regexes adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^_weight_regexes = [$/;" v +_werkzeug_version adpepsenv/lib/python3.8/site-packages/werkzeug/testapp.py /^from . import __version__ as _werkzeug_version$/;" x +_wheels_cache_dir adpepsenv/lib/python3.8/site-packages/pip/_internal/commands/cache.py /^ def _wheels_cache_dir(self, options):$/;" m class:CacheCommand +_when_to_num adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^_when_to_num = {'end':0, 'begin':1,$/;" v +_where adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _where(condition, x=None, y=None):$/;" f +_WHERE_DOC adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^_WHERE_DOC = """\\$/;" v +_which_queue adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^def _which_queue(dynamic_pad):$/;" f +_While adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _While(self, t):$/;" m class:Unparser +_while adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _while(*args: TfVal, cond_nconsts: int, cond_jaxpr: core.ClosedJaxpr,$/;" f +_While adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^class _While(_FunctionCaller):$/;" c +_while adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _while(input, cond, body, output_shapes=[], parallel_iterations=10, name=None):$/;" f +_WhileBodyGradFuncGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^class _WhileBodyGradFuncGraph(util.WhileBodyFuncGraph):$/;" c +_WhileBuilder adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^class _WhileBuilder(_LoopBuilder):$/;" c +_WHILECONTEXTDEF adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/control_flow_pb2.py /^_WHILECONTEXTDEF = _descriptor.Descriptor($/;" v +_WhileGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _WhileGrad(op, *grads): # pylint: disable=invalid-name$/;" f +_WHILELOOPBACKENDCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_WHILELOOPBACKENDCONFIG = _descriptor.Descriptor($/;" v +_WHILELOOPBACKENDCONFIG_KNOWNTRIPCOUNT adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_WHILELOOPBACKENDCONFIG_KNOWNTRIPCOUNT = _descriptor.Descriptor($/;" v +_while_body adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _while_body(iteration_number, loss_ta, mean_ta, covariance_ta):$/;" f member:ARModel.get_batch_loss file: +_while_body adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _while_body(iteration_number, input_times, input_values,$/;" f member:ARModel.predict file: +_while_condition adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _while_condition(iteration_number, loss_ta, mean_ta, covariance_ta):$/;" f member:ARModel.get_batch_loss file: +_while_condition adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def _while_condition(iteration_number, *unused_args):$/;" f member:ARModel.predict file: +_while_eager_fallback adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gen_functional_ops.py /^def _while_eager_fallback(input, cond, body, output_shapes, parallel_iterations, name, ctx):$/;" f +_while_loop_abstract_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_loop_abstract_eval(*args, **kwargs):$/;" f +_while_loop_batching_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_loop_batching_rule(args, dims, axis_name,$/;" f +_while_loop_jvp adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_loop_jvp(primals, tangents, cond_nconsts, cond_jaxpr, body_nconsts,$/;" f +_while_loop_translation_rule adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_loop_translation_rule(c, axis_env, name_stack, avals, backend, *args,$/;" f +_while_partial_eval adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_partial_eval(trace: pe.JaxprTrace, *tracers: pe.Tracer, cond_nconsts: int,$/;" f +_while_transpose_error adpepsenv/lib/python3.8/site-packages/jax/_src/lax/control_flow.py /^def _while_transpose_error(*_, **kwargs):$/;" f +_WHITESPACE adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _WHITESPACE = re.compile(r'\\s+')$/;" v class:Tokenizer +_WHITESPACE_OR_COMMENT adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ _WHITESPACE_OR_COMMENT = re.compile(r'(\\s|(#.*$))+', re.MULTILINE)$/;" v class:Tokenizer +_WHITESPACE_PATTERN adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^_WHITESPACE_PATTERN = re.compile(r"\\s+")$/;" v +_whitespace_re adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ _whitespace_re = re.compile(br'[\\0\\t\\r\\014\\n ]+')$/;" v class:Type1Font +_whos_check_case adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio.py /^def _whos_check_case(name, files, case, classes):$/;" f +_wide_accents adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ _wide_accents = set(r"widehat widetilde widebar".split())$/;" v class:Parser +_widgetclosed adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def _widgetclosed(self):$/;" m class:FigureManagerQT +_width_of adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _width_of(self, char):$/;" m class:DviFont +_wildcard_get_subpackage adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def _wildcard_get_subpackage(self, subpackage_name,$/;" m class:Configuration +_win32RegistryFonts adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^def _win32RegistryFonts(reg_domain, base_dir):$/;" f +_winapi_test adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def _winapi_test(handle):$/;" f +_WINDOW adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_WINDOW = _descriptor.Descriptor($/;" v +_WINDOWDIMENSION adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/xla_data_pb2.py /^_WINDOWDIMENSION = _descriptor.Descriptor($/;" v +_WINDOWS_CONFIG_ROOT_ENV_VAR adpepsenv/lib/python3.8/site-packages/google/auth/_cloud_sdk.py /^_WINDOWS_CONFIG_ROOT_ENV_VAR = "APPDATA"$/;" v +_windows_device_files adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^_windows_device_files = ($/;" v +_winreg adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ import winreg as _winreg$/;" I function:_get_win_folder_from_registry file: +_winreg adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ import winreg as _winreg$/;" I function:_get_win_folder_from_registry file: +_winreg adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ import winreg as _winreg$/;" I function:_get_win_folder_from_registry file: +_winsorize1D adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^ def _winsorize1D(a, low_limit, up_limit, low_include, up_include,$/;" f function:winsorize file: +_winter_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_winter_data = {'red': ((0., 0., 0.), (1.0, 0.0, 0.0)),$/;" v +_win_equiv adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^_win_equiv = {}$/;" v +_win_equiv_raw adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^_win_equiv_raw = {$/;" v +_win_os_check adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ def _win_os_check():$/;" f +_win_path_to_bytes adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^def _win_path_to_bytes(path):$/;" f +_WIRETYPE_MAX adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^_WIRETYPE_MAX = 5$/;" v +_wishart_doc_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_wishart_doc_callparams_note = ""$/;" v +_wishart_doc_default_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_wishart_doc_default_callparams = """\\$/;" v +_wishart_doc_frozen_callparams adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_wishart_doc_frozen_callparams = ""$/;" v +_wishart_doc_frozen_callparams_note adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^_wishart_doc_frozen_callparams_note = \\$/;" v +_wistia_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_wistia_data = {$/;" v +_With adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _With(self, t):$/;" m class:Unparser +_within_tolerance adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^def _within_tolerance(x, y, rtol, atol):$/;" f +_withitem adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _withitem(self, t):$/;" m class:Unparser +_without_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/parser.py /^def _without_context(node, lines, minl, maxl):$/;" f +_WithSpaceToBatch adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^class _WithSpaceToBatch(object):$/;" c +_with_args adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^def _with_args(cls_or_self, **kwargs):$/;" f +_with_call adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def _with_call(self,$/;" m class:_StreamUnaryMultiCallable +_with_call adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def _with_call(self,$/;" m class:_UnaryUnaryMultiCallable +_with_data adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def _with_data(self,data,copy=True):$/;" m class:bsr_matrix +_with_data adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _with_data(self, data, copy=True):$/;" m class:_cs_matrix +_with_data adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def _with_data(self,data,copy=True):$/;" m class:coo_matrix +_with_data adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def _with_data(self, data, copy=True):$/;" m class:dia_matrix +_with_index_update_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^def _with_index_update_helper(update_method, a, slice_spec, updates):$/;" f +_with_nonzero_rank adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/array_ops.py /^def _with_nonzero_rank(data):$/;" f +_with_space_to_batch_adjust adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _with_space_to_batch_adjust(orig, fill_value, spatial_dims):$/;" f +_with_space_to_batch_base_paddings adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _with_space_to_batch_base_paddings(filter_shape, num_spatial_dims,$/;" f +_with_space_to_batch_call adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def _with_space_to_batch_call(self, inp, filter): # pylint: disable=redefined-builtin$/;" m class:_WithSpaceToBatch +_with_tensor_ranks_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def _with_tensor_ranks_only(self):$/;" m class:DistributedIteratorSpec +_with_tensor_ranks_only adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def _with_tensor_ranks_only(self):$/;" m class:TypeSpec +_with_updates_impl adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def _with_updates_impl(self, error_prefix: Tuple[str], # pylint: disable=invalid-sequence-ind/;" m class:StructuredTensor +_WKTJSONMETHODS adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^_WKTJSONMETHODS = {$/;" v +_wordchars_re adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/util.py /^_wordchars_re = _squote_re = _dquote_re = None$/;" v +_WordRegex adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^class _WordRegex(Word):$/;" c +_WordRegex adpepsenv/lib/python3.8/site-packages/pyparsing.py /^class _WordRegex(Word):$/;" c +_worker adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/parallel_apply.py /^ def _worker(i, module, input, kwargs, device=None):$/;" f function:parallel_apply file: +_WORKERCONFIG adpepsenv/lib/python3.8/site-packages/tensorflow/core/protobuf/data/experimental/service_config_pb2.py /^_WORKERCONFIG = _descriptor.Descriptor($/;" v +_WorkerContext adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^class _WorkerContext(object):$/;" c +_WORKERHEALTH adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_WORKERHEALTH = _descriptor.EnumDescriptor($/;" v +_WORKERHEALTH adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_WORKERHEALTH = _descriptor.EnumDescriptor($/;" v +_WORKERHEARTBEATREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_WORKERHEARTBEATREQUEST = _descriptor.Descriptor($/;" v +_WORKERHEARTBEATREQUEST adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_WORKERHEARTBEATREQUEST = _descriptor.Descriptor($/;" v +_WORKERHEARTBEATRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_WORKERHEARTBEATRESPONSE = _descriptor.Descriptor($/;" v +_WORKERHEARTBEATRESPONSE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_WORKERHEARTBEATRESPONSE = _descriptor.Descriptor($/;" v +_workers adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/helper.py /^def _workers(workers):$/;" f +_WORKERSHUTDOWNMODE adpepsenv/lib/python3.8/site-packages/tensorboard/compat/proto/event_pb2.py /^_WORKERSHUTDOWNMODE = _descriptor.EnumDescriptor($/;" v +_WORKERSHUTDOWNMODE adpepsenv/lib/python3.8/site-packages/tensorflow/core/util/event_pb2.py /^_WORKERSHUTDOWNMODE = _descriptor.EnumDescriptor($/;" v +_worker_context adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator_context.py /^_worker_context = threading.local()$/;" v +_worker_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^ def _worker_fn(strategy):$/;" f function:estimator_evaluate file: +_worker_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^ def _worker_fn(strategy):$/;" f function:estimator_train file: +_worker_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/estimator_training.py /^ def _worker_fn(strategy):$/;" f function:train_and_evaluate file: +_worker_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def _worker_fn(_):$/;" f function:_train_with_multi_worker.wrapper file: +_WORKER_IDS adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_WORKER_IDS = set()$/;" v +_WORKER_ID_QUEUE adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^_WORKER_ID_QUEUE = None # Only created if needed.$/;" v +_worker_info adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^_worker_info = None$/;" v +_worker_init_fn_t adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^_worker_init_fn_t = Callable[[int], None]$/;" v +_WORKER_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^_WORKER_KEY = 'worker'$/;" v +_worker_loop adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^def _worker_loop(dataset_kind, dataset, index_queue, data_queue, done_event,$/;" f +_WORKER_MAXIMUM_RECOVERY_SEC adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^_WORKER_MAXIMUM_RECOVERY_SEC = 3600$/;" v +_workload_thread adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_autograd_test.py /^ def _workload_thread():$/;" m class:DistAutogradTest +_WorkspaceCtx adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^class _WorkspaceCtx(object):$/;" c +_Workspace_create_net_with_exception_intercept adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Workspace_create_net_with_exception_intercept(ws, net, overwrite=False):$/;" f +_Workspace_feed_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Workspace_feed_blob(ws, name, arr, device_option=None):$/;" f +_Workspace_fetch_int8_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Workspace_fetch_int8_blob(ws, name):$/;" f +_Workspace_remove_blob adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Workspace_remove_blob(ws, blob):$/;" f +_Workspace_run adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^def _Workspace_run(ws, obj):$/;" f +_wrap adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^def _wrap(func, overrides):$/;" f +_wrap adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^def _wrap(behavior):$/;" f +_wrap adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def _wrap(self, other):$/;" m class:_DoubleDouble +_wrap adpepsenv/lib/python3.8/site-packages/pasta/base/fstring_utils.py /^def _wrap(s):$/;" f +_wrap adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def _wrap(self, t, y, *f_args):$/;" m class:complex_ode +_wrap adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_math_ops.py /^def _wrap(f, reverse=False):$/;" f +_wrap adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^def _wrap(fn, i, args, error_queue):$/;" f +_WrapCallMethod adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def _WrapCallMethod(srvc, method_descriptor,$/;" f member:_ServiceBuilder.BuildService file: +_wrapfunc adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _wrapfunc(obj, method, *args, **kwds):$/;" f +_wrapit adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _wrapit(obj, method, *args, **kwds):$/;" f +_WrappedSession adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^class _WrappedSession(object):$/;" c +_wrapped_func_source adpepsenv/lib/python3.8/site-packages/numpy/core/overrides.py /^_wrapped_func_source = textwrap.dedent("""$/;" v +_wrapped_model adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saving_utils.py /^ def _wrapped_model(*args):$/;" f function:trace_model_call file: +_wrapper adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^ def _wrapper(*args, **kwargs):$/;" f function:experimental_api file: +_wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def _wrapper(f):$/;" f function:noop_lru_cache file: +_wrapper adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/entrypoints.py /^def _wrapper(args=None):$/;" f +_wrapper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/kernel_tests/test_base.py /^ def _wrapper():$/;" f function:DatasetTestBase.getNext.ta_wrapper file: +_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def _wrapper(wrapped, instance, args, kwargs):$/;" f function:decorator file: +_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def _wrapper(wrapped, instance, args, kwargs):$/;" f function:transient_function_wrapper._decorator file: +_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def _wrapper(wrapped, instance, args, kwargs):$/;" f function:function_wrapper file: +_wrapper adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def _wrapper(wrapper):$/;" f function:patch_function_wrapper file: +_WrapperFunction adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^class _WrapperFunction(function.ConcreteFunction):$/;" c +_WrapperMessageToJsonObject adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def _WrapperMessageToJsonObject(self, message):$/;" m class:_Printer +_WRAPPERS adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^_WRAPPERS = {}$/;" v +_wrapper_helper adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def _wrapper_helper(*args):$/;" f member:StructuredFunctionWrapper.__init__ file: +_wrapping adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def _wrapping(*args, **kwargs):$/;" f function:_wrap file: +_wrapreduction adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^def _wrapreduction(obj, ufunc, method, axis, dtype, out, **kwargs):$/;" f +_wraps adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/util.py /^def _wraps(fun, update_doc=True, lax_description=""):$/;" f +_wrapt adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^import wrapt as _wrapt$/;" I +_wrapt_type adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^_wrapt_type = type(wrapt.ObjectProxy)$/;" v +_wrap_2d_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^def _wrap_2d_function(inputs, compute_op, dim=-1, name=None):$/;" f +_wrap_activity_regularizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _wrap_activity_regularizer(layer):$/;" f +_wrap_add_done_callback adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def _wrap_add_done_callback(self, callback: DoneCallbackType,$/;" m class:InterceptedCall +_wrap_and_check_metrics adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _wrap_and_check_metrics(self, metrics):$/;" m class:_SupervisedOutput +_wrap_and_check_outputs adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def _wrap_and_check_outputs($/;" m class:ExportOutput +_wrap_and_tile_variants adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^def _wrap_and_tile_variants(tensor, length):$/;" f +_wrap_and_verify_model_fn adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^def _wrap_and_verify_model_fn(model_fn,$/;" f +_wrap_args adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ _wrap_args = None$/;" v class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +_wrap_backward_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _wrap_backward_function(self, forward_graph, backward, outputs):$/;" m class:_TapeGradientFunctions +_wrap_backward_function_with_jvp_backprop adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _wrap_backward_function_with_jvp_backprop($/;" m class:_TapeGradientFunctions +_wrap_call_and_conditional_losses adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _wrap_call_and_conditional_losses(layer):$/;" f +_wrap_compiler adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^def _wrap_compiler(console):$/;" f +_wrap_computation_in_while_loop adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _wrap_computation_in_while_loop(device, op_fn):$/;" f +_wrap_computation_in_while_loop_with_stopping_signals adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^def _wrap_computation_in_while_loop_with_stopping_signals(device, op_fn):$/;" f +_wrap_decorator adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^def _wrap_decorator(wrapped_function):$/;" f +_wrap_define_function adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^def _wrap_define_function(original_function):$/;" f +_wrap_define_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^def _wrap_define_function(original_function):$/;" f +_wrap_disallow_undefs_from_cond adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^def _wrap_disallow_undefs_from_cond(func, branch_name):$/;" f +_wrap_f adpeps/utils/ctmtensors.py /^def _wrap_f(self, fieldname=None, ix=None):$/;" f +_wrap_forward_function_with_jvps adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def _wrap_forward_function_with_jvps($/;" m class:_TapeGradientFunctions +_wrap_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _wrap_func(func, xdata, ydata, transform):$/;" f +_wrap_func adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^def _wrap_func(func, x0, fmerit, nfev_list, maxfev, args=()):$/;" f +_wrap_function adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def _wrap_function(self,$/;" m class:WrappedGraph +_wrap_generator adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def _wrap_generator(self, func):$/;" m class:_DecoratorContextManager +_wrap_header adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _wrap_header(header, version):$/;" f +_wrap_header_guess_version adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _wrap_header_guess_version(header):$/;" f +_wrap_indices_function adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _wrap_indices_function(f):$/;" f +_wrap_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def _wrap_initializer(obj):$/;" f function:_trace_resource_initializers file: +_WRAP_INPUT_FN_INTO_WHILE_LOOP adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_WRAP_INPUT_FN_INTO_WHILE_LOOP = False$/;" v +_wrap_intermediates adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^def _wrap_intermediates(func_graph, intermediates):$/;" f +_wrap_into_factory adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^def _wrap_into_factory(nodes, entity_name, inner_factory_name,$/;" f +_wrap_jac adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def _wrap_jac(self, t, y, *jac_args):$/;" m class:complex_ode +_wrap_jac adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^def _wrap_jac(jac, xdata, transform):$/;" f +_wrap_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _wrap_key(self, key):$/;" m class:ObjectIdentityDictionary +_wrap_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _wrap_key(self, key):$/;" m class:ObjectIdentitySet +_wrap_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _wrap_key(self, key):$/;" m class:ObjectIdentityWeakKeyDictionary +_wrap_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def _wrap_key(self, key):$/;" m class:ObjectIdentityWeakSet +_wrap_label adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def _wrap_label(parent, old_value):$/;" f function:_softmax_cross_entropy_with_logits_transformer file: +_wrap_lines adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _wrap_lines(cls, lines):$/;" m class:RewritePthDistributions +_wrap_lines adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def _wrap_lines(lines):$/;" m class:PthDistributions +_wrap_loader_generator adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^ def _wrap_loader_generator(self, loader_generator):$/;" m class:LogdirLoader +_wrap_locator_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _wrap_locator_formatter(self):$/;" m class:RadialAxis +_wrap_locator_formatter adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def _wrap_locator_formatter(self):$/;" m class:ThetaAxis +_wrap_method adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def _wrap_method(self, mth, lang, args):$/;" m class:config +_wrap_nested_f adpeps/utils/ctmtensors.py /^def _wrap_nested_f(self, fieldname=None, ix=None):$/;" f +_wrap_nested_f_set adpeps/utils/ctmtensors.py /^def _wrap_nested_f_set(self, value, fieldname=None, ix=None):$/;" f +_wrap_numpy_nullary_function adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def _wrap_numpy_nullary_function(f):$/;" f +_wrap_obj_initializer adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def _wrap_obj_initializer(obj):$/;" f function:_trace_resource_initializers file: +_wrap_optimizer adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/mixed_precision.py /^def _wrap_optimizer(opt, loss_scale, use_v1_behavior):$/;" f +_wrap_response adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/etag.py /^ def _wrap_response(self, start, length):$/;" m class:ETagResponseMixin +_wrap_restore_error_with_msg adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^def _wrap_restore_error_with_msg(err, extra_verbiage):$/;" f +_wrap_result adpepsenv/lib/python3.8/site-packages/scipy/optimize/_spectral.py /^def _wrap_result(result, is_complex, shape=None):$/;" f +_wrap_ssl_read adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def _wrap_ssl_read(self, len, buffer=None):$/;" m class:SSLTransport +_wrap_text adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^def _wrap_text(textobj):$/;" f +_wrap_type_error_to_not_implemented adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^def _wrap_type_error_to_not_implemented(f):$/;" f +_wrap_unconditional_loss adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^def _wrap_unconditional_loss(loss_fn, index):$/;" f +_write adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ async def _write(self, request: RequestType) -> None:$/;" m class:_StreamRequestMixin +_write adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def _write(self, status):$/;" m class:InteractiveSpinner +_write adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def _write(self, message):$/;" m class:LoggerWrapper +_write adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def _write(self, stream, a, comment='', field=None, precision=None,$/;" m class:MMFile +_write adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write(self):$/;" m class:netcdf_file +_write adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def _write(self, filename, file_content, mode):$/;" m class:LocalFileSystem +_write adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def _write(self, index, value):$/;" m class:_EagerTensorArray +_write adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/jit/instantiator.py /^def _write(out_path, text):$/;" f +_write adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _write(self, filename, str_or_bytes):$/;" m class:PackageExporter +_write adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def _write(self, x):$/;" m class:HTMLStringO +_WRITEBLOBREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITEBLOBREQUEST = _descriptor.Descriptor($/;" v +_WRITEBLOBRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITEBLOBRESPONSE = _descriptor.Descriptor($/;" v +_WriteGraph adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def _WriteGraph(self, run_params, gdef, graph_state):$/;" m class:TfTrtIntegrationTestBase +_writeHeader adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _writeHeader(self):$/;" m class:Stream +_writeImg adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _writeImg(self, data, id, smask=None):$/;" m class:PdfFile +_writePng adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _writePng(self, data):$/;" m class:PdfFile +_WRITESCALARREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITESCALARREQUEST = _descriptor.Descriptor($/;" v +_WRITESCALARREQUEST_RUN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITESCALARREQUEST_RUN = _descriptor.Descriptor($/;" v +_WRITESCALARREQUEST_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITESCALARREQUEST_TAG = _descriptor.Descriptor($/;" v +_WRITESCALARRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITESCALARRESPONSE = _descriptor.Descriptor($/;" v +_WRITETENSORREQUEST adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITETENSORREQUEST = _descriptor.Descriptor($/;" v +_WRITETENSORREQUEST_RUN adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITETENSORREQUEST_RUN = _descriptor.Descriptor($/;" v +_WRITETENSORREQUEST_TAG adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITETENSORREQUEST_TAG = _descriptor.Descriptor($/;" v +_WRITETENSORRESPONSE adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2.py /^_WRITETENSORRESPONSE = _descriptor.Descriptor($/;" v +_write_afm_font adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _write_afm_font(self, filename):$/;" m class:PdfFile +_write_array_header adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^def _write_array_header(fp, d, version=None):$/;" f +_write_att_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_att_array(self, attributes):$/;" m class:netcdf_file +_write_att_values adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_att_values(self, values):$/;" m class:netcdf_file +_write_blob_request_iterator adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def _write_blob_request_iterator(self, blob_sequence_id, seq_index, blob):$/;" m class:_BlobRequestSender +_write_cache adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def _write_cache(step, event_file_suffix=None, **kwargs):$/;" f member:TensorTracer._prepare_host_call_fn file: +_write_cache_index_map_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_cache_index_map_section(self, tensor_trace_order):$/;" m class:TTReportHandle +_write_callback adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^def _write_callback(connection_id, data_buffer, data_length_pointer):$/;" f +_write_callback adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^def _write_callback(connection_id, data_buffer, data_length_pointer):$/;" f +_write_callback_pointer adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^_write_callback_pointer = Security.SSLWriteFunc(_write_callback)$/;" v +_write_callback_pointer adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^_write_callback_pointer = Security.SSLWriteFunc(_write_callback)$/;" v +_write_checkpoint_path_to_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _write_checkpoint_path_to_summary(output_dir, checkpoint_path,$/;" f +_write_clips adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _write_clips(self):$/;" m class:RendererSVG +_write_config_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_config_section(self, tt_config, tt_parameters):$/;" m class:TTReportHandle +_write_constant adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _write_constant(self, value):$/;" m class:Unparser +_write_core_metadata_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _write_core_metadata_event(self, event):$/;" m class:EventListenerTestStreamHandler +_write_custom_summaries adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def _write_custom_summaries(self, step, logs=None):$/;" m class:TensorBoard +_write_data adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^def _write_data(m, fid, header):$/;" f +_write_default_style adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _write_default_style(self):$/;" m class:RendererSVG +_write_dep_graph adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def _write_dep_graph(self, failing_module=None, output_file=None):$/;" m class:PackageExporter +_write_dict_to_summary adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^def _write_dict_to_summary(output_dir, dictionary, current_global_step):$/;" f +_write_dim_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_dim_array(self):$/;" m class:netcdf_file +_write_dispatch adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^_write_dispatch = {}$/;" v +_write_field adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def _write_field(self, fileobj, name, value):$/;" m class:LegacyMetadata +_write_file adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def _write_file(self, f: Any, is_real_file: _bool, save_size: _bool) -> None:$/;" m class:Storage +_write_frame_data adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _write_frame_data(fp, im_frame, offset, params):$/;" f +_write_gatt_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_gatt_array(self):$/;" m class:netcdf_file +_write_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def _write_graph(self):$/;" m class:Supervisor +_write_graph_def adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _write_graph_def(self, graph_def, device_name, wall_time):$/;" m class:EventListenerTestStreamHandler +_write_graph_fn adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def _write_graph_fn(self):$/;" f member:AsyncCheckpointSaverHook.after_create_session file: +_write_graph_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_graph_section(self, graph_order):$/;" m class:TTReportHandle +_write_hatches adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _write_hatches(self):$/;" m class:RendererSVG +_write_header adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def _write_header(self, width_inches, height_inches):$/;" m class:PdfPages +_write_html adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^def _write_html(root, format="html"):$/;" f +_write_items adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def _write_items(self, arr):$/;" m class:VarWriter5 +_write_keras_model_summary adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _write_keras_model_summary(self):$/;" m class:TensorBoard +_write_keras_model_train_graph adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def _write_keras_model_train_graph(self):$/;" m class:TensorBoard +_write_list adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def _write_list(self, file, name, values):$/;" m class:DistributionMetadata +_write_local_header adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _write_local_header(fp, im, offset, flags):$/;" f +_write_metadata adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def _write_metadata(self, metadata):$/;" m class:RendererSVG +_write_multiple_frames adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _write_multiple_frames(im, fp, palette):$/;" f +_write_multiple_frames adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^def _write_multiple_frames(im, fp, chunk, rawmode):$/;" f +_write_ninja_file adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _write_ninja_file(path,$/;" f +_write_ninja_file_and_build_library adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _write_ninja_file_and_build_library($/;" f +_write_ninja_file_and_compile_objects adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _write_ninja_file_and_compile_objects($/;" f +_write_ninja_file_to_build_library adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^def _write_ninja_file_to_build_library(path,$/;" f +_write_numrecs adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_numrecs(self):$/;" m class:netcdf_file +_write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _write_object_proto(self, proto, options):$/;" m class:DistributedVariable +_write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _write_object_proto(self, proto, options):$/;" m class:MirroredVariable +_write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _write_object_proto(self, proto, options):$/;" m class:SyncOnReadVariable +_write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def _write_object_proto(self, var, proto, options):$/;" m class:AutoPolicy +_write_object_proto adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^def _write_object_proto(obj, proto, asset_file_def_index, function_name_map):$/;" f +_write_op_list_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_op_list_section(self, graph_order):$/;" m class:TTReportHandle +_write_plugin_assets adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def _write_plugin_assets(self, graph):$/;" m class:SummaryToEventTransformer +_write_profile adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def _write_profile(self, filename):$/;" m class:Profiler +_write_reason_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_reason_section(self):$/;" m class:TTReportHandle +_write_report adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_report(self, content):$/;" m class:TTReportHandle +_write_requirements adpepsenv/lib/python3.8/site-packages/setuptools/command/egg_info.py /^def _write_requirements(stream, reqs):$/;" f +_write_script adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def _write_script(self, names, shebang, script_bytes, filenames, ext):$/;" m class:ScriptMaker +_write_single_frame adpepsenv/lib/python3.8/site-packages/PIL/GifImagePlugin.py /^def _write_single_frame(im, fp, palette):$/;" f +_write_soft_mask_groups adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def _write_soft_mask_groups(self):$/;" m class:PdfFile +_write_source_file_content adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def _write_source_file_content(self, file_path):$/;" m class:_DumpingCallback +_write_stream adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/tests/test_mio5_utils.py /^def _write_stream(stream, *strings):$/;" f +_write_string_to_file adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^def _write_string_to_file(filename, file_content):$/;" f +_write_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^def _write_summary(name, pb):$/;" f +_write_summary adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/summary_v2.py /^def _write_summary($/;" f +_write_tensor_list_section adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_tensor_list_section(self, graph_order):$/;" m class:TTReportHandle +_write_trace_points adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def _write_trace_points(self, tensor_trace_points):$/;" m class:TTReportHandle +_write_value_event adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def _write_value_event(self, event):$/;" m class:EventListenerTestStreamHandler +_write_var_array adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_var_array(self):$/;" m class:netcdf_file +_write_var_data adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_var_data(self, name):$/;" m class:netcdf_file +_write_var_metadata adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_var_metadata(self, name):$/;" m class:netcdf_file +_write_var_padding adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def _write_var_padding(self, var, size):$/;" m class:netcdf_file +_wrong_strategy_scope adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^def _wrong_strategy_scope(strategy, context):$/;" f +_ws adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def _ws():$/;" f member:BaseVisitor.suffix file: +_WSGIRequestHandler adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^class _WSGIRequestHandler(wsgiref.simple_server.WSGIRequestHandler):$/;" c +_WSGI_KEY adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^_WSGI_KEY = _TensorBoardRequestContextKey()$/;" v +_x adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ _x = eval('u"\\\\uD800"') # pylint:disable=eval-used$/;" v +_x adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ _x = eval('u"\\\\uD800"') # pylint:disable=eval-used$/;" v +_XDivyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _XDivyGrad(op, grad):$/;" f +_XENT_DEPRECATION adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^_XENT_DEPRECATION = """$/;" v +_XEVENT adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XEVENT = _descriptor.Descriptor($/;" v +_XEVENTMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XEVENTMETADATA = _descriptor.Descriptor($/;" v +_xkcd adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^class _xkcd:$/;" c +_xla adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^from . import xla_extension as _xla$/;" x +_XlaBroadcastHelperOutput adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^_XlaBroadcastHelperOutput = collections.namedtuple($/;" v +_XlaClusterOutputGrad adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/jit/ops/xla_ops_grad.py /^def _XlaClusterOutputGrad(_, grad):$/;" f +_XlaKeyValueSortOutput adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^_XlaKeyValueSortOutput = collections.namedtuple($/;" v +_XlaScope adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/jit.py /^class _XlaScope(object):$/;" c +_XlaSelfAdjointEigOutput adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^_XlaSelfAdjointEigOutput = collections.namedtuple($/;" v +_XlaSvdOutput adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/tf2xla/ops/gen_xla_ops.py /^_XlaSvdOutput = collections.namedtuple($/;" v +_xla_callable adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_callable(fun: lu.WrappedFun, device, backend, name, donated_invars, *arg_specs):$/;" f +_xla_callable_args adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_callable_args($/;" f +_xla_callable_device adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_callable_device(nreps, backend, device, arg_devices):$/;" f +_xla_call_impl adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_call_impl(fun: lu.WrappedFun, *args, device, backend, name, donated_invars):$/;" f +_xla_call_jvp_update_params adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_call_jvp_update_params(params, nz_tangents):$/;" f +_xla_call_param_updater adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^def _xla_call_param_updater(params, num_inputs):$/;" f +_xla_call_partial_eval_update_params adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_call_partial_eval_update_params(params, in_unknowns):$/;" f +_xla_call_translation_rule adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_call_translation_rule(c, axis_env,$/;" f +_xla_call_transpose_update_params adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_call_transpose_update_params(params, undef_primals, nonzero_cts):$/;" f +_XLA_COMPILE_ATTR adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^_XLA_COMPILE_ATTR = '_xla_compile_id'$/;" v +_xla_consts adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_consts(c, consts):$/;" f +_XLA_DEBUG_OPTIONS_URL adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_cli.py /^_XLA_DEBUG_OPTIONS_URL = ($/;" v +_xla_extension_version adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^_xla_extension_version = getattr(xla_client, '_version', 0)$/;" v +_xla_makefile_string adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^def _xla_makefile_string(output_prefix):$/;" f +_XLA_MAKEFILE_TEMPLATE adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/saved_model_aot_compile.py /^_XLA_MAKEFILE_TEMPLATE = """$/;" v +_XLA_OP_BY_OP_INPUTS_LIMIT adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^_XLA_OP_BY_OP_INPUTS_LIMIT = 200$/;" v +_xla_param adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^def _xla_param(builder, param_num, xla_shape, replicated, partitions, parts_proto):$/;" f +_xla_path_disabled_error adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^def _xla_path_disabled_error(primitive_name: str) -> Exception:$/;" f +_XLA_SCOPE_KEY adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/jit.py /^_XLA_SCOPE_KEY = ("__xla_scope",)$/;" v +_xla_shard adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _xla_shard(c, aval, axis_env, x, in_axis):$/;" f +_xla_sharded_args adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^def _xla_sharded_args(c, avals, in_parts):$/;" f +_xla_tile adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xla_tile(c, axis_env, x, in_axes, axis_sizes):$/;" f +_xla_tile_base_indices adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xla_tile_base_indices(c, axis_env, tile_shape, axes, axis_sizes):$/;" f +_xla_unshard adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^def _xla_unshard(c, aval, axis_env, out_axis, x, backend):$/;" f +_xla_untile adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xla_untile(c, axis_env, x, out_axes, axis_sizes, backend):$/;" f +_XLINE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XLINE = _descriptor.Descriptor($/;" v +_XLog1pyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _XLog1pyGrad(op, grad):$/;" f +_XLogyGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _XLogyGrad(op, grad):$/;" f +_xmap_translation_rule adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xmap_translation_rule(*args, **kwargs):$/;" f +_xmap_translation_rule_replica adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xmap_translation_rule_replica(c, axis_env,$/;" f +_xmap_translation_rule_spmd adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^def _xmap_translation_rule_spmd(c, axis_env,$/;" f +_XMAX adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_XMAX = np.finfo(float).max$/;" v +_XMIN adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_XMIN = np.finfo(float).tiny$/;" v +_xml_escape adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^def _xml_escape(data):$/;" f +_xml_escape adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^def _xml_escape(data):$/;" f +_xml_escape adpepsenv/lib/python3.8/site-packages/pyparsing.py /^def _xml_escape(data):$/;" f +_xml_escape adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^def _xml_escape(data):$/;" f +_xml_stream adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ _xml_stream = None$/;" v class:TextAndXMLTestRunner +_XNNPACKEnabled adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^class _XNNPACKEnabled(object):$/;" c +_XPLANE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XPLANE = _descriptor.Descriptor($/;" v +_XPLANE_EVENTMETADATAENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XPLANE_EVENTMETADATAENTRY = _descriptor.Descriptor($/;" v +_XPLANE_STATMETADATAENTRY adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XPLANE_STATMETADATAENTRY = _descriptor.Descriptor($/;" v +_XSPACE adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XSPACE = _descriptor.Descriptor($/;" v +_XSTAT adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XSTAT = _descriptor.Descriptor($/;" v +_XSTATMETADATA adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/protobuf/xplane_pb2.py /^_XSTATMETADATA = _descriptor.Descriptor($/;" v +_xtol adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^_xtol = 2e-12$/;" v +_xxx adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def _xxx(self, datalen):$/;" m class:Dvi +_XYPair adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^_XYPair = namedtuple("_XYPair", "x y")$/;" v +_x_filled_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _x_filled_path = Path($/;" v class:MarkerStyle +_x_filled_path_t adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _x_filled_path_t = Path($/;" v class:MarkerStyle +_x_log_x adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^def _x_log_x(tensor):$/;" f +_x_path adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ _x_path = Path([[-1.0, -1.0], [1.0, 1.0],$/;" v class:MarkerStyle +_x_replacer adpepsenv/lib/python3.8/site-packages/scipy/fft/_basic.py /^def _x_replacer(args, kwargs, dispatchables):$/;" f +_yearsDigits adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _yearsDigits = 2$/;" v class:UTCTime +_yearsDigits adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _yearsDigits = 4$/;" v class:GeneralizedTime +_yearsDigits adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ _yearsDigits = 4$/;" v class:TimeMixIn +_yeojohnson_transform adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^def _yeojohnson_transform(x, lmbda):$/;" f +_Yield adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _Yield(self, t):$/;" m class:Unparser +_YieldFrom adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def _YieldFrom(self, t):$/;" m class:Unparser +_yield_distributions adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def _yield_distributions(self):$/;" m class:DistributionPath +_yield_finished_futures adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^def _yield_finished_futures(fs, waiter, ref_collect):$/;" f +_yield_flat_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def _yield_flat_up_to(shallow_tree, input_tree):$/;" f +_yield_flat_up_to adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _yield_flat_up_to(shallow_tree, input_tree, is_seq, path=()):$/;" f +_yield_sorted_items adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _yield_sorted_items(iterable):$/;" f +_yield_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/nest.py /^def _yield_value(iterable):$/;" f +_yield_value adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^def _yield_value(iterable):$/;" f +_yiq_to_rgb_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^_yiq_to_rgb_kernel = [[1, 1, 1], [0.95598634, -0.27201283, -1.10674021],$/;" v +_YlGnBu_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_YlGnBu_data = ($/;" v +_YlGn_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_YlGn_data = ($/;" v +_YlOrBr_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_YlOrBr_data = ($/;" v +_YlOrRd_data adpepsenv/lib/python3.8/site-packages/matplotlib/_cm.py /^_YlOrRd_data = ($/;" v +_ymask adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/signal/fft_ops.py /^ def _ymask(length):$/;" f function:_rfft_grad_helper._grad file: +_ymd adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^class _ymd(list):$/;" c +_ytdist adpepsenv/lib/python3.8/site-packages/scipy/spatial/tests/test_distance.py /^_ytdist = squareform(_tdist)$/;" v +_YT_complex adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _YT_complex(ker_pole, Q, transfer_matrix, i, j):$/;" f +_YT_loop adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _YT_loop(ker_pole, transfer_matrix, poles, B, maxiter, rtol):$/;" f +_YT_real adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^def _YT_real(ker_pole, Q, transfer_matrix, i, j):$/;" f +_yuv_to_rgb_kernel adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/image_ops_impl.py /^_yuv_to_rgb_kernel = [[1, 1, 1], [0, -0.394642334, 2.03206185],$/;" v +_z adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def _z(self, x):$/;" m class:Laplace +_z adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def _z(self, x):$/;" m class:Normal +_zalpha adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^def _zalpha(colors, zs):$/;" f +_zero adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^_zero = _Zeros()$/;" v +_zero adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_zero: Callable = partial(full_like, shape=(), fill_value=0)$/;" v +_Zeros adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^class _Zeros:$/;" c +_zeros adpepsenv/lib/python3.8/site-packages/jax/_src/lax/lax.py /^_zeros: Callable = partial(full_like, fill_value=0)$/;" v +_zeros adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def _zeros(shape, dtype=str):$/;" m class:TestZeroSizeFlexible +_zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^def _zeros(shape, dtype):$/;" f +_zeros adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_array_ops.py /^ def _zeros(): # pylint: disable=missing-docstring$/;" f function:diagonal file: +_ZerosLike adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/gradients_util.py /^def _ZerosLike(t):$/;" f +_ZerosLikeV1 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^def _ZerosLikeV1(op, index):$/;" f +_ZerosLikeV2 adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^def _ZerosLikeV2(op, index):$/;" f +_zeros_diag adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def _zeros_diag(self):$/;" m class:LinearOperatorZeros +_zeros_like adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^def _zeros_like(op_input, op_output):$/;" f +_zeros_like_constant adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def _zeros_like_constant(tensor):$/;" f member:TimeSeriesRegressor._model_start_state_placeholders file: +_zeros_like_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^def _zeros_like_dispatcher(a, dtype=None, order=None, subok=None, shape=None):$/;" f +_zeros_like_python_scalar adpepsenv/lib/python3.8/site-packages/jax/abstract_arrays.py /^def _zeros_like_python_scalar(t, x):$/;" f +_zeros_like_pytree adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^def _zeros_like_pytree(x):$/;" f +_zeros_slot adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def _zeros_slot(self, var, slot_name, op_name):$/;" m class:Optimizer +_zero_debias adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^def _zero_debias(strategy, unbiased_var, value, decay):$/;" f +_zero_flops adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/internal/flops_registry.py /^def _zero_flops(graph, node):$/;" f +_zero_grad_input adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def _zero_grad_input(self, input):$/;" m class:NNTestCase +_ZERO_LOSS adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^_ZERO_LOSS = 0.$/;" v +_zero_many adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def _zero_many(self, i, j):$/;" m class:_cs_matrix +_zero_state_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^def _zero_state_tensors(state_size, batch_size, dtype):$/;" f +_zero_state_tensors adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/rnn_cell_impl.py /^_zero_state_tensors = rnn_cell_impl._zero_state_tensors$/;" v +_ZETA3 adpepsenv/lib/python3.8/site-packages/scipy/stats/_constants.py /^_ZETA3 = 1.202056903159594285399738161511449990765$/;" v +_ZetaGrad adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_grad.py /^def _ZetaGrad(op, grad):$/;" f +_zfill_dispatcher adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^def _zfill_dispatcher(a, width):$/;" f +_zinv_to_z adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _zinv_to_z(num, den):$/;" m class:TransferFunction +_zipfile_path adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def _zipfile_path(self, package, resource):$/;" m class:PackageImporter +_zipinfo_name adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def _zipinfo_name(self, fspath):$/;" m class:ZipProvider +_zipinfo_name adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def _zipinfo_name(self, fspath):$/;" m class:ZipProvider +_zip_descr adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _zip_descr(seqarrays, flatten=False):$/;" f +_zip_dtype adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^def _zip_dtype(seqarrays, flatten=False):$/;" f +_zip_knowns adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^def _zip_knowns(known_list, unknown_list, which_unknown: Sequence[bool]):$/;" f +_zip_manifests adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ _zip_manifests = MemoizedZipManifests()$/;" v class:ZipProvider +_zip_manifests adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ _zip_manifests = MemoizedZipManifests()$/;" v class:ZipProvider +_zip_searchorder adpepsenv/lib/python3.8/site-packages/torch/_package/_importlib.py /^_zip_searchorder = ($/;" v +_zoom adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^def _zoom(restricted_func_and_grad, wolfe_one, wolfe_two, a_lo, phi_lo,$/;" f +_zoom adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^ from scipy.ndimage.interpolation import zoom as _zoom$/;" x function:zoom file: +_zoom adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^def _zoom(a_lo, a_hi, phi_lo, phi_hi, derphi_lo,$/;" f +_ZoomState adpepsenv/lib/python3.8/site-packages/jax/_src/scipy/optimize/line_search.py /^class _ZoomState(NamedTuple):$/;" c +_zoom_pan_handler adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def _zoom_pan_handler(self, event):$/;" m class:NavigationToolbar2 +_zpkbilinear adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def _zpkbilinear(z, p, k, fs):$/;" f +_zpklp2lp adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/mpsig.py /^def _zpklp2lp(z, p, k, wo=1):$/;" f +_zseries_der adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _zseries_der(zs):$/;" f +_zseries_div adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _zseries_div(z1, z2):$/;" f +_zseries_int adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _zseries_int(zs):$/;" f +_zseries_mul adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _zseries_mul(z1, z2):$/;" f +_zseries_to_cseries adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^def _zseries_to_cseries(zs):$/;" f +_zsort_functions adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ _zsort_functions = {$/;" v class:Poly3DCollection +_z_to_zinv adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def _z_to_zinv(num, den):$/;" m class:TransferFunction +__abs__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __abs__(self):$/;" m class:relativedelta +__abs__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __abs__(self): return self.aval._abs(self)$/;" m class:Tracer +__abs__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __abs__(self):$/;" m class:_DoubleDouble +__abs__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __abs__(self):$/;" m class:Duration +__abs__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __abs__(self):$/;" m class:UnitDbl +__abs__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __abs__ = _unary_method(um.absolute, 'abs')$/;" v class:NDArrayOperatorsMixin file: +__abs__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __abs__(self):$/;" m class:container +__abs__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __abs__(self):$/;" m class:_Operand +__abs__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __abs__ = _delegate("__abs__")$/;" v class:IFDRational file: +__abs__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __abs__(self):$/;" m class:Integer +__abs__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __abs__(self):$/;" m class:Real +__abs__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __abs__(self):$/;" m class:spmatrix +__abs__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __abs__(self):$/;" m class:_data_matrix +__abs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __abs__(self):$/;" m class:AggregatingVariable +__abs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __abs__(self):$/;" m class:DistributedDelegate +__abs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __abs__(self):$/;" m class:AutoCastVariable +__abs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __abs__ = _overloaded_operator("__abs__")$/;" v class:RaggedTensor file: +__abs__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __abs__ = _C._TensorBase.abs$/;" v class:Tensor file: +__abs__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __abs__ = lambda x: abs(x._get_current_object())$/;" m class:LocalProxy +__abs__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __abs__(self):$/;" m class:ObjectProxy +__access_msg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __access_msg = textwrap.dedent("""$/;" v class:easy_install file: +__add__ adpeps/utils/empty_tensor.py /^ def __add__(self, other):$/;" m class:EmptyT +__add__ adpeps/utils/nested.py /^ def __add__(self, other):$/;" m class:Nested +__add__ adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^ def __add__(self, other, add=tuple.__add__):$/;" m class:_HashedTuple +__add__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __add__(self, other):$/;" m class:BlobReference +__add__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __add__(self, other):$/;" m class:Struct +__add__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __add__(self, other):$/;" m class:Cycler +__add__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __add__(self, other):$/;" m class:relativedelta +__add__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __add__(self, other: Any) -> 'Metadata':$/;" m class:Metadata +__add__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __add__(self, other): return self.aval._add(self, other)$/;" m class:Tracer +__add__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __add__(self, other):$/;" m class:_DoubleDouble +__add__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __add__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__add__ adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def __add__(self, rhs):$/;" m class:PrettyPrint +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __add__(self, rhs):$/;" m class:Duration +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __add__(self, rhs):$/;" m class:Epoch +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __add__(self, rhs):$/;" m class:UnitDbl +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ def __add__(self, other):$/;" m class:test_ndarray_subclass_norm.MyArray +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def __add__(self, other):$/;" m class:test_date2num_dst.dt_tzaware +__add__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __add__(self, other):$/;" m class:Transform +__add__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __add__(self, other):$/;" m class:_Base +__add__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __add__(self, other):$/;" m class:chararray +__add__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __add__(self, x):$/;" m class:PhysicalQuantity +__add__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __add__(self, other):$/;" m class:TestDot.test_vecobject.Vec +__add__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __add__(self, other):$/;" m class:TestMatmul.test_matmul_exception_multiply.add_not_multiply +__add__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __add__ = __radd__ = _all$/;" v class:TestArrayPriority.Other file: +__add__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __add__, __radd__, __iadd__ = _numeric_methods(um.add, 'add')$/;" v class:NDArrayOperatorsMixin file: +__add__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __add__(self, other):$/;" m class:poly1d +__add__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def __add__(self, other):$/;" m class:TestNDArrayOperatorsMixin.test_opt_out.OptOut +__add__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __add__(self, other):$/;" m class:container +__add__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __add__(self, other):$/;" m class:MaskedArray +__add__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __add__(self, other):$/;" m class:SubArray +__add__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __add__(self, other):$/;" m class:ABCPolyBase +__add__ adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^ def __add__(self, other):$/;" m class:GenericObject +__add__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __add__(self, other):$/;" m class:_E +__add__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __add__(self, other):$/;" m class:_Operand +__add__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __add__ = _delegate("__add__")$/;" v class:IFDRational file: +__add__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __add__(self, other):$/;" m class:_BaseAddress +__add__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __add__(self, other):$/;" m class:Environment +__add__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __add__(self, other):$/;" m class:ParserElement +__add__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __add__(self, other):$/;" m class:ParseResults +__add__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __add__(self, other):$/;" m class:_PendingSkip +__add__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __add__( self, other ):$/;" m class:ParseResults +__add__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __add__(self, other ):$/;" m class:ParserElement +__add__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __add__(self, other):$/;" m class:Environment +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __add__(self, constraint):$/;" m class:SingleValueConstraint +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __add__(self, value):$/;" m class:AbstractConstraintSet +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __add__(self, namedValues):$/;" m class:NamedValues +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __add__(self, superTag):$/;" m class:TagSet +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __add__(self, other):$/;" m class:ObjectIdentifier +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __add__(self, value):$/;" m class:BitString +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __add__(self, value):$/;" m class:Integer +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __add__(self, value):$/;" m class:OctetString +__add__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __add__(self, value):$/;" m class:Real +__add__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __add__(self, other):$/;" m class:ParserElement +__add__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __add__(self, other):$/;" m class:ParseResults +__add__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __add__(self, other):$/;" m class:_PendingSkip +__add__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __add__(self, other):$/;" m class:StateSpace +__add__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __add__(self, other): # self + other$/;" m class:spmatrix +__add__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __add__(self, other):$/;" m class:dok_matrix +__add__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __add__(self, x):$/;" m class:LinearOperator +__add__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __add__(self, mat):$/;" m class:BinopTester +__add__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __add__(self, mat):$/;" m class:BinopTester_with_shape +__add__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __add__( self, other ):$/;" m class:ParseResults +__add__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __add__(self, other ):$/;" m class:ParserElement +__add__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __add__(self, other):$/;" m class:Dimension +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __add__(self, value):$/;" m class:_NodeState +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __add__(self, other):$/;" m class:RichLine +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __add__(self, o):$/;" m class:AggregatingVariable +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __add__(self, o):$/;" m class:DistributedDelegate +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __add__(self, other):$/;" m class:Dimension +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __add__(self, other):$/;" m class:TensorShape +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __add__(self, o):$/;" m class:AutoCastVariable +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __add__ = _overloaded_operator("__add__")$/;" v class:RaggedTensor file: +__add__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __add__(self, other):$/;" m class:List +__add__ adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^ def __add__(self, other):$/;" m class:_dispatch_dtypes +__add__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __add__(self, other: 'Dataset[T_co]') -> 'ConcatDataset[T_co]':$/;" m class:Dataset +__add__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __add__(self, other: Dataset[T_co]):$/;" m class:IterableDataset +__add__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __add__ = lambda x, o: x._get_current_object() + o$/;" m class:LocalProxy +__add__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __add__(self, other):$/;" m class:ObjectProxy +__aenter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ async def __aenter__(self):$/;" m class:Channel +__aenter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def __aenter__(self):$/;" m class:Channel +__aexit__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ async def __aexit__(self, exc_type, exc_val, exc_tb):$/;" m class:Channel +__aexit__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ async def __aexit__(self, exc_type, exc_val, exc_tb):$/;" m class:Channel +__aiter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def __aiter__(self) -> AsyncIterable[ResponseType]:$/;" m class:StreamStreamCall +__aiter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def __aiter__(self) -> AsyncIterable[ResponseType]:$/;" m class:UnaryStreamCall +__aiter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __aiter__(self) -> AsyncIterable[ResponseType]:$/;" m class:_StreamResponseMixin +__aiter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __aiter__(self) -> AsyncIterable[ResponseType]:$/;" m class:_InterceptedStreamResponseMixin +__aiter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __aiter__(self):$/;" m class:_StreamCallResponseIterator +__allow_nonbracketed_mutation adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^def __allow_nonbracketed_mutation():$/;" f +__allow_nonbracketed_mutation_flag adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^__allow_nonbracketed_mutation_flag = True$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/__init__.py /^__all__ = ('TextTestResult', 'TestCase')$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^__all__ = ('lfu_cache', 'lru_cache', 'mru_cache', 'rr_cache', 'ttl_cache')$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^__all__ = ('hashkey', 'typedkey')$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/cachetools/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/chardet/__init__.py /^__all__ = ['UniversalDetector', 'detect', 'detect_all', '__version__', 'VERSION']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/easter.py /^__all__ = ["easter", "EASTER_JULIAN", "EASTER_ORTHODOX", "EASTER_WESTERN"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^__all__ = ["isoparse", "isoparser"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^__all__ = ["parse", "parserinfo", "ParserError"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^__all__ = ['parse', 'parser', 'parserinfo',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^__all__ = ["relativedelta", "MO", "TU", "WE", "TH", "FR", "SA", "SU"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^__all__ = ["rrule", "rruleset", "rrulestr",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^__all__ = ["tzwin", "tzwinlocal", "tzres"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^__all__ = ['tzname_in_python2', 'enfold']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/__init__.py /^__all__ = ["tzutc", "tzoffset", "tzlocal", "tzfile", "tzrange",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^__all__ = ["get_zonefile_instance", "gettz", "gettz_db_metadata"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/dateutil/__init__.py /^__all__ = ['easter', 'parser', 'relativedelta', 'rrule', 'tz',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/__init__.py /^__all__ = ["Credentials", "IDTokenCredentials"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/__init__.py /^ __all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/google/auth/__init__.py /^__all__ = ["default", "load_credentials_from_file"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^__all__ = ['MessageToString', 'Parse', 'PrintMessage', 'PrintField',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/__init__.py /^__all__ = ["get_user_credentials"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^__all__ = 'RpcContext', 'Call', 'UnaryUnaryCall', 'UnaryStreamCall'$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^__all__ = 'AioRpcError', 'Call', 'UnaryUnaryCall', 'UnaryStreamCall'$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/aio/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^ __all__ = __all__ + (unary_unary, unary_stream, stream_unary, stream_stream)$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/experimental/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/_compression.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/jax/lib/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/jax/_src/lax/fft.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/markdown/core.py /^__all__ = ['Markdown', 'markdown', 'markdownFromFile']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^__all__ = ('Pep562',)$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/markdown/serializers.py /^__all__ = ['to_html_string', 'to_xhtml_string']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^__all__ = ['TestCase', 'LegacyTestCase', 'Kwargs']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/markdown/__init__.py /^__all__ = ['Markdown', 'markdown', 'markdownFromFile']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/formsubplottool.py /^__all__ = ["UiSubplotTool"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^__all__ = ('datestr2num', 'date2num', 'num2date', 'num2timedelta', 'drange',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/stackplot.py /^__all__ = ['stackplot']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^__all__ = ['streamplot']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/style/core.py /^__all__ = ['use', 'context', 'available', 'library', 'reload_library']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^__all__ = ['compare_images', 'comparable_formats']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/EpochConverter.py /^__all__ = ['EpochConverter']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/StrConverter.py /^__all__ = ['StrConverter']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblConverter.py /^__all__ = ['UnitDblConverter']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^__all__ = ['UnitDblFormatter']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^__all__ = ('TickHelper', 'Formatter', 'FixedFormatter',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^__all__ = ('TriInterpolator', 'LinearTriInterpolator', 'CubicTriInterpolator')$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^__all__ = ['MPI']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^__all__ = ['AnchoredDrawingArea', 'AnchoredAuxTransformBox',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^__all__ = ['bytes', 'asbytes', 'isfileobj', 'getexception', 'strchar',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/compat/_inspect.py /^__all__ = ['getargspec', 'formatargspec']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/compat/__init__.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^__all__ = ["array2string", "array_str", "array_repr", "set_string_function",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/einsumfunc.py /^__all__ = ['einsum', 'einsum_path']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/fromnumeric.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/function_base.py /^__all__ = ['logspace', 'linspace', 'geomspace']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^__all__ = ['finfo', 'iinfo']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/machar.py /^__all__ = ['MachAr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^__all__ = ['memmap']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/multiarray.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/numeric.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^__all__ = ['sctypeDict', 'sctypeNA', 'typeDict', 'typeNA', 'sctypes',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^__all__ = ['record', 'recarray', 'format_parser']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/shape_base.py /^__all__ = ['atleast_1d', 'atleast_2d', 'atleast_3d', 'block', 'hstack',$/;" v +__ALL__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^__ALL__ = ['CommaDecimalPointLocale']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/umath.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/_asarray.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^__all__ = ['char', 'rec', 'memmap']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ctypeslib.py /^__all__ = ['load_library', 'ndpointer', 'ctypes_load_library',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/__init__.py /^__all__ = ['build',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/conv_template.py /^__all__ = ['process_str', 'process_file']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^__all__ = ['cpu']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/exec_command.py /^__all__ = ['exec_command', 'find_executable']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^__all__ = ['FCompiler', 'new_fcompiler', 'show_fcompilers',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/from_template.py /^__all__ = ['process_str', 'process_file']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^__all__ = ['Configuration', 'get_numpy_include_dirs', 'default_config_dict',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^__all__ = ['FormatError', 'PkgNotFound', 'LibraryInfo', 'VariableSet',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/_shell_utils.py /^__all__ = ['WindowsParser', 'PosixParser', 'NativeParser']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/__config__.py /^__all__ = ["get_info","show"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/doc/__init__.py /^__all__ = sorted(f[:-3] for f in os.listdir(ref_dir) if f.endswith('.py') and$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/dual.py /^__all__ = ['fft', 'ifft', 'fftn', 'ifftn', 'fft2', 'ifft2',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/__init__.py /^__all__ = ['run_main', 'compile', 'f2py_testing']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/fft/helper.py /^__all__ = ['fftshift', 'ifftshift', 'fftfreq', 'rfftfreq']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/fft/_pocketfft.py /^__all__ = ['fft', 'ifft', 'rfft', 'irfft', 'hfft', 'ihfft', 'rfftn',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arraypad.py /^__all__ = ['pad']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arraysetops.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^__all__ = ['Arrayterator']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/financial.py /^__all__ = ['fv', 'pmt', 'nper', 'ipmt', 'ppmt', 'pv', 'rate',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/format.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/histograms.py /^__all__ = ['histogram', 'histogramdd', 'histogram_bin_edges']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^__all__ = ['NDArrayOperatorsMixin']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/nanfunctions.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^__all__ = ['poly', 'roots', 'polyint', 'polyder', 'polyadd',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/recfunctions.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/scimath.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/shape_base.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^__all__ = ['broadcast_to', 'broadcast_arrays']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/twodim_base.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/type_check.py /^__all__ = ['iscomplexobj', 'isrealobj', 'imag', 'iscomplex',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/ufunclike.py /^__all__ = ['fix', 'isneginf', 'isposinf']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^__all__ = ['NumpyVersion']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/lib/__init__.py /^__all__ = ['emath', 'math', 'tracemalloc_domain', 'Arrayterator']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/linalg/linalg.py /^__all__ = ['matrix_power', 'solve', 'tensorsolve', 'tensorinv', 'inv',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^__all__ = __all__masked + __some__from_testing$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/ma/__init__.py /^__all__ = ['core', 'extras']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^__all__ = np.__all__[:] # copy numpy namespace$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^__all__ = ['matrix', 'bmat', 'mat', 'asmatrix']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/__init__.py /^__all__ = defmatrix.__all__$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/chebyshev.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/hermite_e.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/laguerre.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/legendre.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polynomial.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/polyutils.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^__all__ = ['ABCPolyBase']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/random/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/testing/utils.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/decorators.py /^__all__ = ['slow', 'setastest', 'skipif', 'knownfailureif', 'deprecated',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^__all__ = ['get_package_name', 'run_module_suite', 'NoseTester',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/testing/__init__.py /^__all__ = _private.utils.__all__ + ['TestCase', 'run_module_suite']$/;" v +__ALL__ adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^__ALL__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/_pytesttester.py /^__all__ = ['PytestTester']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/__config__.py /^__all__ = ["get_info","show"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ __all__ = ['ModuleDeprecationWarning',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/cupy.py /^__all__ = ["to_cupy", "build_expression", "evaluate_constants"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/dispatch.py /^__all__ = ["get_func", "has_einsum", "has_tensordot", "build_expression", "evaluate_constants", /;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/jax.py /^__all__ = ["build_expression", "evaluate_constants"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/tensorflow.py /^__all__ = ["to_tensorflow", "build_expression", "evaluate_constants"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/theano.py /^__all__ = ["to_theano", "build_expression", "evaluate_constants"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/torch.py /^__all__ = ["transpose", "einsum", "tensordot", "to_torch", "build_expression", "evaluate_constan/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/backends/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/blas.py /^__all__ = ["can_blas", "tensor_blas"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^__all__ = ["contract_path", "contract", "format_const_einsum_str", "ContractExpression", "shape_/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/helpers.py /^__all__ = ["build_views", "compute_size_by_dict", "find_contraction", "flop_count"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/parser.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^__all__ = ["RandomGreedy", "random_greedy", "random_greedy_128"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/opt_einsum/sharing.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^__all__ = ['Command']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/main_parser.py /^__all__ = ["create_main_parser", "parse_command"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^__all__ = ['FormatControl', 'BestCandidateResult', 'PackageFinder']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^__all__ = ['HTTPRangeRequestUnsupported', 'dist_from_wheel_url']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^__all__ = ['parse_requirements']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/compat.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^__all__ = ['rmtree', 'display_path', 'backup_dir',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/parallel.py /^__all__ = ['map_multiprocess', 'map_multithread']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^__all__ = ['vcs']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^__all__ = ["contextmanager", "closing", "nullcontext",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^__all__ = ['Distribution', 'BaseInstalledDistribution',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^__all__ = ['Manifest']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/markers.py /^__all__ = ['interpret']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^__all__ = ['Metadata', 'PKG_INFO_ENCODING', 'PKG_INFO_PREFERRED_VERSION']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^__all__ = ['NormalizedVersion', 'NormalizedMatcher',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/misc.py /^__all__ = ['cache_from_source', 'callable', 'fsencode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/shutil.py /^__all__ = ["copyfileobj", "copyfile", "copymode", "copystat", "copy", "copy2",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/sysconfig.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__all__ = ["TarFile", "TarInfo", "is_tarfile", "TarError"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^__all__ = ["Filter"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treeadapters/__init__.py /^__all__ = ["sax"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^__all__ = ["DOCUMENT", "DOCTYPE", "TEXT", "ELEMENT", "COMMENT", "ENTITY", "UNKNOWN",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/__init__.py /^__all__ = ["getTreeWalker", "pprint"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/__init__.py /^__all__ = ["Trie"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^__all__ = ["default_etree", "MethodDispatcher", "isSurrogatePair",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/__init__.py /^__all__ = ["HTMLParser", "parse", "parseFragment", "getTreeBuilder",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^__all__ = ["parse", "Version", "LegacyVersion", "InvalidVersion", "VERSION_PATTERN"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_typing.py /^__all__ = ["TYPE_CHECKING", "cast"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__all__ = ['__version__', '__versionTime__', '__author__', '__compat__', '__diag__',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/compat/collections_abc.py /^__all__ = ["Sequence"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^__all__ = ["inject_into_urllib3", "extract_from_urllib3"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^__all__ = ["inject_into_urllib3", "extract_from_urllib3"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/__init__.py /^__all__ = ("CertificateError", "match_hostname")$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/__init__.py /^__all__ = ("ssl_match_hostname",)$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^__all__ = ["PoolManager", "ProxyManager", "proxy_from_url"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^__all__ = ["RequestMethods"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/wait.py /^__all__ = ["NoWayToWaitForSocketError", "wait_for_read", "wait_for_write"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^__all__ = ["RecentlyUsedContainer", "HTTPHeaderDict"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^__all__ = ["parse", "Version", "LegacyVersion", "InvalidVersion", "VERSION_PATTERN"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^__all__ = ['decode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^__all__ = ['encode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^__all__ = ['endOfOctets']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/decoder.py /^__all__ = ['decode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/cer/encoder.py /^__all__ = ['encode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/decoder.py /^__all__ = ['decode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/der/encoder.py /^__all__ = ['encode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^__all__ = ['decode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^__all__ = ['encode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/compat/calling.py /^__all__ = ['callable']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/compat/dateandtime.py /^__all__ = ['strptime']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^__all__ = ['Debug', 'setLogger', 'hexdump']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^__all__ = ['Asn1Item', 'Asn1Type', 'SimpleAsn1Type',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^__all__ = ['NumericString', 'PrintableString', 'TeletexString', 'T61String', 'VideotexString',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^__all__ = ['SingleValueConstraint', 'ContainedSubtypeConstraint',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^__all__ = ['NamedType', 'OptionalNamedType', 'DefaultedNamedType',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^__all__ = ['NamedValues']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^__all__ = ['OpenType']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^__all__ = ['tagClassUniversal', 'tagClassApplication', 'tagClassContext',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^__all__ = ['TagMap']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^__all__ = ['Integer', 'Boolean', 'BitString', 'OctetString', 'Null',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^__all__ = ['ObjectDescriptor', 'GeneralizedTime', 'UTCTime']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__all__ = ['__version__', '__versionTime__', '__author__', '__compat__', '__diag__',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^__all__ = ['PublicKey', 'PrivateKey', 'newkeys']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/parallel.py /^__all__ = ['getprime']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/pkcs1.py /^__all__ = ['encrypt', 'decrypt', 'sign', 'verify',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/pkcs1_v2.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/prime.py /^__all__ = ['getprime', 'are_relatively_prime']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/rsa/__init__.py /^__all__ = ["newkeys", "encrypt", "decrypt", "sign", "verify", 'PublicKey',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^__all__ = ['ClusterNode', 'DisjointSet', 'average', 'centroid', 'complete',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^__all__ = ['whiten', 'vq', 'kmeans', 'kmeans2']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/__init__.py /^__all__ = ['vq', 'hierarchy']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/constants/codata.py /^__all__ = ['physical_constants', 'value', 'unit', 'precision', 'find',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/constants/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_realtransforms.py /^__all__ = ['dct', 'idct', 'dst', 'idst', 'dctn', 'idctn', 'dstn', 'idstn']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fft/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/basic.py /^__all__ = ['fft','ifft','fftn','ifftn','rfft','irfft',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/helper.py /^__all__ = ['fftshift', 'ifftshift', 'fftfreq', 'rfftfreq', 'next_fast_len']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/pseudo_diffs.py /^__all__ = ['diff',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/realtransforms.py /^__all__ = ['dct', 'idct', 'dst', 'idst', 'dctn', 'idctn', 'dstn', 'idstn']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/__init__.py /^__all__ = ['fft','ifft','fftn','ifftn','rfft','irfft',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/odepack.py /^__all__ = ['odeint']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^__all__ = ['quad', 'dblquad', 'tplquad', 'nquad', 'quad_explain',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^__all__ = ['ode', 'complex_ode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quadrature.py /^__all__ = ['fixed_quad', 'quadrature', 'romberg', 'romb',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack.py /^__all__ = ['splrep', 'splprep', 'splev', 'splint', 'sproot', 'spalde',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^__all__ = ['interp1d', 'interp2d', 'lagrange', 'PPoly', 'BPoly', 'NdPPoly',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/ndgriddata.py /^__all__ = ['griddata', 'NearestNDInterpolator', 'LinearNDInterpolator',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^__all__ = ["KroghInterpolator", "krogh_interpolate", "BarycentricInterpolator",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^__all__ = ['Rbf']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^__all__ = ["BSpline", "make_interp_spline", "make_lsq_spline"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^__all__ = ["CubicHermiteSpline", "PchipInterpolator", "pchip_interpolate",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_fitpack_impl.py /^__all__ = ['splrep', 'splprep', 'splev', 'splint', 'sproot', 'spalde',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_pade.py /^__all__ = ["pade"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^__all__ = ['MetaData', 'loadarff', 'ArffError', 'ParseArffError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/__init__.py /^__all__ = arffread.__all__$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^__all__ = ["MalformedHeader", "hb_read", "hb_write", "HBInfo", "HBFile",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^__all__ = ["BadFortranFormat", "FortranFormatParser", "IntFormat", "ExpFormat"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^__all__ = ['readsav']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio.py /^__all__ = ['mat_reader_factory', 'loadmat', 'savemat', 'whosmat']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/__init__.py /^__all__ = ['loadmat', 'savemat', 'whosmat', 'byteordercodes']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^__all__ = ['mminfo', 'mmread', 'mmwrite', 'MMFile']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^__all__ = ['netcdf_file', 'netcdf_variable']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/wavfile.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^__all__ = ['FortranFile', 'FortranEOFError', 'FortranFormattingError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/io/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/basic.py /^__all__ = ['solve', 'solve_triangular', 'solveh_banded', 'solve_banded',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/blas.py /^__all__ = ['get_blas_funcs', 'find_best_blas_type']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp.py /^__all__ = ['eig', 'eigvals', 'eigh', 'eigvalsh',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_cholesky.py /^__all__ = ['cholesky', 'cho_factor', 'cho_solve', 'cholesky_banded',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_lu.py /^__all__ = ['lu', 'lu_solve', 'lu_factor']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_qr.py /^__all__ = ['qr', 'qr_multiply', 'rq']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_schur.py /^__all__ = ['schur', 'rsf2csf']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/decomp_svd.py /^__all__ = ['svd', 'svdvals', 'diagsvd', 'orth', 'subspace_angles', 'null_space']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/flinalg.py /^__all__ = ['get_flinalg_funcs']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/lapack.py /^__all__ = ['get_lapack_funcs']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/matfuncs.py /^__all__ = ['expm','cosm','sinm','tanm','coshm','sinhm',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/misc.py /^__all__ = ['LinAlgError', 'LinAlgWarning', 'norm']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/special_matrices.py /^__all__ = ['tri', 'tril', 'triu', 'toeplitz', 'circulant', 'hankel',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_cossin.py /^__all__ = ['cossin']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_ldl.py /^__all__ = ['ldl']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_polar.py /^__all__ = ['polar']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_decomp_qz.py /^__all__ = ['qz', 'ordqz']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_expm_frechet.py /^__all__ = ['expm_frechet', 'expm_cond']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_sqrtm.py /^__all__ = ['sqrtm']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_procrustes.py /^__all__ = ['orthogonal_procrustes']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_sketches.py /^__all__ = ['clarkson_woodruff_transform']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_solvers.py /^__all__ = ['solve_sylvester',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/misc/common.py /^__all__ = ['central_diff_weights', 'derivative', 'ascent', 'face',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/misc/doccer.py /^__all__ = ['docformat', 'inherit_docstring_from', 'indentcount_lines',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/misc/__init__.py /^__all__ = ['doccer']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/filters.py /^__all__ = ['correlate1d', 'convolve1d', 'gaussian_filter1d', 'gaussian_filter',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/fourier.py /^__all__ = ['fourier_gaussian', 'fourier_uniform', 'fourier_ellipsoid',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/interpolation.py /^__all__ = ['spline_filter1d', 'spline_filter', 'geometric_transform',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/measurements.py /^__all__ = ['label', 'find_objects', 'labeled_comprehension', 'sum', 'mean',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/morphology.py /^__all__ = ['iterate_structure', 'generate_binary_structure', 'binary_erosion',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/_ni_docstrings.py /^__all__ = ['docfiller']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^__all__ = ['Model', 'exponential', 'multilinear', 'unilinear', 'quadratic',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^__all__ = ['odr', 'OdrWarning', 'OdrError', 'OdrStop',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/odr/__init__.py /^__all__ = [s for s in dir()$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/cobyla.py /^__all__ = ['fmin_cobyla']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^__all__ = ['fmin_l_bfgs_b', 'LbfgsInvHessProduct']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/linesearch.py /^__all__ = ['LineSearchWarning', 'line_search_wolfe1', 'line_search_wolfe2',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/minpack.py /^__all__ = ['fsolve', 'leastsq', 'fixed_point', 'curve_fit']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^__all__ = ['fmin', 'fmin_powell', 'fmin_bfgs', 'fmin_ncg', 'fmin_cg',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^__all__ = ['approx_jacobian', 'fmin_slsqp']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tnc.py /^__all__ = ['fmin_tnc']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^__all__ = ['newton', 'bisect', 'ridder', 'brentq', 'brenth', 'toms748',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^__all__ = ['basinhopping']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^__all__ = ['differential_evolution']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^__all__ = ['dual_annealing']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^__all__ = ['HessianUpdateStrategy', 'BFGS', 'SR1']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^__all__ = ['linprog', 'linprog_verbose_callback', 'linprog_terse_callback']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_lsq/__init__.py /^__all__ = ['least_squares', 'lsq_linear']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_minimize.py /^__all__ = ['minimize', 'minimize_scalar']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_nnls.py /^__all__ = ['nnls']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root.py /^__all__ = ['root']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^__all__ = ['root_scalar']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^__all__ = ['shgo']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^__all__ = ['Sobol']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trlib/__init__.py /^__all__ = ['TRLIBQuadraticSubproblem', 'get_trlib_quadratic_subproblem']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/equality_constrained_sqp.py /^__all__ = ['equality_constrained_sqp']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/projections.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/qp_subproblem.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^__all__ = ['tr_interior_point']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/__init__.py /^__all__ = ['_minimize_trustregion_constr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_dogleg.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^__all__ = ['_minimize_trustregion_exact',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_krylov.py /^__all__ = ['_minimize_trust_krylov']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_ncg.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/bsplines.py /^__all__ = ['spline_filter', 'bspline', 'gauss_spline', 'cubic', 'quadratic',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/filter_design.py /^__all__ = ['findfreqs', 'freqs', 'freqz', 'tf2zpk', 'zpk2tf', 'normalize',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/fir_filter_design.py /^__all__ = ['kaiser_beta', 'kaiser_atten', 'kaiserord',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^__all__ = ['lti', 'dlti', 'TransferFunction', 'ZerosPolesGain', 'StateSpace',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/lti_conversion.py /^__all__ = ['tf2ss', 'abcd_normalize', 'ss2tf', 'zpk2ss', 'ss2zpk',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/signaltools.py /^__all__ = ['correlate', 'correlation_lags', 'correlate2d',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/spectral.py /^__all__ = ['periodogram', 'welch', 'lombscargle', 'csd', 'coherence',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/waveforms.py /^__all__ = ['sawtooth', 'square', 'gausspulse', 'chirp', 'sweep_poly',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/wavelets.py /^__all__ = ['daub', 'qmf', 'cascade', 'morlet', 'ricker', 'morlet2', 'cwt']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/windows.py /^__all__ = ['boxcar', 'triang', 'parzen', 'bohman', 'blackman', 'nuttall',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/windows/__init__.py /^__all__ = ['boxcar', 'triang', 'parzen', 'bohman', 'blackman', 'nuttall',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/_max_len_seq.py /^__all__ = ['max_len_seq']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/_peak_finding.py /^__all__ = ['argrelmin', 'argrelmax', 'argrelextrema', 'peak_prominences',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^__all__ = ['upfirdn', '_output_len']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/signal/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^__all__ = ['spmatrix', 'isspmatrix', 'issparse',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^__all__ = ['bsr_matrix', 'isspmatrix_bsr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^__all__ = ['spdiags', 'eye', 'identity', 'kron', 'kronsum',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^__all__ = ['coo_matrix', 'isspmatrix_coo']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^__all__ = ['csc_matrix', 'isspmatrix_csc']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/__init__.py /^__all__ = ['connected_components',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^__all__ = ['csr_matrix', 'isspmatrix_csr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^__all__ = ['dia_matrix', 'isspmatrix_dia']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^__all__ = ['dok_matrix', 'isspmatrix_dok']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^__all__ = ['find', 'tril', 'triu']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^__all__ = ['lil_matrix', 'isspmatrix_lil']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/linsolve.py /^__all__ = ['use_solver', 'spsolve', 'splu', 'spilu', 'factorized',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/dsolve/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^__all__ = ['eigs', 'eigsh', 'svds', 'ArpackError', 'ArpackNoConvergence']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/lobpcg.py /^__all__ = ['lobpcg']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/lobpcg/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^__all__ = ['LinearOperator', 'aslinearoperator']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/iterative.py /^__all__ = ['bicg','bicgstab','cg','cgs','gmres','qmr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lgmres.py /^__all__ = ['lgmres']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsmr.py /^__all__ = ['lsmr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/lsqr.py /^__all__ = ['lsqr']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/minres.py /^__all__ = ['minres']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/_gcrotmk.py /^__all__ = ['gcrotmk']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^__all__ = ['expm', 'inv']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^__all__ = ['expm_multiply']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_norm.py /^__all__ = ['norm']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_onenormest.py /^__all__ = ['onenormest']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/spfuncs.py /^__all__ = ['count_blocks','estimate_blocksize']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/sputils.py /^__all__ = ['upcast', 'getdtype', 'isscalarlike', 'isintlike',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/_matrix_io.py /^__all__ = ['save_npz', 'load_npz']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/distance.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^__all__ = ['minkowski_distance_p', 'minkowski_distance',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/__init__.py /^__all__ = ['Rotation', 'Slerp', 'RotationSpline']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/_geometric_slerp.py /^__all__ = ['geometric_slerp']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/_plotutils.py /^__all__ = ['delaunay_plot_2d', 'convex_hull_plot_2d', 'voronoi_plot_2d']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/_procrustes.py /^__all__ = ['procrustes']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^__all__ = ['SphericalVoronoi']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/__init__.py /^__all__ = [s for s in dir() if not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^__all__ = _polyfuns + list(_rootfuns_map.keys()) + _evalfuns + ['poch', 'binom']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/spfun_stats.py /^__all__ = ['multigammaln']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/_basic.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/_logsumexp.py /^__all__ = ["logsumexp", "softmax", "log_softmax"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^__all__ = ['with_special_errors', 'assert_func_equal', 'FuncData']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/special/__init__.py /^__all__ = _ufuncs.__all__ + _basic.__all__ + orthogonal.__all__ + [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/contingency.py /^__all__ = ['margins', 'expected_freq', 'chi2_contingency']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/distributions.py /^__all__ = ['entropy', 'rv_discrete', 'rv_continuous', 'rv_histogram']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^__all__ = ['gaussian_kde']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/morestats.py /^__all__ = ['mvsdist',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_basic.py /^__all__ = ['argstoarray',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/mstats_extras.py /^__all__ = ['compare_medians_ms',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^__all__ = ['find_repeats', 'gmean', 'hmean', 'mode', 'tmean', 'tvar',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_binned_statistic.py /^__all__ = ['binned_statistic',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^__all__ = _distn_names + _distn_gen_names + ['rv_histogram']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_discrete_distns.py /^__all__ = _distn_names + _distn_gen_names$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^__all__ = ['multivariate_normal',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_stats_mstats_common.py /^__all__ = ['_find_repeats', 'linregress', 'theilslopes', 'siegelslopes']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/stats/__init__.py /^__all__ = [s for s in dir() if not s.startswith("_")] # Remove dunders.$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_build_utils/_fortran.py /^__all__ = ['needs_g77_abi_wrapper', 'get_g77_abi_wrappers',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^__all__ = ["_deprecated"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/doccer.py /^__all__ = ['docformat', 'inherit_docstring_from', 'indentcount_lines',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_gcutils.py /^__all__ = ['set_gc_state', 'gc_state', 'assert_deallocated']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^__all__ = ['PytestTester', 'check_free_memory']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^__all__ = ['ReentrancyError', 'ReentrancyLock', 'non_reentrant']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/__config__.py /^__all__ = ["get_info","show"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^__all__ = ['test']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/archive_util.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^__all__ = ['get_requires_for_build_sdist',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/command/setopt.py /^__all__ = ['config_file', 'edit_config', 'option_base', 'setopt']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/command/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^__all__ = ['Distribution']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/glob.py /^__all__ = ["glob", "iglob", "escape"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/monkey.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/__init__.py /^__all__ = ['build',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^__all__ = ["parse", "Version", "LegacyVersion", "InvalidVersion", "VERSION_PATTERN"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/__init__.py /^__all__ = ['clean', 'linkify']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^__all__ = ["Filter"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treeadapters/__init__.py /^__all__ = ["sax"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^__all__ = ["DOCUMENT", "DOCTYPE", "TEXT", "ELEMENT", "COMMENT", "ENTITY", "UNKNOWN",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/__init__.py /^__all__ = ["getTreeWalker", "pprint"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^__all__ = ["default_etree", "MethodDispatcher", "isSurrogatePair",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/__init__.py /^__all__ = ["HTMLParser", "parse", "parseFragment", "getTreeBuilder",$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/check_ops.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^__all__ = ["CriticalSection"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/special_math.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^__all__ = ["LinearOperator"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^__all__ = ["LinearOperatorBlockDiag"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^__all__ = ["LinearOperatorBlockLowerTriangular"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^__all__ = ["LinearOperatorComposition"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^__all__ = ["LinearOperatorDiag",]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^__all__ = ["LinearOperatorFullMatrix"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^__all__ = ["LinearOperatorHouseholder",]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^__all__ = []$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^__all__ = ["LinearOperatorKronecker"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^__all__ = ["LinearOperatorPermutation",]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^__all__ = ["LinearOperatorToeplitz",]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^__all__ = ['LinearOperatorTridiag',]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/partitioned_variables.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^__all__ = ["make_template"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_utils.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/all_util.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/__init__.py /^__all__ = [s for s in dir() if s in _exported_dunders or not s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/traverse.py /^__all__ = ['traverse']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^__all__ = [_s for _s in dir() if not _s.startswith('_')]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^__all__ = [_s for _s in dir() if not _s.startswith('_')]$/;" v +__ALL__ adpepsenv/lib/python3.8/site-packages/termcolor.py /^__ALL__ = [ 'colored', 'cprint' ]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^__all__ = ['no_grad', 'enable_grad', 'set_grad_enabled']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/autograd/__init__.py /^__all__ = ['Variable', 'Function', 'backward', 'grad_mode']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/cuda/comm.py /^__all__ = ['broadcast', 'broadcast_coalesced', 'reduce_add', 'reduce_add_coalesced', 'scatter', /;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/cuda/nccl.py /^__all__ = ['all_reduce', 'reduce', 'broadcast', 'all_gather', 'reduce_scatter']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/cuda/nvtx.py /^__all__ = ['range_push', 'range_pop', 'mark']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/cuda/random.py /^__all__ = ['get_rng_state', 'get_rng_state_all',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/distributions/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/functional.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/_atfork.py /^__all__ = ['register_after_fork']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/__init__.py /^__all__ = ['set_sharing_strategy', 'get_sharing_strategy',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/__init__.py /^__all__ = ['replicate', 'scatter', 'parallel_apply', 'gather', 'data_parallel',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/sparse/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/testing/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^__all__ = ["Measurement"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^__all__ = ["Compare"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^__all__ = ["Timer", "timer"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/__init__.py /^__all__ = ['Sampler', 'SequentialSampler', 'RandomSampler',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^__all__ = ['lobpcg']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/_lowrank.py /^__all__ = ['svd_lowrank', 'pca_lowrank']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/torch/__init__.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^__all__ = ["inject_into_urllib3", "extract_from_urllib3"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^__all__ = ["inject_into_urllib3", "extract_from_urllib3"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/__init__.py /^__all__ = ("CertificateError", "match_hostname")$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/__init__.py /^__all__ = ("ssl_match_hostname",)$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^__all__ = ["PoolManager", "ProxyManager", "proxy_from_url"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^__all__ = ["RequestMethods"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/util/wait.py /^__all__ = ["NoWayToWaitForSocketError", "wait_for_read", "wait_for_write"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/util/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^__all__ = ["RecentlyUsedContainer", "HTTPHeaderDict"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^__all__ = ($/;" v +__all__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^__all__ = ["HTTPException"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/_typing.py /^__all__ = ["TYPE_CHECKING", "cast"]$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^__all__ = ['Composer', 'ComposerError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^__all__ = [$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^__all__ = ['BaseDumper', 'SafeDumper', 'Dumper']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^__all__ = ['Emitter', 'EmitterError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/error.py /^__all__ = ['Mark', 'YAMLError', 'MarkedYAMLError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^__all__ = ['BaseLoader', 'FullLoader', 'SafeLoader', 'Loader', 'UnsafeLoader']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^__all__ = ['Parser', 'ParserError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^__all__ = ['Reader', 'ReaderError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^__all__ = ['BaseRepresenter', 'SafeRepresenter', 'Representer',$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^__all__ = ['BaseResolver', 'Resolver']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^__all__ = ['Scanner', 'ScannerError']$/;" v +__all__ adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^__all__ = ['Serializer', 'SerializerError']$/;" v +__all__masked adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^__all__masked = [$/;" v +__and_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __and_(g, input, other):$/;" f +__and__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __and__(self, other): return self.aval._and(self, other)$/;" m class:Tracer +__and__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __and__ = __rand__ = _all$/;" v class:TestArrayPriority.Other file: +__and__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __and__, __rand__, __iand__ = _numeric_methods(um.bitwise_and, 'and')$/;" v class:NDArrayOperatorsMixin file: +__and__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __and__(self, other):$/;" m class:container +__and__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __and__(self, other):$/;" m class:_Operand +__and__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __and__(self, other):$/;" m class:SpecifierSet +__and__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __and__(self, other):$/;" m class:ParserElement +__and__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __and__(self, other):$/;" m class:SpecifierSet +__and__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __and__(self, other ):$/;" m class:ParserElement +__and__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __and__(self, flag):$/;" m class:Debug +__and__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __and__(self, otherTag):$/;" m class:Tag +__and__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __and__(self, value):$/;" m class:Integer +__and__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __and__(self, other):$/;" m class:ParserElement +__and__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __and__(self, other):$/;" m class:OrderedSet +__and__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __and__(self, other):$/;" m class:SpecifierSet +__and__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __and__(self, other ):$/;" m class:ParserElement +__and__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __and__(self, o):$/;" m class:AggregatingVariable +__and__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __and__(self, o):$/;" m class:DistributedDelegate +__and__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __and__ = _overloaded_operator("__and__")$/;" v class:RaggedTensor file: +__and__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __and__ = lambda x, o: x._get_current_object() & o$/;" m class:LocalProxy +__and__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __and__(self, other):$/;" m class:ObjectProxy +__annotations__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ __annotations__ = {'bias': Optional[torch.Tensor]}$/;" v class:_ConvNd file: +__annotations__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ __annotations__ = {"tensor_val": List[Optional[torch.Tensor]]}$/;" v class:RecordingObserver file: +__annotations__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __annotations__(self):$/;" m class:ObjectProxy +__annotations__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __annotations__(self, value):$/;" m class:ObjectProxy +__applyPattern adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __applyPattern(self, pattern, data, patternIndex, startIndex=0):$/;" m class:InlineProcessor file: +__arith_init adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __arith_init(self):$/;" m class:_TestArithmetic file: +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __array_finalize__(self, obj):$/;" m class:chararray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ def __array_finalize__(self, obj):$/;" m class:memmap +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __array_finalize__(self, obj):$/;" m class:recarray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __array_finalize__(self, old):$/;" m class:TestIndexing.test_nonbaseclass_values.SubClass +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __array_finalize__(self, old):$/;" m class:TestSubclasses.test_finalize_gets_full_info.SubClass +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_finalize__(self, obj):$/;" m class:TestArrayFinalize.test_lifetime_on_error.RaisesInFinalize +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_finalize__(self, obj):$/;" m class:TestArrayFinalize.test_receives_base.SavesBase +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_finalize__(self, obj):$/;" m class:TestStats.test_subclass.TestArray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __array_finalize__(self, obj):$/;" m class:TestRegression.test_attributes.TestArray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^ def __array_finalize__(self, obj):$/;" m class:SimpleSubClass +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def __array_finalize__(self, obj):$/;" m class:TestUfunclike.test_fix_with_subclass.MyArray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __array_finalize__(self, obj):$/;" m class:MaskedArray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __array_finalize__(self, obj):$/;" m class:MaskedConstant +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __array_finalize__(self, obj):$/;" m class:MaskedRecords +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __array_finalize__(self, obj):$/;" m class:SubArray +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __array_finalize__(self, obj):$/;" m class:matrix +__array_finalize__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def __array_finalize__(self, obj):$/;" m class:MMatrix +__array_finalize__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^ def __array_finalize__(self,obj):$/;" m class:MatlabObject +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(*args, **kwargs):$/;" m class:TestNDArrayMethods.test_repr.MyArray +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(self, *args, **kwargs):$/;" m class:TestNumPyFunctions.test_sum_on_mock_array.ArrayProxy +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(self, func, types, args, kwargs):$/;" m class:TestArrayFunctionDispatch.test_interface.MyArray +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(self, func, types, args, kwargs):$/;" m class:TestArrayFunctionDispatch.test_not_implemented.MyArray +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(self, func, types, args, kwargs):$/;" m class:TestNumPyFunctions.test_sum_forwarding_implementation.MyArray +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_many_duck_arrays.A file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_many_duck_arrays.B file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_many_duck_arrays.C file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_many_duck_arrays.D file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_ndarray_and_duck_array.Other file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_ndarray_subclasses.OverrideSub file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_ndarray_subclass_and_duck_array.Other file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestGetImplementingArgs.test_ndarray_subclass_and_duck_array.OverrideSub file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestNDArrayArrayFunction.test_method.Other file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ __array_function__ = _return_not_implemented$/;" v class:TestNDArrayArrayFunction.test_method.OverrideSub file: +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array_function__(self, func, types, args, kwargs):$/;" m class:_new_duck_type_and_implements.MyArray +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_twodim_base.py /^ def __array_function__(self, function, types, args, kwargs):$/;" m class:TestHistogram2d.test_dispatch.ShouldDispatch +__array_function__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __array_function__(self, *args, **kwargs):$/;" m class:TestArrayEqual.test_subclass_that_does_not_implement_npall.MyArray +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __array_interface__(self):$/;" m class:TestLinspace.test_array_interface.Arrayish +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def __array_interface__(self):$/;" m class:test_non_ndarray_inputs.MyArray +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_interface__(self):$/;" m class:TestArrayInterface.Foo +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_interface__ = array.__array_interface__$/;" v class:test_interface_no_shape.ArrayLike file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_interface__ = interface$/;" v class:test_array_interface_offset.DummyArray file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_interface__ = interface1$/;" v class:test_array_interface_empty_shape.DummyArray1 file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_interface__ = interface2$/;" v class:test_array_interface_empty_shape.DummyArray2 file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ __array_interface__ = {}$/;" v class:TestRegression.test_bad_array_interface.T file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ __array_interface__ = a.__array_interface__$/;" v class:Test_I0.test_non_array.array_like file: +__array_interface__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __array_interface__(self):$/;" m class:Image +__array_interface__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def __array_interface__(self):$/;" m class:MyValue +__array_interface__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ __array_interface__ = A.__array_interface__$/;" v class:TestDatacopied.test_datacopied.Fake2 file: +__array_module__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __array_module__(self, types): return self.aval._array_module(self, types)$/;" m class:Tracer +__array_module__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^def __array_module__(self, types):$/;" f +__array_module__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __array_module__(self, types):$/;" m class:ndarray +__array_prepare__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_prepare__(self, arr, context):$/;" m class:TestSpecialMethods.test_prepare.with_prepare +__array_prepare__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_prepare__(self, arr, context):$/;" m class:TestSpecialMethods.test_prepare_out.with_prepare +__array_prepare__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_prepare__(self, arr, context=None):$/;" m class:TestSpecialMethods.test_failing_prepare.A +__array_prepare__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_prepare__(self, obj, context):$/;" m class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +__array_prepare__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __array_prepare__(self, obj, context=None):$/;" m class:MaskedConstant +__array_priority__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __array_priority__ = 1000$/;" v class:Tracer file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ __array_priority__ = 100$/;" v class:_DeviceArray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ __array_priority__ = -100.0$/;" v class:memmap file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ __array_priority__ = 10$/;" v class:PhysicalQuantity2 file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_priority__ = 1000$/;" v class:TestMatmulOperator.test_array_priority_override.A file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_priority__ = 100.$/;" v class:TestArrayPriority.Foo file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_priority__ = 1000.$/;" v class:TestArrayPriority.Other file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_priority__ = 10000$/;" v class:test_equal_override.MyAlwaysEqualOld file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_priority__ = 101.$/;" v class:TestArrayPriority.Bar file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_nditer.py /^ __array_priority__ = 15$/;" v class:test_iter_allocate_output_subtype.MyNDArray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ __array_priority__ = 1002$/;" v class:TestRegression.test_richcompare_crash.Foo file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ __array_priority__ = 1000$/;" v class:TestUfunc.test_custom_array_like.MyThing file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestOut.test_out_wrap_subok.ArrayWrap file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestSpecialMethods.test_default_prepare.with_wrap file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestSpecialMethods.test_prepare.with_prepare file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestSpecialMethods.test_prepare_out.with_prepare file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestSpecialMethods.test_priority_with_scalar.A file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 10$/;" v class:TestSpecialMethods.test_wrap_with_iterable.with_wrap file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 100$/;" v class:TestSpecialMethods.test_ufunc_override.MyNDArray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 20.$/;" v class:TestSpecialMethods.test_priority.B file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_priority__ = 40.$/;" v class:TestSpecialMethods.test_priority.C file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_shape_base.py /^ __array_priority__ = 0.0$/;" v class:TestKron.test_return_type.myarray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __array_priority__ = 15$/;" v class:MaskedArray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ __array_priority__ = 100$/;" v class:TestUfuncs.test_treatment_of_NotImplemented.MyClass2 file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ __array_priority__ = a.__array_priority__ + 1$/;" v class:TestUfuncs.test_treatment_of_NotImplemented.MyClass file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ __array_priority__ = 10.0$/;" v class:matrix file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ __array_priority__ = 100.0$/;" v class:StateSpace file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ __array_priority__ = 10.1$/;" v class:spmatrix file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __array_priority__ = 100$/;" v class:Tensor file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ __array_priority__ = 100$/;" v class:KerasTensor file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ __array_priority__ = 90$/;" v class:ndarray file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ __array_priority__ = 100$/;" v class:BaseResourceVariable file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ __array_priority__ = 100$/;" v class:RefVariable file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ __array_priority__ = 100$/;" v class:Variable file: +__array_priority__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __array_priority__ = 1000 # prefer Tensor ops over numpy ones$/;" v class:Tensor file: +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kw):$/;" m class:TestBinop.test_array_ufunc_index.CheckIndex +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kw):$/;" m class:TestBinop.test_out_override.OutClass +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kw):$/;" m class:TestBinop.test_pow_override_with_errors.PowerOnly +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kw):$/;" m class:TestBinop.test_ufunc_override_normalize_signature.SomeClass +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestBinop.test_pos_array_ufunc_override.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __array_ufunc__ = None$/;" v class:test_equal_override.MyAlwaysEqualNew file: +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestUfunc.test_structured_equal.MyA +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, *a, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_exception.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, *args, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_disabled.GreedyArray +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, *args, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_not_implemented.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.ASub +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.B +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.C +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, func, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.CSub +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_gufunc_override.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_methods.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_out.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_out.B +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_with_super.B +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_ufunc__(self, ufunc, method, *inputs, out=None, **kwargs):$/;" m class:TestSpecialMethods.test_ufunc_override_with_super.A +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ __array_ufunc__ = None$/;" v class:TestSpecialMethods.test_ufunc_override_disabled.OptOut file: +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ __array_ufunc__ = None$/;" v class:TestNDArrayOperatorsMixin.test_opt_out.OptOut file: +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def __array_ufunc__(self, ufunc, method, *inputs, **kwargs):$/;" m class:ArrayLike +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ __array_ufunc__ = None$/;" v class:ABCPolyBase file: +__array_ufunc__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ __array_ufunc__ = None$/;" v class:StateSpace file: +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ def __array_wrap__(self, arr, context=None):$/;" m class:memmap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr):$/;" m class:TestSpecialMethods.test_old_wrap.with_wrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestOut.test_out_wrap_subok.ArrayWrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestSpecialMethods.test_default_prepare.with_wrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestSpecialMethods.test_failing_wrap.A +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestSpecialMethods.test_priority.A +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestSpecialMethods.test_wrap.with_wrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context):$/;" m class:TestSpecialMethods.test_wrap_with_iterable.with_wrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, arr, context=None):$/;" m class:TestSpecialMethods.test_none_wrap.A +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, obj):$/;" m class:TestSpecialMethods.test_failing_out_wrap.Bad +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, obj):$/;" m class:TestSpecialMethods.test_failing_out_wrap.Ok +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array_wrap__(self, obj, context):$/;" m class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def __array_wrap__(self, arr):$/;" m class:Test_I0.test_non_array.array_like +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def __array_wrap__(self, obj, context=None):$/;" m class:TestUfunclike.test_fix_with_subclass.MyArray +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __array_wrap__(self, *args):$/;" m class:container +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __array_wrap__(self, obj, context=None):$/;" m class:MaskedArray +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __array_wrap__(self, obj, context=None):$/;" m class:MaskedConstant +__array_wrap__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __array_wrap__(self, obj, context=None):$/;" m class:ComplicatedSubArray +__array_wrap__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __array_wrap__(self, array):$/;" m class:Tensor +__array__ adpeps/utils/empty_tensor.py /^ def __array__(self):$/;" m class:EmptyT +__array__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __array__(self, dtype=None):$/;" m class:Dataset +__array__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __array__(self, *args, **kw):$/;" m class:Tracer +__array__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __array__(self, dtype=None, context=None):$/;" f +__array__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def __array__(self):$/;" m class:Quantity +__array__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __array__(self, *args, **kwargs):$/;" m class:AffineBase +__array__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __array__(self, *args, **kwargs):$/;" m class:BboxBase +__array__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __array__(self, *args, **kwargs):$/;" m class:Transform +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def __array__(self):$/;" m class:TestComparisonDeprecations.test_void_dtype_equality_failures.NotArray +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __array__(self):$/;" m class:TestIndexing.test_scalar_return_type.ArrayLike +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def __array__(self):$/;" m class:test_non_ndarray_inputs.MyArray2 +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array__(self, *args, **kwargs):$/;" m class:TestMethods.test_dot_matmul_inner_array_casting_fails.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __array__(self, dtype=None):$/;" m class:TestCreation.test_from_attribute.x +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __array__(self, *args, **kwargs):$/;" m class:TestNumPyFunctions.test_sum_on_mock_array.ArrayProxy +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __array__(self, result=None):$/;" m class:test_array_called.Wrapper +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __array__(self):$/;" m class:TestRegression.test_2d__array__shape.T +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __array__(self, *args, **kwargs):$/;" m class:TestRegression.test_richcompare_crash.Foo +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def __array__(self):$/;" m class:TestMultiply.test_no_seq_repeat_basic_array_like.ArrayLike +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_default_prepare.with_wrap +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_failing_prepare.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_failing_wrap.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_none_wrap.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_old_wrap.with_wrap +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_priority.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self):$/;" m class:TestSpecialMethods.test_wrap.with_wrap +__array__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __array__(self, dtype, context):$/;" m class:TestSpecialMethods.test_array_too_many_args.A +__array__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def __array__(self):$/;" m class:Arrayterator +__array__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __array__(self, t=None):$/;" m class:poly1d +__array__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_arraysetops.py /^ def __array__(self):$/;" m class:TestSetOps.test_intersect1d_array_like.Test +__array__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __array__(self, t=None):$/;" m class:container +__array__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937_regressions.py /^ def __array__(self):$/;" m class:TestRegression.test_permutation_subclass.M +__array__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate_regression.py /^ def __array__(self):$/;" m class:TestRegression.test_permutation_subclass.M +__array__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_regression.py /^ def __array__(self):$/;" m class:TestRegression.test_permutation_subclass.M +__array__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def __array__(self):$/;" m class:FakeArray2 +__array__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def __array__(self):$/;" m class:FakeArray2 +__array__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def __array__(self):$/;" m class:MyValue +__array__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^ def __array__(self):$/;" m class:TestDatacopied.test_datacopied.Fake1 +__array__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^ def __array__(self):$/;" m class:_FakeMatrix2 +__array__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __array__(self):$/;" m class:LowRankMatrix +__array__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __array__(self):$/;" m class:Tensor +__array__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __array__(self):$/;" m class:_EagerTensorBase +__array__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __array__(self):$/;" m class:KerasTensor +__array__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __array__(self, dtype=None):$/;" m class:ndarray +__array__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __array__(self):$/;" m class:BaseResourceVariable +__array__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __array__(self, dtype=None):$/;" m class:Tensor +__attrs__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ __attrs__ = ['max_retries', 'config', '_pool_connections', '_pool_maxsize',$/;" v class:HTTPAdapter file: +__attrs__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ __attrs__ = [$/;" v class:Response file: +__attrs__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ __attrs__ = [$/;" v class:Session file: +__attrs__ adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ __attrs__ = ['max_retries', 'config', '_pool_connections', '_pool_maxsize',$/;" v class:HTTPAdapter file: +__attrs__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ __attrs__ = [$/;" v class:Response file: +__attrs__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ __attrs__ = [$/;" v class:Session file: +__author_email__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__author_email__ = 'me@kennethreitz.org'$/;" v +__author_email__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__author_email__ = 'me@kennethreitz.org'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^__author__ = 'matthewtoia@google.com (Matt Toia)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^__author__ = 'matthewtoia@google.com (Matt Toia)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^__author__ = 'petar@google.com (Petar Petrov)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/decoder.py /^__author__ = 'kenton@google.com (Kenton Varda)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/encoder.py /^__author__ = 'kenton@google.com (Kenton Varda)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^__author__ = 'rabsatt@google.com (Kevin Rabsatt)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/message_listener.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^__author__ = 'jieluo@google.com (Jie Luo)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/wire_format.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^__author__ = 'jieluo@google.com (Jie Luo)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^__author__ = 'matthewtoia@google.com (Matt Toia)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/pyext/cpp_message.py /^__author__ = 'tibell@google.com (Johan Tibell)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/reflection.py /^__author__ = 'robinson@google.com (Will Robinson)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service.py /^__author__ = 'petar@google.com (Petar Petrov)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^__author__ = 'petar@google.com (Petar Petrov)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^__author__ = 'kenton@google.com (Kenton Varda)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/gviz_api.py /^__author__ = "Amit Weinstein, Misha Seltzer, Jacob Baskin"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^__author__ = "Kevin L. Davies"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^__author__ = 'Brian Quinlan (brian@sweetapp.com)'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^__author__ = 'Lisandro Dalcin'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^__author__ = "Pierre GF Gerard-Marchant"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/oauthlib/__init__.py /^__author__ = 'The OAuthlib Community'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/__init__.py /^__author__ = "Eric Larson"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__author__ = "Lars Gust\\u00e4bel (lars@gustaebel.de)"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__author__ = "Donald Stufft and individual contributors"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__author__ = "Paul McGuire <ptmcg@users.sourceforge.net>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__author__ = 'Kenneth Reitz'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^__author__ = "Andrey Petrov (andrey.petrov@shazow.net)"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__author__ = "Donald Stufft and individual contributors"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^__author__ = "Paul McGuire <ptmcg@users.sourceforge.net>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__author__ = "Paul McGuire <ptmcg@users.sourceforge.net>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__author__ = 'Kenneth Reitz'$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/rsa/__init__.py /^__author__ = "Sybren Stuvel, Barry Mead and Yesudeep Mangalapilly"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__author__ = "Donald Stufft and individual contributors"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^__author__ = "Paul McGuire <ptmcg@users.sourceforge.net>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^__author__ = "Benjamin Peterson <benjamin@python.org>"$/;" v +__author__ adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^__author__ = "Andrey Petrov (andrey.petrov@shazow.net)"$/;" v +__await__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def __await__(self) -> Awaitable[ResponseType]:$/;" m class:StreamUnaryCall +__await__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_call.py /^ def __await__(self) -> Awaitable[ResponseType]:$/;" m class:UnaryUnaryCall +__await__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __await__(self) -> ResponseType:$/;" m class:_UnaryResponseMixin +__await__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __await__(self):$/;" m class:UnaryUnaryCallResponse +__await__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __await__(self):$/;" m class:_InterceptedUnaryResponseMixin +__bibtex__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^__bibtex__ = r"""@Article{Hunter:2007,$/;" v +__bit_generator_ctor adpepsenv/lib/python3.8/site-packages/numpy/random/_pickle.py /^def __bit_generator_ctor(bit_generator_name='MT19937'):$/;" f +__bool__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __bool__(self):$/;" m class:FlagHolder +__bool__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __bool__(self):$/;" m class:relativedelta +__bool__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __bool__(self):$/;" m class:HLObject +__bool__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __bool__(self): return self.aval._bool(self)$/;" m class:Tracer +__bool__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ __bool__ = __nonzero__$/;" v class:Store file: +__bool__ adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def __bool__(self):$/;" m class:MarkerStyle +__bool__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __bool__(self):$/;" m class:Duration +__bool__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __bool__(self):$/;" m class:UnitDbl +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __bool__(self):$/;" m class:TestConversion.test_to_bool_scalar.NotConvertible +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __bool__(self):$/;" m class:TestNonzero.test_nonzero_exception_safe.ThrowsAfter +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __bool__(self):$/;" m class:TestNonzero.test_nonzero_invalid_object.BoolErrors +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __bool__(self):$/;" m class:TestNonzero.test_nonzero_sideeffect_safety.FalseThenTrue +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __bool__(self):$/;" m class:TestNonzero.test_nonzero_sideeffect_safety.TrueThenFalse +__bool__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __bool__(self):$/;" m class:_Stream +__bool__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __bool__(self):$/;" m class:_Operand +__bool__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __bool__ = _delegate("__bool__")$/;" v class:IFDRational file: +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __bool__(self):$/;" m class:HashErrors +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def __bool__(self):$/;" m class:Hashes +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __bool__(self):$/;" m class:ChainMap +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __bool__(self):$/;" m class:FragmentWrapper +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __bool__(self):$/;" m class:NoDists +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __bool__(self):$/;" m class:ParseResults +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __bool__(self):$/;" m class:_NullToken +__bool__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __bool__(self):$/;" m class:Response +__bool__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __bool__(self): return ( not not self.__toklist )$/;" m class:ParseResults +__bool__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __bool__(self):$/;" m class:_NullToken +__bool__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __bool__(self):$/;" m class:NoDists +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __bool__(self):$/;" m class:ConstructedAsn1Type +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __bool__(self):$/;" m class:SimpleAsn1Type +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __bool__(self):$/;" m class:AbstractConstraint +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __bool__(self):$/;" m class:NamedTypes +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __bool__(self):$/;" m class:Choice +__bool__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __bool__(self):$/;" m class:Real +__bool__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __bool__(self):$/;" m class:ParseResults +__bool__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __bool__(self):$/;" m class:_NullToken +__bool__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __bool__(self):$/;" m class:Response +__bool__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __bool__(self): # Simple -- other ideas?$/;" m class:spmatrix +__bool__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __bool__(self): return ( not not self.__toklist )$/;" m class:ParseResults +__bool__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __bool__(self):$/;" m class:_NullToken +__bool__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __bool__(self):$/;" m class:TensorShape +__bool__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __bool__(self):$/;" m class:FragmentWrapper +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __bool__(self):$/;" m class:DatasetV2 +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __bool__(self):$/;" m class:Tensor +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __bool__(self):$/;" m class:_EagerTensorBase +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __bool__(self):$/;" m class:TensorShape +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __bool__(self):$/;" m class:ndarray +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __bool__(self):$/;" m class:BaseResourceVariable +__bool__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __bool__(self):$/;" m class:ClusterSpec +__bool__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __bool__(self):$/;" m class:RecursiveScriptModule +__bool__ adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^ def __bool__(self):$/;" m class:EnabledProxy +__bool__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __bool__(self) -> NoReturn:$/;" m class:Proxy +__bool__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __bool__(self):$/;" m class:ETags +__bool__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __bool__ = __nonzero__$/;" v class:ContentRange file: +__bool__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __bool__ = __nonzero__$/;" v class:FileStorage file: +__bool__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __bool__(self):$/;" m class:LocalProxy +__bool__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ __bool__ = __nonzero__$/;" v class:UserAgent file: +__bool__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __bool__(self):$/;" m class:ObjectProxy +__bound_function_wrapper__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ __bound_function_wrapper__ = _BoundAdapterWrapper$/;" v class:AdapterWrapper file: +__bound_function_wrapper__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ __bound_function_wrapper__ = BoundFunctionWrapper$/;" v class:FunctionWrapper file: +__build_ancestors adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __build_ancestors(self, parent, parents):$/;" m class:InlineProcessor file: +__build_fixer_names adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def __build_fixer_names(self):$/;" m class:Mixin2to3 file: +__build__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__build__ = 0x022400$/;" v +__build__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__build__ = 0x022501$/;" v +__bytes__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __bytes__(self):$/;" m class:IndirectReference +__bytes__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __bytes__(self):$/;" m class:PdfArray +__bytes__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __bytes__(self):$/;" m class:PdfBinary +__bytes__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __bytes__(self):$/;" m class:PdfDict +__bytes__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __bytes__(self):$/;" m class:PdfName +__bytes__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def __bytes__(self):$/;" m class:AbstractCharacterString +__bytes__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __bytes__(self):$/;" m class:OctetString +__bytes__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __bytes__(self):$/;" m class:ObjectProxy +__cake__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__cake__ = u'\\u2728 \\U0001f370 \\u2728'$/;" v +__cake__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__cake__ = u'\\u2728 \\U0001f370 \\u2728'$/;" v +__call__ adpeps/ipeps/ctm.py /^ def __call__(self):$/;" m class:CTM +__call__ adpeps/simulation/run_ipeps_exci.py /^ def __call__(self, ix, v=None):$/;" m class:iPEPSExciSimulation +__call__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __call__(self, parser, namespace, values, option_string=None):$/;" m class:_BooleanFlagAction +__call__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __call__(self, parser, namespace, values, option_string=None):$/;" m class:_FlagAction +__call__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __call__(self, parser, namespace, values, option_string=None):$/;" m class:_HelpFullAction +__call__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __call__(cls, *args, **kwargs):$/;" m class:_ArgumentParserCache +__call__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __call__(self, argv, known_only=False):$/;" m class:FlagValues +__call__ adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^ def __call__(self, func):$/;" m class:_FlagOverrider +__call__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __call__(self, *args, **kwargs):$/;" m class:_ParameterizedTestIter +__call__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __call__(self):$/;" m class:_Timer +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def __call__(self, cls):$/;" m class:define_context +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def __call__(self, func):$/;" f +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def __call__(self, func):$/;" m class:Tags +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/net_modifier.py /^ def __call__(self, net, init_net=None, grad_map=None, blob_to_device=None,$/;" m class:NetModifier +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def __call__(self, obj, *args, **kwargs):$/;" m class:Visitor +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def __call__(self, net, param):$/;" m class:Normalizer +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __call__(self, net, param_init_net, param, grad=None):$/;" m class:Optimizer +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def __call__(self, rec):$/;" m class:NetProcessor +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __call__(self, net, param_init_net, param, grad=None, by=None):$/;" m class:Regularizer +__call__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __call__(self):$/;" m class:Scalar +__call__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __call__(self):$/;" m class:Cycler +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __call__(self, s, **kwargs):$/;" m class:_rrulestr +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __call__(self, name=None):$/;" m class:__get_gettz.GettzFunc +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __call__(cls):$/;" m class:_TzSingleton +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __call__(cls, name, offset):$/;" m class:_TzOffsetFactory +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __call__(cls, s, posix_offset=False):$/;" m class:_TzStrFactory +__call__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __call__(self, n):$/;" m class:weekday +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def __call__(self, context, callback):$/;" m class:AuthMetadataPlugin +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __call__($/;" m class:Request +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __call__($/;" m class:Request +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ async def __call__($/;" m class:Request +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^ def __call__($/;" m class:Request +__call__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/__init__.py /^ def __call__($/;" m class:Request +__call__ adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def __call__(self, environ, start_response):$/;" m class:_RedirectWSGIApp +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def __call__(self,$/;" m class:StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def __call__(self,$/;" m class:StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def __call__(self,$/;" m class:UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_base_channel.py /^ def __call__(self,$/;" m class:UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __call__(self,$/;" m class:StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __call__(self,$/;" m class:StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __call__(self,$/;" m class:UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __call__(self,$/;" m class:UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __call__(self, request, timeout, metadata=None, protocol_options=None):$/;" m class:_UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __call__(self,$/;" m class:_StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __call__(self,$/;" m class:_StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __call__(self,$/;" m class:_UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __call__(self, request, timeout, metadata=None, protocol_options=None):$/;" m class:UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __call__(self,$/;" m class:StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __call__(self,$/;" m class:StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __call__(self,$/;" m class:UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^ def __call__(self, context, callback):$/;" m class:AccessTokenAuthMetadataPlugin +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^ def __call__(self, context, callback):$/;" m class:GoogleCallCredentials +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __call__( # pylint: disable=too-many-locals$/;" m class:_SingleThreadedUnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __call__( # pylint: disable=too-many-locals$/;" m class:_UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __call__(self,$/;" m class:_StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __call__(self,$/;" m class:_StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __call__(self,$/;" m class:_UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __call__(self,$/;" m class:_StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __call__(self,$/;" m class:_StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __call__(self,$/;" m class:_UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __call__(self,$/;" m class:_UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^ def __call__(self, metadata, error):$/;" m class:_AuthMetadataPluginCallback +__call__ adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^ def __call__(self, service_url, method_name, callback):$/;" m class:_Plugin +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self, context, callback):$/;" m class:AuthMetadataPlugin +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self, metadata, error):$/;" m class:AuthMetadataPluginCallback +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self,$/;" m class:StreamStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self,$/;" m class:StreamUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self,$/;" m class:UnaryStreamMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __call__(self,$/;" m class:UnaryUnaryMultiCallable +__call__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __call__(self, *args):$/;" m class:CustomTransformsFunction +__call__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __call__(self, *args, **kwargs):$/;" m class:custom_jvp +__call__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __call__(self, *args, **kwargs):$/;" m class:custom_vjp +__call__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def __call__(self, *args, **kwargs):$/;" m class:custom_ivjp +__call__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __call__(self, out_bufs):$/;" m class:ResultsHandler +__call__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __call__(self, x):$/;" m class:_ScalarMeta +__call__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __call__(self, *args, **kwargs):$/;" m class:HashableFunction +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __call__(self, *args, data=None, **kwargs):$/;" m class:_process_plot_var_args +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __call__(self, block=None):$/;" m class:ShowBase +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def __call__(self, t):$/;" m class:BezierSegment +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __call__(self, inline, inline_spacing=5, n=-1, timeout=-1):$/;" m class:BlockingContourLabeler +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __call__(self, n=1, timeout=30):$/;" m class:BlockingInput +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __call__(self, n=1, timeout=30, show_clicks=True):$/;" m class:BlockingMouseInput +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __call__(self, timeout=30):$/;" m class:BlockingKeyMouseInput +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def __call__(self):$/;" m class:StrCategoryLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def __call__(self, x, pos=None):$/;" m class:StrCategoryFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __call__(self):$/;" m class:Stack +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __call__(self):$/;" m class:_StrongRef +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __call__(self):$/;" m class:_ColorbarAutoMinorLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:BoundaryNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:LogNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:NoNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:Normalize +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:PowerNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:SymLogNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, value, clip=None):$/;" m class:TwoSlopeNorm +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __call__(self, X, alpha=None, bytes=False):$/;" m class:Colormap +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self):$/;" m class:AutoDateLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self):$/;" m class:MicrosecondLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self):$/;" m class:RRuleLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self):$/;" m class:YearLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self, x, pos=0):$/;" m class:DateFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self, x, pos=0):$/;" m class:IndexDateFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self, x, pos=None):$/;" m class:AutoDateFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __call__(self, x, pos=None):$/;" m class:ConciseDateFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^ def __call__(self, func):$/;" m class:Substitution +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __call__(self):$/;" m class:_AxesStack +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __call__(self, ox, oy, box):$/;" m class:Ship +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ __call__ = evaluate$/;" v class:GaussianKDE file: +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __call__(self, path, mutation_size, linewidth,$/;" m class:ArrowStyle._Base +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __call__(self, posA, posB,$/;" m class:ConnectionStyle._Base +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __call__(self, x0, y0, width, height, mutation_size,$/;" m class:BoxStyle._Base +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __call__(self, x, pos=None):$/;" m class:GeoAxes.ThetaFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __call__(self):$/;" m class:RadialLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __call__(self):$/;" m class:ThetaLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __call__(self, x, pos=None):$/;" m class:ThetaFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def __call__(self, s):$/;" m class:ValidateInStrings +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def __call__(self, orig, dest):$/;" m class:_GSConverter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def __call__(self, orig, dest):$/;" m class:_SVGConverter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDblFormatter.py /^ def __call__(self, x, pos=None):$/;" m class:UnitDblFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def __call__(self, im, dpi):$/;" m class:test_agg_filter.BaseFilter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __call__(self, renderer):$/;" m class:OffsetFrom +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:AutoMinorLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:FixedLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:IndexLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:LinearLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:Locator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:LogLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:MaxNLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:MultipleLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:NullLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:OldAutoLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self):$/;" m class:SymmetricalLogLocator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:EngFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:FixedFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:FormatStrFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:Formatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:FuncFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:IndexFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:LogFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:LogFormatterMathtext +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:LogitFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:NullFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:OldScalarFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:PercentFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:ScalarFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __call__(self, x, pos=None):$/;" m class:StrMethodFormatter +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def __call__(self, x, y):$/;" m class:TrapezoidMapTriFinder +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __call__(self, x, y):$/;" m class:CubicTriInterpolator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __call__(self, x, y):$/;" m class:LinearTriInterpolator +__call__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __call__(self, o):$/;" m class:LockDraw +__call__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __call__(self, executor):$/;" m class:SharedPoolCtx +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def __call__(self, axes, renderer):$/;" m class:AxesLocator +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __call__(self, renderer):$/;" m class:GetExtentHelper +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def __call__(self, axes, renderer):$/;" m class:CbarAxesLocator +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __call__(self, ax, renderer):$/;" m class:AnchoredLocatorBase +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __call__(self, ax, renderer):$/;" m class:InsetPosition +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __call__(self, *v, **kwargs):$/;" m class:Axes.AxisDict +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __call__(self, *args, **kwargs):$/;" m class:SimpleChainedObjects +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, direction, factor, values): # hour$/;" m class:FormatterHMS +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, direction, factor, values):$/;" m class:FormatterDMS +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, transform_xy, x1, y1, x2, y2):$/;" m class:ExtremeFinderCycle +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorD +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorDM +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorDMS +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorH +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorHM +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __call__(self, v1, v2):$/;" m class:LocatorHMS +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __call__(self, *args, **kwargs):$/;" m class:Axes +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def __call__(self, axis_artist, transform):$/;" m class:AxislineStyle._Base +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def __call__(self, transform_xy, x1, y1, x2, y2):$/;" m class:ExtremeFinderFixed +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __call__(self, direction, factor, values):$/;" m class:DictFormatter +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __call__(self, direction, factor, values):$/;" m class:FormatterPrettyPrint +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __call__(self, transform_xy, x1, y1, x2, y2):$/;" m class:ExtremeFinderSimple +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __call__(self, v1, v2):$/;" m class:FixedLocator +__call__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __call__(self, v1, v2):$/;" m class:MaxNLocator +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, arr):$/;" m class:SubArrayFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:BoolFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:ComplexFloatingFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:DatetimeFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:FloatingFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:IntegerFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:StructuredVoidFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __call__(self, x):$/;" m class:_TimelikeFormat +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __call__(self, *args, **kwargs):$/;" m class:recursive +__call__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __call__(self, *other):$/;" m class:dummy_ctype +__call__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^ def __call__(self, var):$/;" m class:throw_error +__call__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_callback.py /^ def __call__(self):$/;" m class:TestF77Callback.check_function.A +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def __call__(self, *args, **kwargs):$/;" m class:vectorize +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __call__(self, val):$/;" m class:poly1d +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ def __call__(self, func, *args, **kwargs):$/;" m class:_Deprecate +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __call__(self, line):$/;" m class:LineSplitter +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __call__(self, names, defaultfmt="f%i", nbfields=None):$/;" m class:NameValidator +__call__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __call__(self, value):$/;" m class:StringConverter +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, *args, **params):$/;" m class:_convert2ma +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, *args, **kwargs):$/;" m class:_MaskedUnaryOperation +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, *args, **params):$/;" m class:_frommethod +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, b):$/;" m class:_DomainSafeDivide +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, b, *args, **kwargs):$/;" m class:_DomainedBinaryOperation +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, b, *args, **kwargs):$/;" m class:_MaskedBinaryOperation +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, a, b=None):$/;" m class:_extrema_operation +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, x):$/;" m class:_DomainCheckInterval +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, x):$/;" m class:_DomainGreater +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, x):$/;" m class:_DomainGreaterEqual +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __call__(self, x):$/;" m class:_DomainTan +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __call__(self, *args, **params):$/;" m class:_fromnxfunction +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __call__(self, *args, **params):$/;" m class:_fromnxfunction_allargs +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __call__(self, *args, **params):$/;" m class:_fromnxfunction_args +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __call__(self, x, *args, **params):$/;" m class:_fromnxfunction_seq +__call__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __call__(self, x, *args, **params):$/;" m class:_fromnxfunction_single +__call__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __call__(self, arg):$/;" m class:ABCPolyBase +__call__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def __call__(self, test_func):$/;" m class:parameterized +__call__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __call__(self, func):$/;" m class:suppress_warnings +__call__ adpepsenv/lib/python3.8/site-packages/numpy/_pytesttester.py /^ def __call__(self, label='fast', verbose=1, extra_argv=None,$/;" m class:PytestTester +__call__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def __call__(self, request, refresh_token=False):$/;" m class:TokenBase +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __call__(self, *arrays, **kwargs):$/;" m class:ContractExpression +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def __call__(self, inputs, output, size_dict, memory_limit=None):$/;" m class:BranchBound +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def __call__(self, inputs, output, size_dict, memory_limit=None):$/;" m class:DynamicProgramming +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def __call__(self, inputs, output, size_dict, memory_limit=None):$/;" m class:PathOptimizer +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def __call__(self, inputs, output, size_dict, memory_limit):$/;" m class:RandomOptimizer +__call__ adpepsenv/lib/python3.8/site-packages/opt_einsum/tests/test_paths.py /^ def __call__(self, inputs, output, size_dict, memory_limit=None):$/;" m class:test_custom_path_optimizer.NaiveOptimizer +__call__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __call__(self, maxsize=None):$/;" m class:LruCache +__call__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def __call__(self, req):$/;" m class:MultiDomainBasicAuth +__call__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^ def __call__(self, link):$/;" m class:Downloader +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __call__(self, func):$/;" m class:ContextDecorator +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __call__(self, fullpath):$/;" m class:NoDists +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __call__(self, name=None):$/;" m class:ParserElement +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __call__(self, s, l, t):$/;" m class:OnlyOnce +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __call__(self, r):$/;" m class:AuthBase +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPBasicAuth +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPDigestAuth +__call__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPProxyAuth +__call__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __call__(self, name=None):$/;" m class:ParserElement +__call__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __call__(self,s,l,t):$/;" m class:OnlyOnce +__call__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __call__(self, fullpath):$/;" m class:NoDists +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def __call__(self, substrate, asn1Spec=None,$/;" m class:Decoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def __call__(self, value, asn1Spec=None, **options):$/;" m class:Encoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, **options):$/;" m class:Decoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, decodeFun=None, **options):$/;" m class:AbstractScalarDecoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, decodeFun=None, **options):$/;" m class:BitStringDecoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, decodeFun=None, **options):$/;" m class:ChoiceDecoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, decodeFun=None, **options):$/;" m class:SequenceOfOrSetOfDecoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __call__(self, pyObject, asn1Spec, decodeFun=None, **options):$/;" m class:SequenceOrSetDecoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def __call__(self, value, **options):$/;" m class:Encoder +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __call__(self, msg):$/;" m class:Debug +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __call__(self, msg):$/;" m class:Printer +__call__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __call__(self, value, idx=None):$/;" m class:AbstractConstraint +__call__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __call__(self, name=None):$/;" m class:ParserElement +__call__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __call__(self, s, l, t):$/;" m class:OnlyOnce +__call__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __call__(self, r):$/;" m class:AuthBase +__call__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPBasicAuth +__call__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPDigestAuth +__call__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __call__(self, r):$/;" m class:HTTPProxyAuth +__call__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^ def __call__(self, r):$/;" m class:OAuth1 +__call__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_auth.py /^ def __call__(self, r):$/;" m class:OAuth2 +__call__ adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def __call__(self) -> None:$/;" m class:CryptoOperation +__call__ adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ def __call__(self, *args, **kwargs):$/;" m class:_MockFunction +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def __call__(self, *args):$/;" m class:_OptFunc +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def __call__(self, *args):$/;" m class:_RangeFunc +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def __call__(self, t):$/;" m class:DenseOutput +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^ def __call__(self, t):$/;" m class:OdeSolution +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __call__(self, t):$/;" m class:DoubleInfiniteFunc +__call__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __call__(self, t):$/;" m class:SemiInfiniteFunc +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __call__(self, theta, phi, dtheta=0, dphi=0, grid=True):$/;" m class:SphereBivariateSpline +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __call__(self, x, nu=0, ext=None):$/;" m class:UnivariateSpline +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __call__(self, x, y, dx=0, dy=0, grid=True):$/;" m class:_BivariateSplineBase +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __call__(self, x):$/;" m class:_ppform +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __call__(self, x, nu=0, extrapolate=None):$/;" m class:_PPolyBase +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __call__(self, x, nu=None, extrapolate=None):$/;" m class:NdPPoly +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __call__(self, x, y, dx=0, dy=0, assume_sorted=False):$/;" m class:interp2d +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __call__(self, xi, method=None):$/;" m class:RegularGridInterpolator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/ndgriddata.py /^ def __call__(self, *args):$/;" m class:NearestNDInterpolator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def __call__(self, x):$/;" m class:BarycentricInterpolator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def __call__(self, x):$/;" m class:_Interpolator1D +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def __call__(self, *args):$/;" m class:Rbf +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_fitpack2.py /^ def __call__(self, x):$/;" m class:TestUnivariateSpline.test_subclassing.ZeroSpline +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_polyint.py /^ def __call__(self, x):$/;" m class:test_deriv_shapes.pchip_deriv_inplace.P +__call__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def __call__(self, x, nu=0, extrapolate=None):$/;" m class:BSpline +__call__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ __call__ = __getitem__$/;" v class:AttrDict file: +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __call__(self, x):$/;" m class:_Brute_Wrapper +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __call__(self, x, *args):$/;" m class:MemoizeJac +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def __call__(self, x):$/;" m class:ReturnShape +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def __call__(self, x):$/;" m class:TestOptimizeSimple.test_l_bfgs_b_maxiter.Callback +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def __call__(self, x):$/;" m class:FunctionWithGradient +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def __call__(self, x):$/;" m class:MyCallBack +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def __call__(self, x):$/;" m class:Accumulator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __call__(self, **kwargs):$/;" m class:MyAcceptTest +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __call__(self, x):$/;" m class:MyTakeStep1 +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __call__(self, x, f, accepted):$/;" m class:MyCallBack +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def __call__(self, x):$/;" m class:TestRoot.test_f_size.fun +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __call__(self, **kwargs):$/;" m class:Metropolis +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __call__(self, x):$/;" m class:AdaptiveStepsize +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __call__(self, x):$/;" m class:RandomDisplacement +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __call__(self, x0):$/;" m class:MinimizerWrapper +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __call__(self, x):$/;" m class:_ConstraintWrapper +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __call__(self, x):$/;" m class:_FunctionWrapper +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ def __call__(self, x, *args):$/;" m class:MemoizeDer +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __call__(self):$/;" m class:Complex +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __call__(self):$/;" m class:VertexGroup +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def __call__(self, p):$/;" m class:BaseQuadraticSubproblem +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def __call__(self, x, *args):$/;" m class:HessianLinearOperator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def __call__(self, x, v_eq=np.empty(0), v_ineq=np.empty(0)):$/;" m class:LagrangianHessian +__call__ adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def __call__(self):$/;" m class:UpFIRDnCase +__call__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __call__(self, x):$/;" m class:LinearOperator +__call__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^ def __call__(self, times, order=0):$/;" m class:RotationSpline +__call__ adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ def __call__(self, v):$/;" m class:orthopoly1d +__call__ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def __call__(self, x):$/;" m class:EndpointFilter +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ __call__ = evaluate$/;" v class:gaussian_kde file: +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __call__(self, index):$/;" m class:_ParallelP +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __call__(self, *args, **kwds):$/;" m class:rv_generic +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, alpha, seed=None):$/;" m class:dirichlet_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, df=None, scale=None, seed=None):$/;" m class:invwishart_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, df=None, scale=None, seed=None):$/;" m class:wishart_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, dim=None, seed=None):$/;" m class:special_ortho_group_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, loc=None, shape=1, df=1, allow_singular=False,$/;" m class:multivariate_t_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, m, n, seed=None):$/;" m class:multivariate_hypergeom_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, mean=None, cov=1, allow_singular=False, seed=None):$/;" m class:multivariate_normal_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, mean=None, rowcov=1, colcov=1, seed=None):$/;" m class:matrix_normal_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __call__(self, n, p, seed=None):$/;" m class:multinomial_gen +__call__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def __call__(self, func):$/;" m class:ContextManager +__call__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^ def __call__(self, label="fast", verbose=1, extra_argv=None, doctests=False,$/;" m class:PytestTester +__call__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __call__(self, func, iterable):$/;" m class:MapWrapper +__call__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __call__(self, name=None):$/;" m class:ParserElement +__call__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __call__(self,s,l,t):$/;" m class:OnlyOnce +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def __call__(self, environ, start_response):$/;" m class:TensorBoardWSGI +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/empty_path_redirect.py /^ def __call__(self, environ, start_response):$/;" m class:EmptyPathRedirectMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experiment_id.py /^ def __call__(self, environ, start_response):$/;" m class:ExperimentIdMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/path_prefix.py /^ def __call__(self, environ, start_response):$/;" m class:PathPrefixMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def __call__(self, environ, start_response):$/;" m class:SecurityValidatorMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __call__(self, *args, **kwargs):$/;" m class:_FlagValuesWrapper +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def __call__(self, context, callback):$/;" m class:IdTokenAuthMetadataPlugin +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^ def __call__(self):$/;" m class:LazyTensorCreator +__call__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ def __call__(self, *args, **kwargs):$/;" m class:PersistentOpEvaluator +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^ def __call__(self, parser, namespace, values, option_string=None):$/;" m class:_ParseExperimentalNewConverter +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __call__(self, *args, **kwargs):$/;" m class:BaseSession._Callable +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def __call__(self, input_, state, scope=None):$/;" m class:_RNNCellForTest +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __call__(self, resources, test_env, fn, args, kwargs, use_dill_for_args):$/;" m class:_ProcFunc +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __call__(self, shape, dtype, axis=0):$/;" m class:FixedShardsPartitioner +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __call__(self, shape, dtype, axis=0):$/;" m class:MaxSizePartitioner +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __call__(self, shape, dtype, axis=0):$/;" m class:MinSizePartitioner +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __call__(self, shape, dtype, axis=0):$/;" m class:Partitioner +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def __call__(self):$/;" m class:StandardSingleLossStep +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def __call__(self):$/;" m class:Step +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __call__(self, *args, **kwds):$/;" m class:Function +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __call__(self, *args, **kwargs):$/;" m class:ConcreteFunction +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __call__(self, *args, **kwargs):$/;" m class:Function +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def __call__(self, *args, **kwargs):$/;" m class:VariableHolder +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __call__(self, features):$/;" m class:InputLayer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __call__(self, categorical_column, combiner, max_norm):$/;" m class:SharedEmbeddingColumnCreator +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ def __call__(self, node_def):$/;" m class:MergeDevice +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __call__(self, *args, **kwargs):$/;" m class:_DefinedFunction +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __call__(self, *args, **kwargs):$/;" m class:_OverloadedFunction +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __call__(self, func):$/;" m class:Defun +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __call__(self, f):$/;" m class:RegisterGradient +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __call__(self, f):$/;" m class:RegisterStatistics +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __call__(self, *args, **kwargs):$/;" m class:NamedObject +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __call__(self, inputs):$/;" m class:GraphExecutionFunction +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:Constraint +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:MaxNorm +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:MinMaxNorm +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:NonNeg +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:RadialConstraint +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __call__(self, w):$/;" m class:UnitNorm +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __call__(self, x):$/;" m class:TestRegularizerLoss.IdentityRegularizer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def __call__(self, x):$/;" m class:_SimpleModule +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __call__(self, *args, **kwargs):$/;" m class:Layer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __call__(self, *args, **kwargs):$/;" m class:Layer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def __call__(self,$/;" m class:LossesContainer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Constant +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Identity +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Initializer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Ones +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Orthogonal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:RandomNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:RandomUniform +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:TruncatedNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:VarianceScaling +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Zeros +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __call__(self, inputs, state, scope=None):$/;" m class:RNNCell +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __call__(self, inputs, state, scope=None):$/;" m class:_RNNCellWrapperV1 +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __call__(self, inputs, state, scope=None, *args, **kwargs):$/;" m class:LayerRNNCell +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __call__(self, inputs, initial_state=None, constants=None, **kwargs):$/;" m class:RNN +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def __call__(self, inputs, initial_state=None, constants=None, **kwargs):$/;" m class:Bidirectional +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def __call__(self, inputs, *args, **kwargs):$/;" m class:Layer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __call__(self, y_true, y_pred, sample_weight=None):$/;" m class:Loss +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __call__(self, *args, **kwargs):$/;" m class:Metric +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __call__(self):$/;" m class:_DynamicLossScaleState +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def __call__(self, x):$/;" m class:IdentityRegularizer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def __call__(self, x):$/;" m class:ReduceSumRegularizer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:CosineDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:CosineDecayRestarts +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:ExponentialDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:InverseTimeDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:LearningRateSchedule +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:LinearCosineDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:NoisyLinearCosineDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:PiecewiseConstantDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __call__(self, step):$/;" m class:PolynomialDecay +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __call__(self, x):$/;" m class:L1 +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __call__(self, x):$/;" m class:L1L2 +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __call__(self, x):$/;" m class:L2 +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __call__(self, x):$/;" m class:Regularizer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def __call__(self, *args, **kwargs):$/;" m class:LayerCall +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def __call__(self, *a, **k):$/;" m class:Bind +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^ def __call__(self, kl_fn):$/;" m class:RegisterKL +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def __call__(self, fn):$/;" m class:AppendDocstring +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:ConvolutionDeltaOrthogonal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:ConvolutionOrthogonal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:ConvolutionOrthogonal1D +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:ConvolutionOrthogonal2D +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:ConvolutionOrthogonal3D +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:Identity +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:Initializer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:Ones +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:Orthogonal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:RandomNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:RandomUniform +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:TruncatedNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:UniformUnitScaling +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:VarianceScaling +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None):$/;" m class:Zeros +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __call__(self, shape, dtype=None, partition_info=None, verify_shape=None):$/;" m class:Constant +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:Identity +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:Ones +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:Orthogonal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:RandomNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:RandomUniform +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:TruncatedNormal +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:VarianceScaling +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=dtypes.float32, **kwargs):$/;" m class:Zeros +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Constant +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __call__(self, shape, dtype=None, **kwargs):$/;" m class:Initializer +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __call__(self, adjoint_fn):$/;" m class:RegisterAdjoint +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __call__(self, cholesky_fn):$/;" m class:RegisterCholesky +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __call__(self, inverse_fn):$/;" m class:RegisterInverse +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __call__(self, matmul_fn):$/;" m class:RegisterMatmul +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __call__(self, solve_fn):$/;" m class:RegisterSolve +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __call__(self, inp, filter): # pylint: disable=redefined-builtin$/;" m class:Convolution +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __call__(self, inp, filter): # pylint: disable=redefined-builtin$/;" m class:_NonAtrousConvolution +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __call__(self, inp, filter): # pylint: disable=redefined-builtin$/;" m class:_WithSpaceToBatch +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __call__(self):$/;" m class:WhileV2 +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __call__(self, converter):$/;" m class:RegisterPFor +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __call__(self, converter):$/;" m class:RegisterPForWithArgs +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __call__(self, pfor_input):$/;" m class:WhileOp +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def __call__(self, device, token, args):$/;" m class:EagerFunc +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def __call__(self, token, device, args):$/;" m class:FuncRegistry +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def __call__(self, *args, **kwargs):$/;" m class:EagerTemplate +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def __call__(self, *args, **kwargs):$/;" m class:Template +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __call__(cls, *args, **kwargs):$/;" m class:VariableMetaclass +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __call__(self, *args, **kwargs):$/;" m class:_FlagValuesWrapper +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __call__(self, run_context, all_workers, lame_workers):$/;" m class:ResetComputation +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __call__(self, run_context, all_workers, lame_workers):$/;" m class:ShutdownAllWorkers +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __call__(self, run_context, all_workers, lame_workers):$/;" m class:ShutdownLameWorkers +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^ def __call__(self, unused_op):$/;" m class:_RoundRobinStrategy +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __call__(self):$/;" m class:DynamicLossScale +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __call__(self):$/;" m class:FixedLossScale +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __call__(self):$/;" m class:LossScale +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __call__(self, shape=None, dtype=None, shard_info=None):$/;" m class:CheckpointInitialValueCallable +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^ def __call__(self, *args, **kwargs):$/;" m class:TFDecorator +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def __call__(self, func):$/;" m class:api_export +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def __call__(self, path, parent, children):$/;" m class:PublicAPIVisitor +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __call__(self):$/;" m class:_ArrayFeedFn +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __call__(self):$/;" m class:_GeneratorFeedFn +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __call__(self):$/;" m class:_OrderedDictNumpyFeedFn +__call__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __call__(self):$/;" m class:_PandasFeedFn +__call__ adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def __call__(self, *args, **kwargs):$/;" m class:Function +__call__ adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ __call__ = _do_forward$/;" v class:NestedIOFunction file: +__call__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __call__(self, func: F) -> F:$/;" m class:_DecoratorContextManager +__call__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __call__(self, func):$/;" m class:ContextDecorator +__call__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^ def __call__(self, func):$/;" m class:autocast +__call__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^ def __call__(self, constraint):$/;" m class:ConstraintRegistry +__call__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __call__(self, x):$/;" m class:ComposeTransform +__call__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __call__(self, x):$/;" m class:Transform +__call__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __call__(self, x):$/;" m class:_InverseTransform +__call__ adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def __call__(self, ctx, node):$/;" m class:Builder +__call__ adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^ def __call__(self, *input):$/;" m class:LiteScriptModule +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __call__(self, input):$/;" m class:ParameterDict +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __call__(self, input):$/;" m class:ParameterList +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ __call__ : Callable[..., Any] = _call_impl$/;" v class:Module file: +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __call__(self, module, inputs):$/;" m class:BasePruningMethod +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __call__(self, module, state_dict, prefix, local_metadata) -> None:$/;" m class:SpectralNormStateDictHook +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __call__(self, module: Module, inputs: Any) -> None:$/;" m class:SpectralNorm +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __call__(self, state_dict, prefix, local_metadata, strict,$/;" m class:SpectralNormLoadStateDictPreHook +__call__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^ def __call__(self, module: Module, inputs: Any) -> None:$/;" m class:WeightNorm +__call__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __call__(self, *args, **keywords):$/;" m class:_with_args._PartialWrapper +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:deviceCountAtLeast +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:dtypes +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:expectedAlertNondeterministic +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:expectedFailure +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:onlyOn +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:ops +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:precisionOverride +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __call__(self, fn):$/;" m class:skipIf +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __call__(self, *args, **kwargs):$/;" m class:OpInfo +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __call__(self, test_case):$/;" m class:CriterionTest +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __call__(self, test_case):$/;" m class:ModuleTest +__call__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __call__(self, test_case):$/;" m class:TestBase +__call__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __call__(self, *args):$/;" m class:FakeClass +__call__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __call__(self, *args, **kwargs) -> 'Proxy':$/;" m class:Proxy +__call__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __call__(self, *args, **kwargs):$/;" m class:Attribute +__call__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __call__(self, *args, **kwargs):$/;" m class:AnnotatedMeta +__call__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __call__(self, *args, **kwargs):$/;" m class:_TypeAliasMeta +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __call__(self, etag=None, data=None, include_weak=False):$/;" m class:ETags +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def __call__(self, topic=None):$/;" m class:_Helper +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def __call__(self, environ, start_response):$/;" m class:DebuggedApplication +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __call__(self, code, *args, **kwargs):$/;" m class:Aborter +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __call__(self, environ, start_response):$/;" m class:HTTPException +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __call__(self):$/;" m class:LocalStack +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __call__(self, proxy):$/;" m class:Local +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __call__ = lambda x, *a, **kw: x._get_current_object()(*a, **kw)$/;" m class:LocalProxy +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/dispatcher.py /^ def __call__(self, environ, start_response):$/;" m class:DispatcherMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def __call__(self, environ, start_response):$/;" m class:ProxyMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __call__(self, *args, **kwargs):$/;" m class:LintMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __call__(self, s):$/;" m class:GuardedWrite +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/profiler.py /^ def __call__(self, environ, start_response):$/;" m class:ProfilerMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/proxy_fix.py /^ def __call__(self, environ, start_response):$/;" m class:ProxyFix +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def __call__(self, environ, start_response):$/;" m class:SharedDataMiddleware +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __call__(self, *args, **kwargs):$/;" m class:RuleTemplate +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def __call__(self, *path, **query):$/;" m class:Href +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __call__(self, user_agent):$/;" m class:UserAgentParser +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __call__(self, s):$/;" m class:HTMLBuilder +__call__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def __call__(self, environ, start_response):$/;" m class:BaseResponse +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __call__(self, wrapped):$/;" m class:AdapterFactory +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __call__(self, wrapped):$/;" m class:DelegatedAdapterFactory +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __call__(self, *args, **kwargs):$/;" m class:BoundFunctionWrapper +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __call__(self, *args, **kwargs):$/;" m class:CallableObjectProxy +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __call__(self, *args, **kwargs):$/;" m class:PartialCallableObjectProxy +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __call__(self, *args, **kwargs):$/;" m class:WeakFunctionProxy +__call__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __call__(self, *args, **kwargs):$/;" m class:_FunctionWrapperBase +__cant_write_msg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __cant_write_msg = textwrap.dedent("""$/;" v class:easy_install file: +__ceil__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __ceil__(self):$/;" m class:TestRoundingFunctions.test_object_direct.C +__ceil__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __ceil__ = _delegate("__ceil__")$/;" v class:IFDRational file: +__ceil__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ceil__(self):$/;" m class:Integer +__ceil__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ceil__(self):$/;" m class:Real +__check_nbdtrik adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def __check_nbdtrik(self):$/;" m class:TestCephes file: +__check_nctdtridf adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_basic.py /^ def __check_nctdtridf(self):$/;" m class:TestCephes file: +__check_tensor_list adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __check_tensor_list(self, tensor_list):$/;" m class:TypeSpec file: +__class_getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __class_getitem__(cls, params):$/;" m class:Annotated +__class_getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __class_getitem__(cls, params):$/;" m class:Protocol +__class__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __class__(self):$/;" m class:ObjectProxy +__class__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __class__(self, value):$/;" m class:ObjectProxy +__cmp__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __cmp__ = lambda x, o: cmp(x._get_current_object(), o) # noqa$/;" m class:LocalProxy +__code__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __code__(self):$/;" m class:AdapterWrapper +__code__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __code__(self):$/;" m class:_AdapterFunctionSurrogate +__coerce__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __coerce__ = lambda x, o: x._get_current_object().__coerce__(x, o)$/;" m class:LocalProxy +__compat__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__compat__ = SimpleNamespace()$/;" v +__compat__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__compat__ = SimpleNamespace()$/;" v +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^__compiler_version__ = str(get_compiler_version())$/;" v +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__compiler_version__ = pywrap_tf_session.__compiler_version__$/;" v +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__compiler_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.versions import COMPILER_VERSION as __compiler_version__$/;" x +__complex__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __complex__(self): return self.aval._complex(self)$/;" m class:Tracer +__complex__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __complex__(self):$/;" m class:container +__complex__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __complex__(self):$/;" m class:_EagerTensorBase +__complex__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __complex__(self):$/;" m class:BaseResourceVariable +__complex__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __complex__ = lambda x: complex(x._get_current_object())$/;" m class:LocalProxy +__complex__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __complex__(self):$/;" m class:ObjectProxy +__computeAmbiguousTypes adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __computeAmbiguousTypes(self):$/;" m class:NamedTypes file: +__computeMinTagSet adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __computeMinTagSet(self):$/;" m class:NamedTypes file: +__computeNameToPosMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __computeNameToPosMap(self):$/;" m class:NamedTypes file: +__computeTagMaps adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __computeTagMaps(self, unique):$/;" m class:NamedTypes file: +__computeTagToPosMap adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __computeTagToPosMap(self):$/;" m class:NamedTypes file: +__concat__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __concat__(self, other):$/;" m class:TensorShape +__constants__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __constants__ = ['input_size', 'hidden_size', 'bias', 'scale_hh', 'scale_ih',$/;" v class:QuantizedRNNCell file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __constants__ = ['input_size', 'hidden_size', 'bias', 'scale_hh', 'scale_ih',$/;" v class:QuantizedRNNCellBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __constants__ = ['mode', 'input_size', 'hidden_size', 'num_layers', 'bias',$/;" v class:QuantizedRNNBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __constants__ = ['scale', 'zero_point']$/;" v class:QuantizedLinear file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['alpha', 'inplace']$/;" v class:CELU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['alpha', 'inplace']$/;" v class:ELU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['beta', 'threshold']$/;" v class:Softplus file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['dim']$/;" v class:GLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['dim']$/;" v class:LogSoftmax file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['dim']$/;" v class:Softmax file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['dim']$/;" v class:Softmin file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace', 'negative_slope']$/;" v class:LeakyReLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace']$/;" v class:Hardsigmoid file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace']$/;" v class:Hardswish file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace']$/;" v class:ReLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace']$/;" v class:SELU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['inplace']$/;" v class:SiLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['lambd']$/;" v class:Hardshrink file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['lambd']$/;" v class:Softshrink file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['lower', 'upper', 'inplace']$/;" v class:RReLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['min_val', 'max_val', 'inplace']$/;" v class:Hardtanh file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['num_parameters']$/;" v class:PReLU file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ __constants__ = ['threshold', 'value', 'inplace']$/;" v class:Threshold file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ __constants__ = ['track_running_stats', 'momentum', 'eps',$/;" v class:_NormBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^ __constants__ = ['groups']$/;" v class:ChannelShuffle file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ __constants__ = ['stride', 'padding', 'dilation', 'groups',$/;" v class:_ConvNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ __constants__ = ['dim', 'eps']$/;" v class:CosineSimilarity file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ __constants__ = ['norm', 'eps', 'keepdim']$/;" v class:PairwiseDistance file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ __constants__ = ['p', 'inplace']$/;" v class:_DropoutNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ __constants__ = ['dim', 'unflattened_size']$/;" v class:Unflatten file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ __constants__ = ['start_dim', 'end_dim']$/;" v class:Flatten file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ __constants__ = ['kernel_size', 'dilation', 'padding', 'stride']$/;" v class:Unfold file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ __constants__ = ['output_size', 'kernel_size', 'dilation', 'padding',$/;" v class:Fold file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ __constants__ = ['in1_features', 'in2_features', 'out_features']$/;" v class:Bilinear file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ __constants__ = ['in_features', 'out_features']$/;" v class:Linear file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['blank', 'reduction']$/;" v class:CTCLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['ignore_index', 'reduction']$/;" v class:CrossEntropyLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['ignore_index', 'reduction']$/;" v class:NLLLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['log_input', 'full', 'eps', 'reduction']$/;" v class:PoissonNLLLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['margin', 'p', 'eps', 'swap', 'reduction']$/;" v class:TripletMarginLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['margin', 'reduction']$/;" v class:CosineEmbeddingLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['margin', 'reduction']$/;" v class:HingeEmbeddingLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['margin', 'reduction']$/;" v class:MarginRankingLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['margin', 'swap', 'reduction']$/;" v class:TripletMarginWithDistanceLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['p', 'margin', 'reduction']$/;" v class:MultiMarginLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:BCELoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:KLDivLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:L1Loss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:MSELoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:MultiLabelMarginLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:MultiLabelSoftMarginLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:SmoothL1Loss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ __constants__ = ['reduction']$/;" v class:SoftMarginLoss file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ __constants__ = ['normalized_shape', 'eps', 'elementwise_affine']$/;" v class:LayerNorm file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ __constants__ = ['num_groups', 'num_channels', 'eps', 'affine']$/;" v class:GroupNorm file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ __constants__ = ['size', 'alpha', 'beta', 'k']$/;" v class:LocalResponseNorm file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ __constants__ = ['padding', 'value']$/;" v class:ConstantPad2d file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ __constants__ = ['padding', 'value']$/;" v class:_ConstantPadNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ __constants__ = ['padding']$/;" v class:_ReflectionPadNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ __constants__ = ['padding']$/;" v class:_ReplicationPadNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^ __constants__ = ['upscale_factor']$/;" v class:PixelShuffle file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'return_indices', 'output_size',$/;" v class:FractionalMaxPool2d file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'return_indices', 'output_size',$/;" v class:FractionalMaxPool3d file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'stride', 'padding', 'ceil_mode', 'count_include_pad', 'divi/;" v class:AvgPool2d file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'stride', 'padding', 'ceil_mode', 'count_include_pad', 'divi/;" v class:AvgPool3d file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'stride', 'padding', 'ceil_mode', 'count_include_pad']$/;" v class:_AvgPoolNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['kernel_size', 'stride', 'padding', 'dilation',$/;" v class:_MaxPoolNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['norm_type', 'kernel_size', 'stride', 'ceil_mode']$/;" v class:_LPPoolNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['output_size', 'return_indices']$/;" v class:_AdaptiveMaxPoolNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ __constants__ = ['output_size']$/;" v class:_AdaptiveAvgPoolNd file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ __constants__ = ['input_size', 'hidden_size', 'bias', 'nonlinearity']$/;" v class:RNNCell file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ __constants__ = ['input_size', 'hidden_size', 'bias']$/;" v class:RNNCellBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ __constants__ = ['mode', 'input_size', 'hidden_size', 'num_layers', 'bias',$/;" v class:RNNBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ __constants__ = ['num_embeddings', 'embedding_dim', 'max_norm', 'norm_type',$/;" v class:EmbeddingBag file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ __constants__ = ['num_embeddings', 'embedding_dim', 'padding_idx', 'max_norm',$/;" v class:Embedding file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ __constants__ = ['norm']$/;" v class:TransformerDecoder file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ __constants__ = ['norm']$/;" v class:TransformerEncoder file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ __constants__ = ['size', 'scale_factor', 'mode', 'align_corners', 'name']$/;" v class:Upsample file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ __constants__ = ['input_size', 'hidden_size', 'bias', 'nonlinearity']$/;" v class:RNNCell file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ __constants__ = ['input_size', 'hidden_size', 'bias']$/;" v class:RNNCellBase file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ __constants__ = ['num_groups', 'num_channels', 'eps', 'affine']$/;" v class:GroupNorm file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ __constants__ = submodule_constants$/;" v class:create_script_module.script_module.TheModule file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ __constants__ = ['exponential_average_factor', 'eps']$/;" v class:MkldnnBatchNorm file: +__constants__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ __constants__ = ['stride', 'padding', 'dilation', 'groups']$/;" v class:_MkldnnConvNd file: +__construct_byset adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __construct_byset(self, start, byxxx, base):$/;" m class:rrule file: +__contains__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __contains__(self, name):$/;" m class:FlagValues +__contains__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __contains__(self, key):$/;" m class:Cache +__contains__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __contains__(self, key):$/;" m class:TTLCache +__contains__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __contains__(self, item):$/;" m class:Struct +__contains__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def __contains__(self, item):$/;" m class:_BlobDict +__contains__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __contains__(self, item):$/;" m class:rrulebase +__contains__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __contains__(self, key):$/;" m class:Mapping +__contains__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __contains__(self, item):$/;" m class:MessageMap +__contains__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __contains__(self, item):$/;" m class:ScalarMap +__contains__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __contains__(self, extension_handle):$/;" m class:_ExtensionDict +__contains__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __contains__(self, item):$/;" m class:Struct +__contains__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __contains__(self, key: MetadataKey) -> bool:$/;" m class:Metadata +__contains__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __contains__(self, name):$/;" m class:AttributeManager +__contains__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __contains__(self, item):$/;" m class:ItemsViewHDF5 +__contains__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __contains__(self, value):$/;" m class:ValuesViewHDF5 +__contains__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __contains__(self, name):$/;" m class:Group +__contains__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __contains__(self, axis_name):$/;" m class:DynamicAxisEnv +__contains__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __contains__(self, item):$/;" m class:Registry +__contains__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __contains__(self, item):$/;" m class:Grouper +__contains__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __contains__(self, key):$/;" m class:_OrderedSet +__contains__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __contains__(self, a):$/;" m class:_AxesStack +__contains__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __contains__(self, k):$/;" m class:CaseInsensitiveDict +__contains__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __contains__(self, tag):$/;" m class:Exif +__contains__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __contains__(self, key):$/;" m class:XrefTable +__contains__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __contains__(self, tag):$/;" m class:ImageFileDirectory_v1 +__contains__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __contains__(self, tag):$/;" m class:ImageFileDirectory_v2 +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __contains__(self, key):$/;" m class:ChainMap +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __contains__(self, name):$/;" m class:LegacyMetadata +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def __contains__(self, key):$/;" m class:Trie +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __contains__(self, key):$/;" m class:BoundMethodDispatcher +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __contains__(self, other):$/;" m class:_BaseNetwork +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:SpecifierSet +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:_IndividualSpecifier +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __contains__(self, dist):$/;" m class:WorkingSet +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __contains__(self, item):$/;" m class:Requirement +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __contains__(self, k):$/;" m class:ParseResults +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __contains__(self, name):$/;" m class:RequestsCookieJar +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def __contains__(self, key):$/;" m class:DirectedGraph +__contains__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __contains__(self, key):$/;" m class:HTTPHeaderDict +__contains__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:SpecifierSet +__contains__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:_IndividualSpecifier +__contains__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __contains__( self, k ):$/;" m class:ParseResults +__contains__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __contains__(self, dist):$/;" m class:WorkingSet +__contains__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __contains__(self, item):$/;" m class:Requirement +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __contains__(self, item):$/;" m class:SingleValueConstraint +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __contains__(self, key):$/;" m class:NamedTypes +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __contains__(self, key):$/;" m class:NamedValues +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def __contains__(self, key):$/;" m class:OpenType +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def __contains__(self, tagSet):$/;" m class:TagMap +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __contains__(self, item):$/;" m class:SequenceAndSetBase.DynamicNames +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __contains__(self, key):$/;" m class:Choice +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __contains__(self, key):$/;" m class:SequenceAndSetBase +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __contains__(self, value):$/;" m class:ObjectIdentifier +__contains__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __contains__(self, value):$/;" m class:OctetString +__contains__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __contains__(self, k):$/;" m class:ParseResults +__contains__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __contains__(self, name):$/;" m class:RequestsCookieJar +__contains__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def __contains__(self, x):$/;" m class:DisjointSet +__contains__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __contains__(self, key):$/;" m class:OrderedSet +__contains__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:SpecifierSet +__contains__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __contains__(self, item):$/;" m class:_IndividualSpecifier +__contains__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __contains__( self, k ):$/;" m class:ParseResults +__contains__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def __contains__(self, key):$/;" m class:Trie +__contains__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def __contains__(self, key):$/;" m class:Trie +__contains__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __contains__(self, key):$/;" m class:ObjectIdentitySet +__contains__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __contains__(self, key):$/;" m class:RecursiveScriptModule +__contains__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __contains__(self, k):$/;" m class:OrderedDictWrapper +__contains__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __contains__(self, k):$/;" m class:OrderedModuleDict +__contains__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __contains__(self, key):$/;" m class:OrderedDictWrapper +__contains__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __contains__(self, key: str) -> bool:$/;" m class:ModuleDict +__contains__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __contains__(self, key: str) -> bool:$/;" m class:ParameterDict +__contains__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __contains__(self, element):$/;" m class:Tensor +__contains__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __contains__(self, key):$/;" m class:HTTPHeaderDict +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __contains__(self, etag):$/;" m class:ETags +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __contains__(self, header):$/;" m class:HeaderSet +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __contains__(self, key):$/;" m class:CombinedMultiDict +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __contains__(self, key):$/;" m class:Headers +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __contains__(self, value):$/;" m class:Accept +__contains__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __contains__ = lambda x, i: i in x._get_current_object()$/;" m class:LocalProxy +__contains__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __contains__(self, value):$/;" m class:ObjectProxy +__copyright__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__copyright__ = "Copyright 2014-2019 %s" % __author__$/;" v +__copyright__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__copyright__ = 'Copyright 2020 Kenneth Reitz'$/;" v +__copyright__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__copyright__ = "Copyright 2014-2019 %s" % __author__$/;" v +__copyright__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__copyright__ = 'Copyright 2020 Kenneth Reitz'$/;" v +__copyright__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__copyright__ = "Copyright 2014-2019 %s" % __author__$/;" v +__copy__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __copy__(self):$/;" m class:Flag +__copy__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __copy__(self):$/;" m class:FlagValues +__copy__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __copy__(self):$/;" m class:Tracer +__copy__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __copy__(self):$/;" m class:Colormap +__copy__ adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def __copy__(self):$/;" m class:Path +__copy__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __copy__(self, *args):$/;" m class:TransformNode +__copy__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def __copy__(self):$/;" m class:FCompiler +__copy__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __copy__(self):$/;" m class:MaskedConstant +__copy__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ __copy__ = copy$/;" v class:Image file: +__copy__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ __copy__ = copy$/;" v class:ChainMap file: +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __copy__(self):$/;" m class:StrategyBase +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __copy__(self):$/;" m class:Tensor +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __copy__(self):$/;" m class:_EagerTensorBase +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __copy__(self):$/;" m class:BaseResourceVariable +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __copy__(self):$/;" m class:List +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __copy__(self):$/;" m class:ListWrapper +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __copy__(self):$/;" m class:Mapping +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __copy__(self):$/;" m class:_DictWrapper +__copy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __copy__(self):$/;" m class:_TupleWrapper +__copy__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __copy__(self):$/;" m class:RecursiveScriptModule +__copy__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __copy__(self):$/;" m class:_StorageBase +__copy__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __copy__(self):$/;" m class:GraphModule +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:Headers +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:ImmutableDict +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:ImmutableMultiDict +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:ImmutableOrderedMultiDict +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:ImmutableTypeConversionDict +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __copy__(self):$/;" m class:MultiDict +__copy__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __copy__ = lambda x: copy.copy(x._get_current_object())$/;" m class:LocalProxy +__copy__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __copy__(self):$/;" m class:ObjectProxy +__cputype adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __cputype(self, n):$/;" m class:IRIXCPUInfo file: +__credits__ adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^__credits__ = ["Yannick Copin"]$/;" v +__credits__ adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^__credits__ = 'MPI Forum, MPICH Team, Open MPI Team'$/;" v +__credits__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__credits__ = "Gustavo Niemeyer, Niels Gust\\u00e4bel, Richard Townsend."$/;" v +__cuda_array_interface__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __cuda_array_interface__(self):$/;" m class:_DeviceArray +__cuda_array_interface__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __cuda_array_interface__(self):$/;" m class:Tensor +__cuda_ctx_rng_initialized adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_cuda.py /^__cuda_ctx_rng_initialized = False$/;" v +__cudnn_version adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^__cudnn_version = None$/;" v +__cvsid__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__cvsid__ = "$Id: tarfile.py 88586 2011-02-25 15:42:01Z marc-andre.lemburg $"$/;" v +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^__cxx11_abi_flag__ = get_cxx11_abi_flag()$/;" v +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__cxx11_abi_flag__ = pywrap_tf_session.__cxx11_abi_flag__$/;" v +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__cxx11_abi_flag__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.versions import CXX11_ABI_FLAG as __cxx11_abi_flag__$/;" x +__date__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__date__ = "$Date: 2011-02-25 17:42:01 +0200 (Fri, 25 Feb 2011) $"$/;" v +__date__ adpepsenv/lib/python3.8/site-packages/rsa/__init__.py /^__date__ = '2021-01-10'$/;" v +__deepcopy__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __deepcopy__(self, memo):$/;" m class:Flag +__deepcopy__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __deepcopy__(self, memo):$/;" m class:FlagValues +__deepcopy__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __deepcopy__(self, memo=None):$/;" m class:Message +__deepcopy__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __deepcopy__(self, unused_memo):$/;" m class:Tracer +__deepcopy__ adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def __deepcopy__(self, memo=None):$/;" m class:Path +__deepcopy__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __deepcopy__ = __copy__$/;" v class:TransformNode file: +__deepcopy__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __deepcopy__(self, memo):$/;" m class:MaskedConstant +__deepcopy__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __deepcopy__(self, memo=None):$/;" m class:MaskedArray +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def __deepcopy__(self, memo):$/;" m class:TPUClusterResolver +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __deepcopy__(self, memo):$/;" m class:CollectiveAllReduce +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def __deepcopy__(self, memo):$/;" m class:CollectiveKeys +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __deepcopy__(self, memo):$/;" m class:StrategyBase +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __deepcopy__(self, memo):$/;" m class:_DefaultDistributionStrategy +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __deepcopy__(self, memo):$/;" m class:_DefaultDistributionStrategyV1 +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __deepcopy__(self, memo):$/;" m class:AggregatingVariable +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __deepcopy__(self, memo):$/;" m class:DistributedVariable +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __deepcopy__(self, memo):$/;" m class:_EagerTensorBase +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __deepcopy__(self, memo):$/;" m class:_DefunWrapper +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def __deepcopy__(self, memo):$/;" m class:Layer +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __deepcopy__(self, memo):$/;" m class:BaseResourceVariable +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __deepcopy__(self, memo):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __deepcopy__(self, memo):$/;" m class:_TPUEmbeddingColumnV2 +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __deepcopy__(self, memo):$/;" m class:_TPUSharedDeviceSpecificEmbeddingColumnV2 +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __deepcopy__(self, memo):$/;" m class:_TPUSharedEmbeddingColumnV2 +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __deepcopy__(self, memo):$/;" m class:List +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __deepcopy__(self, memo):$/;" m class:ListWrapper +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __deepcopy__(self, memo):$/;" m class:Mapping +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __deepcopy__(self, memo):$/;" m class:_DictWrapper +__deepcopy__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __deepcopy__(self, memo):$/;" m class:_TupleWrapper +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __deepcopy__(self, memo):$/;" m class:RecursiveScriptModule +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^ def __deepcopy__(self, memo):$/;" m class:Parameter +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __deepcopy__(self, memo):$/;" m class:_StorageBase +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __deepcopy__(self, memo):$/;" m class:Tensor +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/types.py /^ def __deepcopy__(self, memo) -> 'Storage':$/;" m class:Storage +__deepcopy__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __deepcopy__(self, memo):$/;" m class:GraphModule +__deepcopy__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __deepcopy__(self, memo):$/;" m class:MultiDict +__deepcopy__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __deepcopy__ = lambda x, memo: copy.deepcopy(x._get_current_object(), memo)$/;" m class:LocalProxy +__deepcopy__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __deepcopy__(self, memo):$/;" m class:ObjectProxy +__defaults__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __defaults__(self):$/;" m class:AdapterWrapper +__defaults__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __defaults__(self):$/;" m class:_AdapterFunctionSurrogate +__delattr__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __delattr__(self, flag_name):$/;" m class:FlagValues +__delattr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __delattr__(self):$/;" m class:ResourceEnv +__delattr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __delattr__(self, name):$/;" m class:Chunked +__delattr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ __delattr__ = dict.__delitem__$/;" v class:OptimizeResult file: +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __delattr__(self, name):$/;" m class:_FlagValuesWrapper +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __delattr__(self, name):$/;" m class:Layer +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __delattr__(self, name):$/;" m class:Layer +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __delattr__(self, name):$/;" m class:_FlagValuesWrapper +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __delattr__(self, name):$/;" m class:AutoTrackable +__delattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __delattr__(self, name): # pylint: disable=super-on-old-class$/;" m class:TFModuleWrapper +__delattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __delattr__(self, name):$/;" m class:Module +__delattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __delattr__(self, name):$/;" m class:Local +__delattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __delattr__ = lambda x, n: delattr(x._get_current_object(), n)$/;" m class:LocalProxy +__delattr__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __delattr__(self, name):$/;" m class:ObjectProxy +__delete__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __delete__(self, instance):$/;" m class:deprecated.deprecate._deprecated_property +__delete__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __delete__(self, obj):$/;" m class:_DictAccessorProperty +__delete__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __delete__(self, instance):$/;" m class:AttributeWrapper +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __delitem__(self, key):$/;" m class:Cache +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/fifo.py /^ def __delitem__(self, key, cache_delitem=Cache.__delitem__):$/;" m class:FIFOCache +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^ def __delitem__(self, key, cache_delitem=Cache.__delitem__):$/;" m class:LFUCache +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def __delitem__(self, key, cache_delitem=Cache.__delitem__):$/;" m class:LRUCache +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def __delitem__(self, key, cache_delitem=Cache.__delitem__):$/;" m class:MRUCache +__delitem__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __delitem__(self, key, cache_delitem=Cache.__delitem__):$/;" m class:TTLCache +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delitem__(self, key):$/;" m class:MessageMap +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delitem__(self, key):$/;" m class:RepeatedCompositeFieldContainer +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delitem__(self, key):$/;" m class:RepeatedScalarFieldContainer +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delitem__(self, key):$/;" m class:ScalarMap +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __delitem__(self, extension_handle):$/;" m class:_ExtensionDict +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __delitem__(self, key):$/;" m class:ListValue +__delitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __delitem__(self, key):$/;" m class:Struct +__delitem__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __delitem__(self, key: MetadataKey) -> None:$/;" m class:Metadata +__delitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __delitem__(self, name):$/;" m class:AttributeManager +__delitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __delitem__(self, name):$/;" m class:Group +__delitem__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __delitem__(self, key):$/;" m class:Registry +__delitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __delitem__(self, key):$/;" m class:_DeprecatedCmapDictWrapper +__delitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __delitem__(self, key):$/;" m class:_ColorMapping +__delitem__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __delitem__(self, k):$/;" m class:CaseInsensitiveDict +__delitem__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __delitem__(self, tag):$/;" m class:Exif +__delitem__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __delitem__(self, key):$/;" m class:XrefTable +__delitem__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __delitem__(self, tag):$/;" m class:ImageFileDirectory_v2 +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __delitem__(self, key):$/;" m class:ChainMap +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __delitem__(self, key, dict_delitem=dict.__delitem__):$/;" m class:OrderedDict +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __delitem__(self, name):$/;" m class:LegacyMetadata +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __delitem__(self, name):$/;" m class:getDomBuilder.AttrList +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __delitem__(self, key):$/;" m class:TreeBuilder.__init__.Attributes +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __delitem__(self, i):$/;" m class:ParseResults +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __delitem__(self, name):$/;" m class:RequestsCookieJar +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __delitem__(self, key):$/;" m class:CaseInsensitiveDict +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __delitem__(self, key):$/;" m class:HTTPHeaderDict +__delitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __delitem__(self, key):$/;" m class:RecentlyUsedContainer +__delitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __delitem__( self, i ):$/;" m class:ParseResults +__delitem__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __delitem__(self, i):$/;" m class:ParseResults +__delitem__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __delitem__(self, name):$/;" m class:RequestsCookieJar +__delitem__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __delitem__(self, key):$/;" m class:CaseInsensitiveDict +__delitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __delitem__( self, i ):$/;" m class:ParseResults +__delitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __delitem__(self, name):$/;" m class:getDomBuilder.AttrList +__delitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __delitem__(self, key):$/;" m class:ListWrapper +__delitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __delitem__(self, key):$/;" m class:_DictWrapper +__delitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __delitem__(self, key):$/;" m class:ObjectIdentityDictionary +__delitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __delitem__(self, k):$/;" m class:OrderedDictWrapper +__delitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __delitem__(self, idx: Union[int, slice]) -> None:$/;" m class:ModuleList +__delitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __delitem__(self, idx: Union[slice, int]) -> None:$/;" m class:Sequential +__delitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __delitem__(self, key: str) -> None:$/;" m class:ModuleDict +__delitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __delitem__(self, key: str) -> None:$/;" m class:ParameterDict +__delitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __delitem__(self, key):$/;" m class:HTTPHeaderDict +__delitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __delitem__(self, key):$/;" m class:RecentlyUsedContainer +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, idx):$/;" m class:HeaderSet +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, key):$/;" m class:ImmutableDictMixin +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, key):$/;" m class:ImmutableListMixin +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, key):$/;" m class:OrderedMultiDict +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, key, **kwargs):$/;" m class:ImmutableHeadersMixin +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __delitem__(self, key, _index_operation=True):$/;" m class:Headers +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __delitem__ = calls_update("__delitem__")$/;" v class:UpdateDictMixin file: +__delitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __delitem__(self, key):$/;" m class:LocalProxy +__delitem__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __delitem__(self, key):$/;" m class:ObjectProxy +__delslice__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delslice__(self, start, stop):$/;" m class:RepeatedCompositeFieldContainer +__delslice__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __delslice__(self, start, stop):$/;" m class:RepeatedScalarFieldContainer +__delslice__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __delslice__(self, i, j):$/;" m class:ListWrapper +__delslice__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __delslice__(self, i, j):$/;" m class:LocalProxy +__delslice__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __delslice__(self, i, j):$/;" m class:ObjectProxy +__del__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __del__(self):$/;" m class:Workspace +__del__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __del__(self) -> None:$/;" m class:Call +__del__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __del__(self):$/;" m class:InterceptedCall +__del__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ def __del__(self):$/;" m class:Server +__del__ adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def __del__(self):$/;" m class:_ChannelReadyFuture +__del__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __del__(self):$/;" m class:Channel +__del__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __del__(self):$/;" m class:_ChannelCallState +__del__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __del__(self):$/;" m class:_Rendezvous +__del__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __del__(self):$/;" m class:_Server +__del__ adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def __del__(self):$/;" m class:_ChannelReadyFuture +__del__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __del__(self):$/;" m class:TimerQT +__del__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __del__(self):$/;" m class:TimerBase +__del__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def __del__(self):$/;" m class:_Converter +__del__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def __del__(self):$/;" m class:_SVGConverter +__del__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __del__(self):$/;" m class:TestRegression.test_object_array_refcount_self_assign.VictimObject +__del__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __del__(self):$/;" m class:NpzFile +__del__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __del__(self):$/;" m class:DataSource +__del__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __del__(self):$/;" m class:Repository +__del__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __del__(self):$/;" m class:TestAssertNoGcCycles.test_fails.ReferenceCycleInDel +__del__ adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def __del__(self):$/;" m class:RandomOptimizer +__del__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __del__(self):$/;" m class:BitmapImage +__del__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __del__(self):$/;" m class:PhotoImage +__del__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __del__(self):$/;" m class:_Stream +__del__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ __del__ = close$/;" v class:netcdf_file file: +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __del__(self):$/;" m class:Delegate +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __del__(self):$/;" m class:Interpreter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __del__(self):$/;" m class:BaseSession._Callable +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __del__(self):$/;" m class:BaseSession +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __del__(self):$/;" m class:DispatchServer +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __del__(self):$/;" m class:WorkerServer +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __del__(self):$/;" m class:IteratorResourceDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __del__(self):$/;" m class:MultiDeviceIteratorResourceDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __del__(self):$/;" m class:BaseDebugWrapperSession +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __del__(self):$/;" m class:CollectiveAllReduceExtended +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __del__(self):$/;" m class:MultiProcessPoolRunner +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def __del__(self):$/;" m class:GradientTape +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ def __del__(self):$/;" m class:CancellationManager +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __del__(self):$/;" m class:_TensorCacheDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __del__(self):$/;" m class:FunctionDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def __del__(self):$/;" m class:Executor +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __del__(self):$/;" m class:ConcreteFunctionGarbageCollector +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __del__(self):$/;" m class:_EagerDefinedFunctionDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __del__(self):$/;" m class:_FunctionGarbageCollector +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __del__(self):$/;" m class:Buckets +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __del__(self):$/;" m class:Metric +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ApiDefMap +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFBuffer +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFFunction +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFGraph +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFImportGraphDefOptions +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFImportGraphDefResults +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __del__(self):$/;" m class:ScopedTFStatus +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __del__(self):$/;" m class:_DefinedFunctionDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def __del__(self):$/;" m class:Cluster +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __del__(self):$/;" m class:SequenceEnqueuer +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __del__(self):$/;" m class:EagerResourceDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def __del__(self):$/;" m class:TensorHandle +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def __del__(self):$/;" m class:StubOutForTesting +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def __del__(self):$/;" m class:Profiler +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __del__(self):$/;" m class:Server +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __del__(self):$/;" m class:CapturableResourceDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __del__(self):$/;" m class:_CheckpointRestoreCoordinatorDeleter +__del__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def __del__(self):$/;" m class:_TFShouldUseHelper +__del__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def __del__(self):$/;" m class:StorageWeakRef +__del__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __del__(self):$/;" m class:_MultiProcessingDataLoaderIter +__del__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __del__(self):$/;" m class:GuardedIterator +__del__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __del__(self):$/;" m class:EnvironBuilder +__deprecated_private_func adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^def __deprecated_private_func(f):$/;" f +__deprecated__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^__deprecated__ = {$/;" v +__deprecated__ adpepsenv/lib/python3.8/site-packages/markdown/__init__.py /^__deprecated__ = {$/;" v +__deprecate_private_class adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^def __deprecate_private_class(c):$/;" f +__description__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__description__ = 'Python HTTP for Humans.'$/;" v +__description__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__description__ = 'Python HTTP for Humans.'$/;" v +__diag__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__diag__ = SimpleNamespace()$/;" v +__diag__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__diag__ = SimpleNamespace()$/;" v +__dict__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __dict__(self):$/;" m class:LocalProxy +__dict__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __dict__(self):$/;" m class:_ObjectProxyMethods +__dir__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __dir__(self):$/;" m class:FlagValues +__dir__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __dir__(self):$/;" m class:BlobReference +__dir__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __dir__(self):$/;" m class:Net +__dir__ adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def __dir__(self):$/;" m class:ModelHelper +__dir__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def __dir__(self):$/;" m class:Pep562 +__dir__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __dir__(self):$/;" m class:BagObj +__dir__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ def __dir__():$/;" f +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __dir__(self):$/;" m class:Distribution +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseBaseException +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseResults +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseBaseException +__dir__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseResults +__dir__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __dir__(self):$/;" m class:Distribution +__dir__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __dir__(self):$/;" m class:ParseBaseException +__dir__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __dir__(self):$/;" m class:ParseResults +__dir__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __dir__(self):$/;" m class:OptimizeResult +__dir__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __dir__(self):$/;" m class:DeprecatedImport +__dir__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseBaseException +__dir__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __dir__(self):$/;" m class:ParseResults +__dir__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __dir__(self):$/;" m class:_FlagValuesWrapper +__dir__ adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def __dir__(self):$/;" m class:lazy_load.wrapper.LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __dir__(self):$/;" m class:LossScaleOptimizer +__dir__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def __dir__(self):$/;" m class:OptimizerV2 +__dir__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __dir__(self):$/;" m class:_FlagValuesWrapper +__dir__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^ def __dir__(self):$/;" m class:LazyLoader +__dir__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __dir__(self):$/;" m class:TFModuleWrapper +__dir__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __dir__(self):$/;" m class:RecursiveScriptModule +__dir__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __dir__(self):$/;" m class:ModuleList +__dir__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __dir__(self):$/;" m class:ParameterList +__dir__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __dir__(self):$/;" m class:Sequential +__dir__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __dir__(self):$/;" m class:Module +__dir__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __dir__(self):$/;" m class:Tensor +__dir__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __dir__(self):$/;" m class:Module_six_moves_urllib +__dir__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __dir__(self):$/;" m class:_LazyModule +__dir__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __dir__(self):$/;" m class:ThreadedStream +__dir__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __dir__(self):$/;" m class:LocalProxy +__dir__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __dir__(self):$/;" m class:ObjectProxy +__dispatcher adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __dispatcher(self, action, *args):$/;" m class:Window file: +__divmod__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __divmod__(self, other): return self.aval._divmod(self, other)$/;" m class:Tracer +__divmod__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __divmod__(self, divisor: 'Size') -> Tuple['Poly', int]:$/;" m class:Poly +__divmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __divmod__ = _binary_method(um.divmod, 'divmod')$/;" v class:NDArrayOperatorsMixin file: +__divmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __divmod__(self, other):$/;" m class:container +__divmod__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __divmod__(self, other):$/;" m class:ABCPolyBase +__divmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __divmod__(self, value):$/;" m class:Integer +__divmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __divmod__(self, value):$/;" m class:Real +__divmod__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __divmod__ = lambda x, o: x._get_current_object().__divmod__(o)$/;" m class:LocalProxy +__divmod__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __divmod__(self, other):$/;" m class:ObjectProxy +__div__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __div__(self, other):$/;" m class:relativedelta +__div__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __div__(self, other): return self.aval._div(self, other)$/;" m class:Tracer +__div__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __div__(self, x):$/;" m class:PhysicalQuantity +__div__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __div__(self, other):$/;" m class:TestBinop.test_pow_array_object_dtype.SomeClass +__div__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __div__ = __rdiv__ = _all$/;" v class:TestArrayPriority.Other file: +__div__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __div__(self, other):$/;" m class:poly1d +__div__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __div__(self, other):$/;" m class:container +__div__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __div__(self, other):$/;" m class:MaskedArray +__div__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __div__(self, value):$/;" m class:Integer +__div__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __div__(self, value):$/;" m class:Real +__div__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __div__(self, other):$/;" m class:spmatrix +__div__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __div__(self, other):$/;" m class:Dimension +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __div__(self, o):$/;" m class:AggregatingVariable +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __div__(self, o):$/;" m class:DistributedDelegate +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __div__(self, other):$/;" m class:Dimension +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __div__(self, o):$/;" m class:AutoCastVariable +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def __div__(self, y):$/;" m class:DivideDelegateWithName +__div__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __div__ = _overloaded_operator("__div__")$/;" v class:RaggedTensor file: +__div__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __div__ = lambda x, o: x._get_current_object().__div__(o)$/;" m class:LocalProxy +__div__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __div__(self, other):$/;" m class:ObjectProxy +__docformat__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^__docformat__ = 'restructuredtext'$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/vq.py /^__docformat__ = 'restructuredtext'$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/slsqp.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_linprog.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/construct.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csgraph/__init__.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/extract.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^__docformat__ = "restructuredtext en"$/;" v +__docformat__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/utils.py /^__docformat__ = "restructuredtext en"$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^ __doc__ = c.__doc__$/;" v class:__deprecate_private_class.private_class file: +__doc__ adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^ __doc__ = np.finfo.__doc__$/;" v class:finfo file: +__doc__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/develop.py /^ __doc__ = old_develop.__doc__$/;" v class:develop file: +__doc__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^__doc__ = """This module generates a DEF file from the symbols in$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/numpy/doc/constants.py /^ __doc__ = __doc__ % dict(constant_list=constants_str)$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/numpy/doc/__init__.py /^__doc__ = """\\$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __doc__ = None$/;" v class:_convert2ma file: +__doc__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__doc__ = \\$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^__doc__ = \\$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:BMPString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:GeneralString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:GraphicString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:IA5String file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:NumericString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:PrintableString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:TeletexString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:UniversalString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:UTF8String file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:VideotexString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = AbstractCharacterString.__doc__$/;" v class:VisibleString file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = TeletexString.__doc__$/;" v class:T61String file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ __doc__ = VisibleString.__doc__$/;" v class:ISO646String file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ __doc__ = NamedType.__doc__$/;" v class:DefaultedNamedType file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ __doc__ = NamedType.__doc__$/;" v class:OptionalNamedType file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __doc__ = SequenceAndSetBase.__doc__$/;" v class:Sequence file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __doc__ = SequenceAndSetBase.__doc__$/;" v class:Set file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __doc__ = SequenceOfAndSetOfBase.__doc__$/;" v class:SequenceOf file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __doc__ = SequenceOfAndSetOfBase.__doc__$/;" v class:SetOf file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ __doc__ = char.GraphicString.__doc__$/;" v class:ObjectDescriptor file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ __doc__ = char.VisibleString.__doc__$/;" v class:GeneralizedTime file: +__doc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ __doc__ = char.VisibleString.__doc__$/;" v class:UTCTime file: +__doc__ adpepsenv/lib/python3.8/site-packages/pylab.py /^__doc__ = matplotlib.pylab.__doc__$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__doc__ = \\$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/scipy/constants/__init__.py /^ __doc__ = __doc__ % dict(constant_names=_constant_names)$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^__doc__ = \\$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ __doc__ = _Command.__doc__$/;" v class:Command file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ __doc__ = CentralStorageStrategy.__doc__$/;" v class:CentralStorageStrategyV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ __doc__ = CollectiveAllReduceStrategy.__doc__$/;" v class:CollectiveAllReduceStrategyV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ __doc__ = CollectiveAllReduceStrategy.__doc__$/;" v class:_CollectiveAllReduceStrategyExperimental file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __doc__ = ReplicaContextBase.__doc__$/;" v class:ReplicaContext file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __doc__ = ReplicaContextBase.__doc__$/;" v class:ReplicaContextV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __doc__ = StrategyBase.__doc__$/;" v class:Strategy file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __doc__ = StrategyExtendedV2.__doc__$/;" v class:StrategyExtendedV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ __doc__ = MirroredStrategy.__doc__$/;" v class:MirroredStrategyV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ __doc__ = OneDeviceStrategy.__doc__.replace($/;" v class:OneDeviceStrategyV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ __doc__ = DeviceSpecV2.__doc__$/;" v class:DeviceSpecV1 file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ __doc__ = replace_in_base_docstring([("""$/;" v class:BatchNormalization file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^ __doc__ = normalization.replace_in_base_docstring([$/;" v class:BatchNormalization file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ __doc__ = _SavedModelBuilder.__doc__.replace("assets_list",$/;" v class:SavedModelBuilder file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ __doc__ = decorator_utils.add_notice_to_docstring($/;" v class:deprecated_alias._NewClass file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ __doc__ = BaselineClassifierV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:BaselineClassifier file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ __doc__ = BaselineEstimatorV2.__doc__$/;" v class:BaselineEstimator file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ __doc__ = BaselineRegressorV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:BaselineRegressor file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ __doc__ = DNNClassifierV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:DNNClassifier file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ __doc__ = DNNEstimatorV2.__doc__$/;" v class:DNNEstimator file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ __doc__ = DNNRegressorV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:DNNRegressor file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ __doc__ = DNNLinearCombinedClassifierV2.__doc__.replace($/;" v class:DNNLinearCombinedClassifier file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ __doc__ = DNNLinearCombinedEstimatorV2.__doc__$/;" v class:DNNLinearCombinedEstimator file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ __doc__ = DNNLinearCombinedRegressorV2.__doc__.replace($/;" v class:DNNLinearCombinedRegressor file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ __doc__ = LinearClassifierV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:LinearClassifier file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ __doc__ = LinearEstimatorV2.__doc__$/;" v class:LinearEstimator file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ __doc__ = LinearRegressorV2.__doc__.replace('SUM_OVER_BATCH_SIZE', 'SUM')$/;" v class:LinearRegressor file: +__doc__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ __doc__ = Estimator.__doc__$/;" v class:EstimatorV2 file: +__doc__ adpepsenv/lib/python3.8/site-packages/torch/jit/supported_ops.py /^__doc__ = _list_supported_ops()$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/torch/jit/unsupported_tensor_ops.py /^__doc__ = _list_unsupported_tensor_ops()$/;" v +__doc__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __doc__(self):$/;" m class:_ObjectProxyMethods +__doc__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __doc__(self, value):$/;" m class:_ObjectProxyMethods +__dtype_from_pep3118 adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^def __dtype_from_pep3118(stream, is_subdtype):$/;" f +__editable_msg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __editable_msg = textwrap.dedent("""$/;" v class:easy_install file: +__email__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/__init__.py /^__email__ = "eric@ionrock.org"$/;" v +__email__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__email__ = "donald@stufft.io"$/;" v +__email__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__email__ = "donald@stufft.io"$/;" v +__email__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__email__ = "donald@stufft.io"$/;" v +__enter__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __enter__(self):$/;" m class:TestCase._AssertRaisesContext +__enter__ adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^ def __enter__(self):$/;" m class:_FlagOverrider +__enter__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __enter__(self):$/;" m class:_Timer +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def __enter__(self):$/;" m class:TemporaryDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^ def __enter__(self):$/;" m class:TemporaryDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __enter__(self):$/;" m class:Job +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def __enter__(self):$/;" f +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def __enter__(self):$/;" m class:TemporaryDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def __enter__(self):$/;" m class:Tags +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def __enter__(self):$/;" m class:TemporaryDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def __enter__(self):$/;" m class:Seq2SeqModelCaffe2 +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def __enter__(self):$/;" m class:UseModifierBase +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __enter__(self):$/;" m class:_Loop +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __enter__(self):$/;" m class:_RunIf +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __enter__(self):$/;" m class:_StopGuard +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __enter__(self):$/;" m class:_WorkspaceCtx +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def __enter__(self):$/;" m class:Session +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __enter__(self):$/;" m class:Task +__enter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/trt/test_pt_onnx_trt.py /^ def __enter__(self):$/;" m class:Test_PT_ONNX_TRT +__enter__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __enter__(self):$/;" m class:_nullcontext +__enter__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __enter__(self):$/;" m class:TimeoutGuard +__enter__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __enter__(self):$/;" m class:AuthorizedHttp +__enter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __enter__(self):$/;" m class:_Lock +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __enter__(self):$/;" m class:_DynamicStub +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __enter__(self):$/;" m class:_GenericStub +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __enter__(self):$/;" m class:_Server +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def __enter__(self):$/;" m class:_LoggingPool +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __enter__(self):$/;" m class:Channel +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __enter__(self):$/;" m class:_Channel +__enter__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __enter__(self):$/;" m class:Channel +__enter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __enter__(self):$/;" m class:CollectiveContext +__enter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __enter__(self):$/;" m class:AstypeContext +__enter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def __enter__(self):$/;" m class:File +__enter__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __enter__(self):$/;" m class:Scope +__enter__ adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def __enter__(self):$/;" m class:recursionlimit +__enter__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __enter__(self):$/;" m class:PdfPages +__enter__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __enter__(self):$/;" m class:PdfPages +__enter__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __enter__(self):$/;" m class:Dvi +__enter__ adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ def __enter__(self):$/;" m class:_xkcd +__enter__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def __enter__(self):$/;" m class:MPICommExecutor +__enter__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __enter__(self):$/;" m class:Executor +__enter__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __enter__(self):$/;" m class:_AcquireFutures +__enter__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __enter__(self):$/;" m class:SharedPoolCtx +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def __enter__(self):$/;" m class:contextlib_nullcontext +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^ def __enter__(self):$/;" m class:CommaDecimalPointLocale +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^ def __enter__(self):$/;" m class:errstate +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __enter__(self):$/;" m class:emulate_nonposix +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __enter__(self):$/;" m class:redirect_stderr +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __enter__(self):$/;" m class:redirect_stdout +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __enter__(self):$/;" m class:NpzFile +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __enter__(self):$/;" m class:clear_and_catch_warnings +__enter__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __enter__(self):$/;" m class:suppress_warnings +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __enter__(self):$/;" m class:Image +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def __enter__(self):$/;" m class:Parser +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __enter__(self):$/;" m class:PdfParser +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __enter__(self):$/;" m class:ChunkStream +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/TarIO.py /^ def __enter__(self):$/;" m class:TarIO +__enter__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __enter__(self):$/;" m class:AppendingTiffWriter +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __enter__(self):$/;" m class:BuildEnvironment +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __enter__(self):$/;" m class:NoOpBuildEnvironment +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def __enter__(self):$/;" m class:LazyZipOverHTTP +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def __enter__(self):$/;" m class:RequirementTracker +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __enter__(self):$/;" m class:TempDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def __enter__(self, *args, **kwargs):$/;" m class:StreamWrapper +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:AbstractContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:closing +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:ExitStack +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:nullcontext +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:suppress +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:_GeneratorContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __enter__(self):$/;" m class:_RedirectStream +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __enter__(self):$/;" m class:ZipExtFile +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __enter__(self):$/;" m class:ZipFile +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __enter__(self):$/;" m class:CSVBase +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __enter__(self):$/;" m class:TarFile +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^ def __enter__(self):$/;" m class:BuildEnvironment +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def __enter__(self):$/;" m class:Infinite +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __enter__(self):$/;" m class:pyparsing_test.reset_pyparsing_context +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __enter__(self):$/;" m class:Response +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def __enter__(self):$/;" m class:Session +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __enter__(self):$/;" m class:ConnectionPool +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def __enter__(self):$/;" m class:AppEngineManager +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def __enter__(self):$/;" m class:PoolManager +__enter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __enter__(self):$/;" m class:RLock +__enter__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __enter__(self):$/;" m class:pyparsing_test.reset_pyparsing_context +__enter__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __enter__(self):$/;" m class:Response +__enter__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def __enter__(self):$/;" m class:Session +__enter__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __enter__(self):$/;" m class:netcdf_file +__enter__ adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def __enter__(self):$/;" m class:FortranFile +__enter__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __enter__(self):$/;" m class:DifferentialEvolutionSolver +__enter__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^ def __enter__(self):$/;" m class:ReentrancyLock +__enter__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __enter__(self):$/;" m class:MapWrapper +__enter__ adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^ def __enter__(self):$/;" m class:TemporaryDirectory +__enter__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __enter__(self):$/;" m class:AbstractSandbox +__enter__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __enter__(self):$/;" m class:ExceptionSaver +__enter__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __enter__(self):$/;" m class:raise_exception_on_not_ok_status +__enter__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __enter__(self):$/;" m class:GFile +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __enter__(self):$/;" m class:ControlStatusCtx +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __enter__(self):$/;" m class:NullCtx +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def __enter__(self):$/;" m class:FunctionScope +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __enter__(self):$/;" m class:_StateStack +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __enter__(self):$/;" m class:Session +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __enter__(self):$/;" m class:DebugDataReader +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __enter__(self):$/;" m class:DebugEventsReader +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def __enter__(self):$/;" m class:GradientsDebugger +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __enter__(self):$/;" m class:BaseDebugWrapperSession +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def __enter__(self):$/;" m class:TPUClusterResolver +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def __enter__(self):$/;" m class:_WorkerContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __enter__(self):$/;" m class:ReplicaContextBase +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __enter__(self):$/;" m class:UpdateContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __enter__(self):$/;" m class:_CurrentDistributionContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __enter__(self):$/;" m class:_DefaultDistributionContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __enter__(self):$/;" m class:DummySession +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def __enter__(self):$/;" m class:ParallelDevice +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def __enter__(self):$/;" m class:GradientTape +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __enter__(self):$/;" m class:_EagerDeviceContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def __enter__(self):$/;" m class:ForwardAccumulator +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __enter__(self):$/;" m class:_InterpolateFunctionError +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __enter__(self):$/;" m class:MonitoredTimer +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^ def __enter__(self):$/;" m class:Profiler +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def __enter__(self):$/;" m class:VariableWatcher +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def __enter__(self):$/;" m class:AutomaticControlDependencies +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __enter__(self):$/;" m class:raise_exception_on_not_ok_status +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def __enter__(self):$/;" m class:MemoryChecker +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __enter__(self):$/;" m class:Graph._ControlDependenciesController +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __enter__(self):$/;" m class:internal_name_scope_v1 +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __enter__(self):$/;" m class:name_scope_v1 +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __enter__(self):$/;" m class:name_scope_v2 +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __enter__(self):$/;" m class:NullContextmanager +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __enter__(self):$/;" m class:AbstractGradientTape +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^ def __enter__(self):$/;" m class:DummyContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def __enter__(self):$/;" m class:MaybeDistributionScope +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def __enter__(self):$/;" m class:CallContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^ def __enter__(self):$/;" m class:RespectCompiledTrainableState +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __enter__(self):$/;" m class:enable_auto_cast_variables +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __enter__(self):$/;" m class:CustomObjectScope +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def __enter__(self):$/;" m class:FileIO +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __enter__(self):$/;" m class:variable_scope +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __enter__(self):$/;" m class:_pure_variable_scope +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def __enter__(self):$/;" m class:StubOutForTesting +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^ def __enter__(self):$/;" m class:Profile +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def __enter__(self):$/;" m class:Trace +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def __enter__(self):$/;" m class:FileWriter +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def __enter__(self):$/;" m class:Client +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __enter__(self):$/;" m class:WatchdogManager +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __enter__(self):$/;" m class:OpenReportFile +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __enter__(self):$/;" m class:_MonitoredSession +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def __enter__(self):$/;" m class:GroupLock._Context +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def __enter__(self):$/;" m class:StackTraceTransform +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __enter__(self):$/;" m class:_TPUEstimatorReplicaContext +__enter__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __enter__(self):$/;" m class:_CapturingContext +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __enter__(self) -> None:$/;" m class:detect_anomaly +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __enter__(self) -> None:$/;" m class:set_detect_anomaly +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __enter__(self) -> None:$/;" m class:enable_grad +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __enter__(self) -> None:$/;" m class:set_grad_enabled +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __enter__(self) -> None:$/;" m class:_DecoratorContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __enter__(self):$/;" m class:no_grad +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __enter__(self):$/;" m class:ContextDecorator +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __enter__(self):$/;" m class:emit_nvtx +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __enter__(self):$/;" m class:profile +__enter__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __enter__(self):$/;" m class:record_function +__enter__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^ def __enter__(self):$/;" m class:autocast +__enter__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def __enter__(self):$/;" m class:device +__enter__ adpepsenv/lib/python3.8/site-packages/torch/distributed/autograd/__init__.py /^ def __enter__(self):$/;" m class:context +__enter__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/server_process_global_profiler.py /^ def __enter__(self):$/;" m class:_server_process_global_profile +__enter__ adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ def __enter__(self):$/;" m class:tqdm +__enter__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __enter__(self):$/;" m class:CosineAnnealingWarmRestarts.step._enable_get_lr_call +__enter__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __enter__(self):$/;" m class:_LRScheduler.step._enable_get_lr_call +__enter__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __enter__(self):$/;" m class:_opener +__enter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __enter__(self):$/;" m class:BytesIOContext +__enter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __enter__(self):$/;" m class:CudaMemoryLeakCheck +__enter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __enter__(self):$/;" m class:CudaNonDefaultStream +__enter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def __enter__(self):$/;" m class:JitTestCase.capture_stdout +__enter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def __enter__(self):$/;" m class:_AssertRaisesRegexWithHighlightContext +__enter__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __enter__(self):$/;" m class:GeneratedFileCleaner +__enter__ adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def __enter__(self) -> 'RemovableHandle':$/;" m class:RemovableHandle +__enter__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def __enter__(self):$/;" m class:SummaryWriter +__enter__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __enter__(self):$/;" f function:_disable_emit_hooks_decorator file: +__enter__ adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def __enter__(self):$/;" m class:PackageExporter +__enter__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __enter__(self):$/;" m class:ContextManager +__enter__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __enter__(self):$/;" m class:ConnectionPool +__enter__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def __enter__(self):$/;" m class:AppEngineManager +__enter__ adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def __enter__(self):$/;" m class:PoolManager +__enter__ adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def __enter__(self):$/;" m class:SSLTransport +__enter__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __enter__(self):$/;" m class:RLock +__enter__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __enter__ = lambda x: x._get_current_object().__enter__()$/;" m class:LocalProxy +__enter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def __enter__(self):$/;" m class:BaseRequest +__enter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def __enter__(self):$/;" m class:BaseResponse +__enter__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __enter__(self):$/;" m class:synchronized._PartialDecorator +__enter__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __enter__(self):$/;" m class:synchronized._FinalDecorator +__enter__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __enter__(self):$/;" m class:ObjectProxy +__eq__ adpeps/utils/tlist.py /^ def __eq__(self, other):$/;" m class:TList +__eq__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __eq__(self, other):$/;" m class:Flag +__eq__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __eq__(self, other):$/;" m class:FlagHolder +__eq__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __eq__(self, other):$/;" m class:BlobReference +__eq__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __eq__(self, other):$/;" m class:RemapEntry +__eq__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __eq__(self, other):$/;" m class:Field +__eq__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __eq__(self, other):$/;" m class:Cycler +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __eq__(self, other):$/;" m class:relativedelta +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __eq__(self, other):$/;" m class:rruleset._genitem +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:tzfile +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:tzlocal +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:tzoffset +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:tzrange +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:tzutc +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __eq__(self, other):$/;" m class:_ttinfo +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __eq__(self, other):$/;" m class:tzwinbase +__eq__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __eq__(self, other):$/;" m class:weekday +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __eq__(self, other):$/;" m class:Mapping +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __eq__(self, other):$/;" m class:RepeatedCompositeFieldContainer +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __eq__(self, other):$/;" m class:RepeatedScalarFieldContainer +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __eq__(self, other):$/;" m class:UnknownFieldSet +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __eq__(self, other):$/;" m class:_UnknownField +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __eq__(self, other):$/;" m class:_ExtensionDict +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __eq__(self, other):$/;" f function:_AddEqualsMethod file: +__eq__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __eq__(self, other_msg):$/;" m class:Message +__eq__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __eq__(self, other: Any) -> bool:$/;" m class:Metadata +__eq__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __eq__(self, other):$/;" m class:Empty +__eq__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __eq__(self, other):$/;" m class:HLObject +__eq__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __eq__(self, other):$/;" m class:DimensionProxy +__eq__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __eq__(self, other):$/;" m class:ShapeDtypeStruct +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other): return self.aval._eq(self, other)$/;" m class:Tracer +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other):$/;" m class:ConcreteArray +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other):$/;" m class:ShapedArray +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other):$/;" m class:UnshapedArray +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other):$/;" m class:_IgnoreElemList +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other):$/;" m class:_TempAxisName +__eq__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __eq__(self, other: object) -> bool:$/;" m class:MainTrace +__eq__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __eq__(self, other):$/;" m class:_DoubleDouble +__eq__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __eq__(self, other):$/;" m class:FrozenDict +__eq__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __eq__(self, other):$/;" m class:ResourceEnv +__eq__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __eq__(self, other):$/;" m class:_UniqueResourceName +__eq__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __eq__(self, other):$/;" m class:Poly +__eq__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __eq__(self, other):$/;" m class:Chunked +__eq__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __eq__(self, other):$/;" m class:NoSharding +__eq__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __eq__(self, other):$/;" m class:ShardingSpec +__eq__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __eq__(self, other):$/;" m class:WrappedFun +__eq__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __eq__(self, other):$/;" m class:_ScalarMeta +__eq__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __eq__(self, other):$/;" m class:Hashable +__eq__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __eq__(self, other):$/;" m class:HashableFunction +__eq__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __eq__(self, other):$/;" m class:WrapHashably +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __eq__(self, other):$/;" m class:Name +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __eq__(self, other):$/;" m class:_StrongRef +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __eq__(self, other):$/;" m class:DviFont +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __eq__(self, other):$/;" m class:FontProperties +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __eq__(self, other):$/;" m class:SubplotSpec +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __eq__(self, rhs):$/;" m class:Duration +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __eq__(self, rhs):$/;" m class:Epoch +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __eq__(self, rhs):$/;" m class:UnitDbl +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __eq__(self, other):$/;" m class:AffineBase +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __eq__(self, other):$/;" m class:CompositeGenericTransform +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __eq__(self, other):$/;" m class:TransformWrapper +__eq__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __eq__(self, other):$/;" m class:_BlendedMixin +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __eq__(self, other):$/;" m class:chararray +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __eq__(self, other):$/;" m class:TestBinop.test_pow_array_object_dtype.SomeClass +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __eq__ = __ne__ = __lt__ = __gt__ = __ge__ = __le__ = raises_anything$/;" v class:TestMethods.test_sort_raises.Raiser file: +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __eq__(self, other):$/;" m class:test_equal_override.MyAlwaysEqual +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __eq__ = _all$/;" v class:TestArrayPriority.Other file: +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __eq__(self, other):$/;" m class:TestRegression.test_richcompare_scalar_and_subclass.Foo +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __eq__(self, other):$/;" m class:TestUfunc.test_object_comparison.HasComparisons +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __eq__(self, other):$/;" m class:TestComparisons.test_ignore_object_identity_in_equal.FunkyType +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __eq__(self, other):$/;" m class:dummy_ctype +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __eq__ = _binary_method(um.equal, 'eq')$/;" v class:NDArrayOperatorsMixin file: +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __eq__(self, other):$/;" m class:poly1d +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __eq__(self, other):$/;" m class:container +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __eq__(self, other):$/;" m class:NumpyVersion +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __eq__(self, other):$/;" m class:MaskedArray +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __eq__(self, other):$/;" m class:ABCPolyBase +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __eq__(self, other):$/;" m class:TestAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __eq__(self, other):$/;" m class:TestArrayAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +__eq__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __eq__(self, other):$/;" m class:TestArrayEqual.test_subclass_that_overrides_eq.MyArray +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __eq__(self, other):$/;" m class:Image +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __eq__(self, other):$/;" m class:_Operand +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __eq__(self, other):$/;" m class:IndirectReference +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __eq__(self, other):$/;" m class:PdfName +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __eq__(self, other):$/;" m class:IFDRational +__eq__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __eq__(self, other):$/;" m class:_Deprecated_Version +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __eq__(self, other):$/;" m class:CacheablePageContent +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def __eq__(self, other):$/;" m class:FormatControl +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __eq__(self, other):$/;" m class:AlreadyInstalledCandidate +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __eq__(self, other):$/;" m class:ExtrasCandidate +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __eq__(self, other):$/;" m class:_InstallRequirementBackedCandidate +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __eq__(self, other):$/;" m class:HiddenText +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __eq__(self, other):$/;" m class:KeyBasedCompareMixin +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __eq__(self, other):$/;" m class:OrderedDict +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __eq__(self, other):$/;" m class:Distribution +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __eq__(self, other):$/;" m class:EggInfoDistribution +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __eq__(self, other):$/;" m class:InstalledDistribution +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __eq__(self, other):$/;" m class:ExportEntry +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __eq__(self, other):$/;" m class:Matcher +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __eq__(self, other):$/;" m class:Version +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __eq__(self, other):$/;" m class:IPv4Interface +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __eq__(self, other):$/;" m class:IPv6Interface +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __eq__(self, other):$/;" m class:_BaseAddress +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __eq__(self, other):$/;" m class:_BaseNetwork +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __eq__(self, other):$/;" m class:_TotalOrderingMixin +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __eq__(self, other):$/;" m class:Timestamp +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:BaseSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:SpecifierSet +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:_IndividualSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __eq__(self, other):$/;" m class:Tag +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __eq__(self, other):$/;" m class:_BaseVersion +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:InfinityType +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:NegativeInfinityType +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __eq__(self, other):$/;" m class:Distribution +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __eq__(self, other):$/;" m class:Requirement +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __eq__(self, other):$/;" m class:ParserElement +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __eq__(self, other):$/;" m class:HTTPBasicAuth +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __eq__(self, other):$/;" m class:HTTPDigestAuth +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __eq__(self, other):$/;" m class:CaseInsensitiveDict +__eq__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __eq__(self, other):$/;" m class:HTTPHeaderDict +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:BaseSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:SpecifierSet +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:_IndividualSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def __eq__(self, other):$/;" m class:Tag +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __eq__(self, other):$/;" m class:_BaseVersion +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:Infinity +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:NegativeInfinity +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __eq__(self,other):$/;" m class:ParserElement +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __eq__(self, other):$/;" m class:Distribution +__eq__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __eq__(self, other):$/;" m class:Requirement +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __eq__(self, other):$/;" m class:ConstructedAsn1Type +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __eq__(self, other):$/;" m class:SimpleAsn1Type +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __eq__(self, other):$/;" m class:AbstractConstraint +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __eq__(self, other):$/;" m class:NamedType +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __eq__(self, other):$/;" m class:NamedTypes +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __eq__(self, other):$/;" m class:NamedValues +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __eq__(self, other):$/;" m class:Tag +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __eq__(self, other):$/;" m class:TagSet +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __eq__(self, other):$/;" m class:BitString +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __eq__(self, other):$/;" m class:Choice +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __eq__(self, value):$/;" m class:Integer +__eq__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __eq__(self, value):$/;" m class:Real +__eq__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __eq__(self, other):$/;" m class:ParserElement +__eq__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __eq__(self, other):$/;" m class:HTTPBasicAuth +__eq__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __eq__(self, other):$/;" m class:HTTPDigestAuth +__eq__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __eq__(self, other):$/;" m class:CaseInsensitiveDict +__eq__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __eq__(self, other: typing.Any) -> bool:$/;" m class:PrivateKey +__eq__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __eq__(self, other: typing.Any) -> bool:$/;" m class:PublicKey +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def __eq__(self, node):$/;" m class:ClusterNode +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __eq__(self, other):$/;" m class:spmatrix +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __eq__(self, other):$/;" m class:_cs_matrix +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __eq__(self, other):$/;" m class:KDTree.node +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def __eq__(self, other):$/;" m class:TestMode.test_objects.Point +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^ def __eq__(self, other):$/;" m class:_DeprecationHelperStr +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __eq__(self, other):$/;" m class:Infinity +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __eq__(self, other):$/;" m class:NegativeInfinity +__eq__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __eq__(self, other):$/;" m class:_BaseVersion +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __eq__(self, other):$/;" m class:Version +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __eq__(self, other):$/;" m class:OrderedSet +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:BaseSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:SpecifierSet +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __eq__(self, other):$/;" m class:_IndividualSpecifier +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def __eq__(self, other):$/;" m class:Tag +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __eq__(self, other):$/;" m class:_BaseVersion +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:Infinity +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __eq__(self, other):$/;" m class:NegativeInfinity +__eq__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __eq__(self,other):$/;" m class:ParserElement +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __eq__(self, other):$/;" m class:DType +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __eq__(self, other):$/;" m class:Dimension +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __eq__(self, other):$/;" m class:TensorShape +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:BlobReference +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:BlobSequenceDatum +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:BlobSequenceTimeSeries +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:Run +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:ScalarDatum +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:ScalarTimeSeries +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:TensorDatum +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __eq__(self, other):$/;" m class:TensorTimeSeries +__eq__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __eq__(self, other):$/;" m class:FrontendMetadata +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __eq__(self, other):$/;" m class:ConversionOptions +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __eq__(self, other):$/;" m class:QN +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __eq__(self, other):$/;" m class:_NodeState +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __eq__(self, other):$/;" m class:_NodeState +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __eq__(self, other):$/;" m class:_SymbolTable +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def __eq__(self, other):$/;" m class:OptionsBase +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^ def __eq__(self, other):$/;" m class:Interval +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __eq__(self, o):$/;" m class:DistributedVarOp +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def __eq__(self, other):$/;" m class:DeviceSpecV2 +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def __eq__(self, other):$/;" m class:DType +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __eq__(self, other):$/;" m class:Dimension +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __eq__(self, other):$/;" m class:TensorShape +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __eq__(self, other):$/;" m class:BoundedTensorSpec +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __eq__(self, other):$/;" m class:DenseSpec +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __eq__(self, other):$/;" m class:ParameterModifier +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __eq__(self, other):$/;" m class:TypeSpec +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __eq__(self, other):$/;" m class:ReparameterizationType +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __eq__(self, other):$/;" m class:Variable +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __eq__(self, other):$/;" m class:VariableAggregationV2 +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def __eq__(self, other):$/;" m class:_SparseMetaData +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __eq__(self, other):$/;" m class:ClusterSpec +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __eq__(self, other):$/;" m class:ListWrapper +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __eq__(self, other):$/;" m class:TrackableDataStructure +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __eq__(self, other):$/;" m class:_DictWrapper +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __eq__(self, other):$/;" m class:_TupleWrapper +__eq__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __eq__(self, other):$/;" m class:_ObjectIdentityWrapper +__eq__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __eq__(self, o):$/;" m class:Stream +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^ def __eq__(self, other):$/;" m class:_Match +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:AbsTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:AffineTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:ComposeTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:ExpTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:LowerCholeskyTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:PowerTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:SigmoidTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:SoftmaxTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:StickBreakingTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:TanhTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:Transform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __eq__(self, other):$/;" m class:_InverseTransform +__eq__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __eq__ = _wrap_type_error_to_not_implemented(_C._TensorBase.eq)$/;" v class:Tensor file: +__eq__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __eq__(self, other):$/;" m class:NodeSpec +__eq__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __eq__(self, other):$/;" m class:Foo +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_AnnotatedAlias +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_ClassVar +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_ClassVarMeta +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_Final +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_FinalMeta +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_Literal +__eq__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __eq__(self, other):$/;" m class:_LiteralMeta +__eq__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __eq__(self, other):$/;" m class:HTTPHeaderDict +__eq__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __eq__(self, other):$/;" m class:EnvironHeaders +__eq__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __eq__(self, other):$/;" m class:Headers +__eq__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __eq__(self, other):$/;" m class:OrderedMultiDict +__eq__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __eq__ = lambda x, o: x._get_current_object() == o$/;" m class:LocalProxy +__eq__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __eq__(self, other):$/;" m class:Rule +__eq__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __eq__(self, other):$/;" m class:Tag +__eq__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __eq__(self, other):$/;" m class:ObjectProxy +__exclude_fixers adpepsenv/lib/python3.8/site-packages/setuptools/lib2to3_ex.py /^ def __exclude_fixers(self):$/;" m class:Mixin2to3 file: +__exit__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __exit__(self, exc_type, exc_value, tb):$/;" m class:TestCase._AssertRaisesContext +__exit__ adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:_FlagOverrider +__exit__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __exit__(self, *exc):$/;" m class:_Timer +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/gloo/gloo_test.py /^ def __exit__(self, type, value, traceback):$/;" m class:TemporaryDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/allcompare_test.py /^ def __exit__(self, type, value, traceback):$/;" m class:TemporaryDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __exit__(self, *args):$/;" m class:Job +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^def __exit__(self, *args):$/;" f +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model_test.py /^ def __exit__(self, type, value, traceback):$/;" m class:TemporaryDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def __exit__(self, type, value, traceback):$/;" m class:Tags +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/lazy_dyndep_test.py /^ def __exit__(self, type, value, traceback):$/;" m class:TemporaryDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:Seq2SeqModelCaffe2 +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def __exit__(self, type, value, traceback):$/;" m class:UseModifierBase +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, etype, *args):$/;" m class:NetBuilder +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, etype, *args):$/;" m class:_ReporterBuilder +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, etype, *args):$/;" m class:_RunOnce +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, etype, *args):$/;" m class:_StopGuard +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, type, *args):$/;" m class:_Loop +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, type, *args):$/;" m class:_RunElseNet +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, type, *args):$/;" m class:_RunIfNet +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, type, *args):$/;" m class:_RunWhileCondition +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __exit__(self, type, *args):$/;" m class:_RunWhileNet +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:_WorkspaceCtx +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def __exit__(self, ex_type, value, traceback):$/;" m class:Session +__exit__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __exit__(self, type, value, traceback):$/;" m class:Task +__exit__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __exit__(*args, **kwargs):$/;" m class:_nullcontext +__exit__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:TimeoutGuard +__exit__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:AuthorizedHttp +__exit__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __exit__(self, exc_type, exc_value, exc_tb):$/;" m class:_Lock +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_DynamicStub +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_GenericStub +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_Server +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_LoggingPool +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Channel +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_Channel +__exit__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Channel +__exit__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __exit__(self, *args):$/;" m class:CollectiveContext +__exit__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __exit__(self, *args):$/;" m class:AstypeContext +__exit__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def __exit__(self, *args):$/;" m class:File +__exit__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Scope +__exit__ adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def __exit__(self, type, value, tb):$/;" m class:recursionlimit +__exit__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:PdfPages +__exit__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:PdfPages +__exit__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __exit__(self, etype, evalue, etrace):$/;" m class:Dvi +__exit__ adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ def __exit__(self, *args):$/;" m class:_xkcd +__exit__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def __exit__(self, *args):$/;" m class:MPICommExecutor +__exit__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __exit__(self, *args):$/;" m class:_AcquireFutures +__exit__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Executor +__exit__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __exit__(self, *args):$/;" m class:SharedPoolCtx +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def __exit__(self, *excinfo):$/;" m class:contextlib_nullcontext +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/_locales.py /^ def __exit__(self, type, value, traceback):$/;" m class:CommaDecimalPointLocale +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^ def __exit__(self, *exc_info):$/;" m class:errstate +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:emulate_nonposix +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:redirect_stderr +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:redirect_stdout +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:NpzFile +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __exit__(self, *exc_info):$/;" m class:clear_and_catch_warnings +__exit__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __exit__(self, *exc_info):$/;" m class:suppress_warnings +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __exit__(self, *args):$/;" m class:Image +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def __exit__(self, *args):$/;" m class:Parser +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:PdfParser +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __exit__(self, *args):$/;" m class:ChunkStream +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/TarIO.py /^ def __exit__(self, *args):$/;" m class:TarIO +__exit__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:AppendingTiffWriter +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __exit__($/;" m class:BuildEnvironment +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __exit__($/;" m class:NoOpBuildEnvironment +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def __exit__(self, *exc):$/;" m class:LazyZipOverHTTP +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def __exit__($/;" m class:RequirementTracker +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __exit__(self, exc, value, tb):$/;" m class:TempDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def __exit__(self, *args, **kwargs):$/;" m class:StreamWrapper +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, *excinfo):$/;" m class:nullcontext +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, *exc_details):$/;" m class:ExitStack +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, *exc_info):$/;" m class:closing +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, exctype, excinst, exctb):$/;" m class:suppress +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, exctype, excinst, exctb):$/;" m class:_RedirectStream +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:AbstractContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __exit__(self, type, value, traceback):$/;" m class:_GeneratorContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __exit__(self, *exc_info):$/;" m class:ZipExtFile +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __exit__(self, *exc_info):$/;" m class:ZipFile +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __exit__(self, *exc_info):$/;" m class:CSVBase +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __exit__(self, type, value, traceback):$/;" m class:TarFile +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:BuildEnvironment +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Infinite +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __exit__(self, *args):$/;" m class:pyparsing_test.reset_pyparsing_context +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __exit__(self, *args):$/;" m class:Response +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def __exit__(self, *args):$/;" m class:Session +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:ConnectionPool +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:AppEngineManager +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:PoolManager +__exit__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:RLock +__exit__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __exit__(self, *args):$/;" m class:pyparsing_test.reset_pyparsing_context +__exit__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __exit__(self, *args):$/;" m class:Response +__exit__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def __exit__(self, *args):$/;" m class:Session +__exit__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __exit__(self, type, value, traceback):$/;" m class:netcdf_file +__exit__ adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def __exit__(self, type, value, tb):$/;" m class:FortranFile +__exit__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __exit__(self, *args):$/;" m class:DifferentialEvolutionSolver +__exit__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^ def __exit__(self, type, value, traceback):$/;" m class:ReentrancyLock +__exit__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:MapWrapper +__exit__ adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^ def __exit__(self, exctype, excvalue, exctrace):$/;" m class:TemporaryDirectory +__exit__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:AbstractSandbox +__exit__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __exit__(self, type, exc, tb):$/;" m class:ExceptionSaver +__exit__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:raise_exception_on_not_ok_status +__exit__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __exit__(self, *args):$/;" m class:GFile +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:ControlStatusCtx +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:NullCtx +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:FunctionScope +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:_StateStack +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __exit__(self, exec_type, exec_value, exec_tb):$/;" m class:Session +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:DebugDataReader +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:DebugEventsReader +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:GradientsDebugger +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __exit__(self, exec_type, exec_value, exec_tb):$/;" m class:BaseDebugWrapperSession +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def __exit__(self, type, value, traceback): # pylint: disable=redefined-builtin$/;" m class:TPUClusterResolver +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def __exit__(self, unused_exception_type, unused_exception_value,$/;" m class:_WorkerContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:ReplicaContextBase +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:UpdateContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:_CurrentDistributionContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:_DefaultDistributionContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:DummySession +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def __exit__(self, typ, exc, tb):$/;" m class:ParallelDevice +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def __exit__(self, typ, value, traceback):$/;" m class:GradientTape +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __exit__(self, *ex_info):$/;" m class:_EagerDeviceContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def __exit__(self, typ, value, traceback):$/;" m class:ForwardAccumulator +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __exit__(self, typ, exc, tb):$/;" m class:_InterpolateFunctionError +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:MonitoredTimer +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^ def __exit__(self, typ, value, tb):$/;" m class:Profiler +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def __exit__(self, typ, value, traceback):$/;" m class:VariableWatcher +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:AutomaticControlDependencies +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:raise_exception_on_not_ok_status +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/memory_checker.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:MemoryChecker +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:Graph._ControlDependenciesController +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __exit__(self, *exc_info):$/;" m class:internal_name_scope_v1 +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __exit__(self, *exc_info):$/;" m class:name_scope_v1 +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:name_scope_v2 +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:NullContextmanager +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:AbstractGradientTape +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/distribution_util.py /^ def __exit__(self, *args):$/;" m class:DummyContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def __exit__(self, exc_type, value, traceback):$/;" m class:MaybeDistributionScope +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def __exit__(self, *exc_info):$/;" m class:CallContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:RespectCompiledTrainableState +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:enable_auto_cast_variables +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __exit__(self, *args, **kwargs):$/;" m class:CustomObjectScope +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:FileIO +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:variable_scope +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:_pure_variable_scope +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def __exit__(self, unused_exc_type, unused_exc_value, unused_tb):$/;" m class:StubOutForTesting +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^ def __exit__(self, typ, value, tb):$/;" m class:Profile +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:Trace +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:FileWriter +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def __exit__(self, type, value, traceback): # pylint: disable=redefined-builtin$/;" m class:Client +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:WatchdogManager +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:OpenReportFile +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:_MonitoredSession +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def __exit__(self, type_arg, value_arg, traceback_arg):$/;" m class:GroupLock._Context +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def __exit__(self, unused_type, unused_value, unused_traceback):$/;" m class:StackTraceTransform +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __exit__(self, exception_type, exception_value, traceback):$/;" m class:_TPUEstimatorReplicaContext +__exit__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __exit__(self, _, __, ___): # pylint: disable=invalid-name$/;" m class:_CapturingContext +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __exit__(self, *args: Any) -> None:$/;" m class:detect_anomaly +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __exit__(self, *args: Any) -> None:$/;" m class:set_detect_anomaly +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __exit__(self, exc_type: Any, exc_value: Any, traceback: Any) -> None:$/;" m class:enable_grad +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __exit__(self, exc_type: Any, exc_value: Any, traceback: Any) -> None:$/;" m class:no_grad +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __exit__(self, exc_type: Any, exc_value: Any, traceback: Any) -> None:$/;" m class:set_grad_enabled +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __exit__(self, exc_type: Any, exc_value: Any, traceback: Any) -> None:$/;" m class:_DecoratorContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:ContextDecorator +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:emit_nvtx +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:profile +__exit__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __exit__(self, exc_type: Any, exc_value: Any, traceback: Any):$/;" m class:record_function +__exit__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^ def __exit__(self, *args):$/;" m class:autocast +__exit__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def __exit__(self, *args):$/;" m class:device +__exit__ adpepsenv/lib/python3.8/site-packages/torch/distributed/autograd/__init__.py /^ def __exit__(self, type, value, traceback):$/;" m class:context +__exit__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/server_process_global_profiler.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:_server_process_global_profile +__exit__ adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:tqdm +__exit__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __exit__(self, type, value, traceback):$/;" m class:CosineAnnealingWarmRestarts.step._enable_get_lr_call +__exit__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __exit__(self, type, value, traceback):$/;" m class:_LRScheduler.step._enable_get_lr_call +__exit__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __exit__(self, *args) -> None:$/;" m class:_open_zipfile_writer_buffer +__exit__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __exit__(self, *args) -> None:$/;" m class:_open_zipfile_writer_file +__exit__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __exit__(self, *args):$/;" m class:_opener +__exit__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __exit__(self, *args):$/;" m class:_open_buffer_writer +__exit__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __exit__(self, *args):$/;" m class:_open_file +__exit__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __exit__(self, *args):$/;" m class:BytesIOContext +__exit__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __exit__(self, exec_type, exec_value, traceback):$/;" m class:CudaMemoryLeakCheck +__exit__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __exit__(self, exec_type, exec_value, traceback):$/;" m class:CudaNonDefaultStream +__exit__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def __exit__(self, *args):$/;" m class:JitTestCase.capture_stdout +__exit__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def __exit__(self, type, value, traceback):$/;" m class:_AssertRaisesRegexWithHighlightContext +__exit__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __exit__(self, type, value, traceback):$/;" m class:GeneratedFileCleaner +__exit__ adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def __exit__(self, type: Any, value: Any, tb: Any) -> None:$/;" m class:RemovableHandle +__exit__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:SummaryWriter +__exit__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __exit__(self, *args):$/;" f function:_disable_emit_hooks_decorator file: +__exit__ adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def __exit__(self, type, value, traceback):$/;" m class:PackageExporter +__exit__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:ContextManager +__exit__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:ConnectionPool +__exit__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:AppEngineManager +__exit__ adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def __exit__(self, exc_type, exc_val, exc_tb):$/;" m class:PoolManager +__exit__ adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def __exit__(self, *_):$/;" m class:SSLTransport +__exit__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __exit__(self, exc_type, exc_value, traceback):$/;" m class:RLock +__exit__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __exit__ = lambda x, *a, **kw: x._get_current_object().__exit__(*a, **kw)$/;" m class:LocalProxy +__exit__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def __exit__(self, exc_type, exc_value, tb):$/;" m class:BaseRequest +__exit__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def __exit__(self, exc_type, exc_value, tb):$/;" m class:BaseResponse +__exit__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __exit__(self, *args):$/;" m class:synchronized._PartialDecorator +__exit__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __exit__(self, *args):$/;" m class:synchronized._FinalDecorator +__exit__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __exit__(self, *args, **kwargs):$/;" m class:ObjectProxy +__file__ adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ __file__ = os.path.join(os.path.dirname(__file__), '_ops.py')$/;" v class:_Ops file: +__findPlaceholder adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __findPlaceholder(self, data, index):$/;" m class:InlineProcessor file: +__Finish adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def __Finish(self, rootTable, sizePrefix, file_identifier=None):$/;" m class:Builder file: +__fixup adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __fixup(self, im1):$/;" m class:_Operand file: +__float__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __float__(self): return self.aval._float(self)$/;" m class:Tracer +__float__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __float__(self):$/;" m class:TestArrayInterface.Foo +__float__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __float__(self):$/;" m class:TestRegression.test_mem_custom_float_to_array.MyFloat +__float__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __float__(self):$/;" m class:TestRoundingFunctions.test_object_indirect.C +__float__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __float__(self):$/;" m class:container +__float__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __float__(self):$/;" m class:MaskedArray +__float__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def __float__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingFloat +__float__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def __float__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingFloat +__float__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def __float__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingFloat +__float__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __float__(self):$/;" m class:BitString +__float__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __float__(self):$/;" m class:Integer +__float__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __float__(self):$/;" m class:OctetString +__float__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __float__(self):$/;" m class:Real +__float__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __float__(self):$/;" m class:_EagerTensorBase +__float__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __float__(self):$/;" m class:ndarray +__float__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __float__(self):$/;" m class:BaseResourceVariable +__float__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __float__ = lambda x: float(x._get_current_object())$/;" m class:LocalProxy +__float__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __float__(self):$/;" m class:ObjectProxy +__floordiv__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __floordiv__(self, other): return self.aval._floordiv(self, other)$/;" m class:Tracer +__floordiv__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __floordiv__(self, divisor: 'Mon') -> 'Mon':$/;" m class:Mon +__floordiv__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __floordiv__(self, divisor: 'Size') -> 'Poly':$/;" m class:Poly +__floordiv__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __floordiv__ = __rfloordiv__ = _all$/;" v class:TestArrayPriority.Other file: +__floordiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __floordiv__, __rfloordiv__, __ifloordiv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__floordiv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __floordiv__(self, other):$/;" m class:MaskedArray +__floordiv__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __floordiv__(self, other):$/;" m class:ABCPolyBase +__floordiv__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __floordiv__ = _delegate("__floordiv__")$/;" v class:IFDRational file: +__floordiv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __floordiv__(self, value):$/;" m class:Integer +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __floordiv__(self, other):$/;" m class:Dimension +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __floordiv__(self, o):$/;" m class:AggregatingVariable +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __floordiv__(self, o):$/;" m class:DistributedDelegate +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __floordiv__(self, other):$/;" m class:Dimension +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __floordiv__(self, o):$/;" m class:AutoCastVariable +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def __floordiv__(self, y):$/;" m class:DivideDelegateWithName +__floordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __floordiv__ = _overloaded_operator("__floordiv__")$/;" v class:RaggedTensor file: +__floordiv__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __floordiv__(self, other):$/;" m class:Tensor +__floordiv__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __floordiv__ = lambda x, o: x._get_current_object() \/\/ o$/;" m class:LocalProxy +__floordiv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __floordiv__(self, other):$/;" m class:ObjectProxy +__floor__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __floor__(self):$/;" m class:TestRoundingFunctions.test_object_direct.C +__floor__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __floor__ = _delegate("__floor__")$/;" v class:IFDRational file: +__floor__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __floor__(self):$/;" m class:Integer +__floor__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __floor__(self):$/;" m class:Real +__flush adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def __flush(self, indent=True):$/;" m class:XMLWriter file: +__folder__ adpepsenv/lib/python3.8/site-packages/caffe2/python/mint/app.py /^__folder__ = os.path.abspath(os.path.dirname(__file__))$/;" v +__format__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __format__(self, format_spec):$/;" f +__format__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __format__(self, fmt):$/;" m class:Bbox +__format__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __format__(self, format_spec):$/;" m class:MaskedConstant +__format__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __format__(self, format_spec):$/;" m class:_EagerTensorBase +__format__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __format__(self, format_spec):$/;" m class:Tensor +__For_helper adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def __For_helper(self, fill, t):$/;" m class:Unparser file: +__fspath__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __fspath__(self):$/;" m class:_TempDir +__fspath__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __fspath__(self):$/;" m class:_TempFile +__fspath__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def __fspath__(self):$/;" m class:os_PathLike +__FunctionDef_helper adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def __FunctionDef_helper(self, t, fill_suffix):$/;" m class:Unparser file: +__func__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __func__(self):$/;" m class:_BoundAdapterWrapper +__generate_test_cases adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def __generate_test_cases(self):$/;" m class:TestElementwiseBroadcast file: +__generator_ctor adpepsenv/lib/python3.8/site-packages/numpy/random/_pickle.py /^def __generator_ctor(bit_generator_name='MT19937'):$/;" f +__getattribute__ adpepsenv/lib/python3.8/site-packages/caffe2/python/functional.py /^ def __getattribute__(self, op_type):$/;" m class:_Functional +__getattribute__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __getattribute__(self, name):$/;" m class:PathEffectRenderer +__getattribute__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __getattribute__(self, attr):$/;" m class:recarray +__getattribute__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __getattribute__(self, attr):$/;" m class:record +__getattribute__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __getattribute__(self, key):$/;" m class:BagObj +__getattribute__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __getattribute__(self, attr):$/;" m class:MaskedRecords +__getattribute__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __getattribute__(self, key):$/;" m class:Metadata +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __getattribute__(self, name):$/;" m class:_FlagValuesWrapper +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __getattribute__(self, name):$/;" m class:TFLiteConverterBaseV1 +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ def __getattribute__(self, name):$/;" m class:Undefined +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __getattribute__(self, name):$/;" m class:LossScaleOptimizer +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def __getattribute__(self, name):$/;" m class:OptimizerV2 +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __getattribute__(self, name):$/;" m class:_FlagValuesWrapper +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __getattribute__(self, name):$/;" m class:_DictWrapper +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __getattribute__(self, name):$/;" m class:_TupleWrapper +__getattribute__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __getattribute__(self, name): # pylint: disable=super-on-old-class$/;" m class:TFModuleWrapper +__getattribute__ adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ def __getattribute__(self, key):$/;" m class:reduce_op +__getattribute__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __getattribute__(self, name):$/;" m class:ThreadedStream +__getattr__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __getattr__(self, name):$/;" m class:FlagValues +__getattr__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __getattr__(self, name):$/;" m class:_Timer +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ def __getattr__(self, helper_name):$/;" m class:HelperWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __getattr__(self, op_type):$/;" m class:BlobReference +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __getattr__(self, op_type):$/;" m class:Net +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def __getattr__(self, layer):$/;" m class:LayerModelHelper +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def __getattr__(self, op_type):$/;" m class:ModelHelper +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __getattr__(self, op_type):$/;" m class:Operations +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __getattr__(self, attr):$/;" m class:Workspace +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getattr__(self, item):$/;" m class:List +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getattr__(self, item):$/;" m class:ListWithEvicted +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getattr__(self, item):$/;" m class:Struct +__getattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^ def __getattr__(self, transform_name):$/;" m class:Transformer +__getattr__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def __getattr__(self, name):$/;" m class:EnumTypeWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __getattr__(self, attr):$/;" m class:_DynamicStub +__getattr__ adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^ def __getattr__(self, attr):$/;" m class:ModuleWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def __getattr__(self, name):$/;" m class:NameSpace +__getattr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __getattr__(self, name):$/;" m class:Tracer +__getattr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __getattr__(self, key):$/;" m class:Scope +__getattr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __getattr__(self, name):$/;" m class:FrozenDict +__getattr__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def __getattr__(self, name):$/;" m class:Pep562 +__getattr__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^def __getattr__(name):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/markdown/__init__.py /^def __getattr__(name):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_mixed.py /^ def __getattr__(self, attr):$/;" m class:MixedModeRenderer +__getattr__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __getattr__(self, name):$/;" m class:rrulewrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def __getattr__(self, attr):$/;" m class:Quantity +__getattr__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __getattr__(self, k):$/;" m class:SimpleChainedObjects +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getattr__(self, attr):$/;" m class:TestCreation.test_bad_array_like_attributes.BadInterface +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __getattr__(self, name):$/;" m class:test_getattr_warning.Wrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __getattr__(self, attr):$/;" m class:TestUfuncGenericLoops.test_unary_PyUFunc_O_O_method_full.MyFloat +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __getattr__(self, name):$/;" m class:CPUInfoBase +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def __getattr__(self, name):$/;" m class:EnvironmentConfig +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __getattr__(self, name):$/;" m class:Intent +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def __getattr__(self, attr):$/;" m class:Arrayterator +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __getattr__(self, attr):$/;" m class:container +__getattr__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ def __getattr__(attr):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __getattr__(self, name):$/;" m class:Request +__getattr__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def __getattr__(self, attr):$/;" m class:GrantTypeBase +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __getattr__(name):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def __getattr__(self, id):$/;" m class:_imagingft_not_installed +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def __getattr__(self, id):$/;" m class:Stat +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __getattr__(self, key):$/;" m class:PdfDict +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/_util.py /^ def __getattr__(self, elt):$/;" m class:deferred_error +__getattr__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __getattr__(name):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def __getattr__(self, name):$/;" m class:CallbackFileWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def __getattr__(self, name):$/;" m class:StreamWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __getattr__(self, name):$/;" m class:LegacyMetadata +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/base.py /^ def __getattr__(self, name):$/;" m class:Filter +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getattr__(self, name):$/;" m class:FragmentWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __getattr__(self, attr):$/;" m class:Distribution +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getattr__(self, aname):$/;" m class:ParseBaseException +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getattr__(self, name):$/;" m class:ParseResults +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __getattr__(self, name):$/;" m class:DeflateDecoder +__getattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __getattr__(self, name):$/;" m class:GzipDecoder +__getattr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getattr__( self, aname ):$/;" m class:ParseBaseException +__getattr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getattr__( self, name ):$/;" m class:ParseResults +__getattr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __getattr__(self, attr):$/;" m class:Distribution +__getattr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __getattr__(self, attr):$/;" m class:NoValue +__getattr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getattr__(self, aname):$/;" m class:ParseBaseException +__getattr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getattr__(self, name):$/;" m class:ParseResults +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def __getattr__(self, item):$/;" m class:_TestRFFTBase.MockSeries +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def __getattr__(self, item):$/;" m class:_TestRFFTBase.MockSeries +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ __getattr__ = __getitem__$/;" v class:AttrDict file: +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __getattr__(self, attr):$/;" m class:Data +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __getattr__(self, attr):$/;" m class:Model +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __getattr__(self, attr):$/;" m class:RealData +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __getattr__(self, name):$/;" m class:OptimizeResult +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __getattr__(self, attr):$/;" m class:spmatrix +__getattr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __getattr__(self, name):$/;" m class:DeprecatedImport +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/command/build_py.py /^ def __getattr__(self, attr):$/;" m class:build_py +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def __getattr__(self, name):$/;" m class:VersionlessRequirement +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def __getattr__(self, attr):$/;" m class:StaticModule +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def __getattr__(self, attr):$/;" m class:Command +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getattr__( self, aname ):$/;" m class:ParseBaseException +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getattr__( self, name ):$/;" m class:ParseResults +__getattr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __getattr__(self, name):$/;" m class:_FlagValuesWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^def __getattr__(attr):$/;" f +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def __getattr__(self, attr_name):$/;" m class:lazy_load.wrapper.LazyModule +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/base.py /^ def __getattr__(self, name):$/;" m class:Filter +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getattr__(self, name):$/;" m class:FragmentWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __getattr__(self, key):$/;" m class:_StateStack +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def __getattr__(self, name):$/;" m class:PackedVarAndDevice +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __getattr__(self, name):$/;" m class:AggregatingVariable +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def __getattr__(self, name):$/;" m class:TPUVariableMixin +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __getattr__(self, name):$/;" m class:DistributedDelegate +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __getattr__(self, name):$/;" m class:NamedObject +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __getattr__(self, attr):$/;" m class:EagerSessionWarner +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def __getattr__(self, item):$/;" m class:DistributedCallbackModel +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/__init__.py /^ def __getattr__(self, name):$/;" m class:VersionAwareLayers +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __getattr__(self, name):$/;" m class:AutoCastVariable +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __getattr__(self, item):$/;" m class:LazyLoader +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __getattr__(self, name):$/;" m class:_FlagValuesWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __getattr__(self, attr):$/;" m class:CheckpointInitialValue +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^ def __getattr__(self, item):$/;" m class:LazyLoader +__getattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __getattr__(self, name):$/;" m class:TFModuleWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __getattr__(self, name):$/;" m class:cuBLASModule +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __getattr__(self, name):$/;" m class:cuFFTPlanCacheManager +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __getattr__(self, attr):$/;" m class:QuantizedEngine +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^ def __getattr__(self, attr):$/;" m class:XNNPACKEngine +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^ def __getattr__(self, attr):$/;" m class:PropModule +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^ def __getattr__(self, func_name):$/;" m class:RRefProxy +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def __getattr__(self, name):$/;" m class:Module +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getattr__(self, attr):$/;" m class:RecursiveScriptModule +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getattr__(self, attr):$/;" m class:ScriptModule +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getattr__(self, attr):$/;" m class:CompilationUnit +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getattr__(self, attr):$/;" m class:ConstMap +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def __getattr__(self, attr):$/;" m class:TracedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def __getattr__(self, name):$/;" m class:ConnectionWrapper +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __getattr__(self, name: str) -> Union[Tensor, 'Module']:$/;" m class:Module +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def __getattr__(self, name):$/;" m class:Measurement +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def __getattr__(self, attr):$/;" m class:_ClassNamespace +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def __getattr__(self, name):$/;" m class:_Classes +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __getattr__(self, k) -> 'Attribute':$/;" m class:Proxy +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __getattr__(self, key):$/;" m class:createResolutionCallbackFromClosure.closure_lookup +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __getattr__(self, key):$/;" m class:createResolutionCallbackFromFrame.env +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ def __getattr__(self, name):$/;" m class:_Ops +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ def __getattr__(self, op_name):$/;" m class:_OpNamespace +__getattr__ adpepsenv/lib/python3.8/site-packages/torch/_VF.py /^ def __getattr__(self, attr):$/;" m class:VFModule +__getattr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getattr__(self, attr):$/;" m class:AnnotatedMeta +__getattr__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __getattr__(self, attr):$/;" m class:MovedModule +__getattr__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __getattr__(self, name):$/;" m class:DeflateDecoder +__getattr__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __getattr__(self, name):$/;" m class:GzipDecoder +__getattr__ adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def __getattr__(self, item):$/;" m class:Retry +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getattr__(self, name):$/;" m class:FileStorage +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __getattr__(self, name):$/;" m class:Local +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __getattr__(self, name):$/;" m class:LocalProxy +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def __getattr__(self, name):$/;" m class:_SslDummy +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def __getattr__(self, name):$/;" m class:Href +__getattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __getattr__(self, tag):$/;" m class:HTMLBuilder +__getattr__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __getattr__(self, name):$/;" m class:ObjectProxy +__getitem_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def __getitem_(g, self, i):$/;" f +__getitem_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __getitem_(g, self, i):$/;" f +__getitem__ adpeps/utils/empty_tensor.py /^ def __getitem__(self, ix):$/;" m class:EmptyT +__getitem__ adpeps/utils/nested.py /^ def __getitem__(self, ix):$/;" m class:Nested +__getitem__ adpeps/utils/tlist.py /^ def __getitem__(self, ix):$/;" m class:TList +__getitem__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __getitem__(self, name):$/;" m class:FlagValues +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __getitem__(self, key):$/;" m class:Cache +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __getitem__(self, _):$/;" m class:_DefaultSize +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^ def __getitem__(self, key, cache_getitem=Cache.__getitem__):$/;" m class:LFUCache +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def __getitem__(self, key, cache_getitem=Cache.__getitem__):$/;" m class:LRUCache +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def __getitem__(self, key, cache_getitem=Cache.__getitem__):$/;" m class:MRUCache +__getitem__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __getitem__(self, key, cache_getitem=Cache.__getitem__):$/;" m class:TTLCache +__getitem__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getitem__(self, item):$/;" m class:List +__getitem__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getitem__(self, item):$/;" m class:ListWithEvicted +__getitem__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __getitem__(self, item):$/;" m class:Struct +__getitem__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def __getitem__(self, key):$/;" m class:_BlobDict +__getitem__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __getitem__(self, key):$/;" m class:Cycler +__getitem__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __getitem__(self, item):$/;" m class:rrulebase +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getitem__(self, index):$/;" m class:UnknownFieldSet +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getitem__(self, key):$/;" m class:BaseContainer +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getitem__(self, key):$/;" m class:MessageMap +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getitem__(self, key):$/;" m class:ScalarMap +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __getitem__(self, extension_handle):$/;" m class:_ExtensionDict +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __getitem__(self, index):$/;" m class:ListValue +__getitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __getitem__(self, key):$/;" m class:Struct +__getitem__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __getitem__(self, key: MetadataKey) -> MetadataValue:$/;" m class:Metadata +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __getitem__(self, name):$/;" m class:AttributeManager +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __getitem__(self, args):$/;" m class:_RegionProxy +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __getitem__(self, args):$/;" m class:Dataset +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __getitem__(self, index):$/;" m class:DimensionManager +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __getitem__(self, item):$/;" m class:DimensionProxy +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __getitem__(self, name):$/;" m class:Group +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __getitem__(self, arg):$/;" m class:PointSelection +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __getitem__(self, args):$/;" m class:FancySelection +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __getitem__(self, args):$/;" m class:Selection +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __getitem__(self, args):$/;" m class:SimpleSelection +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __getitem__(self, args):$/;" m class:_RegionProxy +__getitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ def __getitem__(self, key):$/;" m class:VirtualSource +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __getitem__(self, idx): return self.aval._getitem(self, idx)$/;" m class:Tracer +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __getitem__(self, name):$/;" m class:FrozenDict +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __getitem__(self, idx):$/;" m class:S_ +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __getitem__(self, axis_name):$/;" m class:DynamicAxisEnv +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __getitem__(self, idx):$/;" m class:ShardedDeviceArray +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __getitem__(self, new_axes):$/;" m class:Mesh +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __getitem__(self, index):$/;" m class:_IndexUpdateHelper +__getitem__ adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^ def __getitem__(self, index):$/;" m class:_Indexable +__getitem__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def __getitem__(self, idx):$/;" m class:Iterator +__getitem__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^ def __getitem__(self, index):$/;" m class:TimeseriesGenerator +__getitem__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __getitem__(self, key):$/;" m class:Registry +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __getitem__(self, name):$/;" m class:MovieWriterRegistry +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __getitem__(self, ind):$/;" m class:Stack +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __getitem__(self, key):$/;" m class:_DeprecatedCmapDictWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __getitem__(self, code):$/;" m class:Vf +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __getitem__(self, texname):$/;" m class:PsfontsMap +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __getitem__(self, key):$/;" m class:GridSpecBase +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def __getitem__(self, args):$/;" m class:StreamMask +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def __getitem__(self, position):$/;" m class:Table +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def __getitem__(self, item):$/;" m class:test_reshape2d.ArraySubclass +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def __getitem__(self, item):$/;" m class:test_iterability_axes_argument.MyClass +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def __getitem__(self, item):$/;" m class:Quantity +__getitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __getitem__(self, key):$/;" m class:RcParams +__getitem__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def __getitem__(self, i):$/;" m class:Grid +__getitem__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __getitem__(self, k):$/;" m class:Axes.AxisDict +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __getitem__(self, obj):$/;" m class:chararray +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ def __getitem__(self, index):$/;" m class:memmap +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^ def __getitem__(self, obj):$/;" m class:_typedict +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __getitem__(self, indx):$/;" m class:recarray +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __getitem__(self, indx):$/;" m class:record +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __getitem__(self, ind):$/;" m class:TestArrayRepr.test_0d_object_subclass.sub +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __getitem__(self, ind):$/;" m class:TestArrayRepr.test_object_subclass.sub +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __getitem__(self, item):$/;" m class:TestArrayRepr.test_0d_object_subclass.DuckCounter +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __getitem__(self, item):$/;" m class:TestIndexing.test_broken_sequence_not_nd_index.SequenceLike +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self): pass$/;" m class:TestAssignment.test_stringlike_empty_list.bad_sequence +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self):$/;" m class:TestCreation.test_bad_array_like_bad_length.BadSequence +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, i):$/;" m class:TestCreation.test_false_len_sequence.C +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, ind):$/;" m class:TestCreation.test_no_len_object_type.Point2 +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, index):$/;" m class:TestCreation.test_non_sequence_sequence.Fail +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, index):$/;" m class:TestCreation.test_non_sequence_sequence.Map +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, item):$/;" m class:TestCreation.test_failed_len_sequence.A +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __getitem__(self, x):$/;" m class:TestCreation.test_false_len_iterable.C +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __getitem__(self, item):$/;" m class:test_getattr_warning.Wrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __getitem__(self, idx):$/;" m class:TestRegression.test_2d__array__shape.T +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __getitem__(self, key):$/;" m class:TestRegression.test_lexsort_invalid_sequence.BuggySequence +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __getitem__(self, i):$/;" m class:TestUfunc.test_custom_array_like.MyThing +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/core/_type_aliases.py /^ def __getitem__(self, key):$/;" m class:TypeNADict +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __getitem__(self, name):$/;" m class:VariableSet +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def __getitem__(self, index):$/;" m class:Arrayterator +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __getitem__(self, item):$/;" m class:IndexExpression +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __getitem__(self, key):$/;" m class:AxisConcatenator +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __getitem__(self, key):$/;" m class:nd_grid +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __getitem__(self, key):$/;" m class:NpzFile +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __getitem__(self, val):$/;" m class:poly1d +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __getitem__(self, index):$/;" m class:container +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __getitem__(self, key):$/;" m class:_FileOpeners +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __getitem__(self, indx):$/;" m class:MaskedArray +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __getitem__(self, indx):$/;" m class:MaskedIterator +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __getitem__(self, indx):$/;" m class:mvoid +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __getitem__(self, key):$/;" m class:MAxisConcatenator +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __getitem__(self, indx):$/;" m class:MaskedRecords +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __getitem__(self, indx):$/;" m class:CSAIterator +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __getitem__(self, item):$/;" m class:ComplicatedSubArray +__getitem__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __getitem__(self, index):$/;" m class:matrix +__getitem__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __getitem__(self, k):$/;" m class:CaseInsensitiveDict +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^ def __getitem__(self, ix):$/;" m class:FontFile +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __getitem__(self, tag):$/;" m class:Exif +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^ def __getitem__(self, ix):$/;" m class:Iterator +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __getitem__(self, key):$/;" m class:XrefTable +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def __getitem__(self, xy):$/;" m class:PyAccess +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __getitem__(self, tag):$/;" m class:ImageFileDirectory_v1 +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __getitem__(self, tag):$/;" m class:ImageFileDirectory_v2 +__getitem__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __getitem__(self, key):$/;" m class:_Deprecated_Version +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __getitem__(self, key):$/;" m class:ChainMap +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __getitem__(self, key):$/;" m class:ConvertingDict +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __getitem__(self, key):$/;" m class:ConvertingList +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __getitem__(self, key):$/;" m class:ConvertingTuple +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __getitem__(self, name):$/;" m class:LegacyMetadata +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __getitem__(self, key):$/;" m class:Configurator +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __getitem__(self, name):$/;" m class:getDomBuilder.AttrList +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __getitem__(self, key):$/;" m class:TreeBuilder.__init__.Attributes +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getitem__(self, key):$/;" m class:FragmentWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getitem__(self, key):$/;" m class:Root +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def __getitem__(self, key):$/;" m class:Trie +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __getitem__(self, key):$/;" m class:BoundMethodDispatcher +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __getitem__(self, key):$/;" m class:MethodDispatcher +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __getitem__(self, n):$/;" m class:_BaseNetwork +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __getitem__(self, project_name):$/;" m class:Environment +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def __getitem__(self, key):$/;" m class:Infinite +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getitem__(self, i):$/;" m class:ParseResults +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getitem__(self, i):$/;" m class:_ParseResultsWithOffset +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getitem__(self, key):$/;" m class:ParserElement +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __getitem__(self, name):$/;" m class:RequestsCookieJar +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __getitem__(self, key):$/;" m class:CaseInsensitiveDict +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __getitem__(self, key):$/;" m class:LookupDict +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __getitem__(self, key):$/;" m class:CommentValue +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __getitem__(self, key):$/;" m class:HTTPHeaderDict +__getitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __getitem__(self, key):$/;" m class:RecentlyUsedContainer +__getitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getitem__( self, i ):$/;" m class:ParseExpression +__getitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getitem__( self, i ):$/;" m class:ParseResults +__getitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getitem__(self,i):$/;" m class:_ParseResultsWithOffset +__getitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __getitem__(self, project_name):$/;" m class:Environment +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __getitem__(self, idx):$/;" m class:AbstractConstraintSet +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __getitem__(self, item):$/;" m class:NamedTypes.PostponedError +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __getitem__(self, idx):$/;" m class:NamedType +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __getitem__(self, idx):$/;" m class:NamedTypes +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __getitem__(self, key):$/;" m class:NamedValues +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def __getitem__(self, key):$/;" m class:OpenType +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __getitem__(self, i):$/;" m class:TagSet +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __getitem__(self, idx):$/;" m class:Tag +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def __getitem__(self, tagSet):$/;" m class:TagMap +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, item):$/;" m class:SequenceAndSetBase.DynamicNames +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, i):$/;" m class:BitString +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, i):$/;" m class:ObjectIdentifier +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, i):$/;" m class:OctetString +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, idx):$/;" m class:Real +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, idx):$/;" m class:SequenceAndSetBase +__getitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __getitem__(self, idx):$/;" m class:SequenceOfAndSetOfBase +__getitem__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getitem__(self, i):$/;" m class:ParseResults +__getitem__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getitem__(self, i):$/;" m class:_ParseResultsWithOffset +__getitem__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getitem__(self, key):$/;" m class:ParserElement +__getitem__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __getitem__(self, name):$/;" m class:RequestsCookieJar +__getitem__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __getitem__(self, key):$/;" m class:CaseInsensitiveDict +__getitem__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __getitem__(self, key):$/;" m class:LookupDict +__getitem__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __getitem__(self, key: str) -> int:$/;" m class:PrivateKey +__getitem__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __getitem__(self, key: str) -> int:$/;" m class:PublicKey +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def __getitem__(self, idx):$/;" m class:MyValue +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __getitem__(self, key):$/;" m class:MetaData +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ def __getitem__(self, name):$/;" m class:AttrDict +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __getitem__(self, index):$/;" m class:netcdf_variable +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def __getitem__(self, v):$/;" m class:LMapCache +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __getitem__(self, x, indexed=True):$/;" m class:VertexCache +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def __getitem__(self,key):$/;" m class:bsr_matrix +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __getitem__(self, key):$/;" m class:lil_matrix +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def __getitem__(self, key):$/;" m class:IndexMixin +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def __getitem__(self, idx):$/;" m class:LowLevelCallable +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def __getitem__(self, x):$/;" m class:DisjointSet +__getitem__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def __getitem__(self, index):$/;" m class:Dispatchable +__getitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __getitem__(self, index):$/;" m class:OrderedSet +__getitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getitem__( self, i ):$/;" m class:ParseExpression +__getitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getitem__( self, i ):$/;" m class:ParseResults +__getitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getitem__(self,i):$/;" m class:_ParseResultsWithOffset +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __getitem__(self, name):$/;" m class:_FlagValuesWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __getitem__(self, key):$/;" m class:TensorShape +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __getitem__(self, name):$/;" m class:getDomBuilder.AttrList +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getitem__(self, key):$/;" m class:FragmentWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __getitem__(self, key):$/;" m class:Root +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def __getitem__(self, key):$/;" m class:Trie +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def __getitem__(self, key):$/;" m class:Trie +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ def __getitem__(self, key):$/;" m class:MethodDispatcher +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ def __getitem__(self, i):$/;" m class:Undefined +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def __getitem__(self, entity):$/;" m class:_TransformedFnCache +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __getitem__(self, key):$/;" m class:_State +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def __getitem__(self, key):$/;" m class:TensorList +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __getitem__(self, key):$/;" m class:MockOsEnv +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __getitem__(self, o):$/;" m class:AggregatingVariable +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __getitem__(self, o):$/;" m class:DistributedDelegate +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __getitem__(self, key):$/;" m class:TensorShape +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __getitem__(self, key):$/;" m class:ContextValueCache +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __getitem__(self, o):$/;" m class:AutoCastVariable +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __getitem__(self, index):$/;" m class:Sequence +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __getitem__(self, keys):$/;" m class:LookupInterface +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __getitem__ = _overloaded_operator("__getitem__")$/;" v class:RaggedTensor file: +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def __getitem__(self, key):$/;" m class:StructuredTensor +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^ def __getitem__(self, t):$/;" m class:ForestVariables +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __getitem__(self, name):$/;" m class:_FlagValuesWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ def __getitem__(self, key):$/;" m class:ModeKeyMap +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def __getitem__(self, key):$/;" m class:_SignatureMap +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __getitem__(self, key):$/;" m class:List +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __getitem__(self, key):$/;" m class:Mapping +__getitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __getitem__(self, key):$/;" m class:ObjectIdentityDictionary +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __getitem__(self, device):$/;" m class:cuFFTPlanCacheManager +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def __getitem__(self, name):$/;" m class:EvalEnv +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getitem__(self, idx):$/;" m class:RecursiveScriptModule +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getitem__(self, k):$/;" m class:OrderedDictWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getitem__(self, k):$/;" m class:OrderedModuleDict +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __getitem__(self, key):$/;" m class:OrderedDictWrapper +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self, idx):$/;" m class:ParameterList +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self, idx: int) -> 'Parameter':$/;" m class:ParameterList +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self, idx: int) -> Module:$/;" m class:ModuleList +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self, key: str) -> 'Parameter':$/;" m class:ParameterDict +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self, key: str) -> Module:$/;" m class:ModuleDict +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self: T, idx) -> T:$/;" m class:Sequential +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __getitem__(self: T, idx: slice) -> T:$/;" m class:ParameterList +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __getitem__(self, idx):$/;" m class:PruningContainer +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __getitem__(self, idx):$/;" m class:ConcatDataset +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __getitem__(self, idx):$/;" m class:Subset +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __getitem__(self, index) -> T_co:$/;" m class:Dataset +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __getitem__(self, index):$/;" m class:TensorDataset +__getitem__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __getitem__(self, types):$/;" m class:BroadcastingListCls +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, params):$/;" m class:_ProtocolMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_ClassVar +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_ClassVarMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_CounterMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_Final +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_FinalMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, item):$/;" m class:_LiteralMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, parameters):$/;" m class:_FinalForm +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, parameters):$/;" m class:_LiteralForm +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, params):$/;" m class:AnnotatedMeta +__getitem__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __getitem__(self, values):$/;" m class:_Literal +__getitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __getitem__(self, key):$/;" m class:HTTPHeaderDict +__getitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __getitem__(self, key):$/;" m class:RecentlyUsedContainer +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, idx):$/;" m class:HeaderSet +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key):$/;" m class:Accept +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key):$/;" m class:CombinedMultiDict +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key):$/;" m class:MultiDict +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key):$/;" m class:OrderedMultiDict +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key, _get_mode=False):$/;" m class:EnvironHeaders +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getitem__(self, key, _get_mode=False):$/;" m class:Headers +__getitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __getitem__ = lambda x, i: x._get_current_object()[i]$/;" m class:LocalProxy +__getitem__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __getitem__(self, key):$/;" m class:ObjectProxy +__getlink adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __getlink(self, key):$/;" m class:TTLCache file: +__getnewargs_ex__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^ def __getnewargs_ex__(self):$/;" f function:_make_tuple_bunch file: +__getnewargs__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __getnewargs__(self):$/;" m class:HLObject +__getnewargs__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getnewargs__(self):$/;" m class:ParseResults +__getnewargs__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getnewargs__(self):$/;" m class:ParseResults +__getnewargs__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getnewargs__(self):$/;" m class:ParseResults +__getnewargs__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getnewargs__(self):$/;" m class:ParseResults +__getnewargs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __getnewargs__(self):$/;" m class:_TPUEmbeddingColumnV2 +__getnewargs__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __getnewargs__(self):$/;" m class:_TPUSharedEmbeddingColumnV2 +__getslice__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getslice__(self, start, stop):$/;" m class:RepeatedCompositeFieldContainer +__getslice__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __getslice__(self, start, stop):$/;" m class:RepeatedScalarFieldContainer +__getslice__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __getslice__(self, i, j):$/;" m class:List +__getslice__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __getslice__ = lambda x, i, j: x._get_current_object()[i:j]$/;" m class:LocalProxy +__getslice__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __getslice__(self, i, j):$/;" m class:ObjectProxy +__getstate__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __getstate__(self):$/;" m class:Flag +__getstate__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __getstate__(self):$/;" m class:FlagValues +__getstate__ adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^ def __getstate__(self):$/;" m class:_HashedTuple +__getstate__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __getstate__(self):$/;" m class:_ttinfo +__getstate__ adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def __getstate__(self):$/;" m class:Credentials +__getstate__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __getstate__(self):$/;" m class:Message +__getstate__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __getstate__(self):$/;" m class:HLObject +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def __getstate__(self):$/;" m class:Artist +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __getstate__(self):$/;" m class:_AxesBase +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __getstate__(self):$/;" m class:_process_plot_var_args +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def __getstate__(self):$/;" m class:RendererAgg +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __getstate__(self):$/;" m class:CallbackRegistry +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def __getstate__(self):$/;" m class:ContourSet +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __getstate__(self):$/;" m class:Figure +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __getstate__(self):$/;" m class:GridSpec +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __getstate__(self):$/;" m class:SubplotSpec +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __getstate__(self):$/;" m class:_ImageBase +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __getstate__(self):$/;" m class:Text +__getstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __getstate__(self):$/;" m class:TransformNode +__getstate__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __getstate__(self):$/;" m class:MaskedArray +__getstate__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __getstate__(self):$/;" m class:MaskedRecords +__getstate__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __getstate__(self):$/;" m class:ABCPolyBase +__getstate__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __getstate__(self):$/;" m class:Image +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __getstate__(self):$/;" m class:WorkingSet +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def __getstate__():$/;" f +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __getstate__(self):$/;" m class:ParseResults +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def __getstate__(self):$/;" m class:HTTPAdapter +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __getstate__(self):$/;" m class:RequestsCookieJar +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __getstate__(self):$/;" m class:Response +__getstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def __getstate__(self):$/;" m class:Session +__getstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __getstate__(self):$/;" m class:ParseResults +__getstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __getstate__(self):$/;" m class:WorkingSet +__getstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def __getstate__():$/;" f +__getstate__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __getstate__(self):$/;" m class:ParseResults +__getstate__ adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def __getstate__(self):$/;" m class:HTTPAdapter +__getstate__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __getstate__(self):$/;" m class:RequestsCookieJar +__getstate__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __getstate__(self):$/;" m class:Response +__getstate__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def __getstate__(self):$/;" m class:Session +__getstate__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __getstate__(self) -> typing.Tuple[int, int, int, int, int, int, int, int]:$/;" m class:PrivateKey +__getstate__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __getstate__(self) -> typing.Tuple[int, int]:$/;" m class:PublicKey +__getstate__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __getstate__(self):$/;" m class:rv_continuous +__getstate__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __getstate__(self):$/;" m class:rv_discrete +__getstate__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __getstate__(self):$/;" m class:rv_sample +__getstate__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __getstate__(self):$/;" m class:OrderedSet +__getstate__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __getstate__(self):$/;" m class:ParseResults +__getstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __getstate__(self):$/;" m class:Function +__getstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __getstate__(self):$/;" m class:name_scope_v2 +__getstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __getstate__(self):$/;" m class:Layer +__getstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __getstate__(self):$/;" m class:Layer +__getstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __getstate__(self):$/;" m class:DropoutRNNCellMixin +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^ def __getstate__(self):$/;" m class:Unserializable +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def __getstate__(self):$/;" m class:GradScaler +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __getstate__(self):$/;" m class:RecursiveScriptModule +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def __getstate__(self):$/;" m class:DistributedDataParallel +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __getstate__(self):$/;" m class:_ConvNd +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __getstate__(self):$/;" m class:LinearPackedParams +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def __getstate__(self):$/;" m class:Optimizer +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __getstate__(self):$/;" m class:MyPickleClass +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __getstate__(self):$/;" m class:SlowPickleClass +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __getstate__(self):$/;" m class:_BaseDataLoaderIter +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def __getstate__(self):$/;" m class:RemovableHandle +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __getstate__(self):$/;" m class:MkldnnBatchNorm +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __getstate__(self):$/;" m class:MkldnnLinear +__getstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __getstate__(self):$/;" m class:_MkldnnConvNd +__getstate__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getstate__(self):$/;" m class:MultiDict +__getstate__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __getstate__(self):$/;" m class:OrderedMultiDict +__get_cmp_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __get_cmp_key(self):$/;" m class:TypeSpec file: +__get_gettz adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^def __get_gettz():$/;" f +__get_has_canonical_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __get_has_canonical_format(self):$/;" m class:_cs_matrix file: +__get_items adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __get_items(self):$/;" m class:ViewItems file: +__get_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_module adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_module adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_module adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_module adpepsenv/lib/python3.8/site-packages/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_module adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __get_module(self, fullname):$/;" m class:_SixMetaPathImporter file: +__get_nbits adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __get_nbits(self):$/;" m class:CPUInfoBase file: +__get_output_extensions adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def __get_output_extensions(self):$/;" m class:build_ext file: +__get_result adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __get_result(self):$/;" m class:Future file: +__get_sorted adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __get_sorted(self):$/;" m class:_cs_matrix file: +__get_stubs_outputs adpepsenv/lib/python3.8/site-packages/setuptools/command/build_ext.py /^ def __get_stubs_outputs(self):$/;" m class:build_ext file: +__get__ adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def __get__(self, obj, cls):$/;" m class:_cached_property +__get__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __get__(self, instance, owner):$/;" m class:partialmethod +__get__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __get__(self, instance, cls):$/;" m class:_LazyTickList +__get__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __get__(self, instance, owner):$/;" m class:deprecated.deprecate._deprecated_property +__get__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __get__(self, instance, owner):$/;" m class:_classproperty +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __get__(self, obj, cls=None):$/;" m class:cached_property +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def __get__(self, obj, owner):$/;" m class:cached_property +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __get__(self, instance, owner=None):$/;" m class:MethodDispatcher +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __get__(self, obj, cls):$/;" m class:_lazyclassproperty +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __get__(self, obj, cls):$/;" m class:_lazyclassproperty +__get__ adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def __get__(self, obj, objtype=None):$/;" m class:NonDataProperty +__get__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __get__(self, instance, owner):$/;" m class:Function +__get__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __get__(self, instance, owner):$/;" m class:Function +__get__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def __get__(self, instance, owner):$/;" m class:Bind +__get__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^ def __get__(self, owner_self, owner_cls):$/;" m class:classproperty +__get__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^ def __get__(self, instance, owner):$/;" m class:TFDecorator +__get__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __get__(self, obj, objtype):$/;" m class:cuFFTPlanCacheAttrContextProp +__get__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __get__(self, obj, objtype) -> List[str]:$/;" m class:_SupportedQEnginesProp +__get__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __get__(self, obj, objtype) -> str:$/;" m class:_QEngineProp +__get__ adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^ def __get__(self, obj, objtype):$/;" m class:_XNNPACKEnabled +__get__ adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^ def __get__(self, obj, objtype):$/;" m class:ContextProp +__get__ adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^ def __get__(self, instance, obj_type=None):$/;" m class:lazy_property +__get__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __get__(self, obj, cls):$/;" m class:_CachedForward +__get__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __get__(self, obj, tp):$/;" m class:_LazyDescr +__get__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __get__(self, obj, type=None):$/;" m class:cached_property +__get__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __get__(self, obj, type=None):$/;" m class:_DictAccessorProperty +__get__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __get__(self, instance, owner):$/;" m class:AttributeWrapper +__get__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __get__(self, instance, owner):$/;" m class:_FunctionWrapperBase +__ge__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __ge__(self, other): return self.aval._ge(self, other)$/;" m class:Tracer +__ge__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __ge__(self, other):$/;" m class:_DoubleDouble +__ge__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __ge__(self, other: 'Size'):$/;" m class:Poly +__ge__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __ge__(self, rhs):$/;" m class:Duration +__ge__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __ge__(self, rhs):$/;" m class:Epoch +__ge__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __ge__(self, rhs):$/;" m class:UnitDbl +__ge__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __ge__(self, other):$/;" m class:chararray +__ge__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __ge__ = _all$/;" v class:TestArrayPriority.Other file: +__ge__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __ge__ = _binary_method(um.greater_equal, 'ge')$/;" v class:NDArrayOperatorsMixin file: +__ge__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ge__(self, other):$/;" m class:container +__ge__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __ge__(self, other):$/;" m class:NumpyVersion +__ge__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __ge__(self, other):$/;" m class:_Operand +__ge__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __ge__ = _delegate("__ge__")$/;" v class:IFDRational file: +__ge__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __ge__(self, other):$/;" m class:_Deprecated_Version +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __ge__(self, other):$/;" m class:KeyBasedCompareMixin +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __ge__(self, other):$/;" m class:Version +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __ge__(self, other):$/;" m class:_TotalOrderingMixin +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __ge__(self, other):$/;" m class:_BaseVersion +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:InfinityType +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:NegativeInfinityType +__ge__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __ge__(self, other):$/;" m class:Distribution +__ge__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __ge__(self, other):$/;" m class:_BaseVersion +__ge__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:Infinity +__ge__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:NegativeInfinity +__ge__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __ge__(self, other):$/;" m class:Distribution +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __ge__(self, other):$/;" m class:ConstructedAsn1Type +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __ge__(self, other):$/;" m class:SimpleAsn1Type +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __ge__(self, other):$/;" m class:AbstractConstraint +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __ge__(self, other):$/;" m class:NamedType +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __ge__(self, other):$/;" m class:NamedTypes +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __ge__(self, other):$/;" m class:NamedValues +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __ge__(self, other):$/;" m class:Tag +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __ge__(self, other):$/;" m class:TagSet +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ge__(self, other):$/;" m class:BitString +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ge__(self, other):$/;" m class:Choice +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ge__(self, value):$/;" m class:Integer +__ge__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ge__(self, value):$/;" m class:Real +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __ge__(self, other):$/;" m class:spmatrix +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __ge__(self, other):$/;" m class:_cs_matrix +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __ge__(self, other):$/;" m class:KDTree.node +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ge__(self, other):$/;" m class:Infinity +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ge__(self, other):$/;" m class:NegativeInfinity +__ge__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ge__(self, other):$/;" m class:_BaseVersion +__ge__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __ge__(self, other):$/;" m class:Version +__ge__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __ge__(self, other):$/;" m class:_BaseVersion +__ge__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:Infinity +__ge__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __ge__(self, other):$/;" m class:NegativeInfinity +__ge__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __ge__(self, other):$/;" m class:Dimension +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __ge__(self, o):$/;" m class:AggregatingVariable +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __ge__(self, o):$/;" m class:DistributedDelegate +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __ge__(self, other):$/;" m class:Dimension +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __ge__(self, o):$/;" m class:AutoCastVariable +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __ge__ = _overloaded_operator("__ge__")$/;" v class:RaggedTensor file: +__ge__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __ge__(self, other):$/;" m class:ListWrapper +__ge__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __ge__ = _wrap_type_error_to_not_implemented(_C._TensorBase.ge)$/;" v class:Tensor file: +__ge__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __ge__ = lambda x, o: x._get_current_object() >= o$/;" m class:LocalProxy +__ge__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ge__(self, other):$/;" m class:ObjectProxy +__git_revision__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ from .version import git_revision as __git_revision__$/;" x +__git_revision__ adpepsenv/lib/python3.8/site-packages/opt_einsum/__init__.py /^__git_revision__ = versions['full-revisionid']$/;" v +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^__git_version__ = str(get_git_version())$/;" v +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__git_version__ = pywrap_tf_session.__git_version__$/;" v +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__git_version__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.versions import GIT_VERSION as __git_version__$/;" x +__gt__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __gt__(self, other):$/;" m class:rruleset._genitem +__gt__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __gt__(self, other): return self.aval._gt(self, other)$/;" m class:Tracer +__gt__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __gt__(self, other):$/;" m class:_DoubleDouble +__gt__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __gt__(self, other: 'Size'):$/;" m class:Poly +__gt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __gt__(self, rhs):$/;" m class:Duration +__gt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __gt__(self, rhs):$/;" m class:Epoch +__gt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __gt__(self, rhs):$/;" m class:UnitDbl +__gt__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __gt__(self, other):$/;" m class:chararray +__gt__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __gt__ = _all$/;" v class:TestArrayPriority.Other file: +__gt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __gt__ = _binary_method(um.greater, 'gt')$/;" v class:NDArrayOperatorsMixin file: +__gt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __gt__(self, other):$/;" m class:container +__gt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __gt__(self, other):$/;" m class:NumpyVersion +__gt__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __gt__(self, other):$/;" m class:_Operand +__gt__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __gt__ = _delegate("__gt__")$/;" v class:IFDRational file: +__gt__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __gt__(self, other):$/;" m class:_Deprecated_Version +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __gt__(self, other):$/;" m class:KeyBasedCompareMixin +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __gt__(self, other):$/;" m class:Version +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __gt__(self, other):$/;" m class:_TotalOrderingMixin +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __gt__(self, other):$/;" m class:_BaseVersion +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:InfinityType +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:NegativeInfinityType +__gt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __gt__(self, other):$/;" m class:Distribution +__gt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __gt__(self, other):$/;" m class:_BaseVersion +__gt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:Infinity +__gt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:NegativeInfinity +__gt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __gt__(self, other):$/;" m class:Distribution +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __gt__(self, other):$/;" m class:ConstructedAsn1Type +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __gt__(self, other):$/;" m class:SimpleAsn1Type +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __gt__(self, other):$/;" m class:AbstractConstraint +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __gt__(self, other):$/;" m class:NamedType +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __gt__(self, other):$/;" m class:NamedTypes +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __gt__(self, other):$/;" m class:NamedValues +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __gt__(self, other):$/;" m class:Tag +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __gt__(self, other):$/;" m class:TagSet +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __gt__(self, other):$/;" m class:BitString +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __gt__(self, other):$/;" m class:Choice +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __gt__(self, value):$/;" m class:Integer +__gt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __gt__(self, value):$/;" m class:Real +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def __gt__(self, node):$/;" m class:ClusterNode +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __gt__(self, other):$/;" m class:spmatrix +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __gt__(self, other):$/;" m class:_cs_matrix +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __gt__(self, other):$/;" m class:KDTree.node +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __gt__(self, other):$/;" m class:Infinity +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __gt__(self, other):$/;" m class:NegativeInfinity +__gt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __gt__(self, other):$/;" m class:_BaseVersion +__gt__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __gt__(self, other):$/;" m class:Version +__gt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __gt__(self, other):$/;" m class:_BaseVersion +__gt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:Infinity +__gt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __gt__(self, other):$/;" m class:NegativeInfinity +__gt__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __gt__(self, other):$/;" m class:Dimension +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __gt__(self, o):$/;" m class:AggregatingVariable +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __gt__(self, o):$/;" m class:DistributedDelegate +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __gt__(self, other):$/;" m class:_FeatureColumn +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __gt__(self, other):$/;" m class:FeatureColumn +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __gt__(self, other):$/;" m class:Dimension +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __gt__(self, o):$/;" m class:AutoCastVariable +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __gt__ = _overloaded_operator("__gt__")$/;" v class:RaggedTensor file: +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __gt__(self, other):$/;" m class:ListWrapper +__gt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __gt__(self, other):$/;" m class:_ObjectIdentityWrapper +__gt__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __gt__ = _wrap_type_error_to_not_implemented(_C._TensorBase.gt)$/;" v class:Tensor file: +__gt__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __gt__ = lambda x, o: x._get_current_object() > o$/;" m class:LocalProxy +__gt__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __gt__(self, other):$/;" m class:ObjectProxy +__handleInline adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __handleInline(self, data, patternIndex=0):$/;" m class:InlineProcessor file: +__hashvalue adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^ __hashvalue = None$/;" v class:_HashedTuple file: +__hash__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __hash__(self):$/;" m class:Flag +__hash__ adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^ def __hash__(self, hash=tuple.__hash__):$/;" m class:_HashedTuple +__hash__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __hash__(self):$/;" m class:BlobReference +__hash__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __hash__(self):$/;" m class:RemapEntry +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __hash__(self):$/;" m class:relativedelta +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __hash__ = None$/;" v class:tzfile file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __hash__ = None$/;" v class:tzlocal file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __hash__ = None$/;" v class:tzoffset file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __hash__ = None$/;" v class:tzutc file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __hash__ = None$/;" v class:_ttinfo file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ __hash__ = None$/;" v class:tzrangebase file: +__hash__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __hash__(self):$/;" m class:weekday +__hash__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __hash__ = None$/;" v class:Mapping file: +__hash__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __hash__(self):$/;" m class:BaseContainer +__hash__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __hash__(self):$/;" m class:_ExtensionDict +__hash__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __hash__(self):$/;" m class:Message +__hash__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __hash__(self):$/;" m class:HLObject +__hash__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __hash__(self):$/;" m class:DimensionProxy +__hash__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __hash__(self):$/;" m class:ShapeDtypeStruct +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self) -> int:$/;" m class:MainTrace +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self): return 0$/;" m class:_IgnoreElemList +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self):$/;" m class:ConcreteArray +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self):$/;" m class:Literal +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self):$/;" m class:ShapedArray +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self):$/;" m class:UnshapedArray +__hash__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hash__(self):$/;" m class:_TempAxisName +__hash__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __hash__(self):$/;" m class:FrozenDict +__hash__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __hash__(self):$/;" m class:ResourceEnv +__hash__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __hash__(self):$/;" m class:_UniqueResourceName +__hash__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __hash__(self):$/;" m class:Mon +__hash__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __hash__(self):$/;" m class:Poly +__hash__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __hash__(self):$/;" m class:Chunked +__hash__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __hash__(self):$/;" m class:ShardingSpec +__hash__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __hash__(self):$/;" f +__hash__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __hash__(self):$/;" m class:WrappedFun +__hash__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __hash__(self):$/;" m class:_ScalarMeta +__hash__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __hash__(self):$/;" m class:Hashable +__hash__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __hash__(self):$/;" m class:HashableFunction +__hash__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __hash__(self):$/;" m class:WrapHashably +__hash__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __hash__(self):$/;" m class:Name +__hash__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __hash__(self):$/;" m class:_StrongRef +__hash__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __hash__(self):$/;" m class:FontProperties +__hash__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __hash__(self):$/;" m class:SubplotSpec +__hash__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ __hash__ = None$/;" v class:poly1d file: +__hash__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ __hash__ = None$/;" v class:ABCPolyBase file: +__hash__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __hash__(self):$/;" m class:IndirectReference +__hash__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __hash__(self):$/;" m class:PdfName +__hash__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __hash__(self):$/;" m class:IFDRational +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __hash__(self):$/;" m class:CacheablePageContent +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __hash__(self):$/;" m class:AlreadyInstalledCandidate +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __hash__(self):$/;" m class:ExtrasCandidate +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __hash__(self):$/;" m class:_InstallRequirementBackedCandidate +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __hash__(self):$/;" m class:KeyBasedCompareMixin +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __hash__(self):$/;" m class:Distribution +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ __hash__ = object.__hash__$/;" v class:EggInfoDistribution file: +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ __hash__ = object.__hash__$/;" v class:InstalledDistribution file: +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ __hash__ = object.__hash__$/;" v class:ExportEntry file: +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __hash__(self):$/;" m class:Matcher +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __hash__(self):$/;" m class:Version +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __hash__(self):$/;" m class:IPv4Interface +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __hash__(self):$/;" m class:IPv6Interface +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __hash__(self):$/;" m class:_BaseAddress +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __hash__(self):$/;" m class:_BaseNetwork +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __hash__(self):$/;" m class:Timestamp +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:BaseSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:SpecifierSet +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:_IndividualSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __hash__(self):$/;" m class:Tag +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __hash__(self):$/;" m class:_BaseVersion +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:InfinityType +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:NegativeInfinityType +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __hash__(self):$/;" m class:Distribution +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __hash__(self):$/;" m class:Requirement +__hash__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __hash__(self):$/;" m class:ParserElement +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:BaseSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:SpecifierSet +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:_IndividualSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def __hash__(self):$/;" m class:Tag +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __hash__(self):$/;" m class:_BaseVersion +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:Infinity +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:NegativeInfinity +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __hash__(self):$/;" m class:ParserElement +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __hash__(self):$/;" m class:Distribution +__hash__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __hash__(self):$/;" m class:Requirement +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __hash__(self):$/;" m class:SimpleAsn1Type +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __hash__(self):$/;" m class:AbstractConstraint +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __hash__(self):$/;" m class:NamedType +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __hash__(self):$/;" m class:NamedTypes +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __hash__(self):$/;" m class:NamedValues +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __hash__(self):$/;" m class:Tag +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __hash__(self):$/;" m class:TagSet +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __hash__ = base.SimpleAsn1Type.__hash__$/;" v class:Integer file: +__hash__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ __hash__ = base.SimpleAsn1Type.__hash__$/;" v class:Real file: +__hash__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __hash__(self):$/;" m class:ParserElement +__hash__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __hash__(self) -> int:$/;" m class:PrivateKey +__hash__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __hash__(self) -> int:$/;" m class:PublicKey +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __hash__(self):$/;" m class:Vertex +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def __hash__(self):$/;" m class:TestMode.test_objects.Point +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^ def __hash__(self):$/;" m class:_DeprecationHelperStr +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __hash__(self):$/;" m class:Infinity +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __hash__(self):$/;" m class:NegativeInfinity +__hash__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __hash__(self):$/;" m class:_BaseVersion +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:BaseSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:SpecifierSet +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __hash__(self):$/;" m class:_IndividualSpecifier +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def __hash__(self):$/;" m class:Tag +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __hash__(self):$/;" m class:_BaseVersion +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:Infinity +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __hash__(self):$/;" m class:NegativeInfinity +__hash__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __hash__(self):$/;" m class:ParserElement +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __hash__(self):$/;" m class:DType +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:BlobReference +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:BlobSequenceDatum +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:BlobSequenceTimeSeries +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:Run +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:ScalarDatum +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:ScalarTimeSeries +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __hash__(self):$/;" m class:TensorTimeSeries +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __hash__ = None$/;" v class:TensorDatum file: +__hash__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __hash__(self):$/;" m class:FrontendMetadata +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __hash__(self):$/;" m class:ConversionOptions +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __hash__(self):$/;" m class:QN +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __hash__(self):$/;" m class:DistributedVarOp +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def __hash__(self):$/;" m class:DeviceSpecV1 +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def __hash__(self):$/;" m class:DeviceSpecV2 +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ __hash__ = _dtypes.DType.__hash__$/;" v class:DType file: +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __hash__(self):$/;" m class:Tensor +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __hash__(self):$/;" m class:BoundedTensorSpec +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __hash__(self):$/;" m class:DenseSpec +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __hash__(self):$/;" m class:ParameterModifier +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __hash__(self):$/;" m class:TypeSpec +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __hash__(self):$/;" m class:KerasTensor +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __hash__(self):$/;" m class:NdarraySpec +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ __hash__ = None$/;" v class:ndarray file: +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __hash__(self):$/;" m class:Variable +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __hash__(self):$/;" m class:VariableAggregation +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __hash__(self):$/;" m class:VariableAggregationV2 +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __hash__(self):$/;" m class:ListWrapper +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __hash__(self):$/;" m class:TrackableDataStructure +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __hash__(self):$/;" m class:_DictWrapper +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __hash__(self):$/;" m class:_TupleWrapper +__hash__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __hash__(self):$/;" m class:_ObjectIdentityWrapper +__hash__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __hash__(self):$/;" m class:Stream +__hash__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __hash__(self):$/;" m class:Tensor +__hash__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __hash__(self):$/;" m class:NodeSpec +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_AnnotatedAlias +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_ClassVar +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_ClassVarMeta +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_Final +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_FinalMeta +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_Literal +__hash__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __hash__(self):$/;" m class:_LiteralMeta +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __hash__(self):$/;" m class:ImmutableDictMixin +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __hash__(self):$/;" m class:ImmutableListMixin +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __hash__ = None$/;" v class:EnvironHeaders file: +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __hash__ = None$/;" v class:Headers file: +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __hash__ = None$/;" v class:OrderedMultiDict file: +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __hash__ = lambda x: hash(x._get_current_object())$/;" m class:LocalProxy +__hash__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ __hash__ = None$/;" v class:Rule file: +__hash__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __hash__(self):$/;" m class:Tag +__hash__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __hash__(self):$/;" m class:ObjectProxy +__has_singleton adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __has_singleton(cls):$/;" m class:MaskedConstant file: +__hex__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __hex__(self): return self.aval._hex(self)$/;" m class:Tracer +__hex__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __hex__(self):$/;" m class:container +__hex__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __hex__ = lambda x: hex(x._get_current_object())$/;" m class:LocalProxy +__hex__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __hex__(self):$/;" m class:ObjectProxy +__iadd__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __iadd__(self, other):$/;" m class:Cycler +__iadd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __add__, __radd__, __iadd__ = _numeric_methods(um.add, 'add')$/;" v class:NDArrayOperatorsMixin file: +__iadd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __iadd__(self, other):$/;" m class:container +__iadd__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __iadd__(self, other):$/;" m class:MaskedArray +__iadd__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __iadd__ = \\$/;" v class:MaskedConstant file: +__iadd__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __iadd__(self, other):$/;" m class:SubArray +__iadd__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __iadd__(self, other):$/;" m class:Environment +__iadd__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __iadd__(self, other):$/;" m class:And +__iadd__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __iadd__(self, other):$/;" m class:ParseResults +__iadd__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __iadd__( self, other ):$/;" m class:ParseResults +__iadd__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __iadd__(self, other ):$/;" m class:And +__iadd__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __iadd__(self, other):$/;" m class:Environment +__iadd__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __iadd__(self, other):$/;" m class:And +__iadd__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __iadd__(self, other):$/;" m class:ParseResults +__iadd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __iadd__(self, other):$/;" m class:spmatrix +__iadd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __iadd__(self,other):$/;" m class:lil_matrix +__iadd__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __iadd__( self, other ):$/;" m class:ParseResults +__iadd__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __iadd__(self, other ):$/;" m class:And +__iadd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __iadd__(self, other):$/;" m class:OpStats +__iadd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __iadd__(self, unused_other):$/;" m class:BaseResourceVariable +__iadd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __iadd__(self, other):$/;" m class:RefVariable +__iadd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __iadd__(self, values):$/;" m class:List +__iadd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __iadd__(self, y):$/;" m class:_TupleWrapper +__iadd__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __iadd__(self, other):$/;" m class:FunctionEventAvg +__iadd__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iadd__(self: T, modules: Iterable[Module]) -> T:$/;" m class:ModuleList +__iadd__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iadd__(self: T, parameters: Iterable['Parameter']) -> T:$/;" m class:ParameterList +__iadd__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iadd__(self, other):$/;" m class:ImmutableListMixin +__iadd__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __iadd__(self, other):$/;" m class:ObjectProxy +__iand__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __and__, __rand__, __iand__ = _numeric_methods(um.bitwise_and, 'and')$/;" v class:NDArrayOperatorsMixin file: +__iand__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __iand__(self, other):$/;" m class:container +__iand__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __iand__(self, other):$/;" m class:ObjectProxy +__ident_func__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __ident_func__(self):$/;" m class:LocalStack +__ident_func__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __ident_func__(self, value):$/;" m class:LocalStack +__idiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __idiv__(self, other):$/;" m class:container +__idiv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __idiv__(self, other):$/;" m class:MaskedArray +__idiv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __idiv__(self, other):$/;" m class:spmatrix +__idiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __idiv__(self, unused_other):$/;" m class:BaseResourceVariable +__idiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __idiv__(self, other):$/;" m class:RefVariable +__idiv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __idiv__(self, other):$/;" m class:ObjectProxy +__id_warning adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __id_warning = textwrap.dedent("""$/;" v class:easy_install file: +__ifloordiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __floordiv__, __rfloordiv__, __ifloordiv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__ifloordiv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __ifloordiv__(self, other):$/;" m class:MaskedArray +__ifloordiv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ifloordiv__(self, other):$/;" m class:ObjectProxy +__ilshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __lshift__, __rlshift__, __ilshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__ilshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ilshift__(self, other):$/;" m class:container +__ilshift__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __ilshift__(self, other):$/;" m class:Forward +__ilshift__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __ilshift__(self, other):$/;" m class:Forward +__ilshift__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __ilshift__(self, other):$/;" m class:Forward +__ilshift__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __ilshift__(self, other):$/;" m class:Forward +__ilshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ilshift__(self, other):$/;" m class:ObjectProxy +__imatmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __matmul__, __rmatmul__, __imatmul__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__imod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mod__, __rmod__, __imod__ = _numeric_methods(um.remainder, 'mod')$/;" v class:NDArrayOperatorsMixin file: +__imod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __imod__(self, other):$/;" m class:container +__imod__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __imod__(self, other):$/;" m class:ObjectProxy +__import__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def __import__(self, name, globals=None, locals=None, fromlist=(), level=0):$/;" m class:PackageImporter +__imul__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __imul__(self, other):$/;" m class:Cycler +__imul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mul__, __rmul__, __imul__ = _numeric_methods(um.multiply, 'mul')$/;" v class:NDArrayOperatorsMixin file: +__imul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __imul__(self, other):$/;" m class:container +__imul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __imul__(self, other):$/;" m class:MaskedArray +__imul__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __imul__(self, other):$/;" m class:matrix +__imul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __imul__(self, other):$/;" m class:spmatrix +__imul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __imul__(self, other): # self *= other$/;" m class:_data_matrix +__imul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __imul__(self, other):$/;" m class:dok_matrix +__imul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __imul__(self,other):$/;" m class:lil_matrix +__imul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __imul__(self, unused_other):$/;" m class:BaseResourceVariable +__imul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __imul__(self, other):$/;" m class:RefVariable +__imul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __imul__(self, y):$/;" m class:List +__imul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __imul__(self, y):$/;" m class:ListWrapper +__imul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __imul__(self, y):$/;" m class:_TupleWrapper +__imul__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __imul__ = __iadd__$/;" v class:ImmutableListMixin file: +__imul__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __imul__(self, other):$/;" m class:ObjectProxy +__index__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def __index__(self):$/;" m class:TestSubarray.test_shape_sequence.IntLike +__index__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __index__(self):$/;" m class:TestIndexing.test_broken_sequence_not_nd_index.SequenceLike +__index__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __index__(self):$/;" m class:TestIndexing.test_scalar_return_type.Zero +__index__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def __index__(self):$/;" m class:TestLoadTxt.test_usecols.CrazyInt +__index__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ __index__ = __int__$/;" v class:TestRandomDist.test_scalar_exception_propagation.ThrowingInteger file: +__index__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __index__(self):$/;" m class:Integer +__index__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __index__(self):$/;" m class:Dimension +__index__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __index__(self):$/;" m class:_EagerTensorBase +__index__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __index__(self):$/;" m class:Dimension +__index__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __index__(self):$/;" m class:ndarray +__index__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __index__(self) -> int:$/;" m class:SupportsIndex +__index__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __index__ = lambda x: x._get_current_object().__index__()$/;" m class:LocalProxy +__index__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __index__(self):$/;" m class:ObjectProxy +__initialized adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ __initialized = False$/;" v class:cuFFTPlanCacheManager file: +__initialized adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ __initialized = False$/;" v class:DataLoader file: +__initialized adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ __initialized = False$/;" v class:WorkerInfo file: +__init_error_msg adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ __init_error_msg = ("The population initialization method must be one of "$/;" v class:DifferentialEvolutionSolver file: +__init_subclass__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init_subclass__(cls):$/;" m class:Transform +__init_subclass__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init_subclass__(cls, *args, **kwargs):$/;" m class:Annotated +__init_subclass__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init_subclass__(cls, *args, **kwargs):$/;" m class:Protocol +__init__ adpeps/ipeps/ctm.py /^ def __init__(self, tensors: CTMTensors, chi: int, conv_fun=None):$/;" m class:CTM +__init__ adpeps/ipeps/ipeps.py /^ def __init__(self):$/;" m class:iPEPS +__init__ adpeps/ipeps/ipeps.py /^ def __init__(self):$/;" m class:iPEPS_exci +__init__ adpeps/simulation/run_ipeps_exci.py /^ def __init__(self, config_file, momentum_ix):$/;" m class:iPEPSExciSimulation +__init__ adpeps/simulation/run_ipeps_gs.py /^ def __init__(self, peps: iPEPS):$/;" m class:Objective +__init__ adpeps/utils/nested.py /^ def __init__(self, tensors):$/;" m class:Nested +__init__ adpeps/utils/tlist.py /^ def __init__(self, data=None, shape=None, pattern=None, empty_obj=[[]]):$/;" m class:TList +__init__ adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def __init__(self):$/;" m class:HelpFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def __init__(self):$/;" m class:HelpfullFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def __init__(self):$/;" m class:HelpXMLFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/app.py /^ def __init__(self, message, exitcode=1):$/;" m class:UsageError +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __init__(self, **kwargs):$/;" m class:ArgumentParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __init__(self, option_strings, dest, default, help): # pylint: disable=redefined-builtin$/;" m class:_HelpFullAction +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __init__(self, option_strings, dest, help, metavar, flag_instance): # pylint: disable=red/;" m class:_BooleanFlagAction +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/argparse_flags.py /^ def __init__(self, option_strings, dest, help, metavar, flag_instance): # pylint: disable=red/;" m class:_FlagAction +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self):$/;" m class:ListParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, comma_compat=False):$/;" m class:WhitespaceSeparatedListParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, enum_class, case_sensitive=True):$/;" m class:EnumClassParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, enum_values, case_sensitive=True):$/;" m class:EnumParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, list_sep):$/;" m class:CsvListSerializer +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, list_sep):$/;" m class:ListSerializer +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, list_sep, **kwargs):$/;" m class:EnumClassListSerializer +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, lowercase):$/;" m class:EnumClassSerializer +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, lower_bound=None, upper_bound=None):$/;" m class:FloatParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, lower_bound=None, upper_bound=None):$/;" m class:IntegerParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_argument_parser.py /^ def __init__(self, token=None, name=None):$/;" m class:BaseListParser +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_exceptions.py /^ def __init__(self, flagname, flagvalue='', suggestions=None):$/;" m class:UnrecognizedFlagError +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__($/;" m class:EnumClassFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__(self, *args, **kwargs):$/;" m class:MultiFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__(self, name, default, help, enum_values, # pylint: disable=redefined-builtin$/;" m class:EnumFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__(self, name, default, help, short_name=None, **args): # pylint: disable=redefined/;" m class:BooleanFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__(self, parser, serializer, name, default, help_string,$/;" m class:Flag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __init__(self,$/;" m class:MultiEnumClassFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __init__(self):$/;" m class:FlagValues +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __init__(self, flag_values, flag, ensure_non_none_value=False):$/;" m class:FlagHolder +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def __init__(self, checker, message):$/;" m class:Validator +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def __init__(self, flag_name, checker, message):$/;" m class:SingleFlagValidator +__init__ adpepsenv/lib/python3.8/site-packages/absl/flags/_validators.py /^ def __init__(self, flag_names, checker, message):$/;" m class:MultiFlagsValidator +__init__ adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:_LoggerLevelsFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:_StderrthresholdFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:_VerbosityFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def __init__(self, python_logging_formatter):$/;" m class:ABSLHandler +__init__ adpepsenv/lib/python3.8/site-packages/absl/logging/__init__.py /^ def __init__(self, stream=None, formatter=None):$/;" m class:PythonHandler +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, expected_exception, test_case, test_func, msg=None):$/;" m class:TestCase._AssertRaisesContext +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, *args, **kwargs):$/;" m class:TestCase +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, *args, **kwds):$/;" m class:TestLoader +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, flag):$/;" m class:_SavedFlag +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, path):$/;" m class:_TempDir +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^ def __init__(self, path):$/;" m class:_TempFile +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/flagsaver.py /^ def __init__(self, **overrides):$/;" m class:_FlagOverrider +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __init__(self, test_class_name, new_test_name, original_test_name):$/;" m class:DuplicateTestNameError +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __init__(self, test_method, testcases, naming_type, original_name=None):$/;" m class:_ParameterizedTestIter +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def __init__(self):$/;" m class:_TestSuiteResult +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def __init__(self, test):$/;" m class:_TestCaseResult +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def __init__(self, xml_stream, stream, descriptions, verbosity,$/;" m class:_TextAndXMLTestResult +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/xml_reporter.py /^ def __init__(self, xml_stream=None, *args, **kwargs):$/;" m class:TextAndXMLTestRunner +__init__ adpepsenv/lib/python3.8/site-packages/absl/testing/_pretty_print_reporter.py /^ def __init__(self, stream, descriptions, verbosity):$/;" m class:TextTestResult +__init__ adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def __init__(self, methodName='runTest'):$/;" m class:TestCase +__init__ adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def __init__(self, result=None):$/;" m class:_Outcome +__init__ adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def __init__(self, test_case, message, params):$/;" m class:_SubTest +__init__ adpepsenv/lib/python3.8/site-packages/astunparse/printer.py /^ def __init__(self, file=sys.stdout, indent=" "):$/;" m class:Printer +__init__ adpepsenv/lib/python3.8/site-packages/astunparse/unparser.py /^ def __init__(self, tree, file = sys.stdout):$/;" m class:Unparser +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __init__(self, maxsize, getsizeof=None):$/;" m class:Cache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/fifo.py /^ def __init__(self, maxsize, getsizeof=None):$/;" m class:FIFOCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/func.py /^ def __init__(self, ttl, timer):$/;" m class:_UnboundTTLCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^ def __init__(self, maxsize, getsizeof=None):$/;" m class:LFUCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def __init__(self, maxsize, getsizeof=None):$/;" m class:LRUCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def __init__(self, maxsize, getsizeof=None):$/;" m class:MRUCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/rr.py /^ def __init__(self, maxsize, choice=random.choice, getsizeof=None):$/;" m class:RRCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __init__(self, key=None, expire=None):$/;" m class:_Link +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __init__(self, maxsize, ttl, timer=time.monotonic, getsizeof=None):$/;" m class:TTLCache +__init__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __init__(self, timer):$/;" m class:_Timer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/AnyExp.py /^ def __init__(self, opts):$/;" m class:AnyExpTrainer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_loss.py /^ def __init__(self, opts=None, blob_name=''):$/;" m class:ComputeLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/compute_topk_accuracy.py /^ def __init__(self, blob_name=None, opts=None, topk=1):$/;" m class:ComputeTopKAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/meter.py /^ def __init__(self, **kwargs):$/;" m class:Meter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/contrib/playground/resnetdemo/explicit_resnet_forward.py /^ def __init__(self, model, split, opts):$/;" m class:ResNetModelHelper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def __init__(self):$/;" m class:Benchmark +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/SparseTransformer.py /^ def __init__(self, name, optype, p=None, op=None):$/;" m class:NetDefNode +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/binarysize.py /^ def __init__(self, name):$/;" m class:Trie +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/brew.py /^ def __init__(self, wrapped):$/;" m class:HelperWrapper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/cached_reader.py /^ def __init__($/;" m class:CachedReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __init__(self, db_prefix, db_type, metadata_handler=None):$/;" m class:MultiNodeCheckpointManager +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __init__(self, db_prefix, node_name, db_type, metadata_handler=None):$/;" m class:CheckpointManager +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __init__(self, job, checkpoint_manager=None, resume_from_epoch=None,$/;" m class:JobRunner +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint.py /^ def __init__(self,$/;" m class:Job +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/checkpoint_test.py /^ def __init__(self, dest_dir):$/;" m class:UploadToLocalFile +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/cnn.py /^ def __init__(self, order="NCHW", name=None,$/;" m class:CNNModelHelper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def __init__(self):$/;" m class:_ContextRegistry +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def __init__(self, arg_name=None, allow_default=False):$/;" m class:define_context +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/context.py /^ def __init__(self, cls, allow_default, arg_name):$/;" m class:_ContextInfo +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, blob, device):$/;" m class:RemapEntry +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, name, net=None):$/;" m class:BlobReference +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, name, nets=None, num_iter=None):$/;" m class:ExecutionStep +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, name_or_proto):$/;" m class:Net +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, name_or_step):$/;" m class:Plan +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __init__(self, operators):$/;" m class:IR +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/crf.py /^ def __init__(self, model, num_classes, transitions_blob=None):$/;" m class:CRFWithLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self):$/;" m class:CounterReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, builder, piper):$/;" m class:PipedReaderBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, names, readers):$/;" m class:CompositeReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, names, reader_builders):$/;" m class:CompositeReaderBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, reader):$/;" m class:ReaderWithLimitBase +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, reader, delay):$/;" m class:ReaderWithDelay +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, reader, duration=0):$/;" m class:ReaderWithTimeLimit +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, reader, num_iter=1):$/;" m class:ReaderWithLimit +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, schema=None):$/;" m class:Reader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio.py /^ def __init__(self, schema=None, obj_key=None):$/;" m class:Pipe +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataio_test.py /^ def __init__(self, name, size, offset):$/;" m class:TestReaderBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def __init__(self, content):$/;" m class:_DatasetWriter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def __init__(self, dataset, name, batch_size=1, enforce_batch_size=False):$/;" m class:_DatasetReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def __init__(self, dataset, name, indices, batch_size=1, loop_over=False,$/;" m class:_DatasetRandomReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/dataset.py /^ def __init__(self, fields, name=None):$/;" m class:Dataset +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_parallel_model.py /^ def __init__($/;" m class:CollectivesConcurrencyControl +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def __init__($/;" m class:DataWorker +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def __init__(self):$/;" m class:GlobalCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/data_workers.py /^ def __init__(self, net, input_blob_names, batch_size,$/;" m class:BatchFeeder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/db_file_reader.py /^ def __init__($/;" m class:DBFileReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/device_checker.py /^ def __init__(self, threshold, device_options):$/;" m class:DeviceChecker +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/formatter.py /^ def __init__(self):$/;" m class:Formatter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def __init__(self):$/;" m class:DocUploader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def __init__(self, formatter, uploader):$/;" m class:DocGenerator +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def __init__(self, name):$/;" m class:OperatorEngine +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/generator.py /^ def __init__(self, name, schema, priority):$/;" m class:OperatorDoc +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/github.py /^ def __init__(self):$/;" m class:GHOpDocUploader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/docs/parser.py /^ def __init__(self, text, formatter):$/;" m class:Parser +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/examples/char_rnn.py /^ def __init__(self, args):$/;" m class:CharRNN +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/experiment_util.py /^ def __init__(self, expname, runtime_args, external_loggers=None):$/;" m class:ModelTrainerLog +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/gradient_checker.py /^ def __init__($/;" m class:GradientChecker +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/gru_cell.py /^ def __init__($/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/adaptive_weight.py /^ def __init__($/;" m class:AdaptiveWeight +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/add_bias.py /^ def __init__(self, model, input_record, bias_init=None,$/;" m class:AddBias +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/arc_cosine_feature_map.py /^ def __init__($/;" m class:ArcCosineFeatureMap +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_huber_loss.py /^ def __init__(self, model, input_record, name='batch_huber_loss', delta=1.0, **kwargs):$/;" m class:BatchHuberLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_lr_loss.py /^ def __init__($/;" m class:BatchLRLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_mse_loss.py /^ def __init__(self, model, input_record, name='batch_mse_loss', **kwargs):$/;" m class:BatchMSELoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_normalization.py /^ def __init__($/;" m class:BatchNormalization +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_sigmoid_cross_entropy_loss.py /^ def __init__($/;" m class:BatchSigmoidCrossEntropyLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/batch_softmax_loss.py /^ def __init__($/;" m class:BatchSoftmaxLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/blob_weighted_sum.py /^ def __init__($/;" m class:BlobWeightedSum +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bpr_loss.py /^ def __init__(self, model, input_record, name='bpr_loss', **kwargs):$/;" m class:BPRLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/bucket_weighted.py /^ def __init__(self, model, input_record, max_score=0, bucket_boundaries=None,$/;" m class:BucketWeighted +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/build_index.py /^ def __init__($/;" m class:MapToRange +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/concat.py /^ def __init__(self, model, input_record, axis=1, add_axis=0,$/;" m class:Concat +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/constant_weight.py /^ def __init__($/;" m class:ConstantWeight +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/conv.py /^ def __init__(self, model, input_record, output_dim, kernel_h, kernel_w,$/;" m class:Conv +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/dropout.py /^ def __init__($/;" m class:Dropout +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc.py /^ def __init__(self, model, input_record, output_dims, weight_init=None,$/;" m class:FC +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_without_bias.py /^ def __init__($/;" m class:FCWithoutBias +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/fc_with_bootstrap.py /^ def __init__($/;" m class:FCWithBootstrap +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/feature_sparse_to_dense.py /^ def __init__($/;" m class:FeatureSparseToDense +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/functional.py /^ def __init__(self, model, input_record, output_names_or_num, function,$/;" m class:Functional +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/gather_record.py /^ def __init__(self, model, input_record, name='gather_record', **kwargs):$/;" m class:GatherRecord +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/homotopy_weight.py /^ def __init__($/;" m class:HomotopyWeight +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/label_smooth.py /^ def __init__($/;" m class:LabelSmooth +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/last_n_window_collector.py /^ def __init__(self, model, input_record, num_to_collect,$/;" m class:LastNWindowCollector +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def __init__($/;" m class:LayerParameter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def __init__($/;" m class:ModelLayer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layer_normalization.py /^ def __init__($/;" m class:LayerNormalization +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/margin_rank_loss.py /^ def __init__(self, model, input_record, name='margin_rank_loss',$/;" m class:MarginRankLoss +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/merge_id_lists.py /^ def __init__(self, model, input_record, name='merged'):$/;" m class:MergeIdLists +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/pairwise_similarity.py /^ def __init__(self, model, input_record, output_dim, pairwise_similarity_func='dot',$/;" m class:PairwiseSimilarity +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/position_weighted.py /^ def __init__(self, model, input_record, weight_optim=None,$/;" m class:PositionWeighted +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/random_fourier_features.py /^ def __init__($/;" m class:RandomFourierFeatures +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/reservoir_sampling.py /^ def __init__(self, model, input_record, num_to_collect,$/;" m class:ReservoirSampling +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_train.py /^ def __init__($/;" m class:SamplingTrain +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sampling_trainable_mixin.py /^ def __init__(self, *args, **kwargs):$/;" m class:SamplingTrainableMixin +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/select_record_by_context.py /^ def __init__($/;" m class:SelectRecordByContext +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/semi_random_features.py /^ def __init__($/;" m class:SemiRandomFeatures +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_dropout_with_replacement.py /^ def __init__($/;" m class:SparseDropoutWithReplacement +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_feature_hash.py /^ def __init__(self, model, input_record, seed=0, modulo=None,$/;" m class:SparseFeatureHash +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/sparse_lookup.py /^ def __init__(self, model, input_record, inner_shape, reducer,$/;" m class:SparseLookup +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/split.py /^ def __init__(self, model, input_record, num_splits=1, axis=1,$/;" m class:Split +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def __init__(self, tags):$/;" m class:Tags +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/tags.py /^ def __init__(self, tags=None):$/;" m class:TagContext +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/uniform_sampling.py /^ def __init__($/;" m class:UniformSampling +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_model_helper.py /^ def __init__(self, name, input_feature_schema, trainer_extra_schema,$/;" m class:LayerModelHelper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_histogram_for_blobs.py /^ def __init__(self, blobs, logging_frequency, num_buckets=30,$/;" m class:ComputeHistogramForBlobs +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_norm_for_blobs.py /^ def __init__(self, blobs, logging_frequency, p=2, compute_averaged_norm=False, row_index=Non/;" m class:ComputeNormForBlobs +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/compute_statistics_for_blobs.py /^ def __init__(self, blobs, logging_frequency):$/;" m class:ComputeStatisticsForBlobs +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/get_entry_from_blobs.py /^ def __init__(self, blobs, logging_frequency, i1=0, i2=0):$/;" m class:GetEntryFromBlobs +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/gradient_clipping.py /^ def __init__(self, grad_clip_method, clip_norm_type='l2_norm',$/;" m class:GradientClipping +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/initializers.py /^ def __init__(self, operator_name=None, **kwargs):$/;" m class:Initializer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/net_modifier.py /^ def __init__(self):$/;" m class:NetModifier +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ def __init__($/;" m class:ParameterInfo +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_sharing.py /^ def __init__(self):$/;" m class:ParameterSharingContext +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/download.py /^ def __init__(self, model_env_name='CAFFE2_MODELS'):$/;" m class:ModelDownloader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/resnet.py /^ def __init__($/;" m class:ResNetBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/beam_search.py /^ def __init__($/;" m class:BeamSearchForwardOnly +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_model_helper.py /^ def __init__(self, init_params=True, **kwargs):$/;" m class:Seq2SeqModelHelper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/seq2seq_util.py /^ def __init__($/;" m class:LSTMWithAttentionDecoder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/train.py /^ def __init__($/;" m class:Seq2SeqModelCaffe2 +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/seq2seq/translate.py /^ def __init__($/;" m class:Seq2SeqModelCaffe2EnsembleDecoder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/models/shufflenet.py /^ def __init__($/;" m class:ShuffleNetV2Builder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/model_helper.py /^ def __init__(self, name=None, init_params=True, allow_not_known_ops=True,$/;" m class:ModelHelper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def __init__(self):$/;" m class:ModifierContext +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modifier_context.py /^ def __init__(self, modifier_or_dict):$/;" m class:UseModifierBase +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, cond_blob, name=None):$/;" m class:_RunIfNet +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, cond_blob=None, name=None, _already_ran=None):$/;" m class:_RunIf +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, has_stopped_blob=None, name=None):$/;" m class:_StopGuard +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, interval_ms, net=None, name=None):$/;" m class:_ReporterBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, iters=None, name=None):$/;" m class:_Loop +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, name=None):$/;" m class:_RunElseNet +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, name=None):$/;" m class:_RunOnce +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, name=None):$/;" m class:_RunWhileCondition +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, name=None):$/;" m class:_RunWhileNet +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, name=None, initial_scope=None, _stop_blob_required=False,$/;" m class:NetBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __init__(self, type, name=None):$/;" m class:_SetupBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def __init__(self):$/;" m class:Analyzer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def __init__(self):$/;" m class:Text +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def __init__(self, factor_prefixes=False, c2_syntax=True):$/;" m class:Printer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/nomnigraph.py /^ def __init__(self, net=None, device_map=None):$/;" m class:NNModule +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def __init__(self):$/;" m class:Normalizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def __init__(self, epsilon, use_layer_norm_op=True, scale_init_value=1.0):$/;" m class:LayerNormalizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/normalizer.py /^ def __init__(self, momentum, scale_init_value=1.0):$/;" m class:BatchNormalizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend.py /^ def __init__(self, node):$/;" m class:OnnxNode +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_cpp_rep.py /^ def __init__(self, cpp_rep):$/;" m class:Caffe2CppRep +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/backend_rep.py /^ def __init__(self, init_net, predict_net, workspace, uninitialized):$/;" m class:Caffe2Rep +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __init__(self):$/;" m class:Workspace +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/onnx/workspace.py /^ def __init__(self, workspace_id):$/;" m class:_WorkspaceCtx +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/load_save_test.py /^ def __init__(self, methodName, db_type='minidb'):$/;" m class:TestLoadSaveBase +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def __init__(self, *args, **kwargs):$/;" m class:TestSelfBinningHistogramLinear +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def __init__(self, *args, **kwargs):$/;" m class:TestSelfBinningHistogramLinearFloat +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def __init__(self, *args, **kwargs):$/;" m class:TestSelfBinningHistogramLogarithmic +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def __init__(self, *args, **kwargs):$/;" m class:TestSelfBinningHistogramLogarithmicFloat +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/self_binning_histogram_test.py /^ def __init__(self, bin_spacing, dtype):$/;" m class:TestSelfBinningHistogramBase +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:AdadeltaOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:AdagradOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:AdamOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:FP16SgdOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:FtrlOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:GFtrlOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:MultiPrecisionSgdOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:RmsPropOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:SgdOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:StormOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:WngradOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__($/;" m class:YellowFinOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__(self):$/;" m class:Optimizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/optimizer.py /^ def __init__(self, weight_decay):$/;" m class:WeightDecayBuilder +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def __init__($/;" m class:Worker +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def __init__($/;" m class:WorkerCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def __init__(self):$/;" m class:GlobalWorkerCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/parallel_workers.py /^ def __init__(self, external_loggers):$/;" m class:Metrics +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def __init__(self, net, stop_signal=None, thread_init_nets=None, name=None):$/;" m class:NetProcessor +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def __init__(self, nets=None, record=None, should_stop=None):$/;" m class:Output +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/pipeline.py /^ def __init__(self, reader, processor):$/;" m class:ProcessingReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def __init__(self, capacity, schema=None, name='queue',$/;" m class:Queue +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def __init__(self, handler, schema=None, num_dequeue_records=1):$/;" m class:QueueWrapper +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def __init__(self, wrapper):$/;" m class:_QueueWriter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/queue_util.py /^ def __init__(self, wrapper, num_dequeue_records=1):$/;" m class:_QueueReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def __init__(self, blobs_queue, schema):$/;" m class:_QueueWriter +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def __init__(self, blobs_queue, schema, name=None):$/;" m class:_QueueReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/record_queue.py /^ def __init__(self, fields, name=None, capacity=1,$/;" m class:RecordQueue +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__($/;" m class:BoundedGradientProjection +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self):$/;" m class:Regularizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, l1, l2):$/;" m class:ElasticNet +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, l1, l2, k):$/;" m class:ElasticNetL1NormTrimmed +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, norm=1.0):$/;" m class:ConstantNorm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, norm=1.0):$/;" m class:MaxNorm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, p, reg_lambda):$/;" m class:SparseLpNorm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda):$/;" m class:L1Norm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda):$/;" m class:L2Norm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda):$/;" m class:SparseL1Norm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda):$/;" m class:SparseL2Norm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda, alpha=0.01, budget=0):$/;" m class:L0ApproxNorm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda, discount_policy="inv", discount_options=None):$/;" m class:LogBarrier +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda, groups, stabilizing_val=0):$/;" m class:GroupL1Norm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda, k):$/;" m class:L1NormTrimmed +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/regularizer.py /^ def __init__(self, reg_lambda, p_value=0.5):$/;" m class:LpNorm +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:AttentionCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:BasicRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:DropoutCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:LayerNormLSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:LSTMWithAttentionCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__($/;" m class:MILSTMWithAttentionCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__(self, cell, T):$/;" m class:UnrolledCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__(self, cells):$/;" m class:MultiRNNCellInitializer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__(self, cells, residual_output_layers=None, **kwargs):$/;" m class:MultiRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__(self, hidden_size):$/;" m class:LSTMInitializer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/rnn_cell.py /^ def __init__(self, name=None, forward_only=False, initializer=None):$/;" m class:RNNCell +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, *fields):$/;" m class:Struct +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, children):$/;" m class:Field +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, dtype=None, blob=None, metadata=None):$/;" m class:Scalar +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, name, type_str=''):$/;" m class:_SchemaNode +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, values, lengths_blob=None):$/;" m class:List +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __init__(self, values, lengths_blob=None, evicted_values=None):$/;" m class:ListWithEvicted +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def __init__(self):$/;" m class:Session +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def __init__(self, obj, session_class):$/;" m class:CompiledRunnable +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/session.py /^ def __init__(self, ws=None):$/;" m class:LocalSession +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__($/;" m class:Task +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self):$/;" m class:Cluster +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self, init_nets=None, exit_nets=None):$/;" m class:SetupNets +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self, names):$/;" m class:TaskOutput +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self, node='local', **kwargs):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self, outputs=None):$/;" m class:TaskOutputList +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __init__(self, workspace_type=None):$/;" m class:TaskGroup +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/text_file_reader.py /^ def __init__(self, init_net, filename, schema, num_passes=1, batch_size=1):$/;" m class:TextFileReader +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/timeout_guard.py /^ def __init__(self, timeout_secs):$/;" m class:WatcherThread +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/transformations.py /^ def __init__(self):$/;" m class:Transformer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/visualize.py /^ def __init__(self, gap=1):$/;" m class:PatchVisualizer +__init__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace_test.py /^ def __init__(self):$/;" m class:MyModule +__init__ adpepsenv/lib/python3.8/site-packages/chardet/big5prober.py /^ def __init__(self):$/;" m class:Big5Prober +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:Big5DistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:CharDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCJPDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCKRDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCTWDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:GB2312DistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/chardistribution.py /^ def __init__(self):$/;" m class:SJISDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/charsetgroupprober.py /^ def __init__(self, lang_filter=None):$/;" m class:CharSetGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/charsetprober.py /^ def __init__(self, lang_filter=None):$/;" m class:CharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/codingstatemachine.py /^ def __init__(self, sm):$/;" m class:CodingStateMachine +__init__ adpepsenv/lib/python3.8/site-packages/chardet/cp949prober.py /^ def __init__(self):$/;" m class:CP949Prober +__init__ adpepsenv/lib/python3.8/site-packages/chardet/escprober.py /^ def __init__(self, lang_filter=None):$/;" m class:EscCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/eucjpprober.py /^ def __init__(self):$/;" m class:EUCJPProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/euckrprober.py /^ def __init__(self):$/;" m class:EUCKRProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/euctwprober.py /^ def __init__(self):$/;" m class:EUCTWProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/gb2312prober.py /^ def __init__(self):$/;" m class:GB2312Prober +__init__ adpepsenv/lib/python3.8/site-packages/chardet/hebrewprober.py /^ def __init__(self):$/;" m class:HebrewProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def __init__(self):$/;" m class:JapaneseContextAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/jpcntx.py /^ def __init__(self):$/;" m class:SJISContextAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/chardet/latin1prober.py /^ def __init__(self):$/;" m class:Latin1Prober +__init__ adpepsenv/lib/python3.8/site-packages/chardet/mbcharsetprober.py /^ def __init__(self, lang_filter=None):$/;" m class:MultiByteCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/mbcsgroupprober.py /^ def __init__(self, lang_filter=None):$/;" m class:MBCSGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/metadata/languages.py /^ def __init__(self, name=None, iso_code=None, use_ascii=True, charsets=None,$/;" m class:Language +__init__ adpepsenv/lib/python3.8/site-packages/chardet/sbcharsetprober.py /^ def __init__(self, model, reversed=False, name_prober=None):$/;" m class:SingleByteCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/sbcsgroupprober.py /^ def __init__(self):$/;" m class:SBCSGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/sjisprober.py /^ def __init__(self):$/;" m class:SJISProber +__init__ adpepsenv/lib/python3.8/site-packages/chardet/universaldetector.py /^ def __init__(self, lang_filter=LanguageFilter.ALL):$/;" m class:UniversalDetector +__init__ adpepsenv/lib/python3.8/site-packages/chardet/utf8prober.py /^ def __init__(self):$/;" m class:UTF8Prober +__init__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __init__(self, left, right=None, op=None):$/;" m class:Cycler +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/isoparser.py /^ def __init__(self, sep=None):$/;" m class:isoparser +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self):$/;" m class:_tzparser._result +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self):$/;" m class:_resultbase +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self, *args, **kwargs):$/;" m class:_ymd +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self, dayfirst=False, yearfirst=False):$/;" m class:parserinfo +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self, info=None):$/;" m class:parser +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __init__(self, instream):$/;" m class:_timelex +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:__deprecate_private_class.private_class +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __init__(self, dt1=None, dt2=None,$/;" m class:relativedelta +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, genlist, gen):$/;" m class:rruleset._genitem +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, cache=False):$/;" m class:rrulebase +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, cache=False):$/;" m class:rruleset +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, freq, dtstart=None,$/;" m class:rrule +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, rrule):$/;" m class:_iterinfo +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __init__(self, wkday, n=None):$/;" m class:weekday +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self):$/;" m class:__get_gettz.GettzFunc +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, context):$/;" m class:_nullcontext +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self):$/;" m class:tzlocal +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self):$/;" m class:_ttinfo +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, **kwargs):$/;" m class:_tzfile +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, fileobj):$/;" m class:tzical +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, fileobj, filename=None):$/;" m class:tzfile +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, name, offset):$/;" m class:tzoffset +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, s, posix_offset=False):$/;" m class:tzstr +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, stdabbr, stdoffset=None,$/;" m class:tzrange +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, tzid, comps=[]):$/;" m class:_tzicalvtz +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __init__(self, tzoffsetfrom, tzoffsetto, isdst,$/;" m class:_tzicalvtzcomp +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __init__(self):$/;" m class:tzwinbase +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __init__(self):$/;" m class:tzwinlocal +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __init__(self, name):$/;" m class:tzwin +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __init__(self, tzres_loc='tzres.dll'):$/;" m class:tzres +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def __init__(self):$/;" m class:tzrangebase +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __init__(cls, *args, **kwargs):$/;" m class:_TzOffsetFactory +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __init__(cls, *args, **kwargs):$/;" m class:_TzSingleton +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_factories.py /^ def __init__(cls, *args, **kwargs):$/;" m class:_TzStrFactory +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^ def __init__(self, zonefile_stream=None):$/;" m class:ZoneInfoFile +__init__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __init__(self, weekday, n=None):$/;" m class:weekday +__init__ adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ def __init__(self, initialSize):$/;" m class:Builder +__init__ adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ def __init__(self, buf, pos):$/;" m class:Table +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/app_engine.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/aws.py /^ def __init__(self, region_name):$/;" m class:RequestSigner +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/compute_engine/credentials.py /^ def __init__($/;" m class:IDTokenCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def __init__(self):$/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/credentials.py /^ def __init__(self):$/;" m class:ReadOnlyScoped +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def __init__(self, private_key, key_id=None):$/;" m class:ES256Signer +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/es256.py /^ def __init__(self, public_key):$/;" m class:ES256Verifier +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def __init__(self, private_key, key_id=None):$/;" m class:RSASigner +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_cryptography_rsa.py /^ def __init__(self, public_key):$/;" m class:RSAVerifier +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def __init__(self, private_key, key_id=None):$/;" m class:RSASigner +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/crypt/_python_rsa.py /^ def __init__(self, public_key):$/;" m class:RSAVerifier +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/external_account.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/iam.py /^ def __init__(self, request, credentials, service_account_email):$/;" m class:Signer +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/identity_pool.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/impersonated_credentials.py /^ def __init__($/;" m class:IDTokenCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/jwt.py /^ def __init__($/;" m class:OnDemandCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def __init__(self):$/;" m class:SslCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/grpc.py /^ def __init__(self, credentials, request, default_host=None):$/;" m class:AuthMetadataPlugin +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __init__($/;" m class:AuthorizedSession +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __init__(self, cert, key):$/;" m class:_MutualTlsAdapter +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __init__(self, response):$/;" m class:_Response +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __init__(self, session=None):$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/requests.py /^ def __init__(self, timeout, timeout_error_type=requests.exceptions.Timeout):$/;" m class:TimeoutGuard +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __init__($/;" m class:AuthorizedHttp +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __init__(self, http):$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/urllib3.py /^ def __init__(self, response):$/;" m class:_Response +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def __init__($/;" m class:AuthorizedSession +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def __init__(self, response):$/;" m class:_CombinedResponse +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def __init__(self, response):$/;" m class:_Response +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_aiohttp_requests.py /^ def __init__(self, session=None):$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/google/auth/transport/_http_client.py /^ def __init__(self, response):$/;" m class:Response +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def __init__(self, account=None, quota_project_id=None):$/;" m class:UserAccessTokenCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def __init__($/;" m class:Credentials +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/service_account.py /^ def __init__($/;" m class:IDTokenCredentials +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/sts.py /^ def __init__(self, token_exchange_endpoint, client_authentication=None):$/;" m class:Client +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ def __init__(self, client_authentication=None):$/;" m class:OAuthClientAuthHandler +__init__ adpepsenv/lib/python3.8/site-packages/google/oauth2/utils.py /^ def __init__(self, client_auth_type, client_id, client_secret=None):$/;" m class:ClientAuthentication +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__($/;" m class:OneofDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, full_name, filename, containing_type, fields,$/;" m class:Descriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, full_name, filename, values,$/;" m class:EnumDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, full_name, index, containing_service,$/;" m class:MethodDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, full_name, index, methods, options=None,$/;" m class:ServiceDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, full_name, index, number, type, cpp_type, label,$/;" m class:FieldDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, index, number,$/;" m class:EnumValueDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, name, package, options=None,$/;" m class:FileDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, options, options_class_name, name, full_name,$/;" m class:_NestedDescriptorBase +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __init__(self, options, serialized_options, options_class_name):$/;" m class:DescriptorBase +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_database.py /^ def __init__(self):$/;" m class:DescriptorDatabase +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def __init__(self, descriptor_db=None):$/;" m class:DescriptorPool +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self):$/;" m class:UnknownFieldSet +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, field_number, wire_type, data):$/;" m class:_UnknownField +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, message_listener):$/;" m class:BaseContainer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, message_listener, key_checker, value_checker,$/;" m class:ScalarMap +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, message_listener, message_descriptor):$/;" m class:RepeatedCompositeFieldContainer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, message_listener, message_descriptor, key_checker,$/;" m class:MessageMap +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, message_listener, type_checker):$/;" m class:RepeatedScalarFieldContainer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __init__(self, parent, index):$/;" m class:UnknownFieldRef +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/enum_type_wrapper.py /^ def __init__(self, enum_type):$/;" m class:EnumTypeWrapper +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __init__(self, extended_message):$/;" m class:_ExtensionDict +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __init__(cls, name, bases, dictionary):$/;" m class:GeneratedProtocolMessageType +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __init__(self, descriptor, getter, setter, doc):$/;" m class:_FieldProperty +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __init__(self, parent_message):$/;" m class:_Listener +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __init__(self, parent_message, field):$/;" m class:_OneofListener +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def __init__(self, *acceptable_types):$/;" m class:TypeChecker +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def __init__(self, default_value, *acceptable_types):$/;" m class:TypeCheckerWithDefault +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/type_checkers.py /^ def __init__(self, enum_type):$/;" m class:EnumValueChecker +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __init__(self, field_mask=None):$/;" m class:_FieldMaskTree +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def __init__($/;" m class:_Printer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/json_format.py /^ def __init__(self, ignore_unknown_fields, descriptor_pool):$/;" m class:_Parser +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message_factory.py /^ def __init__(self, pool=None):$/;" m class:MessageFactory +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def __init__(cls, name, bases, dictionary):$/;" m class:GeneratedServiceStubType +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def __init__(cls, name, bases, dictionary):$/;" m class:GeneratedServiceType +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def __init__(self, service_descriptor):$/;" m class:_ServiceBuilder +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/service_reflection.py /^ def __init__(self, service_descriptor):$/;" m class:_ServiceStubBuilder +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def __init__($/;" m class:_Printer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def __init__(self, as_utf8):$/;" m class:TextWriter +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def __init__(self, lines, skip_comments=True):$/;" m class:Tokenizer +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def __init__(self, message=None, line=None, column=None):$/;" m class:ParseError +__init__ adpepsenv/lib/python3.8/site-packages/google/protobuf/text_format.py /^ def __init__(self,$/;" m class:_Parser +__init__ adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def __init__($/;" m class:Flow +__init__ adpepsenv/lib/python3.8/site-packages/google_auth_oauthlib/flow.py /^ def __init__(self, success_message):$/;" m class:_RedirectWSGIApp +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self, cython_call: cygrpc._AioCall, metadata: Metadata,$/;" m class:Call +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self, request: RequestType, deadline: Optional[float],$/;" m class:UnaryStreamCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self, request: RequestType, deadline: Optional[float],$/;" m class:UnaryUnaryCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self, request_iterator: Optional[RequestIterableType],$/;" m class:StreamStreamCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self, request_iterator: Optional[RequestIterableType],$/;" m class:StreamUnaryCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __init__(self,$/;" m class:AioRpcError +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __init__($/;" m class:_BaseMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_channel.py /^ def __init__(self, target: str, options: ChannelArgumentType,$/;" m class:Channel +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, call: Union[_base_call.UnaryStreamCall, _base_call.$/;" m class:_StreamCallResponseIterator +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, interceptors: Sequence[StreamStreamClientInterceptor],$/;" m class:InterceptedStreamStreamCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, interceptors: Sequence[StreamUnaryClientInterceptor],$/;" m class:InterceptedStreamUnaryCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, interceptors: Sequence[UnaryStreamClientInterceptor],$/;" m class:InterceptedUnaryStreamCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, interceptors: Sequence[UnaryUnaryClientInterceptor],$/;" m class:InterceptedUnaryUnaryCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, interceptors_task: asyncio.Task) -> None:$/;" m class:InterceptedCall +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_interceptor.py /^ def __init__(self, response: ResponseType) -> None:$/;" m class:UnaryUnaryCallResponse +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __init__(self, *args: Tuple[MetadataKey, MetadataValue]) -> None:$/;" m class:Metadata +__init__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_server.py /^ def __init__(self, thread_pool: Optional[Executor],$/;" m class:Server +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def __init__(self, channel):$/;" m class:Channel +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def __init__(self, host, request_serializers, response_deserializers,$/;" m class:StubOptions +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/implementations.py /^ def __init__(self, multi_method_implementation, request_deserializers,$/;" m class:ServerOptions +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/interfaces.py /^ def __init__(self, disable_compression, subcall_of, credentials):$/;" m class:GRPCCallOptions +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/utilities.py /^ def __init__(self, channel):$/;" m class:_ChannelReadyFuture +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, backing_generic_stub, group, cardinalities):$/;" m class:_DynamicStub +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, channel, group, method, metadata_transformer,$/;" m class:_StreamStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, channel, group, method, metadata_transformer,$/;" m class:_StreamUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, channel, group, method, metadata_transformer,$/;" m class:_UnaryStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, channel, group, method, metadata_transformer,$/;" m class:_UnaryUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, channel, metadata_transformer, request_serializers,$/;" m class:_GenericStub +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __init__(self, response_future, response_iterator, call):$/;" m class:_Rendezvous +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __init__(self):$/;" m class:_Callback +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __init__(self, grpc_server):$/;" m class:_Server +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __init__(self, method_implementations, multi_method_implementation,$/;" m class:_GenericRpcHandler +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __init__(self, servicer_context):$/;" m class:_FaceServicerContext +__init__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_server_adaptations.py /^ def __init__(self, servicer_context):$/;" m class:_ServerProtocolContext +__init__ adpepsenv/lib/python3.8/site-packages/grpc/experimental/session_cache.py /^ def __init__(self, cache):$/;" m class:SSLSessionCache +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/logging_pool.py /^ def __init__(self, backing_pool):$/;" m class:_LoggingPool +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def __init__(self):$/;" m class:IterableConsumer +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def __init__(self, sink, pool):$/;" m class:ThreadSwitchingConsumer +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def __init__(self, transformation, downstream):$/;" m class:TransformingConsumer +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/base/base.py /^ def __init__(self, code, details):$/;" m class:NoSuchMethodError +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __init__(self, group, method):$/;" m class:NoSuchMethodError +__init__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __init__(self, initial_metadata, terminal_metadata, code, details):$/;" m class:AbortionError +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^ def __init__(self, access_token):$/;" m class:AccessTokenAuthMetadataPlugin +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_auth.py /^ def __init__(self, credentials):$/;" m class:GoogleCallCredentials +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel):$/;" m class:_ChannelCallState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel):$/;" m class:_ChannelConnectivityState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel, managed_call, method, request_serializer,$/;" m class:_StreamStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel, managed_call, method, request_serializer,$/;" m class:_StreamUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel, managed_call, method, request_serializer,$/;" m class:_UnaryStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel, managed_call, method, request_serializer,$/;" m class:_UnaryUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, channel, method, request_serializer,$/;" m class:_SingleThreadedUnaryStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, due, initial_metadata, trailing_metadata, code, details):$/;" m class:_RPCState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, state):$/;" m class:_InactiveRpcError +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, state, call, response_deserializer, deadline):$/;" m class:_Rendezvous +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __init__(self, target, options, credentials, compression):$/;" m class:Channel +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, channel, interceptor):$/;" m class:_Channel +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, exception, traceback):$/;" m class:_FailureOutcome +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, interceptors):$/;" m class:_ServicePipeline +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, response, call):$/;" m class:_UnaryOutcome +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, thunk, method, interceptor):$/;" m class:_StreamStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, thunk, method, interceptor):$/;" m class:_StreamUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, thunk, method, interceptor):$/;" m class:_UnaryStreamMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __init__(self, thunk, method, interceptor):$/;" m class:_UnaryUnaryMultiCallable +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^ def __init__(self):$/;" m class:_CallbackState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^ def __init__(self, metadata_plugin):$/;" m class:_Plugin +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_plugin_wrapping.py /^ def __init__(self, state, callback):$/;" m class:_AuthMetadataPluginCallback +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __init__(self):$/;" m class:_RPCState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __init__(self, completion_queue, server, generic_handlers,$/;" m class:_ServerState +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __init__(self, rpc_event, state, request_deserializer):$/;" m class:_Context +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __init__(self, state, call, request_deserializer):$/;" m class:_RequestIterator +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __init__(self, thread_pool, generic_handlers, interceptors, options,$/;" m class:_Server +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_simple_stubs.py /^ def __init__(self):$/;" m class:ChannelCache +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def __init__(self, channel):$/;" m class:_ChannelReadyFuture +__init__ adpepsenv/lib/python3.8/site-packages/grpc/_utilities.py /^ def __init__(self, service, method_handlers):$/;" m class:DictionaryGenericHandler +__init__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __init__(self, certificate_configuration):$/;" m class:ServerCertificateConfiguration +__init__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __init__(self, credentials):$/;" m class:CallCredentials +__init__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __init__(self, credentials):$/;" m class:ChannelCredentials +__init__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ def __init__(self, credentials):$/;" m class:ServerCredentials +__init__ adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def __init__(self):$/;" m class:DataTableJSONEncoder +__init__ adpepsenv/lib/python3.8/site-packages/gviz_api.py /^ def __init__(self, table_description, data=None, custom_properties=None):$/;" m class:DataTable +__init__ adpepsenv/lib/python3.8/site-packages/h5py/h5py_warnings.py /^ def __init__(self, mod):$/;" m class:ModuleWrapper +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __init__(self, parent):$/;" m class:AttributeManager +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __init__(self, dtype):$/;" m class:Empty +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __init__(self, obj):$/;" m class:_RegionProxy +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __init__(self, oid):$/;" m class:HLObject +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __init__(self, dset):$/;" m class:CollectiveContext +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __init__(self, bind):$/;" m class:Dataset +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __init__(self, dset, dtype):$/;" m class:AstypeContext +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/datatype.py /^ def __init__(self, bind):$/;" m class:Datatype +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __init__(self, id_, dimension):$/;" m class:DimensionProxy +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __init__(self, parent):$/;" m class:DimensionManager +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def __init__(self, name, mode=None, driver=None,$/;" m class:File +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __init__(self, bind):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __init__(self, filename, path):$/;" m class:ExternalLink +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __init__(self, path):$/;" m class:SoftLink +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __init__(self, dsid):$/;" m class:_RegionProxy +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __init__(self, shape, *args, **kwds):$/;" m class:FancySelection +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __init__(self, shape, *args, **kwds):$/;" m class:SimpleSelection +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections.py /^ def __init__(self, shape, spaceid=None):$/;" m class:Selection +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^ def __init__(self, fspace, args):$/;" m class:ScalarReadSelection +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ def __init__(self, path_or_dataset, name=None,$/;" m class:VirtualSource +__init__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ def __init__(self, shape, dtype=None, maxshape=None):$/;" m class:VirtualLayout +__init__ adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^ def __init__(self, aval):$/;" m class:Zero +__init__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __init__(self):$/;" m class:_ThreadLocalState +__init__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __init__(self, fun, prim):$/;" m class:CustomTransformsFunction +__init__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __init__(self, shape, dtype):$/;" m class:ShapeDtypeStruct +__init__ adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def __init__(self):$/;" m class:Config +__init__ adpepsenv/lib/python3.8/site-packages/jax/config.py /^ def __init__(self, getter):$/;" m class:NameSpace +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self) -> None:$/;" m class:omnistaging_disabler.TraceState +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self):$/;" m class:omnistaging_disabler.TraceStack +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self) -> None:$/;" m class:TraceState +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self): pass$/;" m class:DropVar +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self): pass$/;" m class:UnitVar +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self):$/;" m class:DebugState +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self):$/;" m class:ThreadLocalState +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self):$/;" m class:TraceStack +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, constvars: Sequence['Var'], invars: Sequence['Var'],$/;" m class:Jaxpr +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, count: int, suffix: str, aval: 'AbstractValue'):$/;" m class:Var +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, dtype, weak_type=False):$/;" m class:UnshapedArray +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, jaxpr: Jaxpr, consts: Sequence):$/;" m class:ClosedJaxpr +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, level, trace_type, **payload) -> None:$/;" m class:MainTrace +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, main: 'MainTrace', sublevel: 'Sublevel') -> None:$/;" m class:Trace +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, name: str):$/;" m class:Primitive +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, obj):$/;" m class:_TempAxisName +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, shape, dtype, weak_type=False):$/;" m class:ShapedArray +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, trace: Trace):$/;" m class:Tracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, val):$/;" m class:Literal +__init__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __init__(self, val, weak_type=False):$/;" m class:ConcreteArray +__init__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __init__(self, fun, nondiff_argnums=()):$/;" m class:custom_jvp +__init__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __init__(self, fun, nondiff_argnums=()):$/;" m class:custom_vjp +__init__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __init__(self, jaxpr, in_tree, out_tree, consts):$/;" m class:Residuals +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ def __init__(self, trace, val):$/;" m class:CallbackTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __init__(self, trace, head, tail):$/;" m class:DoublingTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __init__(self, val, dtype=None):$/;" m class:_DoubleDouble +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/host_callback.py /^ def __init__(self):$/;" m class:_OutfeedReceiverData +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ def __init__(self, trace: 'TensorFlowTrace', val: TfVal,$/;" m class:TensorFlowTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/jax2tf_limitations.py /^ def __init__($/;" m class:Jax2TfLimitation +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def __init__($/;" m class:Limitation +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def __init__(self,$/;" m class:Harness +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ def __init__(self, trace, primal, terms):$/;" m class:JetTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __init__(self):$/;" m class:Scope +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __init__(self, cond_func):$/;" m class:_WhileBuilder +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __init__(self, pred):$/;" m class:_CondBuilder +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __init__(self, scope, loop_builder):$/;" m class:_BodyTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __init__(self, start, stop, step):$/;" m class:_BoundedLoopBuilder +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __init__(self):$/;" m class:XMapPrimitive +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __init__(self, *args, **kwargs):$/;" m class:FrozenDict +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __init__(self, physical_mesh: Mesh):$/;" m class:ResourceEnv +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __init__(self, uid, tag=None):$/;" m class:_UniqueResourceName +__init__ adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def __init__(self, subtree):$/;" m class:JoinPoint +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def __init__(self):$/;" m class:CustomJVPException +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def __init__(self):$/;" m class:CustomVJPException +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def __init__(self, aval):$/;" m class:UndefinedPrimal +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def __init__(self, trace, primal, tangent):$/;" m class:JVPTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def __init__(self, *args, axis_name):$/;" m class:BatchTrace +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ def __init__(self, trace, val, batch_dim: Optional[int]):$/;" m class:BatchTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def __init__(self, fun):$/;" m class:custom_ivjp +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/invertible_ad.py /^ def __init__(self, val):$/;" m class:DontFlatten +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __init__(self, coeffs: Dict['Mon', int]):$/;" m class:Poly +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __init__(self, name):$/;" m class:UniqueId +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __init__(self, trace, val, polymorphic_shape):$/;" m class:MaskTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __init__(self):$/;" m class:JaxprStackFrame +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __init__(self, trace, aval, line_info=None):$/;" m class:DynamicJaxprTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __init__(self, trace: JaxprTrace, pval: PartialVal,$/;" m class:JaxprTracer +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self):$/;" m class:_ThreadLocalState +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self, chunks: Union[int, Tuple[int, ...]]):$/;" m class:Chunked +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self, devices: np.ndarray, axis_names: Sequence[MeshAxisName]):$/;" m class:Mesh +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self, name, pmap_trace, hard_size):$/;" m class:DynamicAxisEnvFrame +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self, nrep, npart, nouts, out_specs, out_indices, handlers,$/;" m class:ResultsHandler +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self,$/;" m class:ShardedDeviceArray +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __init__(self,$/;" m class:ShardingSpec +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __init__(self, aval: core.ShapedArray, device: Optional[Device],$/;" m class:_DeviceArray +__init__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __init__(self, device=None): self._device = device$/;" m class:DeviceConstant +__init__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __init__(self):$/;" m class:Store +__init__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __init__(self):$/;" m class:_CacheLocalContext +__init__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __init__(self, f, transforms, stores, params):$/;" m class:WrappedFun +__init__ adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def __init__(self, method):$/;" m class:_cached_property +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/lax/parallel.py /^ def __init__(self, spec: str):$/;" m class:XeinsumSpecParser +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __init__(self, array):$/;" m class:_IndexUpdateHelper +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __init__(self, array, index):$/;" m class:_IndexUpdateRef +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __init__(shape, dtype=None, buffer=None, offset=0, strides=None,$/;" m class:ndarray +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def __init__(self, lines):$/;" m class:PrettyPrint +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/profiler.py /^ def __init__(self, name: str, **kwargs):$/;" m class:StepTraceContext +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/source_info_util.py /^ def __init__(self):$/;" m class:_SourceInfoContext +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __init__(self, f, closure):$/;" m class:HashableFunction +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __init__(self, val):$/;" m class:Hashable +__init__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __init__(self, val):$/;" m class:WrapHashably +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ def __init__(self):$/;" m class:PocketFftDescriptorT +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:ConvolutionDimensionNumbers +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:DotDimensionNumbers +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:GatherDimensionNumbers +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:OpSharding +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:PaddingConfig +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:PaddingConfigDimension +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:PrecisionConfig +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:ReplicaGroup +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self):$/;" m class:ScatterDimensionNumbers +__init__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ def __init__(self, op_type='', op_name='', source_file='', source_line=0):$/;" m class:OpMetadata +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def __init__(self,$/;" m class:DataFrameIterator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ def __init__(self,$/;" m class:DirectoryIterator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/image_data_generator.py /^ def __init__(self,$/;" m class:ImageDataGenerator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def __init__(self, n, batch_size, shuffle, seed):$/;" m class:Iterator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/numpy_array_iterator.py /^ def __init__(self,$/;" m class:NumpyArrayIterator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^ def __init__(self, data, targets, length,$/;" m class:TimeseriesGenerator +__init__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/text.py /^ def __init__(self, num_words=None,$/;" m class:Tokenizer +__init__ adpepsenv/lib/python3.8/site-packages/markdown/blockparser.py /^ def __init__(self, md):$/;" m class:BlockParser +__init__ adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def __init__(self, *args):$/;" m class:ListIndentProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def __init__(self, parser):$/;" m class:BlockProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def __init__(self, parser):$/;" m class:OListProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/blockprocessors.py /^ def __init__(self, parser):$/;" m class:UListProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/core.py /^ def __init__(self, **kwargs):$/;" m class:Markdown +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/abbr.py /^ def __init__(self, pattern, title):$/;" m class:AbbrInlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/admonition.py /^ def __init__(self, parser):$/;" m class:AdmonitionProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def __init__(self, **kwargs):$/;" m class:CodeHiliteExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/codehilite.py /^ def __init__(self, src, **options):$/;" m class:CodeHilite +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/extra.py /^ def __init__(self, **kwargs):$/;" m class:ExtraExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def __init__(self, **kwargs):$/;" m class:FencedCodeExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/fenced_code.py /^ def __init__(self, md, config):$/;" m class:FencedBlockPreprocessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, **kwargs):$/;" m class:FootnoteExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, footnotes):$/;" m class:FootnoteBlockProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, footnotes):$/;" m class:FootnotePostprocessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, footnotes):$/;" m class:FootnotePostTreeprocessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, footnotes):$/;" m class:FootnoteTreeprocessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/footnotes.py /^ def __init__(self, pattern, footnotes):$/;" m class:FootnoteInlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/md_in_html.py /^ def __init__(self, md, *args, **kwargs):$/;" m class:HTMLExtractorExtra +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ def __init__(self, parser):$/;" m class:SaneOListProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/sane_lists.py /^ def __init__(self, parser):$/;" m class:SaneUListProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def __init__(self, **kwargs):$/;" m class:SmartyExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/smarty.py /^ def __init__(self, pattern, replace, md):$/;" m class:SubstituteTextPattern +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/tables.py /^ def __init__(self, parser):$/;" m class:TableProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def __init__(self, **kwargs):$/;" m class:TocExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/toc.py /^ def __init__(self, md, config):$/;" m class:TocTreeprocessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^ def __init__(self, **kwargs):$/;" m class:WikiLinkExtension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/wikilinks.py /^ def __init__(self, pattern, config):$/;" m class:WikiLinksInlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/extensions/__init__.py /^ def __init__(self, **kwargs):$/;" m class:Extension +__init__ adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ def __init__(self, md, *args, **kwargs):$/;" m class:HTMLExtractor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def __init__(self, pattern):$/;" m class:BacktickInlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def __init__(self, pattern, md=None):$/;" m class:InlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def __init__(self, pattern, md=None):$/;" m class:Pattern +__init__ adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def __init__(self, pattern, tag):$/;" m class:SimpleTagInlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/inlinepatterns.py /^ def __init__(self, pattern, tag):$/;" m class:SimpleTagPattern +__init__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def __init__(self, name):$/;" m class:Pep562 +__init__ adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def __init__(self, limit):$/;" m class:recursionlimit +__init__ adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __init__(self, md):$/;" m class:InlineProcessor +__init__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __init__(self):$/;" m class:HtmlStash +__init__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __init__(self):$/;" m class:Registry +__init__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __init__(self, md=None):$/;" m class:Processor +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/afm.py /^ def __init__(self, fh):$/;" m class:AFM +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self):$/;" m class:MovieWriterRegistry +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, *args, **kwargs):$/;" m class:FileMovieWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fig, artists, *args, **kwargs):$/;" m class:ArtistAnimation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fig, event_source=None, blit=False):$/;" m class:Animation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fig, func, frames=None, init_func=None, fargs=None,$/;" m class:FuncAnimation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fig, interval=200, repeat_delay=0, repeat=True,$/;" m class:TimedAnimation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fps=30, codec=None, bitrate=None, extra_args=None,$/;" m class:HTMLWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fps=5, codec=None, bitrate=None, extra_args=None,$/;" m class:MovieWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __init__(self, fps=5, metadata=None, codec=None, bitrate=None):$/;" m class:AbstractMovieWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def __init__(self):$/;" m class:Artist +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/artist.py /^ def __init__(self, o):$/;" m class:ArtistInspector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __init__(self, attr_name, method_name, *, doc_sub=None):$/;" m class:_axis_method_wrapper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __init__(self, axes, command='plot'):$/;" m class:_process_plot_var_args +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __init__(self, fig, rect,$/;" m class:_AxesBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_secondary_axes.py /^ def __init__(self, parent, orientation, location, functions, **kwargs):$/;" m class:SecondaryAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def __init__(self, fig, *args, **kwargs):$/;" m class:SubplotBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self):$/;" m class:Ticker +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, *args, **kwargs):$/;" m class:XAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, *args, **kwargs):$/;" m class:XTick +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, *args, **kwargs):$/;" m class:YAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, *args, **kwargs):$/;" m class:YTick +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, axes, loc, label=None,$/;" m class:Tick +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, axes, pickradius=15):$/;" m class:Axis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __init__(self, major):$/;" m class:_LazyTickList +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def __init__(self, width, height, dpi):$/;" m class:RendererAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def __init__(self, dpi):$/;" m class:RendererCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def __init__(self, renderer):$/;" m class:GraphicsContextCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_cairo.py /^ def __init__(self, slices, data):$/;" m class:_CairoRegion +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, *args, **kwargs):$/;" m class:StatusbarGTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, *args, **kwargs):$/;" m class:TimerGTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerGTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, canvas, window):$/;" m class:NavigationToolbar2GTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, figure):$/;" m class:FigureCanvasGTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3.py /^ def __init__(self, toolmanager):$/;" m class:ToolbarGTK3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3agg.py /^ def __init__(self, figure):$/;" m class:FigureCanvasGTK3Agg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_gtk3cairo.py /^ def __init__(self, figure):$/;" m class:FigureCanvasGTK3Cairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def __init__(self, canvas):$/;" m class:NavigationToolbar2Mac +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerMac +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_macosx.py /^ def __init__(self, figure):$/;" m class:FigureCanvasMac +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_mixed.py /^ def __init__(self, figure, width, height, dpi, vector_renderer,$/;" m class:MixedModeRenderer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerNbAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_nbagg.py /^ def __init__(self, manager):$/;" m class:CommSocket +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, file):$/;" m class:GraphicsContextPdf +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, file, image_dpi, height, width):$/;" m class:RendererPdf +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, filename, keep_empty=True, metadata=None):$/;" m class:PdfPages +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, filename, metadata=None):$/;" m class:PdfFile +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, id):$/;" m class:Reference +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, id, len, file, extra=None, png=None):$/;" m class:Stream +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, name):$/;" m class:Name +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, op):$/;" m class:Operator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __init__(self, x):$/;" m class:Verbatim +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __init__(self):$/;" m class:LatexManager +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __init__(self, figure, fh, dummy=False):$/;" m class:RendererPgf +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __init__(self, filename, *, keep_empty=True, metadata=None):$/;" m class:PdfPages +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ def __init__(self, message, latex_output=""):$/;" m class:LatexError +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def __init__(self):$/;" m class:PsBackendHelper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_ps.py /^ def __init__(self, width, height, pswriter, imagedpi=72):$/;" m class:RendererPS +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, *args, **kwargs):$/;" m class:TimerQT +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerQT +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, canvas, parent, coordinates=True):$/;" m class:NavigationToolbar2QT +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, figure):$/;" m class:FigureCanvasQT +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, targetfig, parent):$/;" m class:SubplotToolQt +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, toolmanager, parent):$/;" m class:ToolbarQt +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5.py /^ def __init__(self, window, *args, **kwargs):$/;" m class:StatusbarQt +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5agg.py /^ def __init__(self, figure):$/;" m class:FigureCanvasQTAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_qt5cairo.py /^ def __init__(self, figure):$/;" m class:FigureCanvasQTCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def __init__(self, file):$/;" m class:XMLWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_svg.py /^ def __init__(self, width, height, svgwriter, basename=None, image_dpi=72,$/;" m class:RendererSVG +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_template.py /^ def __init__(self, dpi):$/;" m class:RendererTemplate +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_tkcairo.py /^ def __init__(self, *args, **kwargs):$/;" m class:FigureCanvasTkCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def __init__(self, application, request, *, url_prefix='', **kwargs):$/;" m class:WebAggApplication.AllFiguresPage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def __init__(self, application, request, *, url_prefix='', **kwargs):$/;" m class:WebAggApplication.SingleFigurePage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg.py /^ def __init__(self, url_prefix=''):$/;" m class:WebAggApplication +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def __init__(self, *args, **kwargs):$/;" m class:FigureCanvasWebAggCore +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def __init__(self, *args, **kwargs):$/;" m class:TimerTornado +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def __init__(self, canvas):$/;" m class:NavigationToolbar2WebAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_webagg_core.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerWebAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, *args, **kwargs):$/;" m class:RubberbandWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, *args, **kwargs):$/;" m class:TimerWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, bitmap, dpi):$/;" m class:RendererWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, bitmap, renderer):$/;" m class:GraphicsContextWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, canvas, coordinates=True):$/;" m class:NavigationToolbar2Wx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, canvas, num, frame):$/;" m class:FigureManagerWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, num, fig):$/;" m class:FigureFrameWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, parent, *args, **kwargs):$/;" m class:StatusBarWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, parent, help_entries):$/;" m class:_HelpDialog +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, parent, id, figure):$/;" m class:_FigureCanvasWxBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wx.py /^ def __init__(self, toolmanager, parent, style=wx.TB_HORIZONTAL):$/;" m class:ToolbarWx +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_wxcairo.py /^ def __init__(self, parent, id, figure):$/;" m class:FigureCanvasWxCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, color, parent=None):$/;" m class:ColorLayout +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, data, comment="", with_margin=False, parent=None):$/;" m class:FormWidget +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, data, title="", comment="",$/;" m class:FormDialog +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, datalist, comment="", parent=None):$/;" m class:FormComboWidget +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, datalist, comment="", parent=None):$/;" m class:FormTabWidget +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, parent=None):$/;" m class:ColorButton +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^ def __init__(self, value, parent=None):$/;" m class:FontLayout +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formsubplottool.py /^ def __init__(self, *args, **kwargs):$/;" m class:UiSubplotTool +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def __init__(self):$/;" m class:CharacterTracker +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_pdf_ps.py /^ def __init__(self, width, height):$/;" m class:RendererPDFPSBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, *args, **kwargs):$/;" m class:ConfigureSubplotsTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, canvas, num, window):$/;" m class:FigureManagerTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, canvas, window, *, pack_toolbar=True):$/;" m class:NavigationToolbar2Tk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, figure, master=None, resize_callback=None):$/;" m class:FigureCanvasTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, parent, *args, **kwargs):$/;" m class:TimerTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, toolmanager, window):$/;" m class:ToolbarTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, widget):$/;" m class:ToolTip +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/_backend_tk.py /^ def __init__(self, window, *args, **kwargs):$/;" m class:StatusbarTk +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self):$/;" m class:GraphicsContextBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self):$/;" m class:RendererBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, canvas):$/;" m class:NavigationToolbar2 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, canvas, num):$/;" m class:FigureManagerBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, figure):$/;" m class:FigureCanvasBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, interval=None, callbacks=None):$/;" m class:TimerBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas):$/;" m class:ResizeEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, guiEvent=None):$/;" m class:Event +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, key, x=0, y=0, guiEvent=None):$/;" m class:KeyEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, mouseevent, artist,$/;" m class:PickEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, renderer):$/;" m class:DrawEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, x, y, button=None, key=None,$/;" m class:MouseEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, name, canvas, x, y, guiEvent=None):$/;" m class:LocationEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, toolmanager):$/;" m class:StatusbarBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __init__(self, toolmanager):$/;" m class:ToolContainerBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def __init__(self, figure=None):$/;" m class:ToolManager +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def __init__(self, name, sender, message):$/;" m class:ToolManagerMessageEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def __init__(self, name, sender, tool, canvasevent=None, data=None):$/;" m class:ToolTriggerEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_managers.py /^ def __init__(self, name, sender, tool, data=None):$/;" m class:ToolEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args):$/;" m class:ToolPan +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args):$/;" m class:ToolZoom +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args):$/;" m class:ZoomPanBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args, **kwargs):$/;" m class:SetCursorBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args, **kwargs):$/;" m class:ToolCursorPosition +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args, **kwargs):$/;" m class:ToolToggleBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, *args, **kwargs):$/;" m class:ToolViewsPositions +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_tools.py /^ def __init__(self, toolmanager, name):$/;" m class:ToolBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/bezier.py /^ def __init__(self, control_points):$/;" m class:BezierSegment +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __init__(self, cs):$/;" m class:BlockingContourLabeler +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __init__(self, fig):$/;" m class:BlockingKeyMouseInput +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __init__(self, fig, eventslist=()):$/;" m class:BlockingInput +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/blocking_input.py /^ def __init__(self, fig,$/;" m class:BlockingMouseInput +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def __init__(self, data=None):$/;" m class:UnitData +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def __init__(self, units_mapping):$/;" m class:StrCategoryFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/category.py /^ def __init__(self, units_mapping):$/;" m class:StrCategoryLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __init__(self, *args, **kwargs):$/;" m class:_deprecate_privatize_attribute +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self):$/;" m class:_OrderedSet +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, default=None):$/;" m class:Stack +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, exception_handler=_exception_printer):$/;" m class:CallbackRegistry +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, fget):$/;" m class:_classproperty +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, init=()):$/;" m class:Grouper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, maxsize):$/;" m class:maxdict +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, obj):$/;" m class:_StrongRef +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __init__(self, type, seq=None):$/;" m class:silent_list +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __init__(self, cmap_registry):$/;" m class:_DeprecatedCmapDictWrapper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __init__(self, norm=None, cmap=None):$/;" m class:ScalarMappable +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, meshWidth, meshHeight, coordinates,$/;" m class:QuadMesh +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, patches, match_original=False, **kwargs):$/;" m class:PatchCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, paths, sizes=None, **kwargs):$/;" m class:PathCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, segments, # Can be None.$/;" m class:LineCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, sizes, **kwargs):$/;" m class:CircleCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, triangulation, **kwargs):$/;" m class:TriMesh +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, verts, sizes=None, closed=True, **kwargs):$/;" m class:PolyCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, widths, heights, angles, units='points', **kwargs):$/;" m class:EllipseCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self, xranges, yrange, **kwargs):$/;" m class:BrokenBarHCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self,$/;" m class:Collection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self,$/;" m class:EventCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/collections.py /^ def __init__(self,$/;" m class:RegularPolyCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, ax, cmap=None,$/;" m class:ColorbarBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, ax, mappable, **kw):$/;" m class:ColorbarPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, ax, mappable, **kwargs):$/;" m class:Colorbar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, colorbar):$/;" m class:_ColorbarAutoLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, colorbar, *args, **kwargs):$/;" m class:_ColorbarLogLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colorbar.py /^ def __init__(self, colorbar, n=None):$/;" m class:_ColorbarAutoMinorLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, azdeg=315, altdeg=45, hsv_min_val=0, hsv_max_val=1,$/;" m class:LightSource +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, boundaries, ncolors, clip=False, *, extend='neither'):$/;" m class:BoundaryNorm +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, colors, name='from_list', N=None):$/;" m class:ListedColormap +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, gamma, vmin=None, vmax=None, clip=False):$/;" m class:PowerNorm +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, linthresh, linscale=1.0, vmin=None, vmax=None,$/;" m class:SymLogNorm +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, mapping):$/;" m class:_ColorMapping +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, name, N=256):$/;" m class:Colormap +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, name, segmentdata, N=256, gamma=1.0):$/;" m class:LinearSegmentedColormap +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, vcenter, vmin=None, vmax=None):$/;" m class:TwoSlopeNorm +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __init__(self, vmin=None, vmax=None, clip=False):$/;" m class:Normalize +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __init__(self, kl, label=None):$/;" m class:Container +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __init__(self, lines, has_xerr=False, has_yerr=False, **kwargs):$/;" m class:ErrorbarContainer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __init__(self, markerline_stemlines_baseline, **kwargs):$/;" m class:StemContainer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __init__(self, patches, errorbar=None, **kwargs):$/;" m class:BarContainer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/contour.py /^ def __init__(self, ax, *args,$/;" m class:ContourSet +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, base=1, month=1, day=1, tz=None):$/;" m class:YearLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, byhour=None, interval=1, tz=None):$/;" m class:HourLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, byminute=None, interval=1, tz=None):$/;" m class:MinuteLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, bymonth=None, bymonthday=1, interval=1, tz=None):$/;" m class:MonthLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, bymonthday=None, interval=1, tz=None):$/;" m class:DayLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, bysecond=None, interval=1, tz=None):$/;" m class:SecondLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, byweekday=1, interval=1, tz=None):$/;" m class:WeekdayLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, fmt, tz=None):$/;" m class:DateFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, formats=None, zero_formats=None, offset_formats=None,$/;" m class:ConciseDateConverter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, freq, tzinfo=None, **kwargs):$/;" m class:rrulewrapper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, interval=1, tz=None):$/;" m class:MicrosecondLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, locator, tz=None, defaultfmt='%Y-%m-%d'):$/;" m class:AutoDateFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, locator, tz=None, formats=None, offset_formats=None,$/;" m class:ConciseDateFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, o, tz=None):$/;" m class:RRuleLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, t, fmt, tz=None):$/;" m class:IndexDateFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, tz=None):$/;" m class:DateLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __init__(self, tz=None, minticks=5, maxticks=None,$/;" m class:AutoDateLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/docstring.py /^ def __init__(self, *args, **kwargs):$/;" m class:Substitution +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __init__(self, filename):$/;" m class:Encoding +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __init__(self, filename):$/;" m class:Tfm +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __init__(self, filename):$/;" m class:Vf +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __init__(self, filename, dpi):$/;" m class:Dvi +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __init__(self, scale, tfm, texname, vf):$/;" m class:DviFont +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __init__(self):$/;" m class:_AxesStack +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __init__(self, left=None, bottom=None, right=None, top=None,$/;" m class:SubplotParams +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __init__(self,$/;" m class:Figure +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/fontconfig_pattern.py /^ def __init__(self):$/;" m class:FontconfigPatternParser +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __init__(self, size=None, weight='normal'):$/;" m class:FontManager +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __init__(self,$/;" m class:FontEntry +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __init__(self,$/;" m class:FontProperties +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __init__(self, gridspec, num1, num2=None):$/;" m class:SubplotSpec +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __init__(self, nrows, ncols, figure=None,$/;" m class:GridSpec +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __init__(self, nrows, ncols, height_ratios=None, width_ratios=None):$/;" m class:GridSpecBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __init__(self, nrows, ncols,$/;" m class:GridSpecFromSubplotSpec +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:Circles +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:HorizontalHatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:LargeCircles +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:NorthEastHatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:Shapes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:SmallCircles +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:SmallFilledCircles +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:SouthEastHatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:Stars +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/hatch.py /^ def __init__(self, hatch, density):$/;" m class:VerticalHatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, ax, *, interpolation='nearest', **kwargs):$/;" m class:NonUniformImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, ax,$/;" m class:AxesImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, ax,$/;" m class:PcolorImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, ax,$/;" m class:_ImageBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, bbox,$/;" m class:BboxImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __init__(self, fig,$/;" m class:FigureImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def __init__(self, legend, use_blit=False, update="loc"):$/;" m class:DraggableLegend +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def __init__(self, parent, handles, labels,$/;" m class:Legend +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, marker_pad=0.3, numpoints=None, **kw):$/;" m class:HandlerLine2D +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, marker_pad=0.3, numpoints=None, **kw):$/;" m class:HandlerNpoints +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, marker_pad=0.3, numpoints=None,$/;" m class:HandlerStem +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, ndivide=1, pad=None, **kwargs):$/;" m class:HandlerTuple +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, numpoints=None, yoffsets=None, **kw):$/;" m class:HandlerNpointsYoffsets +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, patch_func=None, **kw):$/;" m class:HandlerPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, xerr_size=0.5, yerr_size=None,$/;" m class:HandlerErrorbar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, xpad=0., ypad=0., update_func=None):$/;" m class:HandlerBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend_handler.py /^ def __init__(self, yoffsets=None, sizes=None, **kw):$/;" m class:HandlerRegularPolyCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def __init__(self, line):$/;" m class:VertexSelector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def __init__(self, xdata, ydata,$/;" m class:Line2D +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/markers.py /^ def __init__(self, marker=None, fillstyle=None):$/;" m class:MarkerStyle +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, font_output, font, font_class, fontsize, dpi):$/;" m class:Parser.State +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:Fil +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:Fill +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:Filll +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackend +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendAgg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendCairo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendPath +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendPdf +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendPs +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:MathtextBackendSvg +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:NegFil +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:NegFill +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:NegFilll +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:Parser +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:SsGlue +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self):$/;" m class:SubSuperCluster +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, *args, **kwargs):$/;" m class:BakomaFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, *args, **kwargs):$/;" m class:DejaVuFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, *args, **kwargs):$/;" m class:StixFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, *args, **kwargs):$/;" m class:UnicodeFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, c, height, depth, state, always=False, factor=None):$/;" m class:AutoHeightChar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, c, state, math=True):$/;" m class:Char +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, c, width, state, always=False, char_class=Char):$/;" m class:AutoWidthChar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, default_font_prop):$/;" m class:StandardPsFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, default_font_prop, mathtext_backend):$/;" m class:Fonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, default_font_prop, mathtext_backend):$/;" m class:TruetypeFonts +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, elements):$/;" m class:HCentered +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, elements):$/;" m class:List +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, elements):$/;" m class:VCentered +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, elements, h=0., m='additional'):$/;" m class:Vlist +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, elements, w=0., m='additional', do_kern=True):$/;" m class:Hlist +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, glue_type, copy=False):$/;" m class:Glue +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, height, depth):$/;" m class:Vbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, output):$/;" m class:MathTextParser +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, state):$/;" m class:Vrule +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, state, thickness=None):$/;" m class:Hrule +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, width):$/;" m class:Hbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, width):$/;" m class:Kern +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, width, height, depth):$/;" m class:Box +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, width, height, depth, state):$/;" m class:Rule +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __init__(self, width=0., stretch=0., stretch_order=0,$/;" m class:GlueSpec +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/mlab.py /^ def __init__(self, dataset, bw_method=None):$/;" m class:GaussianKDE +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, *args, **kwargs):$/;" m class:OffsetBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, annotation, use_blit=False):$/;" m class:DraggableAnnotation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, arr,$/;" m class:OffsetImage +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, aux_transform):$/;" m class:AuxTransformBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, child, pad=None, draw_frame=False, patch_attrs=None):$/;" m class:PaddedBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, loc,$/;" m class:AnchoredOffsetbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, offsetbox, xy,$/;" m class:AnnotationBbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, pad=None, sep=None, width=None, height=None,$/;" m class:HPacker +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, pad=None, sep=None, width=None, height=None,$/;" m class:PackerBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, pad=None, sep=None, width=None, height=None,$/;" m class:VPacker +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, ref_artist, offsetbox, use_blit=False):$/;" m class:DraggableOffsetBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, ref_artist, use_blit=False):$/;" m class:DraggableBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, s, loc, pad=0.4, borderpad=0.5, prop=None, **kwargs):$/;" m class:AnchoredText +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, s,$/;" m class:TextArea +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __init__(self, width, height, xdescent=0.,$/;" m class:DrawingArea +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy):$/;" m class:ConnectionStyle._Base.SimpleEvent +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self):$/;" m class:ArrowStyle.Curve +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, angleA=0, angleB=0, armA=None, armB=None, rad=0.):$/;" m class:ConnectionStyle.Arc +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, angleA=90, angleB=0):$/;" m class:ConnectionStyle.Angle3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, angleA=90, angleB=0, rad=0.):$/;" m class:ConnectionStyle.Angle +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, armA=0., armB=0., fraction=0.3, angle=None):$/;" m class:ConnectionStyle.Bar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, beginarrow=None, endarrow=None,$/;" m class:ArrowStyle._Curve +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, bracketA=None, bracketB=None,$/;" m class:ArrowStyle._Bracket +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveA +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveAB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveFilledA +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveFilledAB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.2):$/;" m class:ArrowStyle.CurveFilledB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.4, head_width=.4, tail_width=.4):$/;" m class:ArrowStyle.Fancy +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, head_length=.5, head_width=.5, tail_width=.2):$/;" m class:ArrowStyle.Simple +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3):$/;" m class:BoxStyle.Circle +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3):$/;" m class:BoxStyle.DArrow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3):$/;" m class:BoxStyle.LArrow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3):$/;" m class:BoxStyle.RArrow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3):$/;" m class:BoxStyle.Square +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3, rounding_size=None):$/;" m class:BoxStyle.Round +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3, rounding_size=None):$/;" m class:BoxStyle.Round4 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3, tooth_size=None):$/;" m class:BoxStyle.Roundtooth +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, pad=0.3, tooth_size=None):$/;" m class:BoxStyle.Sawtooth +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, rad=0.):$/;" m class:ConnectionStyle.Arc3 +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, tail_width=.3, shrink_factor=0.5):$/;" m class:ArrowStyle.Wedge +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, widthA=1., lengthA=0.2, angleA=None):$/;" m class:ArrowStyle.BracketA +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, widthB=1., lengthB=0.2, angleB=None):$/;" m class:ArrowStyle.BracketB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self,$/;" m class:ArrowStyle.BarAB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self,$/;" m class:ArrowStyle.BracketAB +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, center, r, theta1, theta2, width=None, **kwargs):$/;" m class:Wedge +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, patch, ox, oy, props=None, **kwargs):$/;" m class:Shadow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, path, **kwargs):$/;" m class:PathPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, posA=None, posB=None,$/;" m class:FancyArrowPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, x, y, dx, dy, width=0.001, length_includes_head=False,$/;" m class:FancyArrow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, x, y, dx, dy, width=1.0, **kwargs):$/;" m class:Arrow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, closed=True, **kwargs):$/;" m class:Polygon +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, numVertices, radius=5, orientation=0,$/;" m class:RegularPolygon +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, radius=5, **kwargs):$/;" m class:Circle +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, radius=5,$/;" m class:CirclePolygon +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, width, height, angle=0, **kwargs):$/;" m class:Ellipse +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, width, height, angle=0.0, **kwargs):$/;" m class:Rectangle +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, width, height, angle=0.0,$/;" m class:Arc +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xy, width, height,$/;" m class:FancyBboxPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self, xyA, xyB, coordsA, coordsB=None,$/;" m class:ConnectionPatch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __init__(self,$/;" m class:Patch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def __init__(self, vertices, codes=None, _interpolation_steps=1,$/;" m class:Path +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, offset=(0, 0), **kwargs):$/;" m class:PathPatchEffect +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, offset=(0, 0), **kwargs):$/;" m class:Stroke +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, offset=(0., 0.)):$/;" m class:AbstractPathEffect +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, offset=(2, -2),$/;" m class:SimpleLineShadow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, offset=(2, -2),$/;" m class:SimplePatchShadow +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/patheffects.py /^ def __init__(self, path_effects, renderer):$/;" m class:PathEffectRenderer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, center_longitude, center_latitude, resolution):$/;" m class:LambertAxes.InvertedLambertTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, center_longitude, center_latitude, resolution):$/;" m class:LambertAxes.LambertTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, round_to=1.0):$/;" m class:GeoAxes.ThetaFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, *args, **kwargs):$/;" m class:AitoffAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, *args, **kwargs):$/;" m class:HammerAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, *args, **kwargs):$/;" m class:MollweideAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, *args, center_longitude=0, center_latitude=0, **kwargs):$/;" m class:LambertAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __init__(self, resolution):$/;" m class:_GeoTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, *args, **kwargs):$/;" m class:RadialAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, *args, **kwargs):$/;" m class:RadialTick +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, *args,$/;" m class:PolarAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, axes, *args, **kwargs):$/;" m class:ThetaTick +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, axes, pad, mode):$/;" m class:_ThetaShift +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, axis):$/;" m class:_AxisWrapper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, axis=None, use_rmin=True,$/;" m class:InvertedPolarTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, axis=None, use_rmin=True,$/;" m class:PolarTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, base):$/;" m class:ThetaLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, base, axes=None):$/;" m class:RadialLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, center, viewLim, originLim, **kwargs):$/;" m class:_WedgeBbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ def __init__(self, scale_transform, limits):$/;" m class:PolarAffine +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/__init__.py /^ def __init__(self):$/;" m class:ProjectionRegistry +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/pyplot.py /^ def __init__(self, scale, length, randomness):$/;" m class:_xkcd +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def __init__(self, ax, *args,$/;" m class:Barbs +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def __init__(self, ax, *args,$/;" m class:Quiver +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/quiver.py /^ def __init__(self, Q, X, Y, U, label,$/;" m class:QuiverKey +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/rcsetup.py /^ def __init__(self, key, valid, ignorecase=False, *,$/;" m class:ValidateInStrings +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^ def __init__(self, ax=None, scale=1.0, unit='', format='%G', gap=0.25,$/;" m class:Sankey +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(*, base=10, linthresh=2, subs=None, linscale=1, **kwargs):$/;" f member:SymmetricalLogScale.__init__ file: +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(*, base=10, subs=None, nonpositive="clip"):$/;" f member:LogScale.__init__ file: +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, **kwargs):$/;" m class:LinearScale +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, **kwargs):$/;" m class:LogScale +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, **kwargs):$/;" m class:ScaleBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, **kwargs):$/;" m class:SymmetricalLogScale +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, functions):$/;" m class:FuncScale +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, functions, base=10):$/;" m class:FuncScaleLog +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, axis, nonpositive='mask', *,$/;" m class:LogitScale +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, base):$/;" m class:InvertedLogTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, base, linthresh, linscale):$/;" m class:InvertedSymmetricalLogTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, base, linthresh, linscale):$/;" m class:SymmetricalLogTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, base, nonpositive='clip'):$/;" m class:LogTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, forward, inverse):$/;" m class:FuncTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, nonpositive='mask'):$/;" m class:LogisticTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __init__(self, nonpositive='mask'):$/;" m class:LogitTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^ def __init__(self, basename, dirname):$/;" m class:ImageFile +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def __init__(self, axes, spine_type, path, **kwargs):$/;" m class:Spine +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def __init__(self, density):$/;" m class:StreamMask +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def __init__(self, grid, mask):$/;" m class:DomainMap +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def __init__(self, lines, arrows, **kwargs):$/;" m class:StreamplotSet +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/streamplot.py /^ def __init__(self, x, y):$/;" m class:Grid +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def __init__(self, ax, loc=None, bbox=None, **kwargs):$/;" m class:Table +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def __init__(self, xy, width, height,$/;" m class:Cell +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/compare.py /^ def __init__(self):$/;" m class:_Converter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/decorators.py /^ def __init__(self, func, tol, remove_text, savefig_kwargs):$/;" m class:_ImageComparisonBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __init__(self, frame, seconds):$/;" m class:Duration +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __init__(self, frame, sec=None, jd=None, daynum=None, dt=None):$/;" m class:Epoch +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __init__(self, value, units):$/;" m class:UnitDbl +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def __init__(self, offsets=(0, 0)):$/;" m class:test_agg_filter.OffsetFilter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def __init__(self, sigma, alpha=0.3, color=(0, 0, 0), offsets=(0, 0)):$/;" m class:test_agg_filter.DropShadowFilter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_agg.py /^ def __init__(self, sigma, alpha=0.5, color=(0, 0, 0)):$/;" m class:test_agg_filter.GaussianFilter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_animation.py /^ def __init__(self, fps=None, codec=None, bitrate=None,$/;" m class:RegisteredNullMovieWriter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_axes.py /^ def __init__(self):$/;" m class:test_as_mpl_axes_api.Polar +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_category.py /^ def __init__(self, units):$/;" m class:FakeAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def __init__(self):$/;" m class:test_setattr_cm.A +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_collections.py /^ def __init__(self, **kwargs):$/;" m class:test_regularpolycollection_scale.SquareCollection +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_figure.py /^ def __init__(self, *args, myclass=None, **kwargs):$/;" m class:test_iterability_axes_argument.MyAxes +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_pickle.py /^ def __init__(self):$/;" m class:TransformBlob +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_ticker.py /^ def __init__(self, vmin=1, vmax=10):$/;" m class:FakeAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_tightlayout.py /^ def __init__(self, *args, **kwargs):$/;" f function:test_non_agg_renderer file: +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def __init__(self, *args, **kwargs):$/;" m class:test_non_affine_caching.AssertingNonAffineTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def __init__(self, scale_factor):$/;" m class:test_external_transform_api.ScaledBy +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_transforms.py /^ def __init__(self, real_trans, *args, **kwargs):$/;" m class:NonAffineForTest +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_units.py /^ def __init__(self, data, units):$/;" m class:Quantity +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __init__(self, artist, ref_coord, unit="points"):$/;" m class:OffsetFrom +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __init__(self, text, xy,$/;" m class:Annotation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __init__(self,$/;" m class:Text +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __init__(self,$/;" m class:_AnnotationBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def __init__(self):$/;" m class:TextToPath +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/textpath.py /^ def __init__(self, xy, s, size=None, prop=None,$/;" m class:TextPath +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__($/;" m class:LogitFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self):$/;" m class:AutoLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, *args, **kwargs):$/;" m class:MaxNLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, base, offset):$/;" m class:IndexLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, base=1.0):$/;" m class:MultipleLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, base=10.0, labelOnlyBase=False,$/;" m class:LogFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, base=10.0, subs=(1.0,), numdecs=4, numticks=None):$/;" m class:LogLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, fmt):$/;" m class:FormatStrFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, fmt):$/;" m class:StrMethodFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, func):$/;" m class:FuncFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, labels):$/;" m class:IndexFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, locs, nbins=None):$/;" m class:FixedLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, minor=False, *, nbins="auto"):$/;" m class:LogitLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, minpos=0):$/;" m class:_DummyAxis +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, n=None):$/;" m class:AutoMinorLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, numticks=None, presets=None):$/;" m class:LinearLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, seq):$/;" m class:FixedFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, step, offset):$/;" m class:_Edge_integer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, transform=None, subs=None, linthresh=None, base=None):$/;" m class:SymmetricalLogLocator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, unit="", places=None, sep=" ", *, usetex=None,$/;" m class:EngFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, useOffset=None, useMathText=None, useLocale=None):$/;" m class:ScalarFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ def __init__(self, xmax=100, decimals=None, symbol='%', is_latex=False):$/;" m class:PercentFormatter +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, points, **kwargs):$/;" m class:Bbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, *args, **kwargs):$/;" m class:AffineBase +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, a, b, **kwargs):$/;" m class:CompositeAffine2D +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, a, b, **kwargs):$/;" m class:CompositeGenericTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, bbox, transform, **kwargs):$/;" m class:TransformedBbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, bbox, x0=None, y0=None, x1=None, y1=None, **kwargs):$/;" m class:LockableBbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, boxin, **kwargs):$/;" m class:BboxTransformFrom +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, boxin, boxout, **kwargs):$/;" m class:BboxTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, boxout, **kwargs):$/;" m class:BboxTransformTo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, child):$/;" m class:TransformWrapper +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, matrix=None, **kwargs):$/;" m class:Affine2D +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, patch):$/;" m class:TransformedPatchPath +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, path, transform):$/;" m class:TransformedPath +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, points, **kwargs):$/;" m class:Bbox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, shorthand_name=None):$/;" m class:TransformNode +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, transform, **kwargs):$/;" m class:AffineDeltaTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, xt, yt, scale_trans, **kwargs):$/;" m class:ScaledTranslation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, x_transform, y_transform, **kwargs):$/;" m class:BlendedAffine2D +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __init__(self, x_transform, y_transform, **kwargs):$/;" m class:BlendedGenericTransform +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triangulation.py /^ def __init__(self, x, y, triangles=None, mask=None):$/;" m class:Triangulation +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tricontour.py /^ def __init__(self, ax, *args, **kwargs):$/;" m class:TriContourSet +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def __init__(self, triangulation):$/;" m class:TrapezoidMapTriFinder +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trifinder.py /^ def __init__(self, triangulation):$/;" m class:TriFinder +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, Interpolator):$/;" m class:_DOF_estimator_min_E +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, interpolator, **kwargs):$/;" m class:_DOF_estimator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, triangulation, z, kind='min_E', trifinder=None,$/;" m class:CubicTriInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, triangulation, z, trifinder=None):$/;" m class:LinearTriInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, triangulation, z, trifinder=None):$/;" m class:TriInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __init__(self, vals, rows, cols, shape):$/;" m class:_Sparse_Matrix_coo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^ def __init__(self, triangulation):$/;" m class:TriRefiner +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/trirefine.py /^ def __init__(self, triangulation):$/;" m class:UniformTriRefiner +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/tritools.py /^ def __init__(self, triangulation):$/;" m class:TriAnalyzer +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ def __init__(self, input):$/;" m class:Type1Font +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/units.py /^ def __init__(self, majloc=None, minloc=None,$/;" m class:AxisInfo +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self):$/;" m class:LockDraw +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax):$/;" m class:AxesWidget +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, horizOn=True, vertOn=True, useblit=False,$/;" m class:Cursor +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, label, image=None,$/;" m class:Button +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, label, initial='',$/;" m class:TextBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, label, valmin, valmax, valinit=0.5, valfmt=None,$/;" m class:Slider +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, labels, active=0, activecolor='blue'):$/;" m class:RadioButtons +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, labels, actives=None):$/;" m class:CheckButtons +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, onselect, direction, minspan=None, useblit=False,$/;" m class:SpanSelector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, onselect, drawtype='box',$/;" m class:RectangleSelector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, onselect, useblit=False, button=None,$/;" m class:_SelectorWidget +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, onselect, useblit=False,$/;" m class:PolygonSelector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, onselect=None, useblit=True, lineprops=None,$/;" m class:LassoSelector +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, x, y, marker='o', marker_props=None, useblit=True):$/;" m class:ToolHandles +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, ax, xy, callback=None, useblit=True):$/;" m class:Lasso +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, canvas, axes, useblit=True, horizOn=False, vertOn=True,$/;" m class:MultiCursor +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/widgets.py /^ def __init__(self, targetfig, toolfig):$/;" m class:SubplotTool +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def __init__(self, parent=None, name='', tightwidth=False,$/;" m class:LayoutBox +__init__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:RcParams +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def __init__(self, comm=None, root=0, **kwargs):$/;" m class:MPICommExecutor +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/pool.py /^ def __init__(self, max_workers=None, **kwargs):$/;" m class:MPIPoolExecutor +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __init__(self):$/;" m class:Future +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __init__(self):$/;" m class:_AsCompletedWaiter +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __init__(self):$/;" m class:_Waiter +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __init__(self, futures):$/;" m class:_AcquireFutures +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __init__(self, num_pending_calls, stop_on_exception):$/;" m class:_AllCompletedWaiter +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __init__(self, mod_name):$/;" m class:import_main.TempModulePatch +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __init__(self):$/;" m class:SharedPoolCtx +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __init__(self, executor, manager, *args):$/;" m class:Pool +__init__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_lib.py /^ def __init__(self, seconds=BACKOFF):$/;" m class:Backoff +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^ def __init__(self, transform, label_x, label_y, length=0.15,$/;" m class:AnchoredDirectionArrows +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^ def __init__(self, transform, loc,$/;" m class:AnchoredAuxTransformBox +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^ def __init__(self, transform, size, label, loc,$/;" m class:AnchoredSizeBar +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^ def __init__(self, transform, width, height, angle, loc,$/;" m class:AnchoredEllipse +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/anchored_artists.py /^ def __init__(self, width, height, xdescent, ydescent,$/;" m class:AnchoredDrawingArea +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def __init__(self, axes, xref=None, yref=None):$/;" m class:AxesDivider +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def __init__(self, axes_divider, nx, ny, nx1=None, ny1=None):$/;" m class:AxesLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def __init__(self, fig, *args, horizontal=None, vertical=None,$/;" m class:SubplotDivider +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_divider.py /^ def __init__(self, fig, pos, horizontal, vertical,$/;" m class:Divider +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def __init__(self, *args, orientation, **kwargs):$/;" m class:CbarAxesBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def __init__(self, fig,$/;" m class:Grid +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def __init__(self, fig,$/;" m class:ImageGrid +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_rgb.py /^ def __init__(self, *args, pad=0, add_all=True, **kwargs):$/;" m class:RGBAxes +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, a, b):$/;" m class:Add +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, add_list):$/;" m class:AddList +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, artist_list):$/;" m class:MaxHeight +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, artist_list):$/;" m class:MaxWidth +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, artist_list, w_or_h):$/;" m class:MaxExtent +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, ax, direction):$/;" m class:GetExtentHelper +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, axes, aspect=1., ref_ax=None):$/;" m class:AxesX +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, axes, aspect=1., ref_ax=None):$/;" m class:AxesY +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, fixed_size):$/;" m class:Fixed +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, fraction, ref_size):$/;" m class:Fraction +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, func):$/;" m class:SizeFromFunc +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, scalable_size):$/;" m class:Scaled +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __init__(self, size, pad):$/;" m class:Padded +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def __init__(self, ax, mappable, **kw):$/;" m class:Colorbar +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def __init__(self, ax,$/;" m class:ColorbarBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/colorbar.py /^ def __init__(self, locator=None, extend="neither", orientation="vertical"):$/;" m class:CbarAxesLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, bbox, **kwargs):$/;" m class:BboxPatch +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, bbox1, bbox2, loc1, loc2=None, **kwargs):$/;" m class:BboxConnector +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, bbox1, bbox2, loc1a, loc2a, loc1b, loc2b, **kwargs):$/;" m class:BboxConnectorPatch +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, bbox_to_anchor, offsetbox, loc,$/;" m class:AnchoredLocatorBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, bbox_to_anchor, x_size, y_size, loc,$/;" m class:AnchoredSizeLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, parent, lbwh):$/;" m class:InsetPosition +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/inset_locator.py /^ def __init__(self, parent_axes, zoom, loc,$/;" m class:AnchoredZoomLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __init__(self, axes):$/;" m class:Axes.AxisDict +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __init__(self, axis, axisnum, spine):$/;" m class:SimpleAxisArtist +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/mpl_axes.py /^ def __init__(self, objects):$/;" m class:SimpleChainedObjects +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def __init__(self, *args, **kwargs):$/;" m class:HostAxesBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def __init__(self, parent_axes, **kwargs):$/;" m class:ParasiteAxesBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/parasite_axes.py /^ def __init__(self, parent_axes, aux_transform, viewlim_mode=None,$/;" m class:ParasiteAxesAuxTransBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __init__(self, nbins, include_last=True):$/;" m class:LocatorBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/angle_helper.py /^ def __init__(self, nx, ny,$/;" m class:ExtremeFinderCycle +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self):$/;" m class:AxisArtistHelper._Base +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, axes, loc, nth_coord=None):$/;" m class:AxisArtistHelperRectlinear.Fixed +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, axes, nth_coord,$/;" m class:AxisArtistHelperRectlinear.Floating +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, loc, nth_coord=None):$/;" m class:AxisArtistHelper.Fixed +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, nth_coord, value):$/;" m class:AxisArtistHelper.Floating +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self):$/;" m class:GridHelperBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, *args, grid_helper=None, **kwargs):$/;" m class:Axes +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axislines.py /^ def __init__(self, axes):$/;" m class:GridHelperRectlinear +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def __init__(self):$/;" m class:AxislineStyle._Base +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def __init__(self, axis_artist, line_path, transform,$/;" m class:_FancyAxislineStyle.SimpleArrow +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axisline_style.py /^ def __init__(self, size=1):$/;" m class:AxislineStyle.SimpleArrow +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, *, axis_direction="bottom", **kwargs):$/;" m class:TickLabels +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, *args, **kwargs):$/;" m class:LabelBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, *args, axis_direction="bottom", axis=None, **kwargs):$/;" m class:AxisLabel +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, *args, which="major", axis="both", **kwargs):$/;" m class:GridlinesCollection +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, axes,$/;" m class:AxisArtist +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, path, *args, **kwargs):$/;" m class:BezierPath +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, ref_artist, klass=martist.Artist):$/;" m class:AttributeCopier +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/axis_artist.py /^ def __init__(self, ticksize, tick_out=False, *, axis=None, **kwargs):$/;" m class:Ticks +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def __init__(self, *args, **kwargs):$/;" m class:FloatingAxesBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def __init__(self, aux_trans, extremes,$/;" m class:GridHelperCurveLinear +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def __init__(self, extremes):$/;" m class:ExtremeFinderFixed +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/floating_axes.py /^ def __init__(self, grid_helper, side, nth_coord_ticks=None):$/;" m class:FixedAxisArtistHelper +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self, format_dict, formatter=None):$/;" m class:DictFormatter +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self, locs):$/;" m class:FixedLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self, nbins=10, steps=None,$/;" m class:MaxNLocator +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self, nx, ny):$/;" m class:ExtremeFinderSimple +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self, useMathText=True):$/;" m class:FormatterPrettyPrint +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self,$/;" m class:GridFinder +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_finder.py /^ def __init__(self,$/;" m class:GridFinderBase +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def __init__(self, aux_trans,$/;" m class:GridHelperCurveLinear +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def __init__(self, grid_helper, nth_coord, value, axis_direction=None):$/;" m class:FloatingAxisArtistHelper +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axisartist/grid_helper_curvelinear.py /^ def __init__(self, grid_helper, side, nth_coord_ticks=None):$/;" m class:FixedAxisArtistHelper +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, *args, zs=(), zdir='z', **kwargs):$/;" m class:Patch3D +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, *args, zs=0, zdir='z', depthshade=True, **kwargs):$/;" m class:Patch3DCollection +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, *args, zs=0, zdir='z', depthshade=True, **kwargs):$/;" m class:Path3DCollection +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, path, *, zs=(), zdir='z', **kwargs):$/;" m class:PathPatch3D +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, verts, *args, zsort='average', **kwargs):$/;" m class:Poly3DCollection +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, x=0, y=0, z=0, text='', zdir='z', **kwargs):$/;" m class:Text3D +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/art3d.py /^ def __init__(self, xs, ys, zs, *args, **kwargs):$/;" m class:Line3D +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axes3d.py /^ def __init__($/;" m class:Axes3D +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/mplot3d/axis3d.py /^ def __init__(self, adir, v_intervalx, d_intervalx, axes, *args,$/;" m class:Axis +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def __init__(self, resolution):$/;" m class:test_custom_transform.MyTransform +__init__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/tests/test_axisartist_grid_helper_curvelinear.py /^ def __init__(self, resolution):$/;" m class:test_custom_transform.MyTransformInv +__init__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def __init__(self, enter_result=None):$/;" m class:contextlib_nullcontext +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, data):$/;" m class:IntegerFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, data):$/;" m class:_TimelikeFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, data, **kwargs):$/;" m class:BoolFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, data, precision, floatmode, suppress_small, sign=False,$/;" m class:FloatingFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, format_function):$/;" m class:SubArrayFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, format_functions):$/;" m class:StructuredVoidFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, x, precision, floatmode, suppress_small,$/;" m class:ComplexFloatingFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/arrayprint.py /^ def __init__(self, x, unit=None, timezone=None, casting='same_kind',$/;" m class:DatetimeFormat +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __init__(self, int_type):$/;" m class:iinfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __init__(self,$/;" m class:MachArLike +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/machar.py /^ def __init__(self, float_conv=float,int_conv=int,$/;" m class:MachAr +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __init__(self, formats, names, titles, aligned=False, byteorder=None):$/;" m class:format_parser +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/setup.py /^ def __init__(self):$/;" m class:CallOnceOnly +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __init__(self, data):$/;" m class:TestLinspace.test_array_interface.Arrayish +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def __init__(self, data):$/;" m class:test_non_ndarray_inputs.MyArray +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_mem_overlap.py /^ def __init__(self, data):$/;" m class:test_non_ndarray_inputs.MyArray2 +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self):$/;" m class:TestCreation.test_no_len_object_type.Point2 +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self, arr):$/;" m class:TestFlags.test_writeable_any_base.frominterface +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self, data):$/;" m class:TestCreation.test_failed_len_sequence.A +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self, num=None):$/;" m class:TestBinop.test_pow_array_object_dtype.SomeClass +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self, sequence=None):$/;" m class:TestDot.test_vecobject.Vec +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __init__(self, value):$/;" m class:TestArrayInterface.Foo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __init__(self, iters):$/;" m class:TestNonzero.test_nonzero_exception_safe.ThrowsAfter +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_overrides.py /^ def __init__(self, value):$/;" m class:TestNumPyFunctions.test_sum_on_mock_array.ArrayProxy +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __init__(self, array):$/;" m class:test_getattr_warning.Wrapper +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarmath.py /^ def __init__(self, arr):$/;" m class:TestMultiply.test_no_seq_repeat_basic_array_like.ArrayLike +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __init__(self, shape):$/;" m class:TestUfunc.test_custom_array_like.MyThing +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __init__(self):$/;" m class:TestSpecialMethods.test_ufunc_override_mro.C +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, axis, ndim=None, msg_prefix=None):$/;" m class:AxisError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, shape, dtype):$/;" m class:_ArrayMemoryError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc):$/;" m class:UFuncTypeError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc, casting, from_, to):$/;" m class:_UFuncCastingError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc, casting, from_, to, i):$/;" m class:_UFuncInputCastingError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc, casting, from_, to, i):$/;" m class:_UFuncOutputCastingError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc, dtypes):$/;" m class:_UFuncBinaryResolutionError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __init__(self, ufunc, dtypes):$/;" m class:_UFuncNoLoopError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __init__(self, ptr):$/;" m class:_missing_ctypes.c_void_p +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __init__(self, array, ptr=None):$/;" m class:_ctypes +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __init__(self, cls):$/;" m class:dummy_ctype +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __init__(self, func):$/;" m class:recursive +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __init__(self, s):$/;" m class:_Stream +__init__ adpepsenv/lib/python3.8/site-packages/numpy/core/_ufunc_config.py /^ def __init__(self, *, call=_Unspecified, **kwargs):$/;" m class:errstate +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/config.py /^ def __init__(self):$/;" m class:GrabStdout +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __init__(self):$/;" m class:DarwinCPUInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __init__(self):$/;" m class:IRIXCPUInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __init__(self):$/;" m class:LinuxCPUInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __init__(self):$/;" m class:SunOSCPUInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __init__(self):$/;" m class:Win32CPUInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/extension.py /^ def __init__($/;" m class:Extension +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^ def __init__(self, distutils_section='ALL', **kw):$/;" m class:EnvironmentConfig +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^ def __init__(self, *args, **kw):$/;" m class:FCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:IntelCCompilerW +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:IntelEM64TCCompilerW +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:IntelCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/intelccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:IntelEM64TCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/mingw32ccompiler.py /^ def __init__ (self,$/;" m class:Mingw32CCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def __init__(self, name, build_info, target_dir):$/;" m class:InstallableLib +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def __init__(self,$/;" m class:Configuration +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvc9compiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:MSVCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/msvccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:MSVCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __init__(self, d):$/;" m class:VariableSet +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __init__(self, msg):$/;" m class:FormatError +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __init__(self, msg):$/;" m class:PkgNotFound +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __init__(self, name, description, version, sections, vars, requires=None):$/;" m class:LibraryInfo +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/numpy_distribution.py /^ def __init__(self, attrs = None):$/;" m class:NumpyDistribution +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/pathccompiler.py /^ def __init__ (self, verbose=0, dry_run=0, force=0):$/;" m class:PathScaleCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def __init__(self):$/;" m class:mkl_info +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def __init__(self):$/;" m class:x11_info +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def __init__(self):$/;" m class:_numpy_info +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/system_info.py /^ def __init__(self,$/;" m class:system_info +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __init__(self, osname='non-posix'):$/;" m class:emulate_nonposix +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __init__(self, stderr=None):$/;" m class:redirect_stderr +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_exec_command.py /^ def __init__(self, stdout=None):$/;" m class:redirect_stdout +__init__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/tests/test_system_info.py /^ def __init__(self,$/;" m class:_system_info +__init__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/auxfuncs.py /^ def __init__(self, mess):$/;" m class:throw_error +__init__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __init__(self, intent_list=[]):$/;" m class:Intent +__init__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __init__(self, typ, dims, intent, obj):$/;" m class:Array +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def __init__(self, var, buf_size=None):$/;" m class:Arrayterator +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/function_base.py /^ def __init__(self, pyfunc, otypes=None, doc=None, excluded=None,$/;" m class:vectorize +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self):$/;" m class:CClass +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self):$/;" m class:MGridClass +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self):$/;" m class:OGridClass +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self):$/;" m class:RClass +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self, *shape):$/;" m class:ndindex +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self, arr):$/;" m class:ndenumerate +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self, axis=0, matrix=False, ndmin=1, trans1d=-1):$/;" m class:AxisConcatenator +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self, maketuple):$/;" m class:IndexExpression +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __init__(self, sparse=False):$/;" m class:nd_grid +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __init__(self, fh, encoding):$/;" m class:savetxt.WriteWrap +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __init__(self, fid, own_fid=False, allow_pickle=False,$/;" m class:NpzFile +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __init__(self, obj):$/;" m class:BagObj +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __init__(self, c_or_r, r=False, variable=None):$/;" m class:poly1d +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/stride_tricks.py /^ def __init__(self, interface, base=None):$/;" m class:DummyArray +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def __init__(self, base):$/;" m class:JustReader +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def __init__(self, base):$/;" m class:JustWriter +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_io.py /^ def __init__(self, s=""):$/;" m class:TextIO +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def __init__(self, value):$/;" m class:ArrayLike +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __init__(self, data, dtype=None, copy=True):$/;" m class:container +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/utils.py /^ def __init__(self, old_name=None, new_name=None, message=None):$/;" m class:_Deprecate +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __init__(self):$/;" m class:_FileOpeners +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __init__(self, baseurl, destpath=os.curdir):$/;" m class:Repository +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_datasource.py /^ def __init__(self, destpath=os.curdir):$/;" m class:DataSource +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __init__(self, delimiter=None, comments='#', autostrip=True,$/;" m class:LineSplitter +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __init__(self, dtype_or_func=None, default=None, missing_values=None,$/;" m class:StringConverter +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_iotools.py /^ def __init__(self, excludelist=None, deletechars=None,$/;" m class:NameValidator +__init__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __init__(self, vstring):$/;" m class:NumpyVersion +__init__ adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_build.py /^ def __init__(self):$/;" m class:FindDependenciesLdd +__init__ adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def __init__(self, name, a, b, tags=set()):$/;" m class:LinalgCase +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, a, b):$/;" m class:_DomainCheckInterval +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, critical_value):$/;" m class:_DomainGreater +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, critical_value):$/;" m class:_DomainGreaterEqual +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, dbfunc, domain, fillx=0, filly=0):$/;" m class:_DomainedBinaryOperation +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, display):$/;" m class:_MaskedPrintOption +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, eps):$/;" m class:_DomainTan +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, funcname, params=None):$/;" m class:_convert2ma +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, ma):$/;" m class:MaskedIterator +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, mbfunc, fillx=0, filly=0):$/;" m class:_MaskedBinaryOperation +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, methodname, reversed=False):$/;" m class:_frommethod +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, mufunc, fill=0, domain=None):$/;" m class:_MaskedUnaryOperation +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, tolerance=None):$/;" m class:_DomainSafeDivide +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, ufunc):$/;" m class:_MaskedUFunc +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __init__(self, ufunc, compare, fill_value):$/;" m class:_extrema_operation +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __init__(self):$/;" m class:mr_class +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/extras.py /^ def __init__(self, funcname):$/;" m class:_fromnxfunction +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __init__(self, a):$/;" m class:CSAIterator +__init__ adpepsenv/lib/python3.8/site-packages/numpy/ma/timer_comparison.py /^ def __init__(self, module):$/;" m class:ModuleTester +__init__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __init__(self, coef, domain=None, window=None):$/;" m class:ABCPolyBase +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^ def __init__(self, v):$/;" m class:GenericObject +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __init__(self):$/;" m class:TestAssertNoGcCycles.test_fails.ReferenceCycleInDel +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __init__(self):$/;" m class:test_warn_len_equal_call_scenarios.mod +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def __init__(self, test, optionflags=0, setUp=None, tearDown=None,$/;" m class:NumpyDocTestCase +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/noseclasses.py /^ def __init__(self, to_unplug='doctest'):$/;" m class:Unplugger +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/nosetester.py /^ def __init__(self, package=None, raise_warnings="release", depth=0,$/;" m class:NoseTester +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def __init__(self, input, doc_func=None):$/;" m class:parameterized +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __init__(self, forwarding_rule="always"):$/;" m class:suppress_warnings +__init__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/utils.py /^ def __init__(self, record=False, modules=()):$/;" m class:clear_and_catch_warnings +__init__ adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^ def __init__(self):$/;" m class:ParseCall +__init__ adpepsenv/lib/python3.8/site-packages/numpy/tests/test_warnings.py /^ def __init__(self, filename):$/;" m class:FindFuncs +__init__ adpepsenv/lib/python3.8/site-packages/numpy/_pytesttester.py /^ def __init__(self, module_name):$/;" m class:PytestTester +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __init__(self, data):$/;" m class:CaseInsensitiveDict +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __init__(self, uri, http_method='GET', body=None, headers=None,$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/base.py /^ def __init__(self, request_validator, token_generator=None):$/;" m class:BaseEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/endpoints/pre_configured.py /^ def __init__(self, request_validator):$/;" m class:WebApplicationServer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/errors.py /^ def __init__(self, description=None, uri=None, status_code=400,$/;" m class:OAuth1Error +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/request_validator.py /^ def __init__(self):$/;" m class:RequestValidator +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def __init__(self, client_key,$/;" m class:Client +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/base.py /^ def __init__(self, client_id,$/;" m class:Client +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/legacy_application.py /^ def __init__(self, client_id, **kwargs):$/;" m class:LegacyApplicationClient +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/service_application.py /^ def __init__(self, client_id, private_key=None, subject=None, issuer=None,$/;" m class:ServiceApplicationClient +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/clients/web_application.py /^ def __init__(self, client_id, code=None, **kwargs):$/;" m class:WebApplicationClient +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/authorization.py /^ def __init__(self, default_response_type, default_token_type,$/;" m class:AuthorizationEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/base.py /^ def __init__(self):$/;" m class:BaseEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/introspect.py /^ def __init__(self, request_validator, supported_token_types=None):$/;" m class:IntrospectEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/metadata.py /^ def __init__(self, endpoints, claims={}, raise_errors=True):$/;" m class:MetadataEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_expires_in=None,$/;" m class:Server +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_generator=None,$/;" m class:BackendApplicationServer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_generator=None,$/;" m class:LegacyApplicationServer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_generator=None,$/;" m class:MobileApplicationServer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_generator=None,$/;" m class:WebApplicationServer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/resource.py /^ def __init__(self, default_token, token_types):$/;" m class:ResourceEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/revocation.py /^ def __init__(self, request_validator, supported_token_types=None,$/;" m class:RevocationEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/endpoints/token.py /^ def __init__(self, default_grant_type, default_token_type, grant_types):$/;" m class:TokenEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def __init__(self, description=None, uri=None, state=None,$/;" m class:OAuth2Error +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/errors.py /^ def __init__(self, error, *args, **kwargs):$/;" m class:CustomOAuth2Error +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def __init__(self, post_auth, post_token,$/;" m class:ValidatorsContainer +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/base.py /^ def __init__(self, request_validator=None, **kwargs):$/;" m class:GrantTypeBase +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/grant_types/refresh_token.py /^ def __init__(self, request_validator=None,$/;" m class:RefreshTokenGrant +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def __init__(self, params, old_scope=None):$/;" m class:OAuth2Token +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ def __init__(self, request_validator=None, token_generator=None,$/;" m class:BearerToken +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/pre_configured.py /^ def __init__(self, request_validator, token_expires_in=None,$/;" m class:Server +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/endpoints/userinfo.py /^ def __init__(self, request_validator):$/;" m class:UserInfoEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/authorization_code.py /^ def __init__(self, request_validator=None, **kwargs):$/;" m class:AuthorizationCodeGrant +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def __init__(self, default_grant=None, oidc_grant=None):$/;" m class:AuthorizationCodeGrantDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def __init__(self, default_grant=None, oidc_grant=None):$/;" m class:ImplicitTokenGrantDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/dispatchers.py /^ def __init__(self, request_validator, default_grant=None, oidc_grant=None):$/;" m class:AuthorizationTokenGrantDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/exceptions.py /^ def __init__(self):$/;" m class:OIDCNoPrompt +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/hybrid.py /^ def __init__(self, request_validator=None, **kwargs):$/;" m class:HybridGrant +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/implicit.py /^ def __init__(self, request_validator=None, **kwargs):$/;" m class:ImplicitGrant +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^ def __init__(self, request_validator=None, token_generator=None,$/;" m class:JWTToken +__init__ adpepsenv/lib/python3.8/site-packages/oauthlib/signals.py /^ def __init__(self, name, doc=None):$/;" m class:_FakeSignal +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __init__(self, contraction, contraction_list, constants_dict, **einsum_kwargs):$/;" m class:ContractExpression +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __init__(self, contraction_list, input_subscripts, output_subscript, indices, path, scal/;" m class:PathInfo +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def __init__(self, minimize='flops', cost_cap=True, search_outer=False):$/;" m class:DynamicProgramming +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/paths.py /^ def __init__(self, nbranch=None, cutoff_flops_factor=4, minimize='flops', cost_fn='memory-re/;" m class:BranchBound +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def __init__(self, cost_fn='memory-removed-jitter', temperature=1.0, rel_temperature=True, n/;" m class:RandomGreedy +__init__ adpepsenv/lib/python3.8/site-packages/opt_einsum/path_random.py /^ def __init__(self, max_repeats=32, max_time=None, minimize='flops', parallel=False, pre_disp/;" m class:RandomOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def __init__(self):$/;" m class:BaseVisitor +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ def __init__(self, source):$/;" m class:AstAnnotator +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/ast_utils.py /^ def __init__(self, condition):$/;" m class:FindNodeVisitor +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/codegen.py /^ def __init__(self):$/;" m class:Printer +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def __init__(self):$/;" m class:ScopeVisitor +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def __init__(self, id):$/;" m class:Name +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def __init__(self, node):$/;" m class:RootScope +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/scope.py /^ def __init__(self, parent_scope, node):$/;" m class:Scope +__init__ adpepsenv/lib/python3.8/site-packages/pasta/base/token_generator.py /^ def __init__(self, source, ignore_error_token=False):$/;" m class:TokenGenerator +__init__ adpepsenv/lib/python3.8/site-packages/PIL/BdfFontFile.py /^ def __init__(self, fp):$/;" m class:BdfFontFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ContainerIO.py /^ def __init__(self, file, offset, length):$/;" m class:ContainerIO +__init__ adpepsenv/lib/python3.8/site-packages/PIL/EpsImagePlugin.py /^ def __init__(self, fp):$/;" m class:PSFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/FontFile.py /^ def __init__(self):$/;" m class:FontFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/GimpGradientFile.py /^ def __init__(self, fp):$/;" m class:GimpGradientFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/GimpPaletteFile.py /^ def __init__(self, fp):$/;" m class:GimpPaletteFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/IcnsImagePlugin.py /^ def __init__(self, fobj):$/;" m class:IcnsFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/IcoImagePlugin.py /^ def __init__(self, buf):$/;" m class:IcoFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __init__(self):$/;" m class:Exif +__init__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __init__(self):$/;" m class:Image +__init__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __init__(self, data):$/;" m class:_E +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def __init__($/;" m class:ImageCmsTransform +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageCms.py /^ def __init__(self, profile):$/;" m class:ImageCmsProfile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw.py /^ def __init__(self, im, mode=None):$/;" m class:ImageDraw +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def __init__(self, color, file, size=12):$/;" m class:Font +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def __init__(self, color, opacity=255):$/;" m class:Brush +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def __init__(self, color, width=1, opacity=255):$/;" m class:Pen +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageDraw2.py /^ def __init__(self, image, size=None, color=None):$/;" m class:Draw +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^ def __init__(self, image):$/;" m class:Brightness +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^ def __init__(self, image):$/;" m class:Color +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^ def __init__(self, image):$/;" m class:Contrast +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageEnhance.py /^ def __init__(self, image):$/;" m class:Sharpness +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def __init__(self):$/;" m class:PyCodecState +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def __init__(self, fp=None, filename=None):$/;" m class:ImageFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFile.py /^ def __init__(self, mode, *args):$/;" m class:PyDecoder +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, radius):$/;" m class:BoxBlur +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, radius=2):$/;" m class:GaussianBlur +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, radius=2, percent=150, threshold=3):$/;" m class:UnsharpMask +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size, kernel, scale=None, offset=0):$/;" m class:Kernel +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size, rank):$/;" m class:RankFilter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size, table, channels=3, target_mode=None, **kwargs):$/;" m class:Color3DLUT +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size=3):$/;" m class:MaxFilter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size=3):$/;" m class:MedianFilter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size=3):$/;" m class:MinFilter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __init__(self, size=3):$/;" m class:ModeFilter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def __init__(self, font, orientation=None):$/;" m class:TransposedFont +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFont.py /^ def __init__(self, font=None, size=10, index=0, encoding="", layout_engine=None):$/;" m class:FreeTypeFont +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __init__(self, im):$/;" m class:_Operand +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMode.py /^ def __init__(self, mode, bands, basemode, basetype):$/;" m class:ModeDescriptor +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def __init__(self, lut=None, op_name=None, patterns=None):$/;" m class:MorphOp +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMorph.py /^ def __init__(self, patterns=None, op_name=None):$/;" m class:LutBuilder +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImagePalette.py /^ def __init__(self, mode="RGB", palette=None, size=0):$/;" m class:ImagePalette +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageQt.py /^ def __init__(self, im):$/;" m class:ImageQt +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^ def __init__(self, im):$/;" m class:Iterator +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageStat.py /^ def __init__(self, image_or_list, mask=None):$/;" m class:Stat +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __init__(self, master, im):$/;" m class:_show.UI +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __init__(self, image=None, **kw):$/;" m class:BitmapImage +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __init__(self, image=None, size=None, **kw):$/;" m class:PhotoImage +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTransform.py /^ def __init__(self, data):$/;" m class:Transform +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __init__(self, dc):$/;" m class:HDC +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __init__(self, image, size=None):$/;" m class:Dib +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __init__(self, image, title="PIL"):$/;" m class:ImageWindow +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __init__(self, title="PIL", width=None, height=None):$/;" m class:Window +__init__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __init__(self, wnd):$/;" m class:HWND +__init__ adpepsenv/lib/python3.8/site-packages/PIL/MpegImagePlugin.py /^ def __init__(self, fp):$/;" m class:BitStream +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PaletteFile.py /^ def __init__(self, fp):$/;" m class:PaletteFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PcfFontFile.py /^ def __init__(self, fp, charset_encoding="iso8859-1"):$/;" m class:PcfFontFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __init__(self):$/;" m class:XrefTable +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __init__(self, data):$/;" m class:PdfBinary +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __init__(self, dictionary, buf):$/;" m class:PdfStream +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __init__(self, filename=None, f=None, buf=None, start_offset=0, mode="rb"):$/;" m class:PdfParser +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __init__(self, name):$/;" m class:PdfName +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __init__(self):$/;" m class:PngInfo +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __init__(self, fp):$/;" m class:ChunkStream +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __init__(self, fp):$/;" m class:PngStream +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __init__(self, fp, chunk):$/;" m class:_idat +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __init__(self, fp, chunk, seq_num):$/;" m class:_fdat +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PSDraw.py /^ def __init__(self, fp=None):$/;" m class:PSDraw +__init__ adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def __init__(self, img, readonly=False):$/;" m class:PyAccess +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TarIO.py /^ def __init__(self, tarfile, file):$/;" m class:TarIO +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __init__(self, *args, **kwargs):$/;" m class:ImageFileDirectory_v1 +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __init__(self, fn, new=False):$/;" m class:AppendingTiffWriter +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __init__(self, fp=None, filename=None):$/;" m class:TiffImageFile +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __init__(self, ifh=b"II\\052\\0\\0\\0\\0\\0", prefix=None):$/;" m class:ImageFileDirectory_v2 +__init__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __init__(self, value, denominator=1):$/;" m class:IFDRational +__init__ adpepsenv/lib/python3.8/site-packages/PIL/_util.py /^ def __init__(self, ex):$/;" m class:deferred_error +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __init__(self):$/;" m class:BuildEnvironment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __init__(self):$/;" m class:NoOpBuildEnvironment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/build_env.py /^ def __init__(self, path):$/;" m class:_Prefix +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def __init__($/;" m class:CacheEntry +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def __init__(self, cache_dir, format_control):$/;" m class:SimpleWheelCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def __init__(self, cache_dir, format_control):$/;" m class:WheelCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def __init__(self, cache_dir, format_control, allowed_formats):$/;" m class:Cache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cache.py /^ def __init__(self, format_control):$/;" m class:EphemWheelCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/base_command.py /^ def __init__(self, name, summary, isolated=False):$/;" m class:Command +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/command_context.py /^ def __init__(self):$/;" m class:CommandContextMixIn +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def __init__(self, *args, **kwargs):$/;" m class:ConfigOptionParser +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/parser.py /^ def __init__(self, *args, **kwargs):$/;" m class:PrettyHelpFormatter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def __init__(self, *args, **kwargs):$/;" m class:DownloadProgressMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def __init__(self, *args, **kwargs):$/;" m class:InterruptibleMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/progress_bars.py /^ def __init__(self, *args, **kwargs):$/;" m class:WindowsMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def __init__(self):$/;" m class:SessionCommandMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/req_command.py /^ def __init__(self, *args, **kw):$/;" m class:RequirementCommand +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def __init__(self, message, file=None, spin_chars="-\\\\|\/",$/;" m class:InteractiveSpinner +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def __init__(self, message, min_update_interval_seconds=60):$/;" m class:NonInteractiveSpinner +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/cli/spinners.py /^ def __init__(self, min_update_interval_seconds):$/;" m class:RateLimiter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def __init__(self, isolated, load_only=None):$/;" m class:Configuration +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/distributions/base.py /^ def __init__(self, req):$/;" m class:AbstractDistribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self):$/;" m class:HashErrors +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, allowed, gots):$/;" m class:HashMismatch +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, dist, metadata_name):$/;" m class:NoneMetadataError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, error_msg, response=None, request=None):$/;" m class:NetworkConnectionError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, gotten_hash):$/;" m class:HashMissing +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, ireq, field, built):$/;" m class:MetadataInconsistent +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __init__(self, reason="could not be loaded", fname=None, error=None):$/;" m class:ConfigurationFileCouldNotBeLoaded +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __init__($/;" m class:CollectedLinks +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __init__($/;" m class:HTMLPage +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __init__($/;" m class:LinkCollector +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __init__(self, content_type, request_desc):$/;" m class:_NotHTML +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __init__(self, page):$/;" m class:CacheablePageContent +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def __init__($/;" m class:BestCandidateResult +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def __init__($/;" m class:CandidateEvaluator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def __init__($/;" m class:CandidatePreferences +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def __init__($/;" m class:LinkEvaluator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/package_finder.py /^ def __init__($/;" m class:PackageFinder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^ def __init__(self, name, version, link):$/;" m class:InstallationCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def __init__($/;" m class:ArchiveInfo +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def __init__($/;" m class:DirectUrl +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def __init__($/;" m class:DirInfo +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/direct_url.py /^ def __init__($/;" m class:VcsInfo +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def __init__(self, no_binary=None, only_binary=None):$/;" m class:FormatControl +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^ def __init__(self, url, file_storage_domain):$/;" m class:PackageIndex +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def __init__($/;" m class:Link +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/scheme.py /^ def __init__($/;" m class:Scheme +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ def __init__($/;" m class:SearchScope +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/selection_prefs.py /^ def __init__($/;" m class:SelectionPreferences +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/target_python.py /^ def __init__($/;" m class:TargetPython +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/wheel.py /^ def __init__(self, filename):$/;" m class:Wheel +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/auth.py /^ def __init__(self, prompting=True, index_urls=None):$/;" m class:MultiDomainBasicAuth +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/cache.py /^ def __init__(self, directory):$/;" m class:SafeFileCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^ def __init__($/;" m class:Download +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/download.py /^ def __init__($/;" m class:Downloader +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/lazy_wheel.py /^ def __init__(self, url, session, chunk_size=CONTENT_CHUNK_SIZE):$/;" m class:LazyZipOverHTTP +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/session.py /^ def __init__(self, *args, **kwargs):$/;" m class:PipSession +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/network/xmlrpc.py /^ def __init__(self, index_url, session, use_datetime=False):$/;" m class:PipXmlrpcTransport +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^ def __init__(self, name, req, editable, comments=()):$/;" m class:FrozenRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/legacy.py /^ def __init__(self):$/;" m class:LegacyInstallFailure +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def __init__(self, entry_point):$/;" m class:MissingCallableSuffix +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def __init__(self, file):$/;" m class:ScriptFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/install/wheel.py /^ def __init__(self, src_record_path, dest_path, zip_file):$/;" m class:ZipBackedFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def __init__($/;" m class:RequirementPreparer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/prepare.py /^ def __init__(self, path, content_type):$/;" m class:File +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/constructors.py /^ def __init__($/;" m class:RequirementParts +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def __init__($/;" m class:ParsedLine +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def __init__($/;" m class:ParsedRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def __init__($/;" m class:RequirementsFileParser +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_file.py /^ def __init__(self, msg):$/;" m class:OptionParsingError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def __init__($/;" m class:InstallRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def __init__(self, check_supported_wheels=True):$/;" m class:RequirementSet +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_tracker.py /^ def __init__(self, root):$/;" m class:RequirementTracker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def __init__(self):$/;" m class:StashedUninstallPathSet +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def __init__(self, dist):$/;" m class:UninstallPathSet +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_uninstall.py /^ def __init__(self, pth_file):$/;" m class:UninstallPthEntries +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^ def __init__(self, name):$/;" m class:InstallationResult +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/legacy/resolver.py /^ def __init__($/;" m class:Resolver +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__($/;" m class:AlreadyInstalledCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__($/;" m class:EditableCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__($/;" m class:ExtrasCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__($/;" m class:LinkCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__($/;" m class:_InstallRequirementBackedCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __init__(self, py_version_info):$/;" m class:RequiresPythonCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/factory.py /^ def __init__($/;" m class:Factory +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/provider.py /^ def __init__($/;" m class:PipProvider +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __init__(self, candidate):$/;" m class:ExplicitRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __init__(self, ireq):$/;" m class:SpecifierRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __init__(self, specifier, match):$/;" m class:RequiresPythonRequirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/resolver.py /^ def __init__($/;" m class:Resolver +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/self_outdated_check.py /^ def __init__(self, cache_dir):$/;" m class:SelfCheckState +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def __init__(self):$/;" m class:MissingHashes +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def __init__(self, hashes=None):$/;" m class:Hashes +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def __init__(self, *args, **kwargs):$/;" m class:IndentingFormatter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def __init__(self, level):$/;" m class:MaxLevelFilter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/logging.py /^ def __init__(self, stream=None, no_color=None):$/;" m class:ColorizedStreamHandler +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __init__($/;" m class:HiddenText +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __init__(self, lines):$/;" m class:FakeFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __init__(self, key, defining_class):$/;" m class:KeyBasedCompareMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/pkg_resources.py /^ def __init__(self, metadata):$/;" m class:DictMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __init__($/;" m class:TempDirectory +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __init__(self):$/;" m class:TempDirectoryTypeRegistry +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __init__(self, original, delete=None):$/;" m class:AdjacentTempDirectory +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/wheel.py /^ def __init__(self, metadata, wheel_name):$/;" m class:WheelMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/bazaar.py /^ def __init__(self, *args, **kwargs):$/;" m class:Bazaar +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/subversion.py /^ def __init__(self, use_interactive=None):$/;" m class:Subversion +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def __init__($/;" m class:RevOptions +__init__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def __init__(self):$/;" m class:VcsSupport +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^ def __init__(self, appname=None, appauthor=None, version=None,$/;" m class:AppDirs +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/adapter.py /^ def __init__($/;" m class:CacheControlAdapter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/cache.py /^ def __init__(self, init_dict=None):$/;" m class:DictCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/file_cache.py /^ def __init__($/;" m class:FileCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/caches/redis_cache.py /^ def __init__(self, conn):$/;" m class:RedisCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/controller.py /^ def __init__($/;" m class:CacheController +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def __init__(self, fp, callback):$/;" m class:CallbackFileWrapper +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/heuristics.py /^ def __init__(self, **kw):$/;" m class:ExpiresAfter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/big5prober.py /^ def __init__(self):$/;" m class:Big5Prober +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:Big5DistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:CharDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCJPDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCKRDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:EUCTWDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:GB2312DistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/chardistribution.py /^ def __init__(self):$/;" m class:SJISDistributionAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetgroupprober.py /^ def __init__(self, lang_filter=None):$/;" m class:CharSetGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/charsetprober.py /^ def __init__(self, lang_filter=None):$/;" m class:CharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/codingstatemachine.py /^ def __init__(self, sm):$/;" m class:CodingStateMachine +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/cp949prober.py /^ def __init__(self):$/;" m class:CP949Prober +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/escprober.py /^ def __init__(self, lang_filter=None):$/;" m class:EscCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/eucjpprober.py /^ def __init__(self):$/;" m class:EUCJPProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euckrprober.py /^ def __init__(self):$/;" m class:EUCKRProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/euctwprober.py /^ def __init__(self):$/;" m class:EUCTWProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/gb2312prober.py /^ def __init__(self):$/;" m class:GB2312Prober +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/hebrewprober.py /^ def __init__(self):$/;" m class:HebrewProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def __init__(self):$/;" m class:JapaneseContextAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/jpcntx.py /^ def __init__(self):$/;" m class:SJISContextAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/latin1prober.py /^ def __init__(self):$/;" m class:Latin1Prober +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcharsetprober.py /^ def __init__(self, lang_filter=None):$/;" m class:MultiByteCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/mbcsgroupprober.py /^ def __init__(self, lang_filter=None):$/;" m class:MBCSGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcharsetprober.py /^ def __init__(self, model, reversed=False, name_prober=None):$/;" m class:SingleByteCharSetProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sbcsgroupprober.py /^ def __init__(self):$/;" m class:SBCSGroupProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/sjisprober.py /^ def __init__(self):$/;" m class:SJISProber +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/universaldetector.py /^ def __init__(self, lang_filter=LanguageFilter.ALL):$/;" m class:UniversalDetector +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/utf8prober.py /^ def __init__(self):$/;" m class:UTF8Prober +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansi.py /^ def __init__(self):$/;" m class:AnsiCodes +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def __init__(self, wrapped, convert=None, strip=None, autoreset=False):$/;" m class:AnsiToWin32 +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/ansitowin32.py /^ def __init__(self, wrapped, converter):$/;" m class:StreamWrapper +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/winterm.py /^ def __init__(self):$/;" m class:WinTerm +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self):$/;" m class:ContextStack +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self):$/;" m class:ExitStack +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self, *exceptions):$/;" m class:suppress +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self, enter_result=None):$/;" m class:nullcontext +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self, func, args, kwds):$/;" m class:_GeneratorContextManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self, new_target):$/;" m class:_RedirectStream +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __init__(self, thing):$/;" m class:closing +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __init__(self, **kwargs):$/;" m class:Container +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __init__(self, *args, **kwds):$/;" m class:OrderedDict +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __init__(self, *maps):$/;" m class:ChainMap +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __init__(self, base):$/;" m class:ZipExtFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __init__(self, config):$/;" m class:BaseConfigurator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self):$/;" m class:DependencyGraph +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self):$/;" m class:_Cache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self, metadata):$/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self, metadata, path, env=None):$/;" m class:BaseInstalledDistribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self, path, env=None):$/;" m class:EggInfoDistribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self, path, metadata=None, env=None):$/;" m class:InstalledDistribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __init__(self, path=None, include_egg=False):$/;" m class:DistributionPath +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/index.py /^ def __init__(self, url=None):$/;" m class:PackageIndex +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, *locators, **kwargs):$/;" m class:AggregatingLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, data, url):$/;" m class:Page +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, distpath, **kwargs):$/;" m class:DistPathLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, locator=None):$/;" m class:DependencyFinder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, path, **kwargs):$/;" m class:DirectoryLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, scheme='default'):$/;" m class:Locator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, url, **kwargs):$/;" m class:PyPIJSONLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, url, **kwargs):$/;" m class:PyPIRPCLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/locators.py /^ def __init__(self, url, timeout=None, num_workers=10, **kwargs):$/;" m class:SimpleScrapingLocator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/manifest.py /^ def __init__(self, base=None):$/;" m class:Manifest +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __init__(self, path=None, fileobj=None, mapping=None,$/;" m class:LegacyMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __init__(self, path=None, fileobj=None, mapping=None,$/;" m class:Metadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def __init__(self, base=None):$/;" m class:ResourceCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def __init__(self, finder, name):$/;" m class:ResourceBase +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def __init__(self, module):$/;" m class:ResourceFinder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/resources.py /^ def __init__(self, module):$/;" m class:ZipResourceFinder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/scripts.py /^ def __init__(self, source_dir, target_dir, add_launchers=True,$/;" m class:ScriptMaker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, host='', port=None, **kwargs):$/;" m class:HTTPS +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, ca_certs, check_domain=True):$/;" m class:HTTPSHandler +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, host='', port=None, **kwargs):$/;" m class:HTTP +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, timeout, use_datetime=0):$/;" m class:SafeTransport +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self):$/;" m class:EventMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self):$/;" m class:Sequencer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, **kwargs):$/;" m class:CSVReader +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, base):$/;" m class:Cache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, config, base=None):$/;" m class:Configurator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, dry_run=False):$/;" m class:FileOperator +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, fn, **kwargs):$/;" m class:CSVWriter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, func):$/;" m class:cached_property +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, minval=0, maxval=100):$/;" m class:Progress +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, name, prefix, suffix, flags):$/;" m class:ExportEntry +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, timeout, use_datetime=0):$/;" m class:Transport +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, uri, **kwargs):$/;" m class:ServerProxy +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __init__(self, verbose=False, progress=None):$/;" m class:SubprocessMixin +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __init__(self, key, matcher, suggester=None):$/;" m class:VersionScheme +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __init__(self, s):$/;" m class:Matcher +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __init__(self, s):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def __init__(self):$/;" m class:Mounter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/wheel.py /^ def __init__(self, filename=None, sign=False, verify=False):$/;" m class:Wheel +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, fileobj):$/;" m class:_StreamProxy +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, fileobj, mode):$/;" m class:_BZ2Proxy +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, fileobj, offset, size, blockinfo=None):$/;" m class:_FileInFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, name, mode):$/;" m class:_LowLevelFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, name, mode, comptype, fileobj, bufsize):$/;" m class:_Stream +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, name=""):$/;" m class:TarInfo +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, name=None, mode="r", fileobj=None, format=None,$/;" m class:TarFile +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, tarfile):$/;" m class:TarIter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __init__(self, tarfile, tarinfo):$/;" m class:ExFileObject +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def __init__(self, f):$/;" m class:cached_property +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def __init__(self,$/;" m class:LinuxDistribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/base.py /^ def __init__(self, source):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/inject_meta_charset.py /^ def __init__(self, source, encoding):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/lint.py /^ def __init__(self, source, require_matching_tags=True):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def __init__(self,$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def __init__(self, *args, **kwargs):$/;" m class:getPhases.InBodyPhase +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def __init__(self, *args, **kwargs):$/;" m class:getPhases.InTableTextPhase +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.Phase +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def __init__(self, tree=None, strict=False, namespaceHTMLElements=True, debug=False):$/;" m class:HTMLParser +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/serializer.py /^ def __init__(self, **kwargs):$/;" m class:HTMLSerializer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def __init__(self, name):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def __init__(self, namespaceHTMLElements):$/;" m class:TreeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __init__(self, element):$/;" m class:getDomBuilder.AttrList +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __init__(self, element):$/;" m class:getDomBuilder.NodeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self):$/;" m class:getETreeBuilder.Document +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self):$/;" m class:getETreeBuilder.DocumentFragment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, data):$/;" m class:getETreeBuilder.Comment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, name, namespace=None):$/;" m class:getETreeBuilder.Element +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, name, publicId, systemId):$/;" m class:getETreeBuilder.DocumentType +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, data):$/;" m class:TreeBuilder.__init__.Comment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, element):$/;" m class:TreeBuilder.__init__.Attributes +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, name, namespace):$/;" m class:TreeBuilder.__init__.Element +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self):$/;" m class:Document +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, name, publicId, systemId):$/;" m class:DocumentType +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, namespaceHTMLElements, fullTree=False):$/;" m class:TreeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def __init__(self, tree):$/;" m class:TreeWalker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, children):$/;" m class:FragmentRoot +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, et):$/;" m class:Root +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, fragment_root, obj):$/;" m class:FragmentWrapper +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, root_node, name, public_id, system_id):$/;" m class:Doctype +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, tree):$/;" m class:TreeWalker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_ihatexml.py /^ def __init__(self,$/;" m class:InfosetFilter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, data):$/;" m class:ContentAttrParser +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, data):$/;" m class:EncodingParser +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, source):$/;" m class:HTMLUnicodeInputStream +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, source, override_encoding=None, transport_encoding=None,$/;" m class:HTMLBinaryInputStream +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, stream):$/;" m class:BufferedStream +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __init__(self, value):$/;" m class:EncodingBytes +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def __init__(self, stream, parser=None, **kwargs):$/;" m class:HTMLTokenizer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def __init__(self, data):$/;" m class:Trie +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __init__(self, instance, dispatcher):$/;" m class:BoundMethodDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __init__(self, items=()):$/;" m class:MethodDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address):$/;" m class:IPv4Address +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address):$/;" m class:IPv4Interface +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address):$/;" m class:IPv6Address +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address):$/;" m class:IPv6Interface +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address):$/;" m class:_BaseNetwork +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address, strict=True):$/;" m class:IPv4Network +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __init__(self, address, strict=True):$/;" m class:IPv6Network +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^ def __init__(self, unpacked, extra):$/;" m class:ExtraData +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __init__(self, seconds, nanoseconds=0):$/;" m class:Timestamp +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def __init__(self, s=b""):$/;" m class:StringIO +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def __init__($/;" m class:Packer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def __init__($/;" m class:Unpacker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __init__(self, marker):$/;" m class:Marker +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __init__(self, value):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^ def __init__(self, requirement_string):$/;" m class:Requirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __init__(self, spec="", prereleases=None):$/;" m class:_IndividualSpecifier +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __init__(self, specifiers="", prereleases=None):$/;" m class:SpecifierSet +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __init__(self, file):$/;" m class:_ELFFileHeader +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __init__(self, interpreter, abi, platform):$/;" m class:Tag +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:LegacyVersion +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/colorlog.py /^ def __init__(self, color=True, datefmt=None):$/;" m class:LogFormatter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/envbuild.py /^ def __init__(self, cleanup=True):$/;" m class:BuildEnvironment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__($/;" m class:Pep517HookCaller +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__(self, backend_name, backend_path, message):$/;" m class:BackendInvalid +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__(self, hook_name):$/;" m class:HookMissing +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__(self, logger, level):$/;" m class:LoggerWrapper +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__(self, traceback):$/;" m class:BackendUnavailable +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/wrappers.py /^ def __init__(self, traceback):$/;" m class:UnsupportedOperation +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^ def __init__(self, message):$/;" m class:BackendInvalid +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^ def __init__(self, traceback):$/;" m class:BackendUnavailable +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/_in_process.py /^ def __init__(self, traceback):$/;" m class:GotUnsupportedOperation +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__($/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__($/;" m class:Environment +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self):$/;" m class:EmptyProvider +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self):$/;" m class:ResourceManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, entries=None):$/;" m class:WorkingSet +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, importer):$/;" m class:EggMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:EggProvider +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:NullProvider +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:ZipProvider +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, name, module_name, attrs=(), extras=(), dist=None):$/;" m class:EntryPoint +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, path):$/;" m class:FileMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, path, egg_info):$/;" m class:PathMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __init__(self, requirement_string):$/;" m class:Requirement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def __init__(self, *args, **kwargs):$/;" m class:Progress +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^ def __init__(self, message='', **kwargs):$/;" m class:Infinite +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, size):$/;" m class:ParserElement._FifoCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:ParserElement._UnboundedCache +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:pyparsing_test.reset_pyparsing_context +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, *args, **kwargs):$/;" m class:And._ErrorStop +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:Empty +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:LineEnd +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:LineStart +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:NoMatch +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:StringEnd +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:StringStart +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:_PositionToken +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, charset, asKeyword=False, excludeChars=None):$/;" m class:Char +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, colno):$/;" m class:GoToColumn +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr):$/;" m class:Dict +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr):$/;" m class:FollowedBy +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr):$/;" m class:NotAny +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, default=__optionalNotMatched):$/;" m class:Optional +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, joinString="", adjacent=True):$/;" m class:Combine +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, must_skip=False):$/;" m class:_PendingSkip +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, retreat=None):$/;" m class:PrecededBy +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, savelist=False):$/;" m class:ParseElementEnhance +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, savelist=False):$/;" m class:TokenConverter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, stopOn=None):$/;" m class:ZeroOrMore +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, expr, stopOn=None):$/;" m class:_MultipleMatch +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:MatchFirst +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:Or +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:ParseExpression +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, exprs, savelist=True):$/;" m class:And +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, exprs, savelist=True):$/;" m class:Each +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, fn):$/;" m class:_lazyclassproperty +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, initChars, bodyChars=None, min=1, max=0, exact=0, asKeyword=False, exclud/;" m class:Word +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, matchString):$/;" m class:CaselessLiteral +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, matchString):$/;" m class:Literal +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, matchString, identChars=None):$/;" m class:CaselessKeyword +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, matchString, identChars=None, caseless=False):$/;" m class:Keyword +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, match_string, maxMismatches=1):$/;" m class:CloseMatch +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, methodCall):$/;" m class:OnlyOnce +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, notChars, min=1, max=0, exact=0):$/;" m class:CharsNotIn +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, other, include=False, ignore=None, failOn=None):$/;" m class:SkipTo +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, other=None):$/;" m class:Forward +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, p1, p2):$/;" m class:_ParseResultsWithOffset +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, parseElementList):$/;" m class:RecursiveGrammarException +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, pattern, flags=0, asGroupList=False, asMatch=False):$/;" m class:Regex +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, pstr, loc=0, msg=None, elem=None):$/;" m class:ParseBaseException +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, quoteChar, escChar=None, escQuote=None, multiline=False,$/;" m class:QuotedString +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, savelist=False):$/;" m class:ParserElement +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, toklist=None, name=None, asList=True, modal=True, isinstance=isinstance):$/;" m class:ParseResults +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, wordChars=printables):$/;" m class:WordEnd +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, wordChars=printables):$/;" m class:WordStart +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __init__(self, ws=" \\t\\r\\n", min=1, max=0, exact=0):$/;" m class:White +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def __init__(self):$/;" m class:BaseAdapter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def __init__(self, pool_connections=DEFAULT_POOLSIZE,$/;" m class:HTTPAdapter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __init__(self, username, password):$/;" m class:HTTPBasicAuth +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __init__(self, username, password):$/;" m class:HTTPDigestAuth +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __init__(self, headers):$/;" m class:MockResponse +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __init__(self, request):$/;" m class:MockRequest +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/exceptions.py /^ def __init__(self, *args, **kwargs):$/;" m class:RequestException +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __init__(self):$/;" m class:PreparedRequest +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __init__(self):$/;" m class:Response +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __init__(self,$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def __init__(self):$/;" m class:Session +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __init__(self, data=None, **kwargs):$/;" m class:CaseInsensitiveDict +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __init__(self, name=None):$/;" m class:LookupDict +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/providers.py /^ def __init__(self, provider, reporter):$/;" m class:AbstractResolver +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, candidate, criterion):$/;" m class:InconsistentCandidate +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, candidates, information, incompatibilities):$/;" m class:Criterion +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, causes):$/;" m class:ResolutionImpossible +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, criterion):$/;" m class:RequirementsConflicted +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, provider, reporter):$/;" m class:Resolution +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __init__(self, round_count):$/;" m class:ResolutionTooDeep +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def __init__(self):$/;" m class:DirectedGraph +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def __init__(self, last_attempt):$/;" m class:RetryError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def __init__(self, value, attempt_number, has_exception):$/;" m class:Attempt +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def __init__(self,$/;" m class:Retrying +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __init__(self, msg, doc, pos):$/;" m class:TomlDecodeError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __init__(self, val, comment, beginline, _dict):$/;" m class:CommentValue +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __init__(self, _dict=dict):$/;" m class:TomlDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __init__(self, _dict=dict):$/;" m class:TomlPreserveCommentDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def __init__(self, _dict=dict):$/;" m class:TomlPreserveInlineDictEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def __init__(self, _dict=dict, preserve=False):$/;" m class:TomlEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def __init__(self, _dict=dict, preserve=False):$/;" m class:TomlNumpyEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def __init__(self, _dict=dict, preserve=False):$/;" m class:TomlPreserveCommentEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/encoder.py /^ def __init__(self, _dict=dict, preserve=False, separator=","):$/;" m class:TomlArraySeparatorEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/ordered.py /^ def __init__(self):$/;" m class:TomlOrderedDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/ordered.py /^ def __init__(self):$/;" m class:TomlOrderedEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/tz.py /^ def __init__(self, toml_offset):$/;" m class:TomlTz +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def __init__($/;" m class:HTTPSConnection +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connection.py /^ def __init__(self, *args, **kw):$/;" m class:HTTPConnection +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __init__($/;" m class:HTTPConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __init__($/;" m class:HTTPSConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __init__(self, host, port=None):$/;" m class:ConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/appengine.py /^ def __init__($/;" m class:AppEngineManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/ntlmpool.py /^ def __init__(self, user, pw, authurl, *args, **kwargs):$/;" m class:NTLMConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def __init__(self, connection, socket, suppress_ragged_eofs=True):$/;" m class:WrappedSocket +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/pyopenssl.py /^ def __init__(self, protocol):$/;" m class:PyOpenSSLContext +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def __init__(self, protocol):$/;" m class:SecureTransportContext +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/securetransport.py /^ def __init__(self, socket):$/;" m class:WrappedSocket +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ def __init__($/;" m class:SOCKSProxyManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/contrib/socks.py /^ def __init__(self, *args, **kwargs):$/;" m class:SOCKSConnection +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, defects, unparsed_data):$/;" m class:HeaderParsingError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, location):$/;" m class:LocationParseError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, message, error, *args):$/;" m class:ProxyError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, partial, expected):$/;" m class:IncompleteRead +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, pool, message):$/;" m class:PoolError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, pool, url, message):$/;" m class:RequestError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, pool, url, reason=None):$/;" m class:MaxRetryError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, pool, url, retries=3):$/;" m class:HostChangedError +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __init__(self, scheme):$/;" m class:ProxySchemeUnknown +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/fields.py /^ def __init__($/;" m class:RequestField +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def __init__($/;" m class:ProxyManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/poolmanager.py /^ def __init__(self, num_pools=10, headers=None, **connection_pool_kw):$/;" m class:PoolManager +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/request.py /^ def __init__(self, headers=None):$/;" m class:RequestMethods +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __init__(self):$/;" m class:BrotliDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __init__($/;" m class:HTTPResponse +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __init__(self):$/;" m class:DeflateDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __init__(self):$/;" m class:GzipDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __init__(self, modes):$/;" m class:MultiDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def __init__($/;" m class:Retry +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/ssl_.py /^ def __init__(self, protocol_version):$/;" m class:SSLContext +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def __init__(self, total=None, connect=_Default, read=_Default):$/;" m class:Timeout +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __init__(self, headers=None, **kwargs):$/;" m class:HTTPHeaderDict +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __init__(self, maxsize=10, dispose_func=None):$/;" m class:RecentlyUsedContainer +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^ def __init__(self, encoding=UTF8, errors='strict'):$/;" m class:IncrementalEncoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^ def __init__(self, fallback_encoding, errors='replace'):$/;" m class:IncrementalDecoder +__init__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^ def __init__(self, name, codec_info):$/;" m class:Encoding +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/extern/__init__.py /^ def __init__(self, root_name, vendored_names=(), vendor_pkg=None):$/;" m class:VendorImporter +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^ def __init__(self, appname=None, appauthor=None, version=None,$/;" m class:AppDirs +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __init__(self, marker):$/;" m class:Marker +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __init__(self, value):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^ def __init__(self, requirement_string):$/;" m class:Requirement +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __init__(self, spec="", prereleases=None):$/;" m class:_IndividualSpecifier +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __init__(self, specifiers="", prereleases=None):$/;" m class:SpecifierSet +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def __init__(self, interpreter, abi, platform):$/;" m class:Tag +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:LegacyVersion +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, size):$/;" m class:ParserElement._FifoCache +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:ParserElement._UnboundedCache +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, *args, **kwargs):$/;" m class:And._ErrorStop +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:Empty +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:LineEnd +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:LineStart +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:NoMatch +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:StringEnd +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:StringStart +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:_PositionToken +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, colno ):$/;" m class:GoToColumn +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:Dict +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:FollowedBy +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:NotAny +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, default=_optionalNotMatched ):$/;" m class:Optional +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, joinString="", adjacent=True ):$/;" m class:Combine +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, savelist=False ):$/;" m class:ParseElementEnhance +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, savelist=False ):$/;" m class:TokenConverter +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, stopOn=None):$/;" m class:ZeroOrMore +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, expr, stopOn=None):$/;" m class:_MultipleMatch +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:MatchFirst +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:Or +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:ParseExpression +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = True ):$/;" m class:And +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = True ):$/;" m class:Each +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, initChars, bodyChars=None, min=1, max=0, exact=0, asKeyword=False, exclu/;" m class:Word +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, matchString ):$/;" m class:CaselessLiteral +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, matchString ):$/;" m class:Literal +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, matchString, identChars=None ):$/;" m class:CaselessKeyword +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, matchString, identChars=None, caseless=False ):$/;" m class:Keyword +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, notChars, min=1, max=0, exact=0 ):$/;" m class:CharsNotIn +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, other, include=False, ignore=None, failOn=None ):$/;" m class:SkipTo +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, other=None ):$/;" m class:Forward +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, parseElementList ):$/;" m class:RecursiveGrammarException +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, pattern, flags=0):$/;" m class:Regex +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, pstr, loc=0, msg=None, elem=None ):$/;" m class:ParseBaseException +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, quoteChar, escChar=None, escQuote=None, multiline=False, unquoteResults=/;" m class:QuotedString +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, savelist=False ):$/;" m class:ParserElement +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__( self, toklist=None, name=None, asList=True, modal=True, isinstance=isinstance /;" m class:ParseResults +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, match_string, maxMismatches=1):$/;" m class:CloseMatch +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, methodCall):$/;" m class:OnlyOnce +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, wordChars = printables):$/;" m class:WordEnd +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, wordChars = printables):$/;" m class:WordStart +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self, ws=" \\t\\r\\n", min=1, max=0, exact=0):$/;" m class:White +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __init__(self,p1,p2):$/;" m class:_ParseResultsWithOffset +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__($/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__($/;" m class:Environment +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self):$/;" m class:EmptyProvider +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self):$/;" m class:ResourceManager +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, entries=None):$/;" m class:WorkingSet +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, importer):$/;" m class:EggMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:EggProvider +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:NullProvider +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, module):$/;" m class:ZipProvider +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, name, module_name, attrs=(), extras=(), dist=None):$/;" m class:EntryPoint +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, path):$/;" m class:FileMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, path, egg_info):$/;" m class:PathMetadata +__init__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __init__(self, requirement_string):$/;" m class:Requirement +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/decoder.py /^ def __init__(self, tagMap, typeMap={}):$/;" m class:Decoder +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/encoder.py /^ def __init__(self, tagMap, typeMap={}):$/;" m class:Encoder +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/decoder.py /^ def __init__(self, tagMap, typeMap):$/;" m class:Decoder +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/native/encoder.py /^ def __init__(self, tagMap, typeMap={}):$/;" m class:Encoder +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __init__(self):$/;" m class:Scope +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __init__(self, *flags, **options):$/;" m class:Debug +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __init__(self, logger=None, handler=None, formatter=None):$/;" m class:Printer +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/error.py /^ def __init__(self, message, unicode_error=None):$/;" m class:PyAsn1UnicodeError +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __init__(self, **kwargs):$/;" m class:Asn1Type +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __init__(self, **kwargs):$/;" m class:ConstructedAsn1Type +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __init__(self, value=noValue, **kwargs):$/;" m class:SimpleAsn1Type +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __init__(self, *values):$/;" m class:AbstractConstraint +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __init__(self, errorMsg):$/;" m class:NamedTypes.PostponedError +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __init__(self, *namedTypes, **kwargs):$/;" m class:NamedTypes +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __init__(self, name, asn1Object, openType=None):$/;" m class:NamedType +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __init__(self, *args, **kwargs):$/;" m class:NamedValues +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def __init__(self, name, typeMap=None):$/;" m class:OpenType +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __init__(self, baseTag=(), *superTags):$/;" m class:TagSet +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __init__(self, tagClass, tagFormat, tagId):$/;" m class:Tag +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def __init__(self, presentTypes=None, skipTypes=None, defaultType=None):$/;" m class:TagMap +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self):$/;" m class:SequenceAndSetBase.DynamicNames +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self, **kwargs):$/;" m class:SequenceAndSetBase +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self, *args, **kwargs):$/;" m class:SequenceOfAndSetOfBase +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self, value=noValue, **kwargs):$/;" m class:BitString +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self, value=noValue, **kwargs):$/;" m class:Integer +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __init__(self, value=noValue, **kwargs):$/;" m class:OctetString +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/useful.py /^ def __init__(self, offset=0, name='UTC'):$/;" m class:TimeMixIn.FixedOffset +__init__ adpepsenv/lib/python3.8/site-packages/pyasn1_modules/rfc7191.py /^ def __init__(self):$/;" m class:siren_dn +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, size):$/;" m class:ParserElement._FifoCache +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:ParserElement._UnboundedCache +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:pyparsing_test.reset_pyparsing_context +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, *args, **kwargs):$/;" m class:And._ErrorStop +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:Empty +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:LineEnd +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:LineStart +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:NoMatch +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:StringEnd +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:StringStart +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self):$/;" m class:_PositionToken +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, charset, asKeyword=False, excludeChars=None):$/;" m class:Char +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, colno):$/;" m class:GoToColumn +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr):$/;" m class:Dict +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr):$/;" m class:FollowedBy +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr):$/;" m class:NotAny +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, default=__optionalNotMatched):$/;" m class:Optional +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, joinString="", adjacent=True):$/;" m class:Combine +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, must_skip=False):$/;" m class:_PendingSkip +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, retreat=None):$/;" m class:PrecededBy +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, savelist=False):$/;" m class:ParseElementEnhance +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, savelist=False):$/;" m class:TokenConverter +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, stopOn=None):$/;" m class:ZeroOrMore +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, expr, stopOn=None):$/;" m class:_MultipleMatch +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:MatchFirst +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:Or +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, exprs, savelist=False):$/;" m class:ParseExpression +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, exprs, savelist=True):$/;" m class:And +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, exprs, savelist=True):$/;" m class:Each +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, fn):$/;" m class:_lazyclassproperty +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, initChars, bodyChars=None, min=1, max=0, exact=0, asKeyword=False, exclud/;" m class:Word +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, matchString):$/;" m class:CaselessLiteral +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, matchString):$/;" m class:Literal +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, matchString, identChars=None):$/;" m class:CaselessKeyword +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, matchString, identChars=None, caseless=False):$/;" m class:Keyword +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, match_string, maxMismatches=1):$/;" m class:CloseMatch +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, methodCall):$/;" m class:OnlyOnce +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, notChars, min=1, max=0, exact=0):$/;" m class:CharsNotIn +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, other, include=False, ignore=None, failOn=None):$/;" m class:SkipTo +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, other=None):$/;" m class:Forward +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, p1, p2):$/;" m class:_ParseResultsWithOffset +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, parseElementList):$/;" m class:RecursiveGrammarException +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, pattern, flags=0, asGroupList=False, asMatch=False):$/;" m class:Regex +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, pstr, loc=0, msg=None, elem=None):$/;" m class:ParseBaseException +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, quoteChar, escChar=None, escQuote=None, multiline=False,$/;" m class:QuotedString +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, savelist=False):$/;" m class:ParserElement +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, toklist=None, name=None, asList=True, modal=True, isinstance=isinstance):$/;" m class:ParseResults +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, wordChars=printables):$/;" m class:WordEnd +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, wordChars=printables):$/;" m class:WordStart +__init__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __init__(self, ws=" \\t\\r\\n", min=1, max=0, exact=0):$/;" m class:White +__init__ adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def __init__(self):$/;" m class:BaseAdapter +__init__ adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def __init__(self, pool_connections=DEFAULT_POOLSIZE,$/;" m class:HTTPAdapter +__init__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __init__(self, username, password):$/;" m class:HTTPBasicAuth +__init__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __init__(self, username, password):$/;" m class:HTTPDigestAuth +__init__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __init__(self, headers):$/;" m class:MockResponse +__init__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __init__(self, request):$/;" m class:MockRequest +__init__ adpepsenv/lib/python3.8/site-packages/requests/exceptions.py /^ def __init__(self, *args, **kwargs):$/;" m class:RequestException +__init__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __init__(self):$/;" m class:PreparedRequest +__init__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __init__(self):$/;" m class:Response +__init__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __init__(self,$/;" m class:Request +__init__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def __init__(self):$/;" m class:Session +__init__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __init__(self, data=None, **kwargs):$/;" m class:CaseInsensitiveDict +__init__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __init__(self, name=None):$/;" m class:LookupDict +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_auth.py /^ def __init__($/;" m class:OAuth1 +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def __init__($/;" m class:OAuth1Session +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def __init__(self, message, response):$/;" m class:TokenMissing +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth1_session.py /^ def __init__(self, message, response):$/;" m class:TokenRequestDenied +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_auth.py /^ def __init__(self, client_id=None, client=None, token=None):$/;" m class:OAuth2 +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def __init__($/;" m class:OAuth2Session +__init__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/oauth2_session.py /^ def __init__(self, token):$/;" m class:TokenUpdated +__init__ adpepsenv/lib/python3.8/site-packages/rsa/cli.py /^ def __init__(self) -> None:$/;" m class:CryptoOperation +__init__ adpepsenv/lib/python3.8/site-packages/rsa/common.py /^ def __init__(self, a: int, b: int, d: int, msg: str = '') -> None:$/;" m class:NotRelativePrimeError +__init__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __init__(self, n: int, e: int) -> None:$/;" m class:AbstractKey +__init__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __init__(self, n: int, e: int, d: int, p: int, q: int) -> None:$/;" m class:PrivateKey +__init__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def __init__(self, id, left=None, right=None, dist=0, count=1):$/;" m class:ClusterNode +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^ def __init__(self, return_value = None):$/;" m class:_MockFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def __init__(self, data):$/;" m class:_TestRFFTBase.MockSeries +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def __init__(self, data):$/;" m class:FakeArray +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_pocketfft/tests/test_basic.py /^ def __init__(self, data):$/;" m class:FakeArray2 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def __init__(self, data):$/;" m class:_TestRFFTBase.MockSeries +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def __init__(self, data):$/;" m class:FakeArray +__init__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_basic.py /^ def __init__(self, data):$/;" m class:FakeArray2 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def __init__(self, func, ranges, opts, full_output):$/;" m class:_NQuad +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def __init__(self, opt):$/;" m class:_OptFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/quadpack.py /^ def __init__(self, range_):$/;" m class:_RangeFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def __init__(self, fun, t0, y0, t_bound, vectorized,$/;" m class:OdeSolver +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def __init__(self, t_old, t):$/;" m class:DenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/base.py /^ def __init__(self, t_old, t, value):$/;" m class:ConstantDenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def __init__(self, fun, t0, y0, t_bound, max_step=np.inf,$/;" m class:BDF +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/bdf.py /^ def __init__(self, t_old, t, h, order, D):$/;" m class:BdfDenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/common.py /^ def __init__(self, ts, interpolants):$/;" m class:OdeSolution +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^ def __init__(self, fun, t0, y0, t_bound, first_step=None, min_step=0.0,$/;" m class:LSODA +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/lsoda.py /^ def __init__(self, t_old, t, h, order, yh):$/;" m class:LsodaDenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def __init__(self, fun, t0, y0, t_bound, max_step=np.inf,$/;" m class:Radau +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/radau.py /^ def __init__(self, t_old, t, y_old, Q):$/;" m class:RadauDenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def __init__(self, fun, t0, y0, t_bound, max_step=np.inf,$/;" m class:DOP853 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def __init__(self, fun, t0, y0, t_bound, max_step=np.inf,$/;" m class:RungeKutta +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def __init__(self, t_old, t, y_old, F):$/;" m class:Dop853DenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ivp/rk.py /^ def __init__(self, t_old, t, y_old, Q):$/;" m class:RkDenseOutput +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self, f, jac=None):$/;" m class:complex_ode +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self, f, jac=None):$/;" m class:ode +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self, name):$/;" m class:IntegratorConcurrencyError +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self,$/;" m class:dop853 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self,$/;" m class:dopri5 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self,$/;" m class:lsoda +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^ def __init__(self,$/;" m class:vode +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __init__(self, **kwargs):$/;" m class:_Bunch +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __init__(self, func):$/;" m class:DoubleInfiniteFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __init__(self, func, start, infty):$/;" m class:SemiInfiniteFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __init__(self, max_size):$/;" m class:LRUDict +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, theta, phi, r, tt, tp, w=None, eps=1E-16):$/;" m class:LSQSphereBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, theta, phi, r, w=None, s=0., eps=1E-16):$/;" m class:SmoothSphereBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, u, v, r, s=0., pole_continuity=False, pole_values=None,$/;" m class:RectSphereBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, t, w=None, bbox=[None]*2, k=3,$/;" m class:LSQUnivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, w=None, bbox=[None]*2, k=3, s=None,$/;" m class:UnivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, w=None, bbox=[None]*2, k=3,$/;" m class:InterpolatedUnivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, z, bbox=[None] * 4, kx=3, ky=3, s=0):$/;" m class:RectBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, z, tx, ty, w=None, bbox=[None]*4, kx=3, ky=3,$/;" m class:LSQBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/fitpack2.py /^ def __init__(self, x, y, z, w=None, bbox=[None] * 4, kx=3, ky=3, s=None,$/;" m class:SmoothBivariateSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, c, x, extrapolate=None):$/;" m class:NdPPoly +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, c, x, extrapolate=None, axis=0):$/;" m class:_PPolyBase +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, coeffs, breaks, fill=0.0, sort=False):$/;" m class:_ppform +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, points, values, method="linear", bounds_error=True,$/;" m class:RegularGridInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, x, y, kind='linear', axis=-1,$/;" m class:interp1d +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ def __init__(self, x, y, z, kind='linear', copy=True, bounds_error=False,$/;" m class:interp2d +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/ndgriddata.py /^ def __init__(self, x, y, rescale=False, tree_options=None):$/;" m class:NearestNDInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def __init__(self, xi, yi, axis=0):$/;" m class:KroghInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def __init__(self, xi, yi=None, axis=0):$/;" m class:BarycentricInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ def __init__(self, xi=None, yi=None, axis=None):$/;" m class:_Interpolator1D +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/rbf.py /^ def __init__(self, *args, **kwargs):$/;" m class:Rbf +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/tests/test_interpolate.py /^ def __init__(self, shape):$/;" m class:MyValue +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_bsplines.py /^ def __init__(self, t, c, k, extrapolate=True, axis=0):$/;" m class:BSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def __init__(self, x, y, axis=0):$/;" m class:Akima1DInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def __init__(self, x, y, axis=0, bc_type='not-a-knot', extrapolate=None):$/;" m class:CubicSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def __init__(self, x, y, axis=0, extrapolate=None):$/;" m class:PchipInterpolator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/_cubic.py /^ def __init__(self, x, y, dydx, axis=0, extrapolate=None):$/;" m class:CubicHermiteSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name):$/;" m class:Attribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name):$/;" m class:NumericAttribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name):$/;" m class:RelationalAttribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name):$/;" m class:StringAttribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name, date_format, datetime_unit):$/;" m class:DateAttribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, name, values):$/;" m class:NominalAttribute +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __init__(self, rel, attr):$/;" m class:MetaData +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def __init__(self, file, hb_info=None):$/;" m class:HBFile +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def __init__(self, title, key,$/;" m class:HBInfo +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def __init__(self, value_type, structure, storage="assembled"):$/;" m class:HBMatrixType +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __init__(self):$/;" m class:FortranFormatParser +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __init__(self):$/;" m class:Tokenizer +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __init__(self, type, value, pos):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __init__(self, width, min=None, repeat=None):$/;" m class:IntFormat +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __init__(self, width, significand, min=None, repeat=None):$/;" m class:ExpFormat +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ def __init__(self, index):$/;" m class:Pointer +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ def __init__(self, init={}):$/;" m class:AttrDict +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def __init__(self, file_reader):$/;" m class:VarReader4 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def __init__(self, file_stream, oned_as=None):$/;" m class:MatFile4Writer +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def __init__(self, file_writer):$/;" m class:VarWriter4 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def __init__(self, mat_stream, *args, **kwargs):$/;" m class:MatFile4Reader +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio4.py /^ def __init__(self,$/;" m class:VarHeader4 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def __init__(self, file_stream,$/;" m class:MatFile5Writer +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def __init__(self, file_writer):$/;" m class:VarWriter5 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5.py /^ def __init__(self,$/;" m class:MatFile5Reader +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def __init__(self, file_reader):$/;" m class:MatVarReader +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/miobase.py /^ def __init__(self, mat_stream,$/;" m class:MatFileReader +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ def __init__(self, **kwargs):$/;" m class:MMFile +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __init__(self, data, typecode, size, shape, dimensions,$/;" m class:netcdf_variable +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __init__(self, filename, mode='r', mmap=None, version=1,$/;" m class:netcdf_file +__init__ adpepsenv/lib/python3.8/site-packages/scipy/io/_fortran.py /^ def __init__(self, filename, mode='r', header_dtype=np.uint32):$/;" m class:FortranFile +__init__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_build.py /^ def __init__(self):$/;" m class:FindDependenciesLdd +__init__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_matfuncs_inv_ssq.py /^ def __init__(self, A, p):$/;" m class:_MatrixM1PowerOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^ def __init__(self, data):$/;" m class:_FakeMatrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/_testutils.py /^ def __init__(self, data):$/;" m class:_FakeMatrix2 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^ def __init__(self):$/;" m class:_ExponentialModel +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^ def __init__(self):$/;" m class:_MultilinearModel +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^ def __init__(self):$/;" m class:_QuadraticModel +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/models.py /^ def __init__(self):$/;" m class:_UnilinearModel +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __init__(self, data, model, beta0=None, delta0=None, ifixb=None,$/;" m class:ODR +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __init__(self, fcn, fjacb=None, fjacd=None,$/;" m class:Model +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __init__(self, output):$/;" m class:Output +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __init__(self, x, y=None, sx=None, sy=None, covx=None, covy=None,$/;" m class:RealData +__init__ adpepsenv/lib/python3.8/site-packages/scipy/odr/odrpack.py /^ def __init__(self, x, y=None, we=None, wd=None, fix=None, meta={}):$/;" m class:Data +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/lbfgsb.py /^ def __init__(self, sk, yk):$/;" m class:LbfgsInvHessProduct +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, **kw):$/;" m class:Jacobian +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha, n, dtype):$/;" m class:LowRankMatrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha=None):$/;" m class:DiagBroyden +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha=None):$/;" m class:LinearMixing +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha=None, alphamax=1.0):$/;" m class:ExcitingMixing +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha=None, reduction_method='restart', max_rank=None):$/;" m class:BroydenFirst +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, alpha=None, w0=0.01, M=5):$/;" m class:Anderson +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, f_tol=None, f_rtol=None, x_tol=None, x_rtol=None,$/;" m class:TerminationCondition +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, jacobian):$/;" m class:InverseJacobian +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/nonlin.py /^ def __init__(self, rdiff=None, method='lgmres', inner_maxiter=20,$/;" m class:KrylovJacobian +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __init__(self, f, args):$/;" m class:_Brute_Wrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __init__(self, fun):$/;" m class:MemoizeJac +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __init__(self, func, args=(), tol=1.48e-8, maxiter=500,$/;" m class:Brent +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def __init__(self):$/;" m class:ExScalarFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_differentiable_functions.py /^ def __init__(self):$/;" m class:ExVectorialFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_hessian_update_strategy.py /^ def __init__(self, n=2, random_state=0):$/;" m class:Rosenbrock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def __init__(self, a, b, noise, n_outliers=1, x_range=(-1, 1),$/;" m class:ExponentialFittingProblem +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_least_squares.py /^ def __init__(self, n=100, mode='sparse'):$/;" m class:BroydenTridiagonal +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, a, b, degrees=60, constr_jac=None, constr_hess=None):$/;" m class:MaratosTestArgs +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, constr_jac=None, constr_hess=None):$/;" m class:HyperbolicIneq +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, degrees=60, constr_jac=None, constr_hess=None):$/;" m class:Maratos +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, degrees=60, constr_jac=None, constr_hess=None):$/;" m class:MaratosGradInFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, n=2, random_state=0):$/;" m class:Rosenbrock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, n_electrons=200, random_state=0,$/;" m class:Elec +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, random_state=0):$/;" m class:BoundedRosenbrock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, random_state=0):$/;" m class:EqIneqRosenbrock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minimize_constrained.py /^ def __init__(self, random_state=0):$/;" m class:IneqRosenbrock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_minpack.py /^ def __init__(self, shape):$/;" m class:ReturnShape +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def __init__(self):$/;" m class:TestOptimizeSimple.test_l_bfgs_b_maxiter.Callback +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_optimize.py /^ def __init__(self):$/;" m class:FunctionWithGradient +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def __init__(self):$/;" m class:TestSLSQP.test_nested_minimization.NestedProblem +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_slsqp.py /^ def __init__(self):$/;" m class:MyCallBack +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test_trustregion.py /^ def __init__(self):$/;" m class:Accumulator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __init__(self):$/;" m class:MyAcceptTest +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __init__(self):$/;" m class:MyCallBack +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__basinhopping.py /^ def __init__(self):$/;" m class:MyTakeStep1 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__root.py /^ def __init__(self):$/;" m class:TestRoot.test_f_size.fun +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/tests/test__shgo.py /^ def __init__(self, bounds, expected_x, expected_fun=None,$/;" m class:StructTestFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def __init__(self):$/;" m class:TOMS748Solver +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def __init__(self, root, iterations, function_calls, flag):$/;" m class:RootResults +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, minimizer, func=None, **kwargs):$/;" m class:MinimizerWrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, minres):$/;" m class:Storage +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, stepsize=0.5, random_gen=None):$/;" m class:RandomDisplacement +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, T, random_gen=None):$/;" m class:Metropolis +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, takestep, accept_rate=0.5, interval=50, factor=0.9,$/;" m class:AdaptiveStepsize +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_basinhopping.py /^ def __init__(self, x0, minimizer, step_taking, accept_tests, disp=False):$/;" m class:BasinHoppingRunner +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def __init__(self, A, lb, ub, keep_feasible=False):$/;" m class:LinearConstraint +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def __init__(self, constraint, x0, sparse_jacobian=None,$/;" m class:PreparedConstraint +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def __init__(self, fun, lb, ub, jac='2-point', hess=BFGS(),$/;" m class:NonlinearConstraint +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def __init__(self, lb, ub, keep_feasible=False):$/;" m class:Bounds +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def __init__(self, A, x0, sparse_jacobian):$/;" m class:LinearVectorFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def __init__(self, fun, x0, args, grad, hess, finite_diff_rel_step,$/;" m class:ScalarFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def __init__(self, fun, x0, jac, hess,$/;" m class:VectorFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentiable_functions.py /^ def __init__(self, x0, sparse_jacobian):$/;" m class:IdentityVectorFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __init__(self, constraint, x0):$/;" m class:_ConstraintWrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __init__(self, f, args):$/;" m class:_FunctionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __init__(self, func, bounds, args=(),$/;" m class:DifferentialEvolutionSolver +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def __init__(self, acceptance_param, visit_dist, func_wrapper,$/;" m class:StrategyChain +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def __init__(self, func, maxfun=1e7, *args):$/;" m class:ObjectiveFunWrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def __init__(self, lb, ub, visiting_param, rand_gen):$/;" m class:VisitingDistribution +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def __init__(self, lower, upper, callback=None):$/;" m class:EnergyState +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_dual_annealing.py /^ def __init__(self, search_bounds, func_wrapper, **kwargs):$/;" m class:LocalSearchWrapper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def __init__(self, exception_strategy='skip_update', min_curvature=None,$/;" m class:BFGS +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def __init__(self, init_scale='auto'):$/;" m class:FullHessianUpdateStrategy +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_hessian_update_strategy.py /^ def __init__(self, min_denominator=1e-8, init_scale='auto'):$/;" m class:SR1 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_root_scalar.py /^ def __init__(self, fun):$/;" m class:MemoizeDer +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def __init__(self):$/;" m class:LMapCache +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def __init__(self, func, bounds, args=(), constraints=None, n=None,$/;" m class:SHGO +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo.py /^ def __init__(self, v):$/;" m class:LMap +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/sobol_seq.py /^ def __init__(self):$/;" m class:Sobol +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, dim, func, func_args=(), symmetry=False, bounds=None,$/;" m class:Complex +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, func, func_args=(), bounds=None, g_cons=None,$/;" m class:VertexCache +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, p_gen, p_hgr):$/;" m class:VertexGroup +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, p_gen, p_hgr, generation_cycle, dim):$/;" m class:Simplex +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, p_gen, p_hgr, origin, supremum):$/;" m class:Cell +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_shgo_lib/triangulation.py /^ def __init__(self, x, bounds=None, func=None, func_args=(), g_cons=None,$/;" m class:Vertex +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion.py /^ def __init__(self, x, fun, jac, hess=None, hessp=None):$/;" m class:BaseQuadraticSubproblem +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/canonical_constraint.py /^ def __init__(self, n_eq, n_ineq, fun, jac, hess, keep_feasible):$/;" m class:CanonicalConstraint +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def __init__(self, hessp, n):$/;" m class:HessianLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/minimize_trustregion_constr.py /^ def __init__(self, n, objective_hess, constraints_hess):$/;" m class:LagrangianHessian +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_constr/tr_interior_point.py /^ def __init__(self, x0, s0, fun, grad, lagr_hess, n_vars, n_ineq, n_eq,$/;" m class:BarrierSubproblem +__init__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_trustregion_exact.py /^ def __init__(self, x, fun, jac, hess, hessp=None,$/;" m class:IterativeSubproblem +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self):$/;" m class:LinearTimeInvariant +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, **kwds):$/;" m class:Bunch +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, *system):$/;" m class:lti +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, *system, **kwargs):$/;" m class:dlti +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, *system, **kwargs):$/;" m class:StateSpace +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, *system, **kwargs):$/;" m class:TransferFunction +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __init__(self, *system, **kwargs):$/;" m class:ZerosPolesGain +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/tests/test_upfirdn.py /^ def __init__(self, up, down, h, x_dtype):$/;" m class:UpFIRDnCase +__init__ adpepsenv/lib/python3.8/site-packages/scipy/signal/_upfirdn.py /^ def __init__(self, h, x_dtype, up, down):$/;" m class:_UpFIRDn +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __init__(self, maxprint=MAXPRINT):$/;" m class:spmatrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False, blocksize=None):$/;" m class:bsr_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False):$/;" m class:_cs_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/coo.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False):$/;" m class:coo_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __init__(self):$/;" m class:_data_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False):$/;" m class:dia_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False):$/;" m class:dok_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __init__(self, arg1, shape=None, dtype=None, copy=False):$/;" m class:lil_matrix +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, A, M, sigma, ifunc=gmres_loose, tol=0):$/;" m class:IterOpInv +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, info, infodict=_NAUPD_ERRORS):$/;" m class:ArpackError +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, M):$/;" m class:LuInv +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, M):$/;" m class:SpLuInv +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, M, ifunc=gmres_loose, tol=0):$/;" m class:IterInv +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, msg, eigenvalues, eigenvectors):$/;" m class:ArpackNoConvergence +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, n, k, tp, matvec, mode=1, M_matvec=None,$/;" m class:_SymmetricArpackParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, n, k, tp, matvec, mode=1, M_matvec=None,$/;" m class:_UnsymmetricArpackParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/arpack.py /^ def __init__(self, n, k, tp, mode=1, sigma=None,$/;" m class:_ArpackParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def __init__(self):$/;" m class:NonSymmetricParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def __init__(self):$/;" m class:SymmetricParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def __init__(self, A):$/;" m class:CheckingLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def __init__(self, name):$/;" m class:DictWithRepr +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A):$/;" m class:MatrixLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A):$/;" m class:_AdjointLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A):$/;" m class:_TransposedLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A, alpha):$/;" m class:_ScaledLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A, B):$/;" m class:_ProductLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A, B):$/;" m class:_SumLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, A, p):$/;" m class:_PowerLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, adjoint):$/;" m class:_AdjointMatrixOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, dtype, shape):$/;" m class:LinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, shape, dtype=None):$/;" m class:IdentityOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __init__(self, shape, matvec, rmatvec=None, matmat=None,$/;" m class:_CustomLinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def __init__(self):$/;" m class:IterativeParams +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def __init__(self, name, A, b=None, skip=None, nonconvergence=None):$/;" m class:Case +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def __init__(self, *args, **kwargs):$/;" m class:ProductOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def __init__(self, A, p, structure=None):$/;" m class:MatrixPowerOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/matfuncs.py /^ def __init__(self, A, structure=None, use_exact_onenorm=False):$/;" m class:_ExpmPadeHelper +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def __init__(self, dtype):$/;" m class:TestAsLinearOperator.setup_method.make_cases.BaseMatlike +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def __init__(self, A):$/;" m class:test_inheritance.MatmatOnly +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_interface.py /^ def __init__(self, n):$/;" m class:test_inheritance.Identity +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/tests/test_onenormest.py /^ def __init__(self, A, B):$/;" m class:MatrixProductOperator +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/_expm_multiply.py /^ def __init__(self, A, A_1_norm=None, ell=2, scale=1):$/;" m class:LazyOperatorNormInfo +__init__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __init__(self,shape):$/;" m class:BinopTester_with_shape +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __init__(self, ckdtreenode):$/;" m class:KDTree.innernode +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __init__(self, ckdtree_node=None):$/;" m class:KDTree.node +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __init__(self, data, leafsize=10, compact_nodes=True, copy_data=False,$/;" m class:KDTree +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __init__(self, maxes, mins):$/;" m class:Rectangle +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/transform/_rotation_spline.py /^ def __init__(self, times, rotations):$/;" m class:RotationSpline +__init__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/_spherical_voronoi.py /^ def __init__(self, points, radius=1, center=None, threshold=1e-06):$/;" m class:SphericalVoronoi +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/orthogonal.py /^ def __init__(self, roots, weights=None, hn=1.0, kn=1.0, wfunc=None,$/;" m class:orthopoly1d +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def __init__(self):$/;" m class:ProbArg +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def __init__(self, a, b, rtol, atol):$/;" m class:EndpointFilter +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/tests/test_cdflib.py /^ def __init__(self, spfunc, mpfunc, index, argspec, spfunc_first=True,$/;" m class:_CDFData +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def __init__(self, name, signatures):$/;" m class:Func +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def __init__(self, name, signatures):$/;" m class:FusedFunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_generate_pyx.py /^ def __init__(self, name, signatures):$/;" m class:Ufunc +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __init__(self, a=-1000, b=1000):$/;" m class:IntArg +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __init__(self, a=-np.inf, b=np.inf, inclusive_a=True, inclusive_b=True):$/;" m class:Arg +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __init__(self, a=complex(-np.inf, -np.inf), b=complex(np.inf, np.inf)):$/;" m class:ComplexArg +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __init__(self, scipy_func, mpmath_func, arg_spec, name=None,$/;" m class:MpmathData +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __init__(self, values):$/;" m class:FixedArg +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def __init__(self, func, data, param_columns, result_columns=None,$/;" m class:FuncData +__init__ adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def __init__(self, name):$/;" m class:MissingModule +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/kde.py /^ def __init__(self, dataset, bw_method=None, weights=None):$/;" m class:gaussian_kde +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __init__(self, msg=None):$/;" m class:F_onewayConstantInputWarning +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __init__(self, msg=None):$/;" m class:PearsonRConstantInputWarning +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __init__(self, msg=None):$/;" m class:PearsonRNearConstantInputWarning +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __init__(self, msg=None):$/;" m class:SpearmanRConstantInputWarning +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/stats.py /^ def __init__(self, x, y, random_states):$/;" m class:_ParallelP +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def __init__(self, dataset):$/;" m class:_kde_subclass1 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def __init__(self, dataset):$/;" m class:_kde_subclass2 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_kdeoth.py /^ def __init__(self, dataset, covariance):$/;" m class:_kde_subclass3 +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def __init__(self, x):$/;" m class:TestMode.test_objects.Point +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __init__(self, distr, lower, upper):$/;" m class:FitDataError +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __init__(self, histogram, *args, **kwargs):$/;" m class:rv_histogram +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __init__(self, mesg):$/;" m class:FitSolverError +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __init__(self, ptp, fscale):$/;" m class:FitUniformFixedScaleDataError +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __init__(self, a=0, b=inf, name=None, badvalue=None,$/;" m class:rv_discrete +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __init__(self, a=0, b=inf, name=None, badvalue=None,$/;" m class:rv_sample +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __init__(self, dist, *args, **kwds):$/;" m class:rv_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __init__(self, momtype=1, a=None, b=None, xtol=1e-14,$/;" m class:rv_continuous +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __init__(self, seed=None):$/;" m class:rv_generic +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def __init__(self, statistic, pvalue):$/;" m class:CramerVonMisesResult +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, alpha, seed=None):$/;" m class:dirichlet_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, df, scale, seed=None):$/;" m class:invwishart_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, df, scale, seed=None):$/;" m class:wishart_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, dim=None, seed=None):$/;" m class:special_ortho_group_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, loc=None, shape=1, df=1, allow_singular=False,$/;" m class:multivariate_t_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, M, cond=None, rcond=None, lower=True,$/;" m class:_PSD +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, m, n, seed=None):$/;" m class:multivariate_hypergeom_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, mean=None, cov=1, allow_singular=False, seed=None,$/;" m class:multivariate_normal_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, mean=None, rowcov=1, colcov=1, seed=None):$/;" m class:matrix_normal_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, n, p, seed=None):$/;" m class:multinomial_frozen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:dirichlet_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:invwishart_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:matrix_normal_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:multinomial_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:multivariate_hypergeom_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:multivariate_normal_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:multivariate_t_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:multi_rv_generic +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:ortho_group_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:random_correlation_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:special_ortho_group_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:unitary_group_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_multivariate.py /^ def __init__(self, seed=None):$/;" m class:wishart_gen +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def __init__(self, func=None, name=None, signature=None,$/;" m class:FunctionMaker +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^ def __init__(self, g, *a, **k):$/;" f +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/deprecation.py /^ def __init__(self, content, message):$/;" m class:_DeprecationHelperStr +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^ def __init__(self):$/;" m class:ParseCall +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test_warnings.py /^ def __init__(self, filename):$/;" m class:FindFuncs +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ def __init__(self):$/;" m class:test_assert_deallocated_circular.C +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ def __init__(self):$/;" m class:test_assert_deallocated_circular2.C +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/tests/test__gcutils.py /^ def __init__(self, arg0, arg1, name='myname'):$/;" m class:test_assert_deallocated.C +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def __init__(self, elements=None):$/;" m class:DisjointSet +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __init__(self, version):$/;" m class:LegacyVersion +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __init__(self, version):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_testutils.py /^ def __init__(self, module_name):$/;" m class:PytestTester +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_threadsafety.py /^ def __init__(self, err_msg):$/;" m class:ReentrancyLock +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def __init__(self, value, dispatch_type, coercible=True):$/;" m class:Dispatchable +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __init__(self, old_module_name, new_module_name):$/;" m class:DeprecatedImport +__init__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_util.py /^ def __init__(self, pool=1):$/;" m class:MapWrapper +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^ def __init__(self, specifiers):$/;" m class:SetupRequirementsError +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^ def __init__(self, dist):$/;" m class:VersionlessRequirement +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ def __init__(self, filename, sitedirs=()):$/;" m class:PthDistributions +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def __init__(self):$/;" m class:ScanningLoader +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^ def __init__(self, fget):$/;" m class:NonDataProperty +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def __init__(self, name):$/;" m class:StaticModule +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def __init__(self, target_obj, options, ignore_option_errors=False):$/;" m class:ConfigHandler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def __init__(self, target_obj, options, ignore_option_errors=False,$/;" m class:ConfigMetadataHandler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/depends.py /^ def __init__($/;" m class:Require +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/dist.py /^ def __init__(self, attrs=None):$/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/extension.py /^ def __init__(self, name, sources, *args, **kw):$/;" m class:Extension +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/extern/__init__.py /^ def __init__(self, root_name, vendored_names=(), vendor_pkg=None):$/;" m class:VendorImporter +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def __init__(self, arch):$/;" m class:PlatformInfo +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def __init__(self, arch, vc_ver=None, vc_min_ver=0):$/;" m class:EnvironmentInfo +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def __init__(self, platform_info):$/;" m class:RegistryInfo +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/msvc.py /^ def __init__(self, registry_info, vc_ver=None):$/;" m class:SystemInfo +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __init__($/;" m class:PackageIndex +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __init__(self):$/;" m class:PyPIConfig +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __init__(self, hash_name, expected):$/;" m class:HashChecker +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __init__(self, username, password):$/;" m class:Credential +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^ def __init__(self, **kwargs):$/;" m class:TemporaryDirectory +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^ def __init__(self, code):$/;" m class:Bytecode_compat +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __init__(self):$/;" m class:AbstractSandbox +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __init__(self, sandbox, exceptions=_EXCEPTIONS):$/;" m class:DirectorySandbox +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def __init__(self):$/;" m class:get_win_certfile.CertFile +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def __init__(self, ca_bundle):$/;" m class:VerifyingHTTPSHandler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/ssl_support.py /^ def __init__(self, host, ca_bundle, **kw):$/;" m class:VerifyingHTTPSConn +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^ def __init__(self, filename):$/;" m class:Wheel +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/bcppcompiler.py /^ def __init__ (self,$/;" m class:BCPPCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/ccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:CCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cmd.py /^ def __init__(self, dist):$/;" m class:Command +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def __init__(self, *args, **kw):$/;" m class:bdist_msi +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_msi.py /^ def __init__(self, *args, **kw):$/;" m class:PyDialog +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/bdist_wininst.py /^ def __init__(self, *args, **kw):$/;" m class:bdist_wininst +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/command/check.py /^ def __init__(self, source, report_level, halt_level, stream=None,$/;" m class:SilentReporter +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:CygwinCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/cygwinccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:Mingw32CCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def __init__(self, attrs=None):$/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/dist.py /^ def __init__(self, path=None):$/;" m class:DistributionMetadata +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/extension.py /^ def __init__(self, name, sources,$/;" m class:Extension +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def __init__(self, options=[]):$/;" m class:OptionDummy +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/fancy_getopt.py /^ def __init__(self, option_table=None):$/;" m class:FancyGetopt +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/filelist.py /^ def __init__(self, warn=None, debug_print=None):$/;" m class:FileList +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/log.py /^ def __init__(self, threshold=WARN):$/;" m class:Log +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:MSVCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvc9compiler.py /^ def __init__(self, version):$/;" m class:MacroExpander +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:MSVCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/msvccompiler.py /^ def __init__(self, version):$/;" m class:MacroExpander +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/text_file.py /^ def __init__(self, filename=None, file=None, **options):$/;" m class:TextFile +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __init__ (self, vstring=None):$/;" m class:LooseVersion +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __init__ (self, vstring=None):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^ def __init__(self, versionPredicateStr):$/;" m class:VersionPredicate +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/_msvccompiler.py /^ def __init__(self, verbose=0, dry_run=0, force=0):$/;" m class:MSVCCompiler +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __init__(self, iterable=None):$/;" m class:OrderedSet +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __init__(self, marker):$/;" m class:Marker +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __init__(self, value):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^ def __init__(self, requirement_string):$/;" m class:Requirement +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __init__(self, spec="", prereleases=None):$/;" m class:_IndividualSpecifier +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __init__(self, specifiers="", prereleases=None):$/;" m class:SpecifierSet +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def __init__(self, interpreter, abi, platform):$/;" m class:Tag +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:LegacyVersion +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __init__(self, version):$/;" m class:Version +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, size):$/;" m class:ParserElement._FifoCache +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self):$/;" m class:ParserElement._UnboundedCache +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, *args, **kwargs):$/;" m class:And._ErrorStop +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:Empty +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:LineEnd +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:LineStart +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:NoMatch +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:StringEnd +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:StringStart +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self ):$/;" m class:_PositionToken +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, colno ):$/;" m class:GoToColumn +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:Dict +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:FollowedBy +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr ):$/;" m class:NotAny +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, default=_optionalNotMatched ):$/;" m class:Optional +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, joinString="", adjacent=True ):$/;" m class:Combine +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, savelist=False ):$/;" m class:ParseElementEnhance +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, savelist=False ):$/;" m class:TokenConverter +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, stopOn=None):$/;" m class:ZeroOrMore +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, expr, stopOn=None):$/;" m class:_MultipleMatch +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:MatchFirst +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:Or +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = False ):$/;" m class:ParseExpression +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = True ):$/;" m class:And +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, exprs, savelist = True ):$/;" m class:Each +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, initChars, bodyChars=None, min=1, max=0, exact=0, asKeyword=False, exclu/;" m class:Word +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, matchString ):$/;" m class:CaselessLiteral +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, matchString ):$/;" m class:Literal +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, matchString, identChars=None ):$/;" m class:CaselessKeyword +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, matchString, identChars=None, caseless=False ):$/;" m class:Keyword +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, notChars, min=1, max=0, exact=0 ):$/;" m class:CharsNotIn +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, other, include=False, ignore=None, failOn=None ):$/;" m class:SkipTo +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, other=None ):$/;" m class:Forward +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, parseElementList ):$/;" m class:RecursiveGrammarException +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, pattern, flags=0):$/;" m class:Regex +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, pstr, loc=0, msg=None, elem=None ):$/;" m class:ParseBaseException +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, quoteChar, escChar=None, escQuote=None, multiline=False, unquoteResults=/;" m class:QuotedString +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, savelist=False ):$/;" m class:ParserElement +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__( self, toklist=None, name=None, asList=True, modal=True, isinstance=isinstance /;" m class:ParseResults +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, match_string, maxMismatches=1):$/;" m class:CloseMatch +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, methodCall):$/;" m class:OnlyOnce +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, wordChars = printables):$/;" m class:WordEnd +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, wordChars = printables):$/;" m class:WordStart +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self, ws=" \\t\\r\\n", min=1, max=0, exact=0):$/;" m class:White +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __init__(self,p1,p2):$/;" m class:_ParseResultsWithOffset +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def __init__(self, attrs):$/;" m class:_install_setup_requires.MinimalDistribution +__init__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^ def __init__(self, dist, **kw):$/;" m class:Command +__init__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/auth.py /^ def __init__(self, providers, environ):$/;" m class:AuthContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/application.py /^ def __init__($/;" m class:TensorBoardWSGI +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/empty_path_redirect.py /^ def __init__(self, application):$/;" m class:EmptyPathRedirectMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_ingester.py /^ def __init__(self, flags):$/;" m class:LocalDataIngester +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/data_provider.py /^ def __init__(self, multiplexer, logdir):$/;" m class:MultiplexerDataProvider +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_loader.py /^ def __init__($/;" m class:DirectoryLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/directory_watcher.py /^ def __init__(self, directory, loader_factory, path_filter=lambda x: True):$/;" m class:DirectoryWatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_accumulator.py /^ def __init__($/;" m class:EventAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def __init__(self, file_path):$/;" m class:EventFileLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def __init__(self, file_path):$/;" m class:RawEventFileLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def __init__(self, py_record_reader_new, file_path):$/;" m class:_PyRecordReaderIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_multiplexer.py /^ def __init__($/;" m class:EventMultiplexer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_accumulator.py /^ def __init__($/;" m class:EventAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/plugin_event_multiplexer.py /^ def __init__($/;" m class:EventMultiplexer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def __init__(self, size, seed=0, always_keep_last=True):$/;" m class:Reservoir +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/reservoir.py /^ def __init__(self, _max_size, _random=None, always_keep_last=True):$/;" m class:_ReservoirBucket +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/experiment_id.py /^ def __init__(self, application):$/;" m class:ExperimentIdMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/path_prefix.py /^ def __init__(self, application, path_prefix):$/;" m class:PathPrefixMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/security_validator.py /^ def __init__(self, application):$/;" m class:SecurityValidatorMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ def __init__(self):$/;" m class:_HelpFlag +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/app.py /^ def __init__(self):$/;" m class:_HelpfullFlag +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __init__(self, type_enum):$/;" m class:DType +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:AbortedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:AlreadyExistsError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:CancelledError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:DataLossError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:DeadlineExceededError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:FailedPreconditionError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:InternalError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:InvalidArgumentError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:NotFoundError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:OutOfRangeError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:PermissionDeniedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:ResourceExhaustedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:UnauthenticatedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:UnavailableError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message):$/;" m class:UnimplementedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message, error_code):$/;" m class:OpError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __init__(self, node_def, op, message, error_code=UNKNOWN):$/;" m class:UnknownError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __init__(self, flags_object):$/;" m class:_FlagValuesWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __init__(self):$/;" m class:S3FileSystem +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __init__(self, filename, mode):$/;" m class:GFile +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/pywrap_tensorflow.py /^ def __init__($/;" m class:PyRecordReader_New +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __init__(self, dims):$/;" m class:TensorShape +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __init__(self, value):$/;" m class:Dimension +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/context.py /^ def __init__(self, auth=None):$/;" m class:RequestContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/experimental/experiment_from_dev.py /^ def __init__(self, experiment_id, api_endpoint=None):$/;" m class:ExperimentFromDev +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__($/;" m class:BlobSequenceTimeSeries +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__($/;" m class:_TimeSeries +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, blob_key, url=None):$/;" m class:BlobReference +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, experiment_name, experiment_description, creation_time):$/;" m class:ExperimentMetadata +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, runs=None, tags=None):$/;" m class:RunTagFilter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, run_id, run_name, start_time):$/;" m class:Run +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, step, wall_time, numpy):$/;" m class:TensorDatum +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, step, wall_time, value):$/;" m class:ScalarDatum +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __init__(self, step, wall_time, values):$/;" m class:BlobSequenceDatum +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ def __init__(self, details):$/;" m class:PublicError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ def __init__(self, details=None):$/;" m class:InvalidArgumentError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ def __init__(self, details=None):$/;" m class:NotFoundError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ def __init__(self, details=None):$/;" m class:PermissionDeniedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/errors.py /^ def __init__(self, details=None, *, challenge):$/;" m class:UnauthenticatedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/audio/audio_plugin.py /^ def __init__(self, context):$/;" m class:AudioPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __init__($/;" m class:FrontendMetadata +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __init__($/;" m class:TBContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __init__(self, context):$/;" m class:TBPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __init__(self, plugin_class):$/;" m class:BasicLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/core/core_plugin.py /^ def __init__(self, context):$/;" m class:CorePlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/custom_scalar/custom_scalars_plugin.py /^ def __init__(self, context):$/;" m class:CustomScalarsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debugger_v2_plugin.py /^ def __init__(self, context):$/;" m class:DebuggerV2Plugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_multiplexer.py /^ def __init__(self, logdir):$/;" m class:DebuggerV2EventMultiplexer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/debugger_v2/debug_data_provider.py /^ def __init__(self, logdir):$/;" m class:LocalDebuggerV2DataProvider +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/distribution/distributions_plugin.py /^ def __init__(self, context):$/;" m class:DistributionsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/graph/graphs_plugin.py /^ def __init__(self, context):$/;" m class:GraphsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/histogram/histograms_plugin.py /^ def __init__(self, context):$/;" m class:HistogramsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/backend_context.py /^ def __init__(self, tb_context, max_domain_discrete_len=10):$/;" m class:Context +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/download_data.py /^ def __init__($/;" m class:Handler +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/get_experiment.py /^ def __init__(self, request_context, backend_context, experiment_id):$/;" m class:Handler +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/hparams_plugin.py /^ def __init__(self, context):$/;" m class:HParamsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/keras.py /^ def __init__(self, writer, hparams, trial_id=None):$/;" m class:Callback +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_metric_evals.py /^ def __init__($/;" m class:Handler +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def __init__($/;" m class:Handler +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ def __init__(self):$/;" m class:_MetricStats +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __init__($/;" m class:Metric +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __init__(self, min_value=None, max_value=None):$/;" m class:IntInterval +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __init__(self, min_value=None, max_value=None):$/;" m class:RealInterval +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __init__(self, name, domain=None, display_name=None, description=None):$/;" m class:HParam +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __init__(self, values, dtype=None):$/;" m class:Discrete +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/image/images_plugin.py /^ def __init__(self, context):$/;" m class:ImagesPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/mesh/mesh_plugin.py /^ def __init__(self, context):$/;" m class:MeshPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/metrics/metrics_plugin.py /^ def __init__(self, context):$/;" m class:MetricsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/npmi/npmi_plugin.py /^ def __init__(self, context):$/;" m class:NpmiPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def __init__(self, context):$/;" m class:ProjectorPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def __init__(self, num_points):$/;" m class:EmbeddingMetadata +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/projector/projector_plugin.py /^ def __init__(self, size):$/;" m class:LRUCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/pr_curve/pr_curves_plugin.py /^ def __init__(self, context):$/;" m class:PrCurvesPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/scalar/scalars_plugin.py /^ def __init__(self, context):$/;" m class:ScalarsPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text/text_plugin.py /^ def __init__(self, context):$/;" m class:TextPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/text_v2/text_v2_plugin.py /^ def __init__(self, context):$/;" m class:TextV2Plugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^ def __init__(self):$/;" m class:_CleanerStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugin_util.py /^ def __init__(self):$/;" m class:_MarkdownStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def __init__($/;" m class:TensorBoard +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def __init__(self, msg):$/;" m class:TensorBoardServerException +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def __init__(self, wsgi_app, flags):$/;" m class:TensorBoardServer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/program.py /^ def __init__(self, wsgi_app, flags):$/;" m class:WerkzeugServer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def __init__($/;" m class:EventFileWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def __init__(self, initial_value):$/;" m class:AtomicCounter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def __init__(self, queue, record_writer, flush_secs):$/;" m class:_AsyncWriterThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/event_file_writer.py /^ def __init__(self, record_writer, max_queue_size=20, flush_secs=120):$/;" m class:_AsyncWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/summary/writer/record_writer.py /^ def __init__(self, writer):$/;" m class:RecordWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def __init__(self, credentials, request):$/;" m class:IdTokenAuthMetadataPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/auth.py /^ def __init__(self, user_config_directory=_DEFAULT_CONFIG_DIRECTORY):$/;" m class:CredentialsStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def __init__(self, experiment_id):$/;" m class:GrpcTimeoutException +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/exporter.py /^ def __init__(self, reader_service_client, output_directory):$/;" m class:TensorBoardExporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^ def __init__(self):$/;" m class:JsonFormatter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^ def __init__(self):$/;" m class:ReadableFormatter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/logdir_loader.py /^ def __init__(self, logdir, directory_loader_factory):$/;" m class:LogdirLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/export_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:TensorBoardExporterServiceStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/proto/write_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:TensorBoardWriterServiceStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__($/;" m class:TensorBoardUploader +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__($/;" m class:_BatchedRequestSender +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__($/;" m class:_BlobRequestSender +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__($/;" m class:_ScalarBatchedRequestSender +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__($/;" m class:_TensorBatchedRequestSender +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader.py /^ def __init__(self, max_bytes):$/;" m class:_ByteBudgetManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__($/;" m class:UploadIntent +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__(self, experiment_id):$/;" m class:_DeleteExperimentIntent +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__(self, experiment_id, name=None, description=None):$/;" m class:_UpdateMetadataIntent +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__(self, experiment_url_callback=None):$/;" m class:UploaderSubcommand +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__(self, json=None):$/;" m class:_ListIntent +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/uploader_subcommand.py /^ def __init__(self, output_dir):$/;" m class:_ExportIntent +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def __init__(self):$/;" m class:UploadStats +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def __init__(self, upload_stats, blob_bytes):$/;" m class:_BlobTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/upload_tracker.py /^ def __init__(self, verbosity, one_shot=False):$/;" m class:UploadTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/util.py /^ def __init__(self, interval_secs):$/;" m class:RateLimiter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def __init__(self):$/;" m class:_TensorFlowPngEncoder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/encoder.py /^ def __init__(self):$/;" m class:_TensorFlowWavEncoder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/lazy_tensor_creator.py /^ def __init__(self, tensor_callable):$/;" m class:LazyTensorCreator +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/op_evaluator.py /^ def __init__(self):$/;" m class:PersistentOpEvaluator +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^ def __init__(self, message):$/;" m class:_Message +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/timing.py /^ def __init__(self):$/;" m class:_ThreadLocalStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def __init__(self, callbacks=DEFAULT_CALLBACKS, skip_tags=None, parse_email=False,$/;" m class:Linker +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def __init__(self, source, callbacks=None, skip_tags=None, parse_email=False,$/;" m class:LinkifyFilter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def __init__(self, source, attributes=ALLOWED_ATTRIBUTES,$/;" m class:BleachSanitizerFilter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/sanitizer.py /^ def __init__(self, tags=ALLOWED_TAGS, attributes=ALLOWED_ATTRIBUTES,$/;" m class:Cleaner +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/base.py /^ def __init__(self, source):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/inject_meta_charset.py /^ def __init__(self, source, encoding):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/lint.py /^ def __init__(self, source, require_matching_tags=True):$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def __init__(self,$/;" m class:Filter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.AfterAfterBodyPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.AfterAfterFramesetPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.AfterBodyPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.AfterFramesetPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.AfterHeadPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.BeforeHeadPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InBodyPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InCaptionPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InCellPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InColumnGroupPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InForeignContentPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InFramesetPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InHeadNoscriptPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InHeadPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InRowPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InSelectInTablePhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InSelectPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InTableBodyPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InTablePhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.InTableTextPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.Phase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, parser, tree):$/;" m class:getPhases.TextPhase +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __init__(self, tree=None, strict=False, namespaceHTMLElements=True, debug=False):$/;" m class:HTMLParser +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/serializer.py /^ def __init__(self, **kwargs):$/;" m class:HTMLSerializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def __init__(self, name):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def __init__(self, namespaceHTMLElements):$/;" m class:TreeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __init__(self, element):$/;" m class:getDomBuilder.AttrList +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __init__(self, element):$/;" m class:getDomBuilder.NodeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self):$/;" m class:getETreeBuilder.Document +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self):$/;" m class:getETreeBuilder.DocumentFragment +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, data):$/;" m class:getETreeBuilder.Comment +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, name, namespace=None):$/;" m class:getETreeBuilder.Element +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree.py /^ def __init__(self, name, publicId, systemId):$/;" m class:getETreeBuilder.DocumentType +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, data):$/;" m class:TreeBuilder.__init__.Comment +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, element, value=None):$/;" m class:TreeBuilder.__init__.Attributes +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, name, namespace):$/;" m class:TreeBuilder.__init__.Element +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self):$/;" m class:Document +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, name, publicId, systemId):$/;" m class:DocumentType +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __init__(self, namespaceHTMLElements, fullTree=False):$/;" m class:TreeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def __init__(self, tree):$/;" m class:TreeWalker +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, children):$/;" m class:FragmentRoot +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, et):$/;" m class:Root +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, fragment_root, obj):$/;" m class:FragmentWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, root_node, name, public_id, system_id):$/;" m class:Doctype +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __init__(self, tree):$/;" m class:TreeWalker +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_ihatexml.py /^ def __init__(self,$/;" m class:InfosetFilter +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, data):$/;" m class:ContentAttrParser +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, data):$/;" m class:EncodingParser +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, source):$/;" m class:HTMLUnicodeInputStream +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, source, override_encoding=None, transport_encoding=None,$/;" m class:HTMLBinaryInputStream +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, stream):$/;" m class:BufferedStream +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __init__(self, value):$/;" m class:EncodingBytes +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def __init__(self, stream, parser=None, **kwargs):$/;" m class:HTMLTokenizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def __init__(self, data):$/;" m class:Trie +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def __init__(self, data):$/;" m class:Trie +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_utils.py /^ def __init__(self, items=()):$/;" m class:MethodDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^ def __init__(self, encoding=UTF8, errors='strict'):$/;" m class:IncrementalEncoder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^ def __init__(self, fallback_encoding, errors='replace'):$/;" m class:IncrementalDecoder +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^ def __init__(self, name, codec_info):$/;" m class:Encoding +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^ def __init__(self, proto):$/;" m class:TraceEventsJsonStream +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/profile_plugin.py /^ def __init__(self, context):$/;" m class:ProfilePlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/wit_plugin.py /^ def __init__(self, context):$/;" m class:WhatIfToolPlugin +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/common_utils.py /^ def __init__(self, original_exception):$/;" m class:InvalidUserInputError +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def __init__(self, feature_name, original_value, feature_type):$/;" m class:OriginalFeatureList +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def __init__(self, inference_address, model_name, model_type, model_version,$/;" m class:ServingBundle +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def __init__(self, original_feature, index, mutant_value):$/;" m class:MutantFeatureValue +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_utils/inference_utils.py /^ def __init__(self, x_min, x_max, examples, num_mutants,$/;" m class:VizParams +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/model_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:ModelServiceStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_wit/_vendor/tensorflow_serving/apis/prediction_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:PredictionServiceStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/experimental/xla_sharding/xla_sharding.py /^ def __init__(self, proto=None):$/;" m class:Sharding +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/compiler/xla/python_api/xla_shape.py /^ def __init__(self, element_type, dimensions, layout=None):$/;" m class:Shape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/core/debug/debug_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:EventListenerStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_analysis_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:ProfileAnalysisStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/core/profiler/profiler_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:ProfilerServiceStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def __init__(self,$/;" m class:TFLiteLSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/experimental/examples/lstm/rnn_cell.py /^ def __init__(self,$/;" m class:TfLiteRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __init__(self):$/;" m class:Delegate.__init__.ErrorMessageCapture +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __init__(self, library, options=None):$/;" m class:Delegate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __init__(self,$/;" m class:Interpreter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/interpreter.py /^ def __init__(self,$/;" m class:InterpreterWithCustomOps +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self):$/;" m class:TFLiteConverterBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self):$/;" m class:TFLiteConverterBaseV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, experimental_debug_info_func):$/;" m class:TFLiteConverterBaseV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, funcs, trackable_obj=None):$/;" m class:TFLiteConverterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, funcs, trackable_obj=None):$/;" m class:TFLiteFrozenGraphConverterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, input_gen):$/;" m class:RepresentativeDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, keras_model, trackable_obj=None):$/;" m class:TFLiteKerasModelConverterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, optimizations, target_spec, representative_dataset,$/;" m class:QuantizationMode +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self, supported_ops=None, supported_types=None):$/;" m class:TargetSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self,$/;" m class:TFLiteConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self,$/;" m class:TFLiteFrozenGraphConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self,$/;" m class:TFLiteKerasModelConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self,$/;" m class:TFLiteSavedModelConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __init__(self,$/;" m class:TFLiteSavedModelConverterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/optimize/calibrator.py /^ def __init__(self, model_content):$/;" m class:Calibrator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __init__(self,$/;" m class:OpHint.OpHintArgumentTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __init__(self):$/;" m class:_LiteFuncCall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __init__(self, aggregation):$/;" m class:_LiteAggregateOperand +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __init__(self, node):$/;" m class:_LiteSingleOperand +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __init__(self,$/;" m class:OpHint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:AbsOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:AddNOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:AddOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ArgMaxOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ArgMinOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:BatchMatMulOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:BatchToSpaceNDOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:BidirectionalSequenceLSTMOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:BidirectionalSequenceRNNOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:BufferT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:CallOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:CastOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ConcatEmbeddingsOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ConcatenationOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:Conv2DOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:CosOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:CumsumOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:CustomQuantizationT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DensifyOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DepthToSpaceOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DepthwiseConv2DOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DequantizeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DimensionMetadataT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:DivOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:EmbeddingLookupSparseOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:EqualOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ExpandDimsOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ExpOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:FakeQuantOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:FillOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:FloorDivOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:FloorModOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:FullyConnectedOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:GatherNdOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:GatherOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:GreaterEqualOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:GreaterOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:HardSwishOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:IfOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:Int32VectorT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:L2NormOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LeakyReluOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LessEqualOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LessOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LocalResponseNormalizationOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LogicalAndOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LogicalNotOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LogicalOrOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LogSoftmaxOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LSHProjectionOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:LSTMOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MatrixDiagOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MatrixSetDiagOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MaximumMinimumOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MetadataT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MirrorPadOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ModelT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:MulOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:NegOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:NonMaxSuppressionV4OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:NonMaxSuppressionV5OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:NotEqualOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:OneHotOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:OperatorCodeT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:OperatorT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:PackOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:PadOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:PadV2OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:Pool2DOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:PowOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:QuantizationParametersT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:QuantizeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:RangeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:RankOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ReducerOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ReshapeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ResizeBilinearOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ResizeNearestNeighborOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ReverseSequenceOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ReverseV2OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:RNNOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ScatterNdOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SegmentSumOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SelectOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SelectV2OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SequenceRNNOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ShapeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SignatureDefT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SkipGramOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SliceOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SoftmaxOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SpaceToBatchNDOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SpaceToDepthOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SparseToDenseOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SparsityParametersT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SplitOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SplitVOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SquaredDifferenceOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SquareOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SqueezeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:StridedSliceOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SubGraphT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SubOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:SVDFOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TensorMapT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TensorT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TileOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TopKV2OptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TransposeConvOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:TransposeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:Uint16VectorT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:Uint8VectorT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:UnidirectionalSequenceLSTMOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:UniqueOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:UnpackOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:WhereOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:WhileOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ def __init__(self):$/;" m class:ZerosLikeOptionsT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/tflite_convert.py /^ def __init__(self, option_strings, dest, nargs=None, **kwargs):$/;" m class:_ParseExperimentalNewConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/toco/logging/gen_html.py /^ def __init__(self, html_template_path, export_report_path):$/;" m class:HTMLGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def __init__(self):$/;" m class:_Break +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def __init__(self):$/;" m class:_ArgTemplateBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/call_trees.py /^ def __init__(self):$/;" m class:_Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def __init__(self):$/;" m class:_Block +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def __init__(self):$/;" m class:_Continue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow.py /^ def __init__(self):$/;" m class:AnnotatedDef +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/control_flow_deprecated_py2.py /^ def __init__(self):$/;" m class:AnnotatedDef +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/directives.py /^ def __init__(self):$/;" m class:_LoopScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/functions.py /^ def __init__(self):$/;" m class:_Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/lists.py /^ def __init__(self):$/;" m class:_Statement +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __init__(self):$/;" m class:_Block +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __init__(self):$/;" m class:_Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __init__(self):$/;" m class:_RewriteBlock +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __init__(self, ctx, allow_missing_return):$/;" m class:ReturnStatementsTransformer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __init__(self, status, options=None):$/;" m class:ControlStatusCtx +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def __init__(self, module_prefix):$/;" m class:Rule +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __init__(self, ctx):$/;" m class:Base +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __init__(self, options, autograph_module=None):$/;" m class:ProgramContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __init__(self,$/;" m class:ConversionOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter_testing.py /^ def __init__(self, converters, ag_overrides):$/;" m class:TestingTranspiler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/function_wrappers.py /^ def __init__(self, function_name, scope_name, options):$/;" m class:FunctionScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def __init__(self):$/;" m class:PyToTF +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/impl/api.py /^ def __init__(self, converted_fn):$/;" m class:StackTraceMapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ def __init__(self):$/;" m class:_PythonLoopChecker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow_deprecated_py2.py /^ def __init__(self):$/;" m class:_PythonLoopChecker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ def __init__(self, symbol_name):$/;" m class:Undefined +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def __init__(self, name_map):$/;" m class:SymbolRenamer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def __init__(self, pattern):$/;" m class:PatternMatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/ast_util.py /^ def __init__(self, preserve_annos):$/;" m class:CleanCopier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def __init__(self):$/;" m class:_TransformedFnCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __init__(self):$/;" m class:AstToCfg +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __init__(self, graph):$/;" m class:GraphVisitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __init__(self, next_, prev, ast_node):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __init__(self, parent_ast_node):$/;" m class:GraphBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def __init__(self):$/;" m class:DummyGensym +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ def __init__(self, ctx, config):$/;" m class:AnfTransformer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def __init__(self, callsite_tb, cause_metadata, cause_message, source_map,$/;" m class:ErrorMetadataBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def __init__(self, message, original_key):$/;" m class:MultilineMessageKeyError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/naming.py /^ def __init__(self, global_namespace):$/;" m class:Namer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def __init__(self, name):$/;" m class:_Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def __init__(self, root_node, source_lines, comments_map,$/;" m class:OriginResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/pretty_printer.py /^ def __init__(self, color, noanno):$/;" m class:PrettyPrinter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __init__(self, base, attr=None, subscript=None):$/;" m class:QN +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def __init__(self):$/;" m class:_Comprehension +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def __init__(self):$/;" m class:_FunctionOrClass +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def __init__(self, context, parent_scope=None):$/;" m class:ActivityAnalyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def __init__(self, parent, isolated=True, function_name=None):$/;" m class:Scope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def __init__(self, graph, include_annotations):$/;" m class:Analyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/liveness.py /^ def __init__(self, source_info, graphs, include_annotations):$/;" m class:TreeAnnotator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __init__(self):$/;" m class:Definition +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __init__(self, graph, definition_factory):$/;" m class:Analyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __init__(self, init_from=None):$/;" m class:_NodeState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __init__(self, source_info, graphs, definition_factory):$/;" m class:TreeAnnotator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __init__(self, def_node):$/;" m class:Definition +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __init__(self, graph, external_defs):$/;" m class:Analyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __init__(self, init_from=None):$/;" m class:_NodeState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __init__(self, source_info, graphs):$/;" m class:TreeAnnotator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __init__(self, graph, resolver, namespace, scope, closure_types):$/;" m class:Analyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __init__(self, init_from=None):$/;" m class:_SymbolTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __init__(self, resolver, scope, namespace, closure_types, types_in):$/;" m class:StmtInferrer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __init__(self, source_info, graphs, resolver):$/;" m class:FunctionVisitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def __init__(self, override_value):$/;" m class:ContextAdjuster +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/templates.py /^ def __init__(self, replacements):$/;" m class:ReplaceTransformer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __init__(self):$/;" m class:_State +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __init__(self, ctx):$/;" m class:CodeGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __init__(self, ctx):$/;" m class:NodeStateTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __init__(self, info, namer, user_context):$/;" m class:Context +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __init__(self, type_):$/;" m class:_StateStack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def __init__(self):$/;" m class:PyToPy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transpiler.py /^ def __init__(self, name, freevars, extra_locals):$/;" m class:_PythonFnFactory +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/compat_util.py /^ def __init__(self, init_value):$/;" m class:BasicRef +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def __init__(self, shape, dtype):$/;" m class:TensorList +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, session, callable_options):$/;" m class:BaseSession._Callable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, fetches):$/;" m class:_AttrsFetchMapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, fetches):$/;" m class:_DictFetchMapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, fetches):$/;" m class:_ListFetchMapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, fetches, contraction_fn):$/;" m class:_ElementFetchMapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, graph, fetches, feeds, feed_handles=None):$/;" m class:_FetchHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, name, device_type, memory_limit_bytes, incarnation):$/;" m class:_DeviceAttributes +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, target='', graph=None, config=None):$/;" m class:BaseSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, target='', graph=None, config=None):$/;" m class:InteractiveSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __init__(self, target='', graph=None, config=None):$/;" m class:Session +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def __init__(self, name, object_id, timestamp, pid, allocator, num_bytes):$/;" m class:_TensorTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def __init__(self, show_memory=False):$/;" m class:_ChromeTraceFormatter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/timeline.py /^ def __init__(self, step_stats, graph=None):$/;" m class:Timeline +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/test/tf_trt_integration_test_base.py /^ def __init__(self, methodName="runTest"): # pylint: disable=invalid-name$/;" m class:TfTrtIntegrationTestBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def __init__(self, resource_name, device):$/;" m class:_TRTEngineResourceDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def __init__(self,$/;" m class:TrtGraphConverter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def __init__(self,$/;" m class:TrtGraphConverterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def __init__(self,$/;" m class:_TRTEngineResource +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/jit.py /^ def __init__(self, count, depth):$/;" m class:_XlaScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def __init__(self):$/;" m class:_CapturedObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/xla/xla.py /^ def __init__(self, name, pivot):$/;" m class:XLACompileContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/kernel_tests/data_service_test_base.py /^ def __init__(self,$/;" m class:TestCluster +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def __init__(self, input_dataset, batch_size, row_shape):$/;" m class:_DenseToSparseBatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def __init__(self, input_dataset, map_func, batch_size, num_parallel_calls,$/;" m class:_MapAndBatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/batching.py /^ def __init__(self, input_dataset, row_splits_dtype):$/;" m class:_DenseToRaggedDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/cardinality.py /^ def __init__(self, input_dataset, expected_cardinality):$/;" m class:_AssertCardinalityDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ def __init__(self, dataset_id, processing_mode, address, protocol, job_name,$/;" m class:_DataServiceDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/data_service_ops.py /^ def __init__(self,$/;" m class:_DataServiceDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def __init__(self, graph_def, device, element_spec):$/;" m class:_RemoteDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def __init__(self, input_dataset, batch_sizes, drop_remainder=False):$/;" m class:_RebatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def __init__(self, input_dataset, num_replicas):$/;" m class:_LegacyRebatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/distribute.py /^ def __init__(self, input_dataset, num_workers, index, num_replicas=None):$/;" m class:_AutoShardDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/error_ops.py /^ def __init__(self, input_dataset, log_warning):$/;" m class:_IgnoreErrorsDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def __init__(self, init_func, reduce_func, finalize_func):$/;" m class:Reducer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def __init__(self, input_dataset, key_func, reducer):$/;" m class:_GroupByReducerDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/grouping.py /^ def __init__(self, input_dataset, key_func, reduce_func, window_size_func):$/;" m class:_GroupByWindowDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/interleave_ops.py /^ def __init__(self, selector_input, data_inputs):$/;" m class:_DirectedInterleaveDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/io.py /^ def __init__(self, path, element_spec, compression=None, reader_func=None):$/;" m class:_LoadDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def __init__(self, estimator, external_state_policy="fail"):$/;" m class:CheckpointInputPipelineHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/iterator_ops.py /^ def __init__(self, var_list, latest_filename, sharded=False):$/;" m class:_CustomSaver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/matching_files.py /^ def __init__(self, patterns):$/;" m class:MatchingFilesDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def __init__(self, datasets, num_experiments=10):$/;" m class:_ChooseFastestDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/optimization.py /^ def __init__(self,$/;" m class:_ChooseFastestBranchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/parsing_ops.py /^ def __init__(self, input_dataset, features, num_parallel_calls,$/;" m class:_ParseExampleDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def __init__(self, input_dataset, map_func, use_inter_op_parallelism=True):$/;" m class:_MapOnGpuDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/prefetching_ops.py /^ def __init__(self, input_dataset, target_device, source_device="\/cpu:0"):$/;" m class:_CopyToDeviceDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^ def __init__(self, seed=None):$/;" m class:RandomDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/random_ops.py /^ def __init__(self, seed=None):$/;" m class:RandomDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def __init__(self, driver_name, data_source_name, query, output_types):$/;" m class:SqlDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def __init__(self, driver_name, data_source_name, query, output_types):$/;" m class:SqlDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def __init__(self,$/;" m class:CsvDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/readers.py /^ def __init__(self,$/;" m class:CsvDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/scan_ops.py /^ def __init__(self,$/;" m class:_ScanDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/shuffle_ops.py /^ def __init__(self, input_dataset, buffer_size, count=None, seed=None):$/;" m class:_ShuffleAndRepeatDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def __init__(self,$/;" m class:_LegacySnapshotDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/snapshot.py /^ def __init__(self,$/;" m class:_SnapshotDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^ def __init__(self):$/;" m class:StatsAggregatorV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_aggregator.py /^ def __init__(self):$/;" m class:StatsAggregatorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/stats_ops.py /^ def __init__(self, input_dataset, op_function, tag):$/;" m class:_StatsDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/take_while_ops.py /^ def __init__(self, input_dataset, predicate):$/;" m class:_TakeWhileDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def __init__(self, input_dataset):$/;" m class:_NonSerializableDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def __init__(self, input_dataset, sleep_microseconds):$/;" m class:_SleepDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/testing.py /^ def __init__(self, input_dataset, transformations):$/;" m class:_AssertNextDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^ def __init__(self, input_dataset, thread_pool):$/;" m class:_ThreadPoolDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/threadpool.py /^ def __init__(self, num_threads, display_name=None,$/;" m class:PrivateThreadPool +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/unique.py /^ def __init__(self, input_dataset):$/;" m class:_UniqueDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/ops/writers.py /^ def __init__(self, filename, compression_type=None):$/;" m class:TFRecordWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __init__(self, config, start=True):$/;" m class:WorkerServer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __init__(self, config=None, start=True):$/;" m class:DispatchServer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, generator):$/;" m class:DatasetV2._GeneratorState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self):$/;" m class:DatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, *args, **kwargs):$/;" m class:RangeDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, dataset):$/;" m class:DatasetV1Adapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, dataset):$/;" m class:_NumpyIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, dataset, structure):$/;" m class:_RestructuredDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, datasets):$/;" m class:ZipDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, dataset_variant, structure):$/;" m class:_VariantDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, element):$/;" m class:TensorDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, element):$/;" m class:TensorSliceDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, element_spec, dataset_shape=()):$/;" m class:DatasetSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, init_args, init_func, next_func, finalize_func,$/;" m class:_GeneratorDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset):$/;" m class:_UnbatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, aggregator, prefix, counter_prefix):$/;" m class:_SetStatsAggregatorDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, algorithm, cpu_budget, ram_budget):$/;" m class:_ModelDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, batch_size, drop_remainder):$/;" m class:BatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, batch_size, padded_shapes, padding_values,$/;" m class:PaddedBatchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, buffer_size, slack_period=None):$/;" m class:PrefetchDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, count):$/;" m class:RepeatDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, count):$/;" m class:SkipDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, count):$/;" m class:TakeDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, dataset_to_concatenate):$/;" m class:ConcatenateDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, filename):$/;" m class:CacheDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, map_func):$/;" m class:FlatMapDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, map_func, cycle_length, block_length):$/;" m class:InterleaveDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, max_intra_op_parallelism):$/;" m class:_MaxIntraOpParallelismDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, num_shards, index):$/;" m class:ShardDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, num_threads):$/;" m class:_PrivateThreadPoolDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, options):$/;" m class:_OptionsDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, predicate, use_legacy_function=False):$/;" m class:FilterDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, size, shift, stride, drop_remainder):$/;" m class:WindowDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, variant_tensor):$/;" m class:UnaryDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, input_dataset, variant_tensor):$/;" m class:UnaryUnchangedStructureDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, sparse_tensor):$/;" m class:SparseTensorSliceDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, variant_tensor):$/;" m class:DatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, variant_tensor, element_spec, dataset_shape):$/;" m class:_NestedVariant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self, variant_tensor, resource_creator):$/;" m class:_VariantTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:MapDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:ParallelInterleaveDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:ParallelMapDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:ShuffleDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:StructuredFunctionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __init__(self,$/;" m class:_OptimizeDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __init__($/;" m class:_IteratorSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __init__(self, dataset=None, components=None, element_spec=None):$/;" m class:OwnedIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __init__(self, element_spec):$/;" m class:IteratorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __init__(self, handle, deleter):$/;" m class:IteratorResourceDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __init__(self, iterator_resource, initializer, output_types,$/;" m class:Iterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self, devices, source_device, element_spec):$/;" m class:MultiDeviceIteratorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self, multi_device_iterator, iterators, device, deleter):$/;" m class:MultiDeviceIteratorResourceDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self, per_device_dataset, incarnation_id):$/;" m class:_ReincarnatedPerDeviceGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self, shard_num, multi_device_iterator_resource, incarnation_id,$/;" m class:_PerDeviceGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self,$/;" m class:MultiDeviceIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __init__(self,$/;" m class:OwnedMultiDeviceIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def __init__(self, element_spec):$/;" m class:OptionalSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ def __init__(self, variant_tensor, element_spec):$/;" m class:_OptionalImpl +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self, filenames, compression_type=None, buffer_size=None):$/;" m class:_TextLineDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self, filenames, compression_type=None, buffer_size=None):$/;" m class:_TFRecordDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self, input_dataset, map_func, cycle_length, block_length,$/;" m class:ParallelInterleaveDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:FixedLengthRecordDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:FixedLengthRecordDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:TextLineDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:TextLineDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:TFRecordDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:TFRecordDatasetV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/readers.py /^ def __init__(self,$/;" m class:_FixedLengthRecordDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def __init__(self):$/;" m class:OptionsBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/analyzer_cli.py /^ def __init__(self, debug_dump, config):$/;" m class:DebugAnalyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/base_ui.py /^ def __init__(self, on_ui_exit=None, config=None):$/;" m class:BaseUI +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/cli_config.py /^ def __init__(self, config_file_path=None):$/;" m class:CLIConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/command_parser.py /^ def __init__(self, start, start_included, end, end_included):$/;" m class:Interval +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def __init__(self, on_ui_exit=None, config=None):$/;" m class:CursesUI +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_ui.py /^ def __init__(self,$/;" m class:ScrollBar +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def __init__(self, capacity):$/;" m class:CursesNavigationHistory +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/curses_widgets.py /^ def __init__(self, command, screen_output, scroll_position):$/;" m class:NavigationHistoryItem +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self):$/;" m class:CommandHandlerRegistry +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self):$/;" m class:TabCompletionRegistry +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, caption, content, enabled=True):$/;" m class:MenuItem +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, exit_token=None):$/;" m class:CommandLineExit +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, limit=100, history_file_path=None):$/;" m class:CommandHistory +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, lines, font_attr_segs=None, annotations=None):$/;" m class:RichTextLines +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, name=None):$/;" m class:Menu +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __init__(self, text="", font_attr=None):$/;" m class:RichLine +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/evaluator.py /^ def __init__(self, dump):$/;" m class:ExpressionEvaluator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def __init__(self, graph, run_metadata):$/;" m class:ProfileAnalyzer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/profile_analyzer_cli.py /^ def __init__(self, profile_datum_list, time_unit=cli_shared.TIME_UNIT_US):$/;" m class:ProfileDataTableView +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/readline_ui.py /^ def __init__(self, on_ui_exit=None, config=None):$/;" m class:ReadlineUI +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/tensor_format.py /^ def __init__(self,$/;" m class:HighlightOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/check_numerics_callback.py /^ def __init__(self, stack_height_limit, path_length_limit):$/;" m class:CheckNumericsCallback +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __init__(self, dump_root, debug_dump_rel_path):$/;" m class:DebugTensorDatum +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __init__(self, dump_root, partition_graphs=None, validate=True):$/;" m class:DebugDumpDir +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __init__(self, tensor_proto, initialized=True):$/;" m class:InconvertibleTensorProto +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def __init__(self, debug_events_reader):$/;" m class:BaseMonitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def __init__(self, debug_events_reader, limit=0):$/;" m class:InfNanMonitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_monitors.py /^ def __init__(self,$/;" m class:InfNanAlert +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self, dump_root):$/;" m class:DebugDataReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self, dump_root):$/;" m class:DebugEventsReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self, wall_time, locator):$/;" m class:BaseDigest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self, wall_time, locator, op_type, op_name, output_slot,$/;" m class:GraphExecutionTraceDigest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:DebuggedDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:DebuggedGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:Execution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:ExecutionDigest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:GraphExecutionTrace +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_reader.py /^ def __init__(self,$/;" m class:GraphOpCreationDigest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_events_writer.py /^ def __init__(self,$/;" m class:DebugEventsWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_gradients.py /^ def __init__(self, y_tensor=None):$/;" m class:GradientsDebugger +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def __init__(self, debug_graph_def, device_name=None):$/;" m class:DebugGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_graphs.py /^ def __init__(self,$/;" m class:DFSGraphTracer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_service_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:EventListenerStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/dumping_callback.py /^ def __init__(self,$/;" m class:_DumpingCallback +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def __init__(self):$/;" m class:EventListenerBaseStreamHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_server.py /^ def __init__(self, server_port, stream_handler_class):$/;" m class:EventListenerBaseServicer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def __init__(self, dump_dir, event_listener_servicer):$/;" m class:EventListenerTestStreamHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/grpc_debug_test_server.py /^ def __init__(self, server_port, dump_dir, toggle_watch_on_core_metadata=None):$/;" m class:EventListenerTestServicer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def __init__(self, profile_datum):$/;" m class:AggregateProfile +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/profiling.py /^ def __init__(self,$/;" m class:ProfileDatum +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/session_debug_testlib.py /^ def __init__(self, input_output_size, state_size):$/;" m class:_RNNCellForTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/dumping_wrapper.py /^ def __init__(self,$/;" m class:DumpingDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self):$/;" m class:OnRunEndResponse +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self, action):$/;" m class:OnSessionInitResponse +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self, fetches, feed_dict, run_options, run_metadata,$/;" m class:OnRunStartRequest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self, sess):$/;" m class:OnSessionInitRequest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self, sess, thread_name_filter=None,$/;" m class:BaseDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self, sess, watch_fn=None, thread_name_filter=None,$/;" m class:NonInteractiveDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self,$/;" m class:OnRunEndRequest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self,$/;" m class:OnRunStartResponse +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __init__(self,$/;" m class:WatchOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def __init__(self,$/;" m class:GrpcDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/grpc_wrapper.py /^ def __init__(self,$/;" m class:TensorBoardDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def __init__(self,$/;" m class:DumpingDebugHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def __init__(self,$/;" m class:GrpcDebugHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def __init__(self,$/;" m class:LocalCLIDebugHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/hooks.py /^ def __init__(self,$/;" m class:TensorBoardDebugHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/local_cli_wrapper.py /^ def __init__(self,$/;" m class:LocalCLIDebugWrapperSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def __init__(self, compute_devices=None, parameter_device=None):$/;" m class:CentralStorageStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/central_storage_strategy.py /^ def __init__(self, compute_devices=None, parameter_device=None):$/;" m class:CentralStorageStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def __init__(self, *args, **kwargs):$/;" m class:UnionClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/cluster_resolver.py /^ def __init__(self, cluster_spec, master='', task_type=None, task_id=None,$/;" m class:SimpleClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/gce_cluster_resolver.py /^ def __init__(self,$/;" m class:GCEClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/kubernetes_cluster_resolver.py /^ def __init__(self,$/;" m class:KubernetesClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/sagemaker_cluster_resolver.py /^ def __init__(self,$/;" m class:SageMakerClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/slurm_cluster_resolver.py /^ def __init__(self,$/;" m class:SlurmClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tfconfig_cluster_resolver.py /^ def __init__(self,$/;" m class:TFConfigClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cluster_resolver/tpu/tpu_cluster_resolver.py /^ def __init__(self,$/;" m class:TPUClusterResolver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __init__(self, container_strategy, cluster_resolver,$/;" m class:CollectiveAllReduceExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __init__(self,$/;" m class:CollectiveAllReduceStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __init__(self,$/;" m class:CollectiveAllReduceStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __init__(self,$/;" m class:_CollectiveAllReduceStrategyExperimental +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def __init__(self, bytes_per_pack=0, timeout_seconds=None):$/;" m class:Hints +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def __init__(self,$/;" m class:Options +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def __init__(self,$/;" m class:_OptionsExported +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def __init__(self,$/;" m class:NamedDistribution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self):$/;" m class:_CoordinatedClosureQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, closure, type_spec): # pylint: disable=super-init-not-called$/;" m class:RemoteValueImpl +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, dataset_fn, input_workers, coordinator):$/;" m class:_PerWorkerDistributedDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, function, cancellation_mgr, args=None, kwargs=None):$/;" m class:Closure +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, original_exception):$/;" m class:InputError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, server_def, cluster):$/;" m class:WorkerPreemptionHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, strategy):$/;" m class:Cluster +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, strategy):$/;" m class:ClusterCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, values):$/;" m class:PerWorkerValues +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __init__(self, worker_index, device_name, cluster):$/;" m class:Worker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self):$/;" m class:CrossDeviceOps +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, all_reduce_alg="nccl", num_packs=1):$/;" m class:AllReduceCrossDeviceOps +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, devices, group_size, collective_keys=None):$/;" m class:CollectiveAllReduce +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, num_packs=1):$/;" m class:HierarchicalCopyAllReduce +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, num_packs=1):$/;" m class:NcclAllReduce +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, num_packs=1):$/;" m class:_ConcatAndSplitPacker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_ops.py /^ def __init__(self, reduce_to_device=None, accumulation_fn=None):$/;" m class:ReductionToOneDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def __init__(self, group_key_start=1):$/;" m class:CollectiveKeys +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/cross_device_utils.py /^ def __init__(self,$/;" m class:CollectiveReplicaLauncher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^ def __init__(self):$/;" m class:_FakeNodeDef +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^ def __init__(self):$/;" m class:_FakeOperation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def __init__(self, num_participants):$/;" m class:_Barrier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_coordinator.py /^ def __init__(self,$/;" m class:_WorkerContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, dataset):$/;" m class:_DefaultDistributionExtended.DefaultInputIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self):$/;" m class:_DefaultDistributionStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self):$/;" m class:_DefaultDistributionStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, container_strategy):$/;" m class:StrategyExtendedV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, container_strategy):$/;" m class:_DefaultDistributionExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, extended):$/;" m class:StrategyBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, replica_id):$/;" m class:UpdateContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, strategy):$/;" m class:_DefaultDistributionContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self, strategy, replica_id_in_sync_group):$/;" m class:ReplicaContextBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self,$/;" m class:InputContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self,$/;" m class:ValueContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __init__(self,$/;" m class:_CurrentDistributionContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^ def __init__(self):$/;" m class:_DefaultReplicaThreadMode +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^ def __init__(self, dist, cross, replica):$/;" m class:_ThreadMode +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^ def __init__(self, replica_ctx):$/;" m class:_InReplicaThreadMode +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribution_strategy_context.py /^ def __init__(self, strategy):$/;" m class:_CrossReplicaThreadMode +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self):$/;" m class:MultiStepContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, dataset, worker, devices, options=None):$/;" m class:_SingleWorkerDatasetIteratorBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, dataset_fn, input_workers, input_contexts, strategy,$/;" m class:DistributedDatasetsFromFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, fn, worker, devices):$/;" m class:_SingleWorkerCallableIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, input_fn, input_workers, input_contexts, strategy):$/;" m class:InputFunctionIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, input_workers):$/;" m class:_IterableInput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, input_workers, element_spec, strategy,$/;" m class:DistributedIteratorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, input_workers, iterators, strategy,$/;" m class:DistributedIteratorBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, worker, devices, element_spec, options):$/;" m class:_SingleWorkerDatasetIteratorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self, worker_device_pairs):$/;" m class:InputWorkers +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self,$/;" m class:DatasetIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self,$/;" m class:DistributedDataset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self,$/;" m class:DistributedDatasetV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self,$/;" m class:DistributedIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __init__(self,$/;" m class:_SingleWorkerOwnedDatasetIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_run.py /^ def __init__(self, dist, coord, replica_id, devices, variable_creator_fn,$/;" m class:_MirroredReplicaThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def __init__(self, container_strategy, devices=None, cross_device_ops=None):$/;" m class:MirroredExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def __init__(self, devices=None, cross_device_ops=None):$/;" m class:MirroredStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/mirrored_strategy.py /^ def __init__(self, devices=None, cross_device_ops=None):$/;" m class:MirroredStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ def __init__(self, *args, **kwargs):$/;" m class:Process +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_lib.py /^ def __init__(self, *args, **kwargs):$/;" m class:_AbslProcess +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __init__(self, cluster_spec, initializer=None):$/;" m class:MultiProcessPoolRunner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __init__(self, msg, mpr_result):$/;" m class:SubprocessTimeoutError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __init__(self, msg, mpr_result):$/;" m class:UnexpectedSubprocessExitError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __init__(self, test_env, **kwargs):$/;" m class:_Process +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_process_runner.py /^ def __init__(self,$/;" m class:MultiProcessRunner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __init__(self, *args):$/;" m class:MockOsEnv +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __init__(self, cluster_resolver):$/;" m class:MultiProcessCluster +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/numpy_dataset.py /^ def __init__(self, device):$/;" m class:SingleDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def __init__(self, container_strategy, device):$/;" m class:OneDeviceExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def __init__(self, device):$/;" m class:OneDeviceStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def __init__(self, device):$/;" m class:OneDeviceStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/one_device_strategy.py /^ def __init__(self, strategy):$/;" m class:_OneDeviceReplicaContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def __init__(self, distributed_variables=None, name=None, **unused_kwargs):$/;" m class:PackedDistributedVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/packed_distributed_variable.py /^ def __init__(self, var, device):$/;" m class:PackedVarAndDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/parallel_device.py /^ def __init__(self, components):$/;" m class:ParallelDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ def __init__(self, name, handle, dtype, shape):$/;" m class:_ParallelComponentSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parallel_device/saving.py /^ def __init__(self, parallel_device, wrapped_variable):$/;" m class:ParallelVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def __init__(self, cluster_resolver=None):$/;" m class:ParameterServerStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy.py /^ def __init__(self,$/;" m class:ParameterServerStrategyExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def __init__(self, cluster_resolver, variable_partitioner=None):$/;" m class:ParameterServerStrategyV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/parameter_server_strategy_v2.py /^ def __init__(self, container_strategy, cluster_resolver,$/;" m class:ParameterServerStrategyV2Extended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __init__(self, strategy, v, aggregation):$/;" m class:AggregatingVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __init__(self, *variable_specs):$/;" m class:ShardedVariableSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __init__(self, max_shard_bytes, max_shards=None, bytes_per_string=16):$/;" m class:MaxSizePartitioner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __init__(self, num_shards):$/;" m class:FixedShardsPartitioner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __init__(self, variables, name='ShardedVariable'):$/;" m class:ShardedVariableMixin +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __init__(self,$/;" m class:MinSizePartitioner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def __init__(self, dataset_fn, distribution):$/;" m class:StandardInputStep +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def __init__(self, dataset_fn, loss_fn, optimizer, distribution,$/;" m class:StandardSingleLossStep +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/step_fn.py /^ def __init__(self, distribution):$/;" m class:Step +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def __init__(self, strategy, replica_id_in_sync_group=0):$/;" m class:_TPUReplicaContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def __init__(self,$/;" m class:TPUExtended +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def __init__(self,$/;" m class:TPUStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def __init__(self,$/;" m class:TPUStrategyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_strategy.py /^ def __init__(self,$/;" m class:TPUStrategyV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/tpu_values.py /^ def __init__(self, *args, **kwargs):$/;" m class:TPUVariableMixin +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, *value_specs):$/;" m class:PerReplicaSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, aggregation):$/;" m class:VariablePolicy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, distributed_variable, primary_variable, name):$/;" m class:_DistributedVariableSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, mirrored_variable, primary_variable, name):$/;" m class:_MirroredSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, name, graph, traceback, typ):$/;" m class:DistributedVarOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, strategy, values, aggregation, var_policy=None):$/;" m class:DistributedVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, sync_on_read_variable, name):$/;" m class:_SyncOnReadSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, values):$/;" m class:DistributedValues +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __init__(self, values, type_spec_override=None):$/;" m class:PerReplica +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def __init__(self, attrs, inputs, outputs, typ, skip_input_indices):$/;" m class:_MockOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/backprop.py /^ def __init__(self, persistent=False, watch_accessed_variables=True):$/;" m class:GradientTape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ def __init__(self):$/;" m class:CancellationManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self):$/;" m class:_AtomicCounter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self):$/;" m class:_TensorCaches +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self, context_id):$/;" m class:_TensorCacheDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self, ctx, device_name):$/;" m class:_EagerDeviceContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self, eager):$/;" m class:_ContextSwitchStack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self, executor_type=None, config_proto=None):$/;" m class:FunctionCallOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self, max_items=256, max_tensor_size=10000):$/;" m class:_EagerTensorCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __init__(self,$/;" m class:Context +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^ def __init__(self, message, code):$/;" m class:_NotOkStatusException +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __init__(self):$/;" m class:_FrequentTracingDetector +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __init__(self, func_graph):$/;" m class:FunctionDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __init__(self, max_call_history):$/;" m class:_CallCounter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __init__(self,$/;" m class:Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __init__(self,$/;" m class:UnliftedInitializerVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ def __init__(self, handle):$/;" m class:Executor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop.py /^ def __init__(self, primals, tangents):$/;" m class:ForwardAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self):$/;" m class:FunctionCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, cache):$/;" m class:_FunctionGarbageCollector +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, functions, inference_args, input_tangents, tape_watching):$/;" m class:_ForwardBackwardCall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, func_graph):$/;" m class:ConcreteFunctionGarbageCollector +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, func_graph, attrs, func_graph_deleter):$/;" m class:_DelayedRewriteGradientFunctions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, func_graph, attrs, func_graph_deleter,$/;" m class:_FirstOrderTapeGradientFunctions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, func_graph, attrs, func_graph_deleter,$/;" m class:_TapeGradientFunctions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, name):$/;" m class:_EagerDefinedFunctionDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, name, graph, inputs, outputs, attrs):$/;" m class:_EagerDefinedFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, s):$/;" m class:_Marker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, target, original_python_function):$/;" m class:TfMethodTarget +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self, top_level_func):$/;" m class:_InterpolateFunctionError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self,$/;" m class:ConcreteFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self,$/;" m class:Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __init__(self,$/;" m class:FunctionSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, buckets):$/;" m class:Buckets +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:BoolGaugeCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:CounterCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:IntGaugeCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:MonitoredTimer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:SamplerCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, cell):$/;" m class:StringGaugeCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, metric_name, metric_methods, label_length, *args):$/;" m class:Metric +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, name, buckets, description, *labels):$/;" m class:Sampler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, name, description, *labels):$/;" m class:BoolGauge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, name, description, *labels):$/;" m class:Counter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, name, description, *labels):$/;" m class:IntGauge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, name, description, *labels):$/;" m class:StringGauge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ def __init__(self, scale, growth_factor, bucket_count):$/;" m class:ExponentialBuckets +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/profiler.py /^ def __init__(self, logdir):$/;" m class:Profiler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def __init__(self):$/;" m class:VariableWatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ def __init__(self, tape):$/;" m class:Tape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def __init__(self, fn=None, share_variables=False):$/;" m class:VariableHolder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def __init__(self, fn_graph, variable_holder, attrs=None, signature=None):$/;" m class:WrappedFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/wrap_function.py /^ def __init__(self, variable_holder=None, **kwargs):$/;" m class:WrappedGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self, features):$/;" m class:_LazyBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self,$/;" m class:InputLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self,$/;" m class:_BiasLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self,$/;" m class:_EmbeddingColumnLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self,$/;" m class:_FCLinearWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __init__(self,$/;" m class:_LinearModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __init__(self, features):$/;" m class:FeatureTransformationCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __init__(self, layer, trainable):$/;" m class:_StateManagerImpl +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __init__(self,$/;" m class:SharedEmbeddingColumnCreator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ def __init__(self):$/;" m class:AutomaticControlDependencies +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, enclosing_graph):$/;" m class:_Convertible +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, function, enclosing_graph):$/;" m class:_Function +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, graph_def):$/;" m class:_GraphDef +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph):$/;" m class:_Case +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph):$/;" m class:_If +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph):$/;" m class:_Node +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph):$/;" m class:_PartitionedCall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph):$/;" m class:_While +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self, node, function, enclosing_graph, first_function_input,$/;" m class:_FunctionCaller +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self,$/;" m class:_ConverterData +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self,$/;" m class:_FunctionConverterData +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __init__(self,$/;" m class:_SessionConverterData +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self):$/;" m class:ApiDefMap +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self):$/;" m class:ScopedTFGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self):$/;" m class:ScopedTFImportGraphDefOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self):$/;" m class:ScopedTFStatus +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self, buf_string):$/;" m class:ScopedTFBuffer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self, func):$/;" m class:ScopedTFFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ def __init__(self, results):$/;" m class:ScopedTFImportGraphDefResults +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ def __init__(self, spec):$/;" m class:MergeDevice +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ def __init__(self, job=None, replica=None, task=None, device_type=None,$/;" m class:DeviceSpecV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:AbortedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:AlreadyExistsError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:CancelledError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:DataLossError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:DeadlineExceededError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:FailedPreconditionError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:InternalError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:InvalidArgumentError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:NotFoundError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:OutOfRangeError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:PermissionDeniedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:ResourceExhaustedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:UnauthenticatedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:UnavailableError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message):$/;" m class:UnimplementedError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message, error_code):$/;" m class:OpError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __init__(self, node_def, op, message, error_code=UNKNOWN):$/;" m class:UnknownError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self, op, leaving):$/;" m class:_is_guaranteed_const.Work +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self, *input_types, **kwargs):$/;" m class:Defun +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self, name):$/;" m class:_DefinedFunctionDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self, name, capture_by_value, allowlisted_stateful_ops,$/;" m class:_FuncGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self,$/;" m class:_DefinedFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ def __init__(self,$/;" m class:_OverloadedFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def __init__(self, name, collections=None, capture_by_value=None):$/;" m class:FuncGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def __init__(self, shape=None, dtype=dtypes.float32,$/;" m class:IndexedSlicesSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def __init__(self, values, indices, dense_shape=None):$/;" m class:IndexedSlices +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, graph, control_inputs):$/;" m class:Graph._ControlDependenciesController +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self):$/;" m class:Graph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self):$/;" m class:_DefaultGraphStack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self):$/;" m class:_DefaultStack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, *args, **kwargs):$/;" m class:NullContextmanager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, device_name_or_function):$/;" m class:_UserDeviceSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, name):$/;" m class:name_scope_v2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, name, default_name=None, values=None):$/;" m class:internal_name_scope_v1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, name, default_name=None, values=None):$/;" m class:name_scope_v1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, op, value_index, dtype):$/;" m class:Tensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, op_type):$/;" m class:RegisterGradient +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, op_type, statistic_type):$/;" m class:RegisterStatistics +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, statistic_type, value=None):$/;" m class:OpStats +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self, tensor, dim0):$/;" m class:_TensorIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __init__(self,$/;" m class:Operation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/python_memory_checker.py /^ def __init__(self):$/;" m class:_PythonMemoryChecker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^ def __init__(self, name):$/;" m class:Registry +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def __init__(self, indices, values, dense_shape):$/;" m class:SparseTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def __init__(self, shape=None, dtype=dtypes.float32):$/;" m class:SparseTensorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^ def __init__(self):$/;" m class:_ControlOutputCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __init__(self, dims):$/;" m class:TensorShape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __init__(self, value):$/;" m class:Dimension +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __init__(self, shape, dtype, minimum, maximum, name=None):$/;" m class:BoundedTensorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __init__(self, shape, dtype=dtypes.float32, name=None):$/;" m class:DenseSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __init__(self, name, obj):$/;" m class:NamedObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __init__(self, parameter_name=None):$/;" m class:ParameterModifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __init__(self, testcase, target, args=None, kwargs=None):$/;" m class:TensorFlowTestCase._CheckedThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __init__(self, capture_location):$/;" m class:CapturedWrites +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __init__(self, methodName="runTest"): # pylint: disable=invalid-name$/;" m class:TensorFlowTestCase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __init__(self, test_case):$/;" m class:FakeEagerSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_util.py /^ def __init__(self, use_tape, persistent=False):$/;" m class:AbstractGradientTape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def __init__(self, existing_stack=None):$/;" m class:TraceableStack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def __init__(self, obj, filename=None, lineno=None):$/;" m class:TraceableObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/cluster.py /^ def __init__(self,$/;" m class:Cluster +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/grappler/item.py /^ def __init__(self,$/;" m class:Item +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __init__(self):$/;" m class:_DummyEagerGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __init__(self):$/;" m class:_TfDeviceCaptureOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __init__(self, default_factory):$/;" m class:ContextValueCache +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/backend.py /^ def __init__(self, inputs, outputs, updates=None, name=None,$/;" m class:GraphExecutionFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/benchmarks/benchmark_util.py /^ def __init__(self):$/;" m class:TimerCallBack +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self):$/;" m class:Callback +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self):$/;" m class:History +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self):$/;" m class:TerminateOnNaN +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self, backup_dir):$/;" m class:BackupAndRestore +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self, count_mode='samples', stateful_metrics=None):$/;" m class:ProgbarLogger +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self, filename, separator=',', append=False):$/;" m class:CSVLogger +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self, schedule, verbose=0):$/;" m class:LearningRateScheduler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self, stateful_metrics=None):$/;" m class:BaseLogger +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:CallbackList +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:EarlyStopping +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:LambdaCallback +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:ModelCheckpoint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:ReduceLROnPlateau +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:RemoteMonitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __init__(self,$/;" m class:TensorBoard +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks_v1.py /^ def __init__(self,$/;" m class:TensorBoard +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __init__(self, axis=0):$/;" m class:UnitNorm +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __init__(self, max_value=2, axis=0):$/;" m class:MaxNorm +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/constraints.py /^ def __init__(self, min_value=0.0, max_value=1.0, rate=1.0, axis=0):$/;" m class:MinMaxNorm +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_dataset.CBCounter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self):$/;" m class:TestDistributionStrategyWithKerasModels.test_distributed_datasets_from_function.CBCounter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self, padding, ragged_rank=1, **kwargs):$/;" m class:TestDistributionStrategyWithKerasModels.test_ragged_tensor_outputs.ToRagged +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self, num_labels):$/;" m class:simple_subclassed_model._SimpleMLP +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self):$/;" m class:BatchCountingCB +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/distribute_strategy_test.py /^ def __init__(self, strategy):$/;" m class:DeterministicModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def __init__(self, distribution):$/;" m class:MaybeDistributionScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_correctness_test_base.py /^ def __init__(self, update_freq=None):$/;" m class:LearningRateBatchScheduler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_dnn_correctness_test.py /^ def __init__(self, initial_weights, input_shapes):$/;" m class:SubclassedModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def __init__(self, num_labels):$/;" m class:TestDistributionStrategyErrorCases.test_distribution_strategy_on_subclassed_model._SimpleMLP +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/keras_utils_test.py /^ def __init__(self):$/;" m class:Counter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def __init__(self):$/;" m class:_SimpleModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/simple_models.py /^ def __init__(self):$/;" m class:_SimpleModule +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/distribute/worker_training_state.py /^ def __init__(self, model, checkpoint_dir):$/;" m class:WorkerTrainingState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __init__(self, aggregation=None, metric_name=None, **kwargs):$/;" m class:AddMetric +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __init__(self, unconditional, **kwargs):$/;" m class:AddLoss +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __init__(self,$/;" m class:Layer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __init__(self,$/;" m class:TensorFlowOpLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def __init__(self):$/;" m class:CallContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def __init__(self, call_ctx, state):$/;" m class:CallContextManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_utils.py /^ def __init__(self, trackable):$/;" m class:TrackableWeightHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __init__(self, trainable=True, name=None, dtype=None, dynamic=False,$/;" m class:Layer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def __init__(self, combiner, **kwargs):$/;" m class:CombinerPreprocessingLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def __init__(self, losses, loss_weights=None, output_names=None):$/;" m class:LossesContainer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def __init__(self, metrics=None, weighted_metrics=None, output_names=None):$/;" m class:MetricsContainer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/compile_utils.py /^ def __init__(self, output_names=None):$/;" m class:Container +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self, *args, **kwargs):$/;" m class:GenericArrayLikeDataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self, x, y=None, **kwargs):$/;" m class:DataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:CompositeTensorDataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:DataHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:DatasetAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:GeneratorDataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:KerasSequenceAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:ListsOfScalarsDataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/data_adapter.py /^ def __init__(self,$/;" m class:TensorLikeDataAdapter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/functional.py /^ def __init__(self, inputs=None, outputs=None, name=None, trainable=True,$/;" m class:Functional +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_layer.py /^ def __init__(self,$/;" m class:InputLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^ def __init__(self,$/;" m class:InputSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __init__(self, shape, dtype):$/;" m class:UserRegisteredSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __init__(self, tensor, dim0):$/;" m class:_KerasTensorIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __init__(self, type_spec, inferred_value=None, name=None):$/;" m class:KerasTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __init__(self, user_registered_symbolic_object):$/;" m class:UserRegisteredTypeKerasTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ def __init__(self,$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/partial_batch_padding_handler.py /^ def __init__(self, output_shape):$/;" m class:PartialBatchPaddingHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/sequential.py /^ def __init__(self, layers=None, name=None):$/;" m class:Sequential +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def __init__(self, *args, **kwargs):$/;" m class:Model +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_distributed_v1.py /^ def __init__(self, single_worker_loop):$/;" m class:DistributionMultiWorkerTrainingLoop +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils.py /^ def __init__(self, model):$/;" m class:RespectCompiledTrainableState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def __init__(self, batch_size):$/;" m class:ConcatAggregator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def __init__(self, inputs):$/;" m class:ModelInputs +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def __init__(self, num_samples, batch_size):$/;" m class:SliceAggregator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def __init__(self, use_steps, num_samples=None, steps=None):$/;" m class:MetricsAggregator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_utils_v1.py /^ def __init__(self, use_steps, num_samples=None, steps=None, batch_size=None):$/;" m class:Aggregator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def __init__(self, *args, **kwargs):$/;" m class:Model +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def __init__(self, model):$/;" m class:DistributedCallbackModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def __init__(self, target, feedable=False, skip_target_weights=True):$/;" m class:_TrainingTarget +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training_v1.py /^ def __init__(self,$/;" m class:_TrainingEndpoint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/base_feature_layer.py /^ def __init__(self,$/;" m class:_BaseFeaturesLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features.py /^ def __init__(self,$/;" m class:DenseFeatures +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/dense_features_v2.py /^ def __init__(self,$/;" m class:DenseFeatures +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/feature_column/sequence_feature_column.py /^ def __init__($/;" m class:SequenceFeatures +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, mean=0.0, stddev=0.05, seed=None, dtype=dtypes.float32):$/;" m class:RandomNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, mean=0.0, stddev=0.05, seed=None, dtype=dtypes.float32):$/;" m class:TruncatedNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, minval=-0.05, maxval=0.05, seed=None,$/;" m class:RandomUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, seed=None):$/;" m class:HeNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, seed=None):$/;" m class:HeUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, seed=None):$/;" m class:LecunNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v1.py /^ def __init__(self, seed=None):$/;" m class:LecunUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:GlorotNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:GlorotUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:HeNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:HeUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:LecunNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, seed=None):$/;" m class:LecunUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/initializers/initializers_v2.py /^ def __init__(self, value=0):$/;" m class:Constant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self, alpha=0.3, **kwargs):$/;" m class:LeakyReLU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self, alpha=1.0, **kwargs):$/;" m class:ELU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self, axis=-1, **kwargs):$/;" m class:Softmax +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self, max_value=None, negative_slope=0, threshold=0, **kwargs):$/;" m class:ReLU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self, theta=1.0, **kwargs):$/;" m class:ThresholdedReLU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/advanced_activations.py /^ def __init__(self,$/;" m class:PReLU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, cropping=((0, 0), (0, 0)), data_format=None, **kwargs):$/;" m class:Cropping2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, cropping=(1, 1), **kwargs):$/;" m class:Cropping1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, padding=(1, 1), data_format=None, **kwargs):$/;" m class:ZeroPadding2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, padding=(1, 1, 1), data_format=None, **kwargs):$/;" m class:ZeroPadding3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, padding=1, **kwargs):$/;" m class:ZeroPadding1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, size=(2, 2, 2), data_format=None, **kwargs):$/;" m class:UpSampling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self, size=2, **kwargs):$/;" m class:UpSampling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv1DTranspose +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv2DTranspose +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Conv3DTranspose +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:Cropping3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:DepthwiseConv2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:SeparableConv +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:SeparableConv1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:SeparableConv2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional.py /^ def __init__(self,$/;" m class:UpSampling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def __init__(self,$/;" m class:ConvLSTM2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def __init__(self,$/;" m class:ConvLSTM2DCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/convolutional_recurrent.py /^ def __init__(self,$/;" m class:ConvRNN2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, activation, **kwargs):$/;" m class:Activation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, attr_name, **kwargs):$/;" m class:InstanceProperty +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, cls, method_name):$/;" m class:TFClassMethodDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, cls_ref, method_name, **kwargs):$/;" m class:ClassMethod +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, data_format=None, **kwargs):$/;" m class:Flatten +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, dims, **kwargs):$/;" m class:Permute +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, function, **kwargs):$/;" m class:SlicingOpLambda +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, function, **kwargs):$/;" m class:TFOpLambda +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, function, output_shape=None, mask=None, arguments=None,$/;" m class:Lambda +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, l1=0., l2=0., **kwargs):$/;" m class:ActivityRegularization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, mask_value=0., **kwargs):$/;" m class:Masking +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, n, **kwargs):$/;" m class:RepeatVector +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, op):$/;" m class:TFSlicingOpDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, rate, **kwargs):$/;" m class:SpatialDropout1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, rate, data_format=None, **kwargs):$/;" m class:SpatialDropout2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, rate, data_format=None, **kwargs):$/;" m class:SpatialDropout3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, rate, noise_shape=None, seed=None, **kwargs):$/;" m class:Dropout +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self, target_shape, **kwargs):$/;" m class:Reshape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/core.py /^ def __init__(self,$/;" m class:Dense +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def __init__(self,$/;" m class:CuDNNGRU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def __init__(self,$/;" m class:CuDNNLSTM +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/cudnn_recurrent.py /^ def __init__(self,$/;" m class:_CuDNNRNN +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def __init__(self, causal=False, dropout=0.0,$/;" m class:BaseDenseAttention +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def __init__(self, use_scale=False, **kwargs):$/;" m class:Attention +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/dense_attention.py /^ def __init__(self, use_scale=True, **kwargs):$/;" m class:AdditiveAttention +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/einsum_dense.py /^ def __init__(self,$/;" m class:EinsumDense +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/embeddings.py /^ def __init__(self,$/;" m class:Embedding +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/kernelized.py /^ def __init__(self,$/;" m class:RandomFourierFeatures +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:DeviceWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:DropoutWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:ResidualWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, cell, *args, **kwargs):$/;" m class:_RNNCellWrapperV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, cells, state_is_tuple=True):$/;" m class:MultiRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self, trainable=True, name=None, dtype=None, **kwargs):$/;" m class:RNNCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self,$/;" m class:BasicLSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self,$/;" m class:BasicRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self,$/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ def __init__(self,$/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def __init__(self, cell, device, **kwargs):$/;" m class:DeviceWrapperBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def __init__(self, cell, residual_fn=None, **kwargs):$/;" m class:ResidualWrapperBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_wrapper_impl.py /^ def __init__(self,$/;" m class:DropoutWrapperBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def __init__(self,$/;" m class:LocallyConnected1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/local.py /^ def __init__(self,$/;" m class:LocallyConnected2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def __init__(self, **kwargs):$/;" m class:_Merge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def __init__(self, axes, normalize=False, **kwargs):$/;" m class:Dot +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/merge.py /^ def __init__(self, axis=-1, **kwargs):$/;" m class:Concatenate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/multi_head_attention.py /^ def __init__(self,$/;" m class:MultiHeadAttention +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def __init__(self, rate, **kwargs):$/;" m class:GaussianDropout +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def __init__(self, rate, noise_shape=None, seed=None, **kwargs):$/;" m class:AlphaDropout +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/noise.py /^ def __init__(self, stddev, **kwargs):$/;" m class:GaussianNoise +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def __init__(self,$/;" m class:BatchNormalizationBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization.py /^ def __init__(self,$/;" m class:LayerNormalization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/normalization_v2.py /^ def __init__(self,$/;" m class:SyncBatchNormalization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, data_format='channels_last', **kwargs):$/;" m class:GlobalAveragePooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, data_format='channels_last', **kwargs):$/;" m class:GlobalPooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, data_format=None, **kwargs):$/;" m class:GlobalPooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, data_format=None, **kwargs):$/;" m class:GlobalPooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, pool_function, pool_size, strides,$/;" m class:Pooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, pool_function, pool_size, strides,$/;" m class:Pooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, pool_function, pool_size, strides,$/;" m class:Pooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, pool_size=2, strides=None,$/;" m class:AveragePooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self, pool_size=2, strides=None,$/;" m class:MaxPooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self,$/;" m class:AveragePooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self,$/;" m class:AveragePooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self,$/;" m class:MaxPooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/pooling.py /^ def __init__(self,$/;" m class:MaxPooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_crossing.py /^ def __init__(self, depth=None, name=None, separator=None, **kwargs):$/;" m class:CategoryCrossing +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def __init__(self, max_tokens=None, compute_idf=False):$/;" m class:_CategoryEncodingCombiner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/category_encoding.py /^ def __init__(self,$/;" m class:CategoryEncoding +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/discretization.py /^ def __init__(self, bins, **kwargs):$/;" m class:Discretization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/hashing.py /^ def __init__(self, num_bins, salt=None, name=None, **kwargs):$/;" m class:Hashing +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self, factor, seed=None, name=None, **kwargs):$/;" m class:RandomContrast +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self, height, width, name=None, **kwargs):$/;" m class:CenterCrop +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self, height, width, seed=None, name=None, **kwargs):$/;" m class:RandomCrop +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self, scale, offset=0., name=None, **kwargs):$/;" m class:Rescaling +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomFlip +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomHeight +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomRotation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomTranslation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomWidth +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:RandomZoom +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/image_preprocessing.py /^ def __init__(self,$/;" m class:Resizing +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def __init__(self, vocab_size=None, mask_value=None):$/;" m class:_IndexLookupCombiner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/index_lookup.py /^ def __init__(self,$/;" m class:IndexLookup +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/integer_lookup.py /^ def __init__(self,$/;" m class:IntegerLookup +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/integer_lookup_v1.py /^ def __init__(self,$/;" m class:IntegerLookup +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def __init__(self, axis):$/;" m class:_NormalizingCombiner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization.py /^ def __init__(self, axis=-1, dtype=None, mean=None, variance=None, **kwargs):$/;" m class:Normalization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/normalization_v1.py /^ def __init__(self, axis=-1, dtype=None, **kwargs):$/;" m class:Normalization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/reduction.py /^ def __init__(self, reduction, axis=-2, **kwargs):$/;" m class:Reduction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup.py /^ def __init__(self,$/;" m class:StringLookup +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/string_lookup_v1.py /^ def __init__(self,$/;" m class:StringLookup +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/table_utils.py /^ def __init__(self, table, oov_tokens=None, use_v1_apis=False):$/;" m class:TableHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization.py /^ def __init__(self,$/;" m class:TextVectorization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/preprocessing/text_vectorization_v1.py /^ def __init__(self,$/;" m class:TextVectorization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self, *args, **kwargs):$/;" m class:DropoutRNNCellMixin +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self, cells, **kwargs):$/;" m class:StackedRNNCells +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:GRU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:LSTM +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:PeepholeLSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:RNN +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:SimpleRNN +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __init__(self,$/;" m class:SimpleRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __init__(self, time_major, go_backwards, layer_name):$/;" m class:_DefunWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __init__(self,$/;" m class:GRU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __init__(self,$/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __init__(self,$/;" m class:LSTM +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent_v2.py /^ def __init__(self,$/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:DeviceWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:DropoutWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def __init__(self, *args, **kwargs): # pylint: disable=useless-super-delegation$/;" m class:ResidualWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/rnn_cell_wrapper_v2.py /^ def __init__(self, cell, *args, **kwargs):$/;" m class:_RNNCellWrapperV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def __init__(self, layer, **kwargs):$/;" m class:TimeDistributed +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def __init__(self, layer, **kwargs):$/;" m class:Wrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/wrappers.py /^ def __init__(self,$/;" m class:Bidirectional +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def __init__(self, trainable=True, name=None, dtype=None,$/;" m class:Layer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:Conv1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:Conv2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:Conv2DTranspose +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:Conv3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:SeparableConv1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self, filters,$/;" m class:SeparableConv2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/convolutional.py /^ def __init__(self,$/;" m class:Conv3DTranspose +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^ def __init__(self, rate=0.5,$/;" m class:Dropout +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/core.py /^ def __init__(self, units,$/;" m class:Dense +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/normalization.py /^ def __init__(self,$/;" m class:BatchNormalization +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:AveragePooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:AveragePooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:AveragePooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:MaxPooling1D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:MaxPooling2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/pooling.py /^ def __init__(self, pool_size, strides,$/;" m class:MaxPooling3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self, reduction=losses_utils.ReductionV2.AUTO, name='hinge'):$/;" m class:Hinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self, reduction=losses_utils.ReductionV2.AUTO, name='log_cosh'):$/;" m class:LogCosh +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self, reduction=losses_utils.ReductionV2.AUTO, name='poisson'):$/;" m class:Poisson +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self, reduction=losses_utils.ReductionV2.AUTO, name=None):$/;" m class:Loss +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:BinaryCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:CategoricalCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:CategoricalHinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:CosineSimilarity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:Huber +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:KLDivergence +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:LossFunctionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:MeanAbsoluteError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:MeanAbsolutePercentageError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:MeanSquaredError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:MeanSquaredLogarithmicError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:SparseCategoricalCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/losses.py /^ def __init__(self,$/;" m class:SquaredHinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, fn, name=None, dtype=None, **kwargs):$/;" m class:MeanMetricWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, fn, name=None, dtype=None, **kwargs):$/;" m class:SumOverBatchSizeMetricWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, k=5, name='sparse_top_k_categorical_accuracy', dtype=None):$/;" m class:SparseTopKCategoricalAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, k=5, name='top_k_categorical_accuracy', dtype=None):$/;" m class:TopKCategoricalAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='accuracy', dtype=None):$/;" m class:Accuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='binary_accuracy', dtype=None, threshold=0.5):$/;" m class:BinaryAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='categorical_accuracy', dtype=None):$/;" m class:CategoricalAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='categorical_hinge', dtype=None):$/;" m class:CategoricalHinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='cosine_similarity', dtype=None, axis=-1):$/;" m class:CosineSimilarity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='hinge', dtype=None):$/;" m class:Hinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='kullback_leibler_divergence', dtype=None):$/;" m class:KLDivergence +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='logcosh', dtype=None):$/;" m class:LogCoshError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean', dtype=None):$/;" m class:Mean +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean_absolute_error', dtype=None):$/;" m class:MeanAbsoluteError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean_absolute_percentage_error', dtype=None):$/;" m class:MeanAbsolutePercentageError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean_squared_error', dtype=None):$/;" m class:MeanSquaredError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean_squared_logarithmic_error', dtype=None):$/;" m class:MeanSquaredLogarithmicError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='mean_tensor', dtype=None):$/;" m class:MeanTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='poisson', dtype=None):$/;" m class:Poisson +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='root_mean_squared_error', dtype=None):$/;" m class:RootMeanSquaredError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='sparse_categorical_accuracy', dtype=None):$/;" m class:SparseCategoricalAccuracy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='squared_hinge', dtype=None):$/;" m class:SquaredHinge +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='sum', dtype=None):$/;" m class:Sum +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name='sum_over_batch_size', dtype=None):$/;" m class:SumOverBatchSize +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, name=None, dtype=None, **kwargs):$/;" m class:Metric +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, normalizer, name=None, dtype=None):$/;" m class:MeanRelativeError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, num_classes, name=None, dtype=None):$/;" m class:MeanIoU +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, precision, num_thresholds=200, name=None, dtype=None):$/;" m class:RecallAtPrecision +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, recall, num_thresholds=200, name=None, dtype=None):$/;" m class:PrecisionAtRecall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, reduction, name, dtype=None):$/;" m class:Reduce +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, sensitivity, num_thresholds=200, name=None, dtype=None):$/;" m class:SpecificityAtSensitivity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, specificity, num_thresholds=200, name=None, dtype=None):$/;" m class:SensitivityAtSpecificity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, thresholds=None, name=None, dtype=None):$/;" m class:FalseNegatives +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, thresholds=None, name=None, dtype=None):$/;" m class:FalsePositives +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, thresholds=None, name=None, dtype=None):$/;" m class:TrueNegatives +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, thresholds=None, name=None, dtype=None):$/;" m class:TruePositives +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self, value, num_thresholds=200, name=None, dtype=None):$/;" m class:SensitivitySpecificityBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:AUC +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:BinaryCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:CategoricalCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:Precision +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:Recall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:SparseCategoricalCrossentropy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __init__(self,$/;" m class:_ConfusionMatrixConditionCount +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __init__(self, dtype):$/;" m class:enable_auto_cast_variables +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __init__(self, variable):$/;" m class:AutoCastVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self, inner_optimizer, dynamic=True, initial_scale=None,$/;" m class:LossScaleOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self, optimizer):$/;" m class:FakeOptimizerForRestoration +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self, optimizer, loss_scale):$/;" m class:LossScaleOptimizerV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self, trackable_obj):$/;" m class:_DelegatingTrackableMixin +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self, value):$/;" m class:_UnwrapPreventer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __init__(self,$/;" m class:_DynamicLossScaleState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def __init__(self, name):$/;" m class:Policy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def __init__(self, name, loss_scale='auto'):$/;" m class:PolicyV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def __init__(self, assert_type=None, **kwargs):$/;" m class:AssertTypeLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/test_util.py /^ def __init__(self,$/;" m class:MultiplyLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, **kwargs):$/;" m class:Optimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, lr=0.001, rho=0.9, epsilon=None, decay=0., **kwargs):$/;" m class:RMSprop +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, lr=0.01, epsilon=None, decay=0., **kwargs):$/;" m class:Adagrad +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, lr=0.01, momentum=0., decay=0., nesterov=False, **kwargs):$/;" m class:SGD +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, lr=1.0, rho=0.95, epsilon=None, decay=0., **kwargs):$/;" m class:Adadelta +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self, optimizer, iterations=None): # pylint: disable=super-init-not-called$/;" m class:TFOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self,$/;" m class:Adam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self,$/;" m class:Adamax +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v1.py /^ def __init__(self,$/;" m class:Nadam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adadelta.py /^ def __init__(self,$/;" m class:Adadelta +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adagrad.py /^ def __init__(self,$/;" m class:Adagrad +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def __init__(self,$/;" m class:Adam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adam.py /^ def __init__(self,$/;" m class:NonFusedAdam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/adamax.py /^ def __init__(self,$/;" m class:Adamax +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/ftrl.py /^ def __init__(self,$/;" m class:Ftrl +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/gradient_descent.py /^ def __init__(self,$/;" m class:SGD +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:CosineDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:CosineDecayRestarts +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:ExponentialDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:InverseTimeDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:LinearCosineDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:NoisyLinearCosineDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:PiecewiseConstantDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/learning_rate_schedule.py /^ def __init__($/;" m class:PolynomialDecay +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/nadam.py /^ def __init__(self,$/;" m class:Nadam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def __init__(self):$/;" m class:RestoredOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def __init__(self,$/;" m class:OptimizerV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/rmsprop.py /^ def __init__(self,$/;" m class:RMSprop +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/linear.py /^ def __init__(self,$/;" m class:LinearModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/premade/wide_deep.py /^ def __init__(self, linear_model, dnn_model, activation=None, **kwargs):$/;" m class:WideDeepModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def __init__($/;" m class:DataFrameIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def __init__(self, directory, image_data_generator,$/;" m class:DirectoryIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def __init__(self, x, y, image_data_generator,$/;" m class:NumpyArrayIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/preprocessing/image.py /^ def __init__(self,$/;" m class:ImageDataGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __init__(self, l1=0., l2=0.): # pylint: disable=redefined-outer-name$/;" m class:L1L2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __init__(self, l1=0.01, **kwargs): # pylint: disable=redefined-outer-name$/;" m class:L1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/regularizers.py /^ def __init__(self, l2=0.01, **kwargs): # pylint: disable=redefined-outer-name$/;" m class:L2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/base_serialization.py /^ def __init__(self, obj):$/;" m class:SavedModelSaver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/load.py /^ def __init__(self, metadata, object_graph_def):$/;" m class:KerasObjectLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def __init__(self, call_collection, python_function, *args, **kwargs):$/;" m class:LayerCall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/save_impl.py /^ def __init__(self, layer):$/;" m class:LayerCallCollection +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/serialized_attributes.py /^ def __init__(self):$/;" m class:SerializedAttributes +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/saving/saved_model/utils.py /^ def __init__(self):$/;" m class:SaveOptionsContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, branch_a, branch_b, shared_input_branch=None,$/;" m class:_MultiIOSubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, branch_a_func, branch_b_func,$/;" m class:_MultiIOSubclassModelCustomBuild +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, layer_generating_func, *args, **kwargs):$/;" m class:_SubclassModelCustomBuild +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, model_layers, *args, **kwargs):$/;" m class:_SubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, num_hidden, num_classes):$/;" m class:_SmallSubclassMLPCustomBuild +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/testing_utils.py /^ def __init__(self, num_hidden, num_classes, use_bn=False, use_dp=False):$/;" m class:SmallSubclassMLP +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def __init__(self):$/;" m class:nested_functional_in_subclassed_model.NestedFunctionalInSubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def __init__(self):$/;" m class:nested_subclassed_model.NestedSubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def __init__(self):$/;" m class:shared_layer_subclassed_model.SharedLayerSubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_architectures.py /^ def __init__(self, input_dim=3):$/;" m class:MySubclassModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self):$/;" m class:get_nested_model_3.Inner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self):$/;" m class:CustomCallModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self):$/;" m class:TrainingMaskingModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self):$/;" m class:TrainingNoDefaultModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self, num_classes=10):$/;" m class:SimpleConvTestModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self, num_classes=2):$/;" m class:NestedTestModel1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/tests/model_subclassing_test_util.py /^ def __init__(self, num_classes=2):$/;" m class:NestedTestModel2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __init__(self, it):$/;" m class:ThreadsafeIter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __init__(self, sequence, use_multiprocessing=False, shuffle=False):$/;" m class:OrderedEnqueuer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __init__(self, sequence,$/;" m class:GeneratorEnqueuer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __init__(self, sequence,$/;" m class:SequenceEnqueuer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __init__(self, *args):$/;" m class:CustomObjectScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __init__(self, local_name, parent_module_globals, name):$/;" m class:LazyLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/generic_utils.py /^ def __init__(self,$/;" m class:Progbar +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/tf_utils.py /^ def __init__(self, list_to_wrap):$/;" m class:ListWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/wrappers/scikit_learn.py /^ def __init__(self, build_fn=None, **sk_params):$/;" m class:BaseWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def __init__(self, name, mode):$/;" m class:FileIO +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def __init__(self, path, options=None):$/;" m class:TFRecordWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/tf_record.py /^ def __init__(self,$/;" m class:TFRecordOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/module/module.py /^ def __init__(self, name=None):$/;" m class:Module +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def __init__(self, name, stamp_token=0, is_local=False, serialized_proto=''):$/;" m class:TreeEnsemble +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def __init__(self, resource_handle, create_op, name):$/;" m class:_TreeEnsembleSavable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def __init__(self, resource_handle, create_op, num_streams, name):$/;" m class:QuantileAccumulatorSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/boosted_trees_ops.py /^ def __init__(self,$/;" m class:QuantileAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def __init__(self, inputs, num_clusters, initial_clusters, distance_metric,$/;" m class:_InitializeClustersOpFactory +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/clustering_ops.py /^ def __init__(self,$/;" m class:KMeans +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/cond_v2.py /^ def __init__(self, name, forward_graph):$/;" m class:_CondGradFuncGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def __init__(self):$/;" m class:XLAControlFlowContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def __init__(self, values_def=None, import_scope=None):$/;" m class:ControlFlowContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def __init__(self,$/;" m class:CondContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def __init__(self,$/;" m class:WhileContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def __init__(self):$/;" m class:_ControlFlowState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_state.py /^ def __init__(self, forward_ctxt, outer_grad_state):$/;" m class:_GradLoopState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_v2_func_graphs.py /^ def __init__(self, *args, **kwargs):$/;" m class:ControlFlowFuncGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/critical_section_ops.py /^ def __init__(self, name=None, shared_name=None,$/;" m class:CriticalSection +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/custom_gradient.py /^ def __init__(self, f, d):$/;" m class:Bind +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self, dtype, shape, accumulator_ref):$/;" m class:ConditionalAccumulatorBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self, dtypes, shapes, names, queue_ref):$/;" m class:QueueBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self, types, shapes=None, shared_name=None, name="barrier"):$/;" m class:Barrier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:BaseStagingArea +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:ConditionalAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:FIFOQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:GPUCompatibleFIFOQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:MapStagingArea +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:PaddingFIFOQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:PriorityQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:RandomShuffleQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:RecordInput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:SparseConditionalAccumulator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __init__(self,$/;" m class:StagingArea +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bernoulli.py /^ def __init__(self,$/;" m class:Bernoulli +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def __init__(self,$/;" m class:Beta +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/beta.py /^ def __init__(self,$/;" m class:BetaWithSoftplusConcentration +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def __init__(self,$/;" m class:Bijector +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/categorical.py /^ def __init__($/;" m class:Categorical +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet.py /^ def __init__(self,$/;" m class:Dirichlet +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/dirichlet_multinomial.py /^ def __init__(self,$/;" m class:DirichletMultinomial +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __init__(self, rep_type):$/;" m class:ReparameterizationType +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __init__(self,$/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def __init__(self,$/;" m class:Exponential +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/exponential.py /^ def __init__(self,$/;" m class:ExponentialWithSoftplusRate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def __init__(self,$/;" m class:Gamma +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/gamma.py /^ def __init__(self,$/;" m class:GammaWithSoftplusConcentrationRate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/identity_bijector.py /^ def __init__(self, validate_args=False, name="identity"):$/;" m class:Identity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/kullback_leibler.py /^ def __init__(self, dist_cls_a, dist_cls_b):$/;" m class:RegisterKL +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def __init__(self,$/;" m class:Laplace +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/laplace.py /^ def __init__(self,$/;" m class:LaplaceWithSoftplusScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/multinomial.py /^ def __init__(self,$/;" m class:Multinomial +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def __init__(self,$/;" m class:Normal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/normal.py /^ def __init__(self,$/;" m class:NormalWithSoftplusScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def __init__(self,$/;" m class:StudentT +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/student_t.py /^ def __init__(self,$/;" m class:StudentTWithAbsDfSoftplusScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/transformed_distribution.py /^ def __init__(self,$/;" m class:TransformedDistribution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/uniform.py /^ def __init__(self,$/;" m class:Uniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/util.py /^ def __init__(self, additional_note="", kwargs_dict=None):$/;" m class:AppendDocstring +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, dtype=dtypes.float32):$/;" m class:Ones +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, dtype=dtypes.float32):$/;" m class:Zeros +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, factor=1.0, seed=None, dtype=dtypes.float32):$/;" m class:UniformUnitScaling +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, gain=1.0, dtype=dtypes.float32):$/;" m class:Identity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, gain=1.0, seed=None, dtype=dtypes.float32):$/;" m class:ConvolutionDeltaOrthogonal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, gain=1.0, seed=None, dtype=dtypes.float32):$/;" m class:ConvolutionOrthogonal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, gain=1.0, seed=None, dtype=dtypes.float32):$/;" m class:Orthogonal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, mean=0.0, stddev=1.0, seed=None, dtype=dtypes.float32):$/;" m class:RandomNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, mean=0.0, stddev=1.0, seed=None, dtype=dtypes.float32):$/;" m class:TruncatedNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, minval=0, maxval=None, seed=None, dtype=dtypes.float32):$/;" m class:RandomUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, seed=None, dtype=dtypes.float32):$/;" m class:GlorotNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, seed=None, dtype=dtypes.float32):$/;" m class:GlorotUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self, value=0, dtype=dtypes.float32, verify_shape=False):$/;" m class:Constant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops.py /^ def __init__(self,$/;" m class:VarianceScaling +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, gain=1.0):$/;" m class:Identity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, gain=1.0, seed=None):$/;" m class:Orthogonal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, mean=0.0, stddev=0.05, seed=None):$/;" m class:RandomNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, mean=0.0, stddev=0.05, seed=None):$/;" m class:TruncatedNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, minval=-0.05, maxval=0.05, seed=None):$/;" m class:RandomUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, seed=None):$/;" m class:GlorotNormal +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, seed=None):$/;" m class:GlorotUniform +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, seed=None):$/;" m class:_RandomGenerator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self, value=0):$/;" m class:Constant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/init_ops_v2.py /^ def __init__(self,$/;" m class:VarianceScaling +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, name=None):$/;" m class:IdentityReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, name=None):$/;" m class:WholeFileReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, name=None, options=None):$/;" m class:LMDBReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, name=None, options=None):$/;" m class:TFRecordReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, reader_ref, supports_serialize=False):$/;" m class:ReaderBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self, skip_header_lines=None, name=None):$/;" m class:TextLineReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/io_ops.py /^ def __init__(self,$/;" m class:FixedLengthRecordReader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def __init__(self,$/;" m class:LinearOperator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_addition.py /^ def __init__(self,$/;" m class:_Hints +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_adjoint.py /^ def __init__(self,$/;" m class:LinearOperatorAdjoint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __init__(self, lin_op_cls_a):$/;" m class:RegisterAdjoint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __init__(self, lin_op_cls_a):$/;" m class:RegisterCholesky +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __init__(self, lin_op_cls_a):$/;" m class:RegisterInverse +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __init__(self, lin_op_cls_a, lin_op_cls_b):$/;" m class:RegisterMatmul +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_algebra.py /^ def __init__(self, lin_op_cls_a, lin_op_cls_b):$/;" m class:RegisterSolve +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_diag.py /^ def __init__(self,$/;" m class:LinearOperatorBlockDiag +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_block_lower_triangular.py /^ def __init__(self,$/;" m class:LinearOperatorBlockLowerTriangular +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def __init__(self,$/;" m class:LinearOperatorCirculant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def __init__(self,$/;" m class:LinearOperatorCirculant2D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def __init__(self,$/;" m class:LinearOperatorCirculant3D +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_circulant.py /^ def __init__(self,$/;" m class:_BaseLinearOperatorCirculant +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_composition.py /^ def __init__(self,$/;" m class:LinearOperatorComposition +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_diag.py /^ def __init__(self,$/;" m class:LinearOperatorDiag +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_full_matrix.py /^ def __init__(self,$/;" m class:LinearOperatorFullMatrix +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_householder.py /^ def __init__(self,$/;" m class:LinearOperatorHouseholder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def __init__(self,$/;" m class:LinearOperatorIdentity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_identity.py /^ def __init__(self,$/;" m class:LinearOperatorScaledIdentity +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_inversion.py /^ def __init__(self,$/;" m class:LinearOperatorInversion +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_kronecker.py /^ def __init__(self,$/;" m class:LinearOperatorKronecker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_lower_triangular.py /^ def __init__(self,$/;" m class:LinearOperatorLowerTriangular +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_low_rank_update.py /^ def __init__(self,$/;" m class:LinearOperatorLowRankUpdate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_permutation.py /^ def __init__(self,$/;" m class:LinearOperatorPermutation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_test_util.py /^ def __init__(self, shape, **kwargs):$/;" m class:OperatorShapesInfo +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_toeplitz.py /^ def __init__(self,$/;" m class:LinearOperatorToeplitz +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_tridiag.py /^ def __init__(self,$/;" m class:LinearOperatorTridiag +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator_zeros.py /^ def __init__(self,$/;" m class:LinearOperatorZeros +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def __init__(self):$/;" m class:SparseMatrix +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/sparse/sparse_csr_matrix_ops.py /^ def __init__(self, value, indices=None, name=None):$/;" m class:CSRSparseMatrix +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, table, name, table_name=None):$/;" m class:DenseHashTable._Saveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, table, name, table_name=None):$/;" m class:MutableHashTable._Saveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, dataset):$/;" m class:DatasetInitializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, default_value, initializer):$/;" m class:InitializableLookupTableBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, initializer, default_value, name=None):$/;" m class:StaticHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, keys, values, key_dtype=None, value_dtype=None, name=None):$/;" m class:KeyValueTensorInitializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, key_dtype, value_dtype):$/;" m class:LookupInterface +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self, key_dtype, value_dtype):$/;" m class:TableInitializerBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:DenseHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:IdTableWithHashBuckets +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:MutableHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:StaticVocabularyTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:TextFileIdTableInitializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:TextFileInitializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __init__(self,$/;" m class:TextFileStringTableInitializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def __init__(self, x, name):$/;" m class:DivideDelegateWithName +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __init__($/;" m class:_NonAtrousConvolution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __init__(self,$/;" m class:Convolution +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/nn_ops.py /^ def __init__(self,$/;" m class:_WithSpaceToBatch +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __init__(self, data_spec):$/;" m class:NdarraySpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __init__(self, shape, dtype=float, buffer=None): # pylint: disable=redefined-builtin$/;" m class:ndarray +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^ def __init__(self, v):$/;" m class:AliasOf +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_utils.py /^ def __init__(self, v):$/;" m class:Link +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self):$/;" m class:PForConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self, exit_node, pfor_ops, fallback_to_while_loop, pfor_config):$/;" m class:WhileOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self, op_type):$/;" m class:RegisterPFor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self, op_type, *args, **kw_args):$/;" m class:RegisterPForWithArgs +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self, pfor, op, inputs):$/;" m class:_PforInput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self, pfor_input):$/;" m class:WhileV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __init__(self,$/;" m class:PFor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def __init__(self,$/;" m class:_ParseOpParams +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def __init__(self, original_op):$/;" m class:BinaryRaggedElementwiseDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def __init__(self, original_op, arg_is_list=False):$/;" m class:UnaryRaggedElementwiseDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_dispatch.py /^ def __init__(self, original_op, ragged_op, ragged_args):$/;" m class:RaggedDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def __init__(self, dtype, ragged_rank, row_splits_dtype=dtypes.int64):$/;" m class:RaggedTensorType +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def __init__(self, values, row_partition, internal=False):$/;" m class:RaggedTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def __init__(self,$/;" m class:RaggedTensorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def __init__(self, partitioned_dim_sizes, inner_dim_sizes,$/;" m class:RaggedTensorDynamicShape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def __init__(self, values, row_splits):$/;" m class:RaggedTensorValue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def __init__(self,$/;" m class:RowPartition +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def __init__(self,$/;" m class:RowPartitionSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __init__( # pylint: disable=super-init-not-called$/;" m class:BaseResourceVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __init__( # pylint: disable=super-init-not-called$/;" m class:UninitializedVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __init__($/;" m class:ResourceVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __init__(self, handle, dtype, shape, in_graph_mode, deleter, parent_op,$/;" m class:_UnreadVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __init__(self, handle, handle_device):$/;" m class:EagerResourceDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def __init__(self):$/;" m class:FuncRegistry +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/script_ops.py /^ def __init__(self, func, Tout, is_grad_func, use_tape_cache=True):$/;" m class:EagerFunc +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def __init__(self, handle, dtype, session):$/;" m class:TensorHandle +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^ def __init__(self, original_func):$/;" m class:_UnaryMapValueDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def __init__(self, copy_from=None, state=None, alg=None):$/;" m class:Generator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/stateful_random_ops.py /^ def __init__(self, shape=None, dtype=None, alg=None):$/;" m class:GeneratorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def __init__(self, fields, shape, nrows, row_partitions, internal=False):$/;" m class:StructuredTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def __init__(self, shape, field_specs):$/;" m class:StructuredTensorSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def __init__(self):$/;" m class:_SummaryState +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/summary_ops_v2.py /^ def __init__(self,$/;" m class:ResourceSummaryWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def __init__(self, variable_scope_name):$/;" m class:_EagerTemplateVariableStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def __init__(self,$/;" m class:EagerTemplate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/template.py /^ def __init__(self,$/;" m class:Template +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def __init__(self, element_shape=None, dtype=dtypes.float32,$/;" m class:TensorArraySpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def __init__(self,$/;" m class:TensorArray +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def __init__(self,$/;" m class:_EagerTensorArray +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def __init__(self,$/;" m class:_GraphTensorArray +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ def __init__(self,$/;" m class:_GraphTensorArrayV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^ def __init__(self, params, tree_configs=None):$/;" m class:ForestVariables +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_forest_ops.py /^ def __init__(self, type_name, name, container, config, resource_handle_func,$/;" m class:TreeVariableSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __init__(self,$/;" m class:Variable.SaveSliceInfo +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __init__($/;" m class:RefVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __init__($/;" m class:VariableV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __init__(self, name, shape, dtype, variable_list, partitions):$/;" m class:PartitionedVariable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __init__(self,$/;" m class:Variable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self):$/;" m class:_VariableScopeStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self):$/;" m class:_VariableStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self, full_shape, var_offset):$/;" m class:_PartitionInfo +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self, store=None):$/;" m class:EagerVariableStore +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self, thunk):$/;" m class:_LazyEvalTensor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self,$/;" m class:VariableScope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self,$/;" m class:variable_scope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ def __init__(self,$/;" m class:_pure_variable_scope +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def __init__(self, c_op, g):$/;" m class:_OperationWithOutputs +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/while_v2.py /^ def __init__(self, name, forward_cond_graph, forward_body_graph,$/;" m class:_WhileBodyGradFuncGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def __init__(self):$/;" m class:TensorFlowBenchmark +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __init__(self, flags_object):$/;" m class:_FlagValuesWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^ def __init__(self, name, mode='r'):$/;" m class:FastGFile +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/gfile.py /^ def __init__(self, name, mode='r'):$/;" m class:GFile +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/googletest.py /^ def __init__(self):$/;" m class:StubOutForTesting +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/model_analyzer.py /^ def __init__(self, graph=None, op_log=None):$/;" m class:Profiler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/option_builder.py /^ def __init__(self, options=None):$/;" m class:ProfileOptionBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^ def __init__(self, logdir, options=None):$/;" m class:Profile +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/trace.py /^ def __init__(self, name, **kwargs):$/;" m class:Trace +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def __init__(self, export_dir):$/;" m class:SavedModelBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/builder_impl.py /^ def __init__(self, export_dir):$/;" m class:_SavedModelBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/function_deserialization.py /^ def __init__(self, python_function, name, function_spec, concrete_functions):$/;" m class:RestoredFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def __init__(self, concrete_function):$/;" m class:_WrapperFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def __init__(self, device=""):$/;" m class:_RestoredResource +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load.py /^ def __init__(self, object_graph_proto, saved_model_proto, export_dir,$/;" m class:Loader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/loader_impl.py /^ def __init__(self, export_dir):$/;" m class:SavedModelLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_context.py /^ def __init__(self):$/;" m class:LoadContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_options.py /^ def __init__(self,$/;" m class:LoadOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_v1_in_v2.py /^ def __init__(self, init_fn, asset_paths):$/;" m class:_Initializer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/method_name_updater.py /^ def __init__(self, export_dir):$/;" m class:MethodNameUpdater +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def __init__(self, loss=None, predictions=None, metrics=None):$/;" m class:_SupervisedOutput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def __init__(self, outputs):$/;" m class:PredictOutput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def __init__(self, scores=None, classes=None):$/;" m class:ClassificationOutput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ def __init__(self, value):$/;" m class:RegressionOutput +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ def __init__(self, **kwargs):$/;" m class:ModeKeyMap +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/revived_types.py /^ def __init__(self, object_factory, version, min_producer_version,$/;" m class:VersionedTypeRegistration +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def __init__(self, checkpoint_view, options, wrapped_functions=None):$/;" m class:_SaveableView +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save.py /^ def __init__(self, root):$/;" m class:_AugmentedGraphView +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_context.py /^ def __init__(self):$/;" m class:SaveContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ def __init__(self,$/;" m class:SaveOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def __init__(self):$/;" m class:_SignatureMap +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^ def __init__(self, path):$/;" m class:_SummaryIterator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def __init__(self, logdir, max_queue=10, flush_secs=120,$/;" m class:EventFileWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def __init__(self, maxsize=0):$/;" m class:CloseableQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer.py /^ def __init__(self, queue, ev_writer, flush_secs, flush_complete,$/;" m class:_EventLoggerThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/event_file_writer_v2.py /^ def __init__(self, session, logdir, max_queue=10, flush_secs=120,$/;" m class:EventFileWriterV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def __init__(self, event_writer, graph=None, graph_def=None):$/;" m class:SummaryToEventTransformer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/writer/writer.py /^ def __init__(self,$/;" m class:FileWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/create_python_api.py /^ def __init__(self,$/;" m class:_ModuleInitCodeBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tools/api/generator/doc_srcs.py /^ def __init__(self, docstring=None, docstring_module_name=None):$/;" m class:DocSource +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/async_checkpoint.py /^ def __init__(self,$/;" m class:AsyncCheckpointSaverHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/client.py /^ def __init__(self,$/;" m class:Client +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/device_assignment.py /^ def __init__(self, topology, core_assignment):$/;" m class:DeviceAssignment +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def __init__(self,$/;" m class:_TPUBaseEmbeddingColumn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def __init__(self,$/;" m class:_TPUEmbeddingColumn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def __init__(self,$/;" m class:_TPUSharedEmbeddingColumn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __init__(self, *args, **kwargs):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __init__(self, *args, **kwargs):$/;" m class:_TPUSharedDeviceSpecificEmbeddingColumnV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __init__(self,$/;" m class:_TPUEmbeddingColumnV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __init__(self,$/;" m class:_TPUSharedEmbeddingColumnV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def __init__(self, cluster):$/;" m class:CloudTPUPreemptedHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/preempted_hook.py /^ def __init__(self, cluster, session):$/;" m class:_TPUPollingThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/profiler/profiler_analysis_pb2_grpc.py /^ def __init__(self, channel):$/;" m class:ProfileAnalysisStub +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self):$/;" m class:CoordinatorResetError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self):$/;" m class:ResetComputation +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self):$/;" m class:ShutdownAllWorkers +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self):$/;" m class:ShutdownLameWorkers +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self, checkpoint_prefix, saver=None, on_shutdown_hooks=None):$/;" m class:GracefulShutdownHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self, session, devices, heartbeat_ops, request_placeholder):$/;" m class:WorkerHeartbeatManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __init__(self,$/;" m class:WatchdogManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer.py /^ def __init__(self):$/;" m class:TensorTracer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_flags.py /^ def __init__(self, env=None):$/;" m class:TTParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __init__(self):$/;" m class:TensorTracerConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __init__(self):$/;" m class:TTReportHandle +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __init__(self, graph_order, traced_tensors):$/;" m class:TensorTraceOrder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tensor_tracer_report.py /^ def __init__(self, tt_parameters):$/;" m class:OpenReportFile +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/topology.py /^ def __init__(self, serialized=None, mesh_shape=None, device_coordinates=None):$/;" m class:Topology +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def __init__(self):$/;" m class:TPUReplicateContext._EnterOutsideCompilationScope.FakeOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def __init__(self, name):$/;" m class:OutsideCompilationV2Context +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def __init__(self, name, check_ops=True):$/;" m class:_TPUInferenceContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def __init__(self, name, num_replicas, pivot):$/;" m class:TPUReplicateContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:AdagradParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:AdamParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:FtrlParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:MomentumParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:ProximalAdagradParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:ProximalYogiParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:RMSPropParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:StochasticGradientDescentParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__($/;" m class:_OptimizationParameters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__(self, optimization_parameters):$/;" m class:_OptimizerHandler +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __init__(self,$/;" m class:TPUEmbedding +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def __init__($/;" m class:TPUEmbedding +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2.py /^ def __init__($/;" m class:TPUEmbeddingSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__($/;" m class:Adagrad +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__($/;" m class:Adam +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__($/;" m class:_Optimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__(self,$/;" m class:FeatureConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__(self,$/;" m class:SGD +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __init__(self,$/;" m class:TableConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def __init__(self,$/;" m class:InfeedQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_feed.py /^ def __init__(self,$/;" m class:_PartitionedInfeedQueue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_function.py /^ def __init__(self):$/;" m class:TpuContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_optimizer.py /^ def __init__(self,$/;" m class:CrossShardOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def __init__(self):$/;" m class:ShardingPolicy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adadelta.py /^ def __init__(self, learning_rate=0.001, rho=0.95, epsilon=1e-8,$/;" m class:AdadeltaOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad.py /^ def __init__(self, learning_rate, initial_accumulator_value=0.1,$/;" m class:AdagradOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adagrad_da.py /^ def __init__(self,$/;" m class:AdagradDAOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/adam.py /^ def __init__(self,$/;" m class:AdamOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self):$/;" m class:_HookTimer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, every_secs=None, every_steps=None):$/;" m class:SecondOrStepTimer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, feed_fn):$/;" m class:FeedFnHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, final_ops, final_ops_feed_dict=None):$/;" m class:FinalOpsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, loss_tensor, fail_on_nan_loss=True):$/;" m class:NanTensorHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, num_steps=None, last_step=None):$/;" m class:StopAtStepHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, num_steps=None, last_step=None, steps_per_run=1):$/;" m class:_MultiStepStopAtStepHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self, wait_until_step):$/;" m class:GlobalStepWaiterHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self,$/;" m class:CheckpointSaverHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self,$/;" m class:LoggingTensorHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self,$/;" m class:ProfilerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self,$/;" m class:StepCounterHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __init__(self,$/;" m class:SummarySaverHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/checkpoint_management.py /^ def __init__(self,$/;" m class:CheckpointManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def __init__(self, clean_stop_exception_types=None):$/;" m class:Coordinator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/coordinator.py /^ def __init__(self, coord, timer_interval_secs, target=None, args=None,$/;" m class:LooperThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^ def __init__(self, num_tasks):$/;" m class:_RoundRobinStrategy +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/device_setter.py /^ def __init__(self, ps_tasks, ps_device, worker_device, merge_devices, ps_ops,$/;" m class:_ReplicaDeviceChooser +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def __init__(self, num_evals, log_progress=True):$/;" m class:_StopAfterNEvalsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/evaluation.py /^ def __init__(self, num_evals, steps_per_run=1):$/;" m class:_MultiStepStopAfterNEvalsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __init__(self):$/;" m class:LossScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __init__(self, loss_scale_value):$/;" m class:FixedLossScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __init__(self,$/;" m class:DynamicLossScale +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale_optimizer.py /^ def __init__(self, opt, loss_scale):$/;" m class:MixedPrecisionLossScaleOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scaling_gradient_tape.py /^ def __init__(self,$/;" m class:LossScaleGradientTape +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/ftrl.py /^ def __init__(self,$/;" m class:FtrlOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/gradient_descent.py /^ def __init__(self, learning_rate, use_locking=False, name="GradientDescent"):$/;" m class:GradientDescentOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def __init__(self, sparse, map_op, rank):$/;" m class:_SparseMetaData +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/momentum.py /^ def __init__(self, learning_rate, momentum,$/;" m class:MomentumOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, session, run_with_hooks_fn):$/;" m class:_MonitoredSession.StepContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, session_creator, hooks, stop_grace_period_secs):$/;" m class:_MonitoredSession._CoordinatedSessionCreator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, sess):$/;" m class:_WrappedSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, sess, coord, stop_grace_period_secs=120):$/;" m class:_CoordinatedSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, sess, hooks):$/;" m class:_HookedSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self, sess_creator):$/;" m class:_RecoverableSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:ChiefSessionCreator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:MonitoredSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:Scaffold +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:SingularMonitoredSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:WorkerSessionCreator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/monitored_session.py /^ def __init__(self,$/;" m class:_MonitoredSession +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/moving_averages.py /^ def __init__(self,$/;" m class:ExponentialMovingAverage +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __init__(self, use_locking, name):$/;" m class:Optimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __init__(self, v):$/;" m class:_DenseReadResourceVariableProcessor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __init__(self, v):$/;" m class:_DenseResourceVariableProcessor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __init__(self, v):$/;" m class:_RefVariableProcessor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __init__(self, v):$/;" m class:_TensorProcessor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_adagrad.py /^ def __init__(self, learning_rate, initial_accumulator_value=0.1,$/;" m class:ProximalAdagradOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/proximal_gradient_descent.py /^ def __init__(self, learning_rate, l1_regularization_strength=0.0,$/;" m class:ProximalGradientDescentOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/queue_runner_impl.py /^ def __init__(self, queue=None, enqueue_ops=None, close_op=None,$/;" m class:QueueRunner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/rmsprop.py /^ def __init__(self,$/;" m class:RMSPropOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def __init__(self, write_version=saver_pb2.SaverDef.V2):$/;" m class:BaseSaverBuilder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver.py /^ def __init__(self,$/;" m class:Saver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def __init__(self, table, name):$/;" m class:CheckpointedOp.CustomSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saver_test_utils.py /^ def __init__(self, name, table_ref=None):$/;" m class:CheckpointedOp +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/checkpoint_options.py /^ def __init__(self, experimental_io_device=None):$/;" m class:CheckpointOptions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def __init__(self, saveable_objects):$/;" m class:MultiDeviceSaver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ def __init__(self, saveable_objects):$/;" m class:_SingleDeviceSaver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_hook.py /^ def __init__(self, name):$/;" m class:SaveableHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def __init__(self, op, specs, name):$/;" m class:SaveableObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object.py /^ def __init__(self, tensor, slice_spec, name, dtype=None, device=None):$/;" m class:SaveSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def __init__(self, save_function, restore_function, name):$/;" m class:RestoredSaveableObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def __init__(self, var, slice_spec, name):$/;" m class:ReferenceVariableSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/saveable_object_util.py /^ def __init__(self, var, slice_spec, name):$/;" m class:ResourceVariableSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __init__(self):$/;" m class:ClusterDeviceFilters +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __init__(self, cluster):$/;" m class:ClusterSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __init__(self,$/;" m class:Server +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def __init__(self, duration_secs):$/;" m class:_CountDownTimer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ def __init__(self,$/;" m class:SessionManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def __init__(self, original_args, session):$/;" m class:SessionRunContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/summary_io.py /^ def __init__(self,$/;" m class:SummaryWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def __init__(self, sv, sess):$/;" m class:SVSummaryThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def __init__(self, sv, sess):$/;" m class:SVTimerCheckpointThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def __init__(self, sv, sess, step_counter=None):$/;" m class:SVStepCounterThread +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/supervisor.py /^ def __init__(self,$/;" m class:Supervisor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def __init__(self, sync_optimizer, is_chief, num_tokens):$/;" m class:_SyncReplicasOptimizerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/sync_replicas_optimizer.py /^ def __init__(self,$/;" m class:SyncReplicasOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __init__(self, checkpoint, proto_id):$/;" m class:CheckpointPosition +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __init__(self, checkpoint_position):$/;" m class:CheckpointInitialValueCallable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __init__(self, checkpoint_position, shape=None, shard_info=None):$/;" m class:CheckpointInitialValue +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __init__(self, name, state_callback, restore_callback=None):$/;" m class:PythonStringStateSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __init__(self, tensor, name, dtype=None, device=None):$/;" m class:NoRestoreSaveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self):$/;" m class:TrackableDataStructure +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, *args, **kwargs):$/;" m class:List +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, *args, **kwargs):$/;" m class:Mapping +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, original_wrapped_tuple=()):$/;" m class:_TupleWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, value): # pylint: disable=super-init-not-called$/;" m class:_UntrackableError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, value):$/;" m class:NoDependency +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, wrapped_dict=None):$/;" m class:_DictWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __init__(self, wrapped_list):$/;" m class:ListWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/graph_view.py /^ def __init__(self, root, saveables_cache=None, attached_dependencies=None):$/;" m class:ObjectGraphView +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def __init__(self, always_propagate=False):$/;" m class:AttributeSentinel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __init__(self):$/;" m class:ResourceTracker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __init__(self, destroy_resource_fn=None):$/;" m class:CapturableResourceDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __init__(self, device="", deleter=None):$/;" m class:CapturableResource +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __init__(self, device="", deleter=None):$/;" m class:TrackableResource +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __init__(self, path):$/;" m class:Asset +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, **kwargs):$/;" m class:CheckpointV1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, checkpoint, feed_dict, graph_view):$/;" m class:CheckpointLoadStatus +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, checkpoint, graph_view):$/;" m class:NameBasedSaverStatus +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, expect_partial, object_graph_proto, matched_proto_ids,$/;" m class:_CheckpointRestoreCoordinatorDeleter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, graph_view):$/;" m class:TrackableSaver +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, graph_view, restore_uid):$/;" m class:InitializationOnlyStatus +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, object_graph_proto):$/;" m class:_ObjectGraphProtoPrettyPrinter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, object_graph_proto, save_path, save_path_tensor,$/;" m class:_CheckpointRestoreCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, root=None, **kwargs):$/;" m class:Checkpoint +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, save_path, dtype_map=None):$/;" m class:_NameBasedRestoreCoordinator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ def __init__(self, session, feed_additions):$/;" m class:_SessionWithFeedDictAdditions +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/decorator_utils.py /^ def __init__(self, func):$/;" m class:classproperty +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def __init__(self, *args, **kwargs):$/;" m class:deprecated_alias._NewClass +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ def __init__(self, deprecation_message):$/;" m class:HiddenTfApiAttribute +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/dispatch.py /^ def __init__(self, override_func, types):$/;" m class:_TypeBasedDispatcher +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lazy_loader.py /^ def __init__(self, local_name, parent_module_globals, name, warning=None): # pylint: disable=/;" m class:LazyLoader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def __init__(self, lock, group_id):$/;" m class:GroupLock._Context +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ def __init__(self, num_groups=2):$/;" m class:GroupLock +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __init__( # pylint: disable=super-on-old-class$/;" m class:TFModuleWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __init__(self):$/;" m class:ObjectIdentityDictionary +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __init__(self, *args):$/;" m class:ObjectIdentitySet +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __init__(self, wrapped):$/;" m class:_ObjectIdentityWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __init__(self, wrapped):$/;" m class:_WeakObjectIdentityWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_decorator.py /^ def __init__(self,$/;" m class:TFDecorator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_export.py /^ def __init__(self, *args, **kwargs): # pylint: disable=g-doc-args$/;" m class:api_export +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_should_use.py /^ def __init__(self, type_, repr_, stack_frame, error_in_function,$/;" m class:_TFShouldUseHelper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/tf_stack.py /^ def __init__(self):$/;" m class:CurrentModuleFilter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/public_api.py /^ def __init__(self, visitor):$/;" m class:PublicAPIVisitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module1.py /^ def __init__(self):$/;" m class:ModuleClass1 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module2.py /^ def __init__(self):$/;" m class:ModuleClass2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def __init__(self):$/;" m class:NoUpdateSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def __init__(self, api_analysis_spec):$/;" m class:PastaAnalyzeVisitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def __init__(self, api_change_spec):$/;" m class:ASTCodeUpgrader +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/ast_edits.py /^ def __init__(self, api_change_spec):$/;" m class:_PastaEditVisitor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def __init__(self):$/;" m class:TFAPIImportAnalysisSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def __init__(self):$/;" m class:UnaliasedTFImport +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def __init__(self, import_rename=False, upgrade_compat_v1_import=False):$/;" m class:TFAPIChangeSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2.py /^ def __init__(self, version):$/;" m class:VersionedTFImport +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/compatibility/tf_upgrade_v2_safety.py /^ def __init__(self):$/;" m class:TFAPIChangeSpec +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self, head, model_dir=None, optimizer='Ftrl', config=None):$/;" m class:BaselineEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self, head, model_dir=None, optimizer='Ftrl', config=None):$/;" m class:BaselineEstimatorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self,$/;" m class:BaselineClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self,$/;" m class:BaselineClassifierV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self,$/;" m class:BaselineRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/baseline.py /^ def __init__(self,$/;" m class:BaselineRegressorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, batch_size, logits_dimension):$/;" m class:_CacheTrainingStatesUsingVariables +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, example_ids, logits_dimension):$/;" m class:_CacheTrainingStatesUsingHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, init_op):$/;" m class:GrowerInitializationHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, model_fn, model_dir, config, feature_columns, head,$/;" m class:_BoostedTreesBase +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, num_finalized_trees_tensor, num_attempted_layers_tensor,$/;" m class:_StopAtAttemptsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, tree_ensemble, quantile_accumulator, tree_hparams,$/;" m class:_AccumulatorEnsembleGrower +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, tree_ensemble, quantile_accumulator, tree_hparams,$/;" m class:_EnsembleGrower +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self, tree_ensemble, quantile_accumulator, tree_hparams,$/;" m class:_InMemoryEnsembleGrower +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self,$/;" m class:BoostedTreesClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self,$/;" m class:BoostedTreesEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/boosted_trees.py /^ def __init__(self,$/;" m class:BoostedTreesRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__($/;" m class:DNNClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__($/;" m class:DNNClassifierV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__($/;" m class:DNNRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__($/;" m class:DNNRegressorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__(self,$/;" m class:DNNEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__(self,$/;" m class:DNNEstimatorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__(self,$/;" m class:_DNNModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn.py /^ def __init__(self,$/;" m class:_DNNModelV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedClassifierV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedEstimatorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_linear_combined.py /^ def __init__(self,$/;" m class:DNNLinearCombinedRegressorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self):$/;" m class:_SummaryHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNClassifierEvaluateTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNClassifierPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNClassifierTrainTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_logit_fn_builder, fc_impl=feature_column_v2):$/;" m class:BaseDNNLogitFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_model_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNModelFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNRegressorEvaluateTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNRegressorPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column_v2):$/;" m class:BaseDNNRegressorTrainTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/dnn_testing_utils.py /^ def __init__(self,$/;" m class:BaseDNNWarmStartingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def __init__(self,$/;" m class:_BinaryLogisticHeadWithSigmoidCrossEntropyLoss +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def __init__(self,$/;" m class:_MultiClassHeadWithSoftmaxCrossEntropyLoss +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ def __init__(self,$/;" m class:_RegressionHeadWithMeanSquaredErrorLoss +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def __init__(self, init_op, is_initialized_var, is_chief):$/;" m class:_InitializeClustersHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def __init__(self, loss_tensor, tolerance):$/;" m class:_LossRelativeChangeHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def __init__(self, num_clusters, initial_clusters, distance_metric, seed,$/;" m class:_ModelFn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/kmeans.py /^ def __init__(self,$/;" m class:KMeansClustering +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self, sdca_model, train_op):$/;" m class:_SDCAUpdateWeightsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearClassifierV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearEstimatorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearRegressorV2 +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:LinearSDCA +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear.py /^ def __init__(self,$/;" m class:_LinearModelLayer +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def __init__(self, examples, variables, options):$/;" m class:_SDCAModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sdca_ops.py /^ def __init__(self, example_indices, feature_indices, feature_values):$/;" m class:_SparseFeatureColumn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def __init__(self, table, name):$/;" m class:_MutableDenseHashTable._Saveable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def __init__(self,$/;" m class:_MutableDenseHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_optimizer/python/utils/sharded_mutable_dense_hashtable.py /^ def __init__(self,$/;" m class:_ShardedMutableDenseHashTable +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, fc_lib=feature_column_v2):$/;" m class:BaseLinearLogitFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearClassifierEvaluationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearClassifierIntegrationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearClassifierPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearClassifierTrainingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearRegressorEvaluationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearRegressorIntegrationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearRegressorPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column_v2):$/;" m class:BaseLinearRegressorTrainingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/linear_testing_utils.py /^ def __init__(self,$/;" m class:BaseLinearWarmStartingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def __init__(self,$/;" m class:RNNClassifier +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def __init__(self,$/;" m class:RNNEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/rnn.py /^ def __init__(self,$/;" m class:RNNModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/saved_model_estimator.py /^ def __init__(self, saved_model_dir, model_dir=None):$/;" m class:SavedModelEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def __init__(self,$/;" m class:ARModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/ar_model.py /^ def __init__(self,$/;" m class:LSTMPredictionModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def __init__(self,$/;" m class:LSTMAutoRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/estimators.py /^ def __init__(self,$/;" m class:TimeSeriesRegressor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/head.py /^ def __init__(self,$/;" m class:TimeSeriesRegressionHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def __init__(self, num_features, dtype, starting_variance_window_size=16):$/;" m class:InputStatisticsFromMiniBatch +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/model.py /^ def __init__(self,$/;" m class:TimeSeriesModel +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/state_management.py /^ def __init__(self):$/;" m class:PassthroughStateManager +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self):$/;" m class:_SummaryHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column):$/;" m class:BaseDNNClassifierEvaluateTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column):$/;" m class:BaseDNNClassifierPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_classifier_fn, fc_impl=feature_column):$/;" m class:BaseDNNClassifierTrainTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_logit_fn_builder, fc_impl=feature_column):$/;" m class:BaseDNNLogitFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_model_fn, fc_impl=feature_column):$/;" m class:BaseDNNModelFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column):$/;" m class:BaseDNNRegressorEvaluateTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column):$/;" m class:BaseDNNRegressorPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self, dnn_regressor_fn, fc_impl=feature_column):$/;" m class:BaseDNNRegressorTrainTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/dnn_testing_utils_v1.py /^ def __init__(self,$/;" m class:BaseDNNWarmStartingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, fc_lib=feature_column):$/;" m class:BaseLinearLogitFnTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column):$/;" m class:BaseLinearClassifierEvaluationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column):$/;" m class:BaseLinearClassifierIntegrationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column):$/;" m class:BaseLinearClassifierPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_classifier_fn, fc_lib=feature_column):$/;" m class:BaseLinearClassifierTrainingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column):$/;" m class:BaseLinearRegressorEvaluationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column):$/;" m class:BaseLinearRegressorIntegrationTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column):$/;" m class:BaseLinearRegressorPartitionerTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column):$/;" m class:BaseLinearRegressorPredictTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, linear_regressor_fn, fc_lib=feature_column):$/;" m class:BaseLinearRegressorTrainingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self, test_case, var_name, var_dim, partitions):$/;" m class:CheckPartitionerVarHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/v1/linear_testing_utils_v1.py /^ def __init__(self,$/;" m class:BaseLinearWarmStartingTest +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def __init__(self):$/;" m class:_CheckForStoppingHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def __init__(self, should_stop_fn, run_every_secs=60, run_every_steps=None):$/;" m class:_StopOnPredicateHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/early_stopping.py /^ def __init__(self, should_stop_fn, run_every_steps=None):$/;" m class:_MultiWorkerEarlyStoppingHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def __init__(self,$/;" m class:Estimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def __init__(self, *args, **kwargs):$/;" m class:_EstimatorWrappedGraph +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def __init__(self, config=None, params=None):$/;" m class:ModelFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def __init__(self, python_function, name, variable_holder=None, **kwargs):$/;" m class:_EstimatorSpecFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/function.py /^ def __init__(self,$/;" m class:EstimatorSpecFunction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def __init__(self,$/;" m class:BestExporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def __init__(self,$/;" m class:FinalExporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def __init__(self,$/;" m class:LatestExporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/exporter.py /^ def __init__(self,$/;" m class:_SavedModelExporter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/binary_class_head.py /^ def __init__(self,$/;" m class:BinaryClassHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_class_head.py /^ def __init__(self,$/;" m class:MultiClassHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_head.py /^ def __init__(self, heads, head_weights=None):$/;" m class:MultiHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/multi_label_head.py /^ def __init__(self,$/;" m class:MultiLabelHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def __init__(self,$/;" m class:LogisticRegressionHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def __init__(self,$/;" m class:PoissonRegressionHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/regression_head.py /^ def __init__(self,$/;" m class:RegressionHead +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ def __init__(self,$/;" m class:SequentialHeadWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/fake_summary_writer.py /^ def __init__(self, logdir, graph=None):$/;" m class:FakeSummaryWriter +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def __init__(self, model_dir, last_step, wait_after_file_check_secs=30):$/;" m class:_StopAtCheckpointStepHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/hooks/hooks.py /^ def __init__(self,$/;" m class:InMemoryEvaluatorHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __init__(self,$/;" m class:_ArrayFeedFn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __init__(self,$/;" m class:_GeneratorFeedFn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __init__(self,$/;" m class:_OrderedDictNumpyFeedFn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_functions.py /^ def __init__(self,$/;" m class:_PandasFeedFn +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/inputs/queues/feeding_queue_runner.py /^ def __init__(self,$/;" m class:_FeedingQueueRunner +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^ def __init__(self, message):$/;" m class:FormattedKeyError +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/run_config.py /^ def __init__(self,$/;" m class:RunConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/error_handling.py /^ def __init__(self, num_sources):$/;" m class:ErrorRendezvous +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/iteration_count_estimator.py /^ def __init__(self, capacity=20):$/;" m class:IterationCountEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def __init__(self,$/;" m class:RunConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __init__(self, config, train_batch_size, eval_batch_size,$/;" m class:_OneCoreTPUContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __init__(self, replica_id_in_sync):$/;" m class:_TPUEstimatorReplicaContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __init__(self,$/;" m class:TPUContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_context.py /^ def __init__(self,$/;" m class:_InternalTPUContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, input_partition_dims=None):$/;" m class:_InputPipeline.InputsStructureRecorder +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self):$/;" m class:InstallSignalHandlerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self):$/;" m class:_CapturedObject +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, ctx, outfeed_every_n_steps=1):$/;" m class:_OutfeedHostCall +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, features=None, labels=None, dataset=None, signals=None):$/;" m class:_Inputs +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, input_fn, batch_axis, ctx):$/;" m class:_InputPipeline +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, message):$/;" m class:_CapturingContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, model_fn, config, params, ctx):$/;" m class:_ModelFnWrapper +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, name, target, args):$/;" m class:_OpQueueContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, name, target, args):$/;" m class:_OpSignalOnceQueueContext +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, num_steps):$/;" m class:_SetEvalIterationsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, scalar_stopping_signal):$/;" m class:_StoppingPredictHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, seconds):$/;" m class:PeriodicLogger +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, small_feature_dim_size,$/;" m class:TensorPacker +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, stop, batch_size, padding_mask=None):$/;" m class:_StopSignals +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self, tensors):$/;" m class:_OutfeedHostCallHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:ExamplesPerSecondHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:TPUEstimator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:TPUInfeedOutfeedSessionHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:TPUInfeedOutfeedSessionHookForPrediction +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:_InputsWithStoppingSignals +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __init__(self,$/;" m class:_TPUStopAtStepHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/util.py /^ def __init__(self, dataset_initializers):$/;" m class:MultiHostDatasetInitializerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def __init__(self, embedding_config_spec, train_batch_size, eval_batch_size,$/;" m class:EmbeddingConfig +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __init__(self, estimator, eval_spec, max_training_steps):$/;" m class:_TrainingExecutor._Evaluator +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __init__(self, evaluator, eval_throttle_secs, continuous_eval_listener):$/;" m class:_NewCheckpointListenerForEvaluate +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __init__(self, stop_after_secs):$/;" m class:_StopAtSecsHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __init__(self,$/;" m class:_TrainingExecutor +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def __init__(self, dataset_initializers):$/;" m class:MultiHostDatasetInitializerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def __init__(self, iterator):$/;" m class:DistributedIteratorInitializerHook +__init__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/util.py /^ def __init__(self, iterator):$/;" m class:_DatasetInitializerHook +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __init__(self) -> None:$/;" m class:detect_anomaly +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/anomaly_mode.py /^ def __init__(self, mode: bool) -> None:$/;" m class:set_detect_anomaly +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def __init__(cls, name, bases, attrs):$/;" m class:FunctionMeta +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/function.py /^ def __init__(self, inplace=False):$/;" m class:InplaceFunction +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __init__(self):$/;" m class:no_grad +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/grad_mode.py /^ def __init__(self, mode: bool) -> None:$/;" m class:set_grad_enabled +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__($/;" m class:FunctionEvent +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__($/;" m class:profile +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self):$/;" m class:EnforceUnique +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self):$/;" m class:FunctionEventAvg +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self, *args, **kwargs):$/;" m class:EventList +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self, enabled=True, record_shapes=False):$/;" m class:emit_nvtx +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self, name: str):$/;" m class:record_function +__init__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __init__(self, start, end):$/;" m class:Interval +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __init__(self):$/;" m class:cuFFTPlanCacheManager +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __init__(self, device_index):$/;" m class:cuFFTPlanCache +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __init__(self, getter, setter):$/;" m class:cuFFTPlanCacheAttrContextProp +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^ def __init__(self, inner):$/;" m class:Unserializable +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/__init__.py /^ def __init__(self, m, name):$/;" m class:CudnnModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/mkldnn/__init__.py /^ def __init__(self, m, name):$/;" m class:MkldnnModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __init__(self, m, name):$/;" m class:QuantizedEngine +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^ def __init__(self, m, name):$/;" m class:XNNPACKEngine +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^ def __init__(self, getter, setter):$/;" m class:ContextProp +__init__ adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^ def __init__(self, m, name):$/;" m class:PropModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/autocast_mode.py /^ def __init__(self, enabled=True):$/;" m class:autocast +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def __init__(self, master_tensor: torch.Tensor) -> None:$/;" m class:_MultiDeviceReplicator +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def __init__(self,$/;" m class:GradScaler +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def __init__(self, code: int) -> None:$/;" m class:CudaError +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def __init__(self, device):$/;" m class:device +__init__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ def __init__(self, obj):$/;" m class:device_of +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ def __init__(self):$/;" m class:reduce_op +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def __init__($/;" m class:RemoteModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/nn/api/remote_module.py /^ def __init__($/;" m class:_RemoteModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/functional_adagrad.py /^ def __init__($/;" m class:_FunctionalAdagrad +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def __init__(self, optimizer_class, params_rref, *args, **kwargs):$/;" m class:DistributedOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def __init__(self, optim_cls, local_params_rref, *args, **kwargs):$/;" m class:_LocalOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/optim/optimizer.py /^ def __init__(self, optim_cls, local_params_rref, *args, **kwargs):$/;" m class:_ScriptLocalOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/api.py /^ def __init__(self):$/;" m class:AllGatherStates +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/internal.py /^ def __init__(self):$/;" m class:_InternalRPCPickler +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/options.py /^ def __init__($/;" m class:TensorPipeRpcBackendOptions +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/rref_proxy.py /^ def __init__(self, rref, rpc_api):$/;" m class:RRefProxy +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributed/rpc/server_process_global_profiler.py /^ def __init__(self, *args, **kwargs):$/;" m class:_server_process_global_profile +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/bernoulli.py /^ def __init__(self, probs=None, logits=None, validate_args=None):$/;" m class:Bernoulli +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/beta.py /^ def __init__(self, concentration1, concentration0, validate_args=None):$/;" m class:Beta +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/binomial.py /^ def __init__(self, total_count=1, probs=None, logits=None, validate_args=None):$/;" m class:Binomial +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/categorical.py /^ def __init__(self, probs=None, logits=None, validate_args=None):$/;" m class:Categorical +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/cauchy.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:Cauchy +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/chi2.py /^ def __init__(self, df, validate_args=None):$/;" m class:Chi2 +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, cseq, dim=0):$/;" m class:_Stack +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, cseq, dim=0, lengths=None):$/;" m class:_Cat +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound):$/;" m class:_GreaterThan +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound):$/;" m class:_GreaterThanEq +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound):$/;" m class:_IntegerGreaterThan +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound, upper_bound):$/;" m class:_HalfOpenInterval +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound, upper_bound):$/;" m class:_IntegerInterval +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, lower_bound, upper_bound):$/;" m class:_Interval +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, upper_bound):$/;" m class:_IntegerLessThan +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __init__(self, upper_bound):$/;" m class:_LessThan +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraint_registry.py /^ def __init__(self):$/;" m class:ConstraintRegistry +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/continuous_bernoulli.py /^ def __init__(self, probs=None, logits=None, lims=(0.499, 0.501), validate_args=None):$/;" m class:ContinuousBernoulli +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/dirichlet.py /^ def __init__(self, concentration, validate_args=None):$/;" m class:Dirichlet +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def __init__(self, batch_shape=torch.Size(), event_shape=torch.Size(), validate_args=None):$/;" m class:Distribution +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/exponential.py /^ def __init__(self, rate, validate_args=None):$/;" m class:Exponential +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/fishersnedecor.py /^ def __init__(self, df1, df2, validate_args=None):$/;" m class:FisherSnedecor +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/gamma.py /^ def __init__(self, concentration, rate, validate_args=None):$/;" m class:Gamma +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/geometric.py /^ def __init__(self, probs=None, logits=None, validate_args=None):$/;" m class:Geometric +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/gumbel.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:Gumbel +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/half_cauchy.py /^ def __init__(self, scale, validate_args=None):$/;" m class:HalfCauchy +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/half_normal.py /^ def __init__(self, scale, validate_args=None):$/;" m class:HalfNormal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def __init__(self, base_distribution, reinterpreted_batch_ndims, validate_args=None):$/;" m class:Independent +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^ def __init__(self, *types):$/;" m class:_Match +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/laplace.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:Laplace +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/logistic_normal.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:LogisticNormal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/log_normal.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:LogNormal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/lowrank_multivariate_normal.py /^ def __init__(self, loc, cov_factor, cov_diag, validate_args=None):$/;" m class:LowRankMultivariateNormal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def __init__(self,$/;" m class:MixtureSameFamily +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/multinomial.py /^ def __init__(self, total_count=1, probs=None, logits=None, validate_args=None):$/;" m class:Multinomial +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/multivariate_normal.py /^ def __init__(self, loc, covariance_matrix=None, precision_matrix=None, scale_tril=None, vali/;" m class:MultivariateNormal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/negative_binomial.py /^ def __init__(self, total_count, probs=None, logits=None, validate_args=None):$/;" m class:NegativeBinomial +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/normal.py /^ def __init__(self, loc, scale, validate_args=None):$/;" m class:Normal +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/one_hot_categorical.py /^ def __init__(self, probs=None, logits=None, validate_args=None):$/;" m class:OneHotCategorical +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/pareto.py /^ def __init__(self, scale, alpha, validate_args=None):$/;" m class:Pareto +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/poisson.py /^ def __init__(self, rate, validate_args=None):$/;" m class:Poisson +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def __init__(self, temperature, probs=None, logits=None, validate_args=None):$/;" m class:LogitRelaxedBernoulli +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_bernoulli.py /^ def __init__(self, temperature, probs=None, logits=None, validate_args=None):$/;" m class:RelaxedBernoulli +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def __init__(self, temperature, probs=None, logits=None, validate_args=None):$/;" m class:ExpRelaxedCategorical +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/relaxed_categorical.py /^ def __init__(self, temperature, probs=None, logits=None, validate_args=None):$/;" m class:RelaxedOneHotCategorical +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/studentT.py /^ def __init__(self, df, loc=0., scale=1., validate_args=None):$/;" m class:StudentT +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transformed_distribution.py /^ def __init__(self, base_distribution, transforms, validate_args=None):$/;" m class:TransformedDistribution +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, cache_size=0):$/;" m class:Transform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, exponent, cache_size=0):$/;" m class:PowerTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, loc, scale, event_dim=0, cache_size=0):$/;" m class:AffineTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, parts, cache_size=0):$/;" m class:ComposeTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, transform):$/;" m class:_InverseTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, tseq, dim=0, cache_size=0):$/;" m class:StackTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __init__(self, tseq, dim=0, lengths=None, cache_size=0):$/;" m class:CatTransform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/uniform.py /^ def __init__(self, low, high, validate_args=None):$/;" m class:Uniform +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/utils.py /^ def __init__(self, wrapped):$/;" m class:lazy_property +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/von_mises.py /^ def __init__(self, loc, concentration, validate_args=None):$/;" m class:VonMises +__init__ adpepsenv/lib/python3.8/site-packages/torch/distributions/weibull.py /^ def __init__(self, scale, concentration, validate_args=None):$/;" m class:Weibull +__init__ adpepsenv/lib/python3.8/site-packages/torch/hub.py /^ def __init__(self, total=None, disable=False,$/;" m class:tqdm +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def __init__(self, name, members):$/;" m class:Module +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/annotations.py /^ def __init__(self, rcb):$/;" m class:EvalEnv +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def __init__(self, ctx, offending_node, reason=''):$/;" m class:UnsupportedNodeError +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def __init__(self, source_range, msg):$/;" m class:FrontendError +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/mobile/__init__.py /^ def __init__(self, cpp_module):$/;" m class:LiteScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedGRUCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedLinear +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedLinearFP16 +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedLSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedRNNCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other):$/;" m class:QuantizedRNNCellBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other, dtype):$/;" m class:QuantizedLSTM +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ def __init__(self, other, dtype=torch.int8):$/;" m class:QuantizedRNNBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def __init__(self):$/;" m class:ConcreteTypeStore +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_recursive.py /^ def __init__(self, source, filename, file_lineno, leading_whitespace_len):$/;" m class:SourceContext +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self):$/;" m class:ScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, arg=None):$/;" m class:RecursiveScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, arg=None):$/;" m class:ScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, cpp_module):$/;" m class:RecursiveScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(cls, name, bases, attrs): # noqa: B902$/;" m class:ScriptMeta +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, const_mapping):$/;" m class:ConstMap +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, lang=None, _frames_up=0):$/;" m class:CompilationUnit +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, module, python_dict):$/;" m class:OrderedModuleDict +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __init__(self, _c):$/;" m class:OrderedDictWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_state.py /^ def __init__(self):$/;" m class:EnabledProxy +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def __init__($/;" m class:ONNXTracedModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def __init__(self, graph_diff_error, tensor_compare_error, extra_msg=None):$/;" m class:TracingCheckError +__init__ adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def __init__(self, orig, id_set=None, _compilation_unit=None):$/;" m class:TracedModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def __init__(self, *args, **kwargs):$/;" m class:Queue +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/queue.py /^ def __init__(self, conn):$/;" m class:ConnectionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def __init__(self):$/;" m class:SharedCache +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def __init__(self, storage):$/;" m class:StorageWeakRef +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^ def __init__(self, processes, error_queues):$/;" m class:ProcessContext +__init__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/spawn.py /^ def __init__(self, processes, error_queues):$/;" m class:SpawnContext +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __init__(self, cpp_module):$/;" m class:ModuleWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __init__(self, cpp_module, attr):$/;" m class:OrderedDictWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, batch_norm, relu):$/;" m class:BNReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, batch_norm, relu):$/;" m class:BNReLU3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn):$/;" m class:ConvBn1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn):$/;" m class:ConvBn2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn):$/;" m class:ConvBn3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn, relu):$/;" m class:ConvBnReLU1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn, relu):$/;" m class:ConvBnReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, bn, relu):$/;" m class:ConvBnReLU3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, relu):$/;" m class:ConvReLU1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, relu):$/;" m class:ConvReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, conv, relu):$/;" m class:ConvReLU3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/modules/fused.py /^ def __init__(self, linear, relu):$/;" m class:LinearReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def __init__(self,$/;" m class:ConvBn2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def __init__(self,$/;" m class:ConvBnReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/conv_fused.py /^ def __init__(self,$/;" m class:_ConvBnNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/qat/modules/linear_relu.py /^ def __init__(self, in_features, out_features, bias=True,$/;" m class:LinearReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def __init__(self, num_features, eps=1e-5, momentum=0.1):$/;" m class:BNReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/bn_relu.py /^ def __init__(self, num_features, eps=1e-5, momentum=0.1):$/;" m class:BNReLU3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvReLU1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvReLU2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/conv_relu.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvReLU3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/intrinsic/quantized/modules/linear_relu.py /^ def __init__(self, in_features, out_features, bias=True, dtype=torch.qint8):$/;" m class:LinearReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__($/;" m class:Hardtanh +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__($/;" m class:RReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, alpha: float = 1., inplace: bool = False) -> None:$/;" m class:CELU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, alpha: float = 1., inplace: bool = False) -> None:$/;" m class:ELU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, beta: int = 1, threshold: int = 20) -> None:$/;" m class:Softplus +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, dim: int = -1) -> None:$/;" m class:GLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, dim: Optional[int] = None) -> None:$/;" m class:LogSoftmax +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, dim: Optional[int] = None) -> None:$/;" m class:Softmax +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, dim: Optional[int] = None) -> None:$/;" m class:Softmin +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, embed_dim, num_heads, dropout=0., bias=True, add_bias_kv=False, add_zero_/;" m class:MultiheadAttention +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace : bool = False) -> None:$/;" m class:Hardsigmoid +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace : bool = False) -> None:$/;" m class:Hardswish +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace: bool = False) -> None:$/;" m class:SELU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace: bool = False):$/;" m class:ReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace: bool = False):$/;" m class:ReLU6 +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, inplace: bool = False):$/;" m class:SiLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, lambd: float = 0.5) -> None:$/;" m class:Hardshrink +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, lambd: float = 0.5) -> None:$/;" m class:Softshrink +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, negative_slope: float = 1e-2, inplace: bool = False) -> None:$/;" m class:LeakyReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, num_parameters: int = 1, init: float = 0.25) -> None:$/;" m class:PReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __init__(self, threshold: float, value: float, inplace: bool = False) -> None:$/;" m class:Threshold +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/adaptive.py /^ def __init__($/;" m class:AdaptiveLogSoftmaxWithLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def __init__($/;" m class:SyncBatchNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def __init__($/;" m class:_NormBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/batchnorm.py /^ def __init__(self, num_features, eps=1e-5, momentum=0.1, affine=True,$/;" m class:_BatchNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/channelshuffle.py /^ def __init__(self, groups):$/;" m class:ChannelShuffle +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, **kwargs: Any) -> None:$/;" m class:Container +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, *args: Any):$/;" m class:Sequential +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, *args: Module) -> None:$/;" m class:Sequential +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, arg: 'OrderedDict[str, Module]') -> None:$/;" m class:Sequential +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, modules: Optional[Iterable[Module]] = None) -> None:$/;" m class:ModuleList +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, modules: Optional[Mapping[str, Module]] = None) -> None:$/;" m class:ModuleDict +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, parameters: Optional[Iterable['Parameter']] = None) -> None:$/;" m class:ParameterList +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __init__(self, parameters: Optional[Mapping[str, 'Parameter']] = None) -> None:$/;" m class:ParameterDict +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:Conv1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:Conv2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:Conv3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:ConvTranspose1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:ConvTranspose2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__($/;" m class:ConvTranspose3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__(self, *args, **kwargs):$/;" m class:_ConvTransposeMixin +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride,$/;" m class:_ConvTransposeNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __init__(self,$/;" m class:_ConvNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ def __init__(self, dim: int = 1, eps: float = 1e-8) -> None:$/;" m class:CosineSimilarity +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/distance.py /^ def __init__(self, p: float = 2., eps: float = 1e-6, keepdim: bool = False) -> None:$/;" m class:PairwiseDistance +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/dropout.py /^ def __init__(self, p: float = 0.5, inplace: bool = False) -> None:$/;" m class:_DropoutNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def __init__(self, dim: Union[int, str], unflattened_size: Union[Size, NamedShape]) -> None:$/;" m class:Unflatten +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/flatten.py /^ def __init__(self, start_dim: int = 1, end_dim: int = -1) -> None:$/;" m class:Flatten +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def __init__($/;" m class:Fold +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/fold.py /^ def __init__($/;" m class:Unfold +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/instancenorm.py /^ def __init__($/;" m class:_InstanceNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def __init__(self, *args, **kwargs):$/;" m class:Identity +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def __init__(self, in1_features: int, in2_features: int, out_features: int, bias: bool = Tru/;" m class:Bilinear +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def __init__(self, in_features: int, out_features: int) -> None:$/;" m class:_LinearWithBias +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/linear.py /^ def __init__(self, in_features: int, out_features: int, bias: bool = True) -> None:$/;" m class:Linear +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, *, distance_function: Optional[Callable[[Tensor, Tensor], Tensor]] = None/;" m class:TripletMarginWithDistanceLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, blank: int = 0, reduction: str = 'mean', zero_infinity: bool = False):$/;" m class:CTCLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, log_input: bool = True, full: bool = False, size_average=None,$/;" m class:PoissonNLLLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, margin: float = 0., size_average=None, reduce=None, reduction: str = 'mea/;" m class:CosineEmbeddingLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, margin: float = 0., size_average=None, reduce=None, reduction: str = 'mea/;" m class:MarginRankingLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, margin: float = 1.0, p: float = 2., eps: float = 1e-6, swap: bool = False/;" m class:TripletMarginLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, margin: float = 1.0, size_average=None, reduce=None, reduction: str = 'me/;" m class:HingeEmbeddingLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, p: int = 1, margin: float = 1., weight: Optional[Tensor] = None, size_ave/;" m class:MultiMarginLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean') -> None:$/;" m class:L1Loss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean') -> None:$/;" m class:MSELoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean') -> None:$/;" m class:MultiLabelMarginLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean') -> None:$/;" m class:SoftMarginLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean') -> None:$/;" m class:_Loss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean', beta: float = 1./;" m class:SmoothL1Loss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, size_average=None, reduce=None, reduction: str = 'mean', log_target: bool/;" m class:KLDivLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, ignore_index: int = -/;" m class:CrossEntropyLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, ignore_index: int = -/;" m class:NLLLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, ignore_index: int = -/;" m class:NLLLoss2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, reduce=None, reductio/;" m class:BCELoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, reduce=None, reductio/;" m class:BCEWithLogitsLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, reduce=None, reductio/;" m class:MultiLabelSoftMarginLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/loss.py /^ def __init__(self, weight: Optional[Tensor] = None, size_average=None, reduce=None, reductio/;" m class:_WeightedLoss +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __init__(self):$/;" m class:Module +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def __init__(self, normalized_shape: _shape_t, eps: float = 1e-5, elementwise_affine: bool =/;" m class:LayerNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def __init__(self, num_groups: int, num_channels: int, eps: float = 1e-5, affine: bool = Tru/;" m class:GroupNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def __init__(self, size: int, alpha: float = 1e-4, beta: float = 0.75, k: float = 1) -> None/;" m class:CrossMapLRN2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/normalization.py /^ def __init__(self, size: int, alpha: float = 1e-4, beta: float = 0.75, k: float = 1.) -> Non/;" m class:LocalResponseNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_2_t) -> None:$/;" m class:ReflectionPad1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_2_t) -> None:$/;" m class:ReplicationPad1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_2_t, value: float):$/;" m class:ConstantPad1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_4_t) -> None:$/;" m class:ReflectionPad2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_4_t) -> None:$/;" m class:ReplicationPad2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_4_t) -> None:$/;" m class:ZeroPad2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_4_t, value: float) -> None:$/;" m class:ConstantPad2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_6_t) -> None:$/;" m class:ReplicationPad3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, padding: _size_6_t, value: float) -> None:$/;" m class:ConstantPad3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/padding.py /^ def __init__(self, value: float) -> None:$/;" m class:_ConstantPadNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pixelshuffle.py /^ def __init__(self, upscale_factor: int) -> None:$/;" m class:PixelShuffle +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_1_t, stride: Optional[_size_1_t] = None, padding: _siz/;" m class:MaxUnpool1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_1_t, stride: _size_1_t = None, padding: _size_1_t = 0,/;" m class:AvgPool1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_2_t, output_size: Optional[_size_2_t] = None,$/;" m class:FractionalMaxPool2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_2_t, stride: Optional[_size_2_t] = None, padding: _siz/;" m class:AvgPool2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_2_t, stride: Optional[_size_2_t] = None, padding: _siz/;" m class:MaxUnpool2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_3_t, output_size: Optional[_size_3_t] = None,$/;" m class:FractionalMaxPool3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_3_t, stride: Optional[_size_3_t] = None, padding: _siz/;" m class:AvgPool3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_3_t, stride: Optional[_size_3_t] = None, padding: _siz/;" m class:MaxUnpool3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, kernel_size: _size_any_t, stride: Optional[_size_any_t] = None,$/;" m class:_MaxPoolNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, norm_type: float, kernel_size: _size_any_t, stride: Optional[_size_any_t]/;" m class:_LPPoolNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, output_size: _size_any_t) -> None:$/;" m class:_AdaptiveAvgPoolNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __init__(self, output_size: _size_any_t, return_indices: bool = False) -> None:$/;" m class:_AdaptiveMaxPoolNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, *args, **kwargs):$/;" m class:GRU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, *args, **kwargs):$/;" m class:LSTM +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, *args, **kwargs):$/;" m class:RNN +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, input_size: int, hidden_size: int, bias: bool = True) -> None:$/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, input_size: int, hidden_size: int, bias: bool = True) -> None:$/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, input_size: int, hidden_size: int, bias: bool = True, nonlinearity: str =/;" m class:RNNCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, input_size: int, hidden_size: int, bias: bool, num_chunks: int) -> None:$/;" m class:RNNCellBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __init__(self, mode: str, input_size: int, hidden_size: int,$/;" m class:RNNBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def __init__(self, num_embeddings: int, embedding_dim: int, padding_idx: Optional[int] = Non/;" m class:Embedding +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/sparse.py /^ def __init__(self, num_embeddings: int, embedding_dim: int,$/;" m class:EmbeddingBag +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __init__(self, decoder_layer, num_layers, norm=None):$/;" m class:TransformerDecoder +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __init__(self, d_model, nhead, dim_feedforward=2048, dropout=0.1, activation="relu"):$/;" m class:TransformerDecoderLayer +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __init__(self, d_model, nhead, dim_feedforward=2048, dropout=0.1, activation="relu"):$/;" m class:TransformerEncoderLayer +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __init__(self, d_model: int = 512, nhead: int = 8, num_encoder_layers: int = 6,$/;" m class:Transformer +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __init__(self, encoder_layer, num_layers, norm=None):$/;" m class:TransformerEncoder +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ def __init__(self, size: Optional[_size_2_t] = None, scale_factor: Optional[_ratio_2_t] = No/;" m class:UpsamplingBilinear2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ def __init__(self, size: Optional[_size_2_t] = None, scale_factor: Optional[_ratio_2_t] = No/;" m class:UpsamplingNearest2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/upsampling.py /^ def __init__(self, size: Optional[_size_any_t] = None, scale_factor: Optional[_ratio_any_t] /;" m class:Upsample +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/data_parallel.py /^ def __init__(self, module, device_ids=None, output_device=None, dim=0):$/;" m class:DataParallel +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def __init__(self, module, device_ids=None,$/;" m class:DistributedDataParallel +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:Conv2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/qat/modules/linear.py /^ def __init__(self, in_features, out_features, bias=True,$/;" m class:Linear +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/linear.py /^ def __init__(self, in_features, out_features, bias_=True, dtype=torch.qint8):$/;" m class:Linear +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, *args, **kwargs):$/;" m class:LSTM +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, *args, **kwargs):$/;" m class:LSTMCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, input_size, hidden_size, bias=True, dtype=torch.qint8):$/;" m class:GRUCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, input_size, hidden_size, bias=True, nonlinearity="tanh", dtype=torch.qint/;" m class:RNNCell +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, input_size, hidden_size, bias=True, num_chunks=4, dtype=torch.qint8):$/;" m class:RNNCellBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, mode, input_size, hidden_size,$/;" m class:RNNBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __init__(self, param):$/;" m class:PackedParameter +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def __init__(self, inplace=False):$/;" m class:ReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def __init__(self, inplace=False):$/;" m class:ReLU6 +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def __init__(self, scale, zero_point):$/;" m class:Hardswish +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/activation.py /^ def __init__(self, scale, zero_point, alpha=1.):$/;" m class:ELU +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def __init__(self, num_features, eps=1e-5, momentum=0.1):$/;" m class:BatchNorm2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/batchnorm.py /^ def __init__(self, num_features, eps=1e-5, momentum=0.1):$/;" m class:BatchNorm3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride,$/;" m class:_ConvNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride,$/;" m class:_ConvTransposeNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:Conv1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:Conv2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:Conv3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvTranspose1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __init__(self, in_channels, out_channels, kernel_size, stride=1,$/;" m class:ConvTranspose2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def __init__(self, num_embeddings, embedding_dim, dtype=torch.quint8):$/;" m class:EmbeddingPackedParams +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def __init__(self, num_embeddings: int, embedding_dim: int, padding_idx: Optional[int] = Non/;" m class:Embedding +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def __init__(self, num_embeddings: int, embedding_dim: int,$/;" m class:EmbeddingBag +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def __init__(self):$/;" m class:FloatFunctional +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/functional_modules.py /^ def __init__(self):$/;" m class:QFunctional +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __init__(self, dtype=torch.qint8):$/;" m class:LinearPackedParams +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __init__(self, in_features, out_features, bias_=True, dtype=torch.qint8):$/;" m class:Linear +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def __init__(self, normalized_shape, weight, bias, scale, zero_point, eps=1e-5,$/;" m class:LayerNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def __init__(self, num_features, weight, bias, scale, zero_point,$/;" m class:InstanceNorm1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def __init__(self, num_features, weight, bias, scale, zero_point,$/;" m class:InstanceNorm2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def __init__(self, num_features, weight, bias, scale, zero_point,$/;" m class:InstanceNorm3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/normalization.py /^ def __init__(self, num_groups, num_channels, weight, bias, scale, zero_point, eps=1e-5, affi/;" m class:GroupNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def __init__(self):$/;" m class:DeQuantize +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/__init__.py /^ def __init__(self, scale, zero_point, dtype):$/;" m class:Quantize +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self):$/;" m class:BasePruningMethod +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, *args):$/;" m class:PruningContainer +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, amount):$/;" m class:L1Unstructured +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, amount):$/;" m class:RandomUnstructured +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, amount, dim=-1):$/;" m class:RandomStructured +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, amount, n, dim=-1):$/;" m class:LnStructured +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __init__(self, mask):$/;" m class:CustomFromMask +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __init__(self, fn) -> None:$/;" m class:SpectralNormLoadStateDictPreHook +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __init__(self, fn) -> None:$/;" m class:SpectralNormStateDictHook +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/spectral_norm.py /^ def __init__(self, name: str = 'weight', n_power_iterations: int = 1, dim: int = 0, eps: flo/;" m class:SpectralNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/weight_norm.py /^ def __init__(self, name: str, dim: int) -> None:$/;" m class:WeightNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/adadelta.py /^ def __init__(self, params, lr=1.0, rho=0.9, eps=1e-6, weight_decay=0):$/;" m class:Adadelta +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/adagrad.py /^ def __init__(self, params, lr=1e-2, lr_decay=0, weight_decay=0, initial_accumulator_value=0,/;" m class:Adagrad +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/adam.py /^ def __init__(self, params, lr=1e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:Adam +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/adamax.py /^ def __init__(self, params, lr=2e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:Adamax +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/adamw.py /^ def __init__(self, params, lr=1e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:AdamW +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/asgd.py /^ def __init__(self, params, lr=1e-2, lambd=1e-4, alpha=0.75, t0=1e6, weight_decay=0):$/;" m class:ASGD +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lbfgs.py /^ def __init__(self,$/;" m class:LBFGS +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, o):$/;" m class:CosineAnnealingWarmRestarts.step._enable_get_lr_call +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, o):$/;" m class:_LRScheduler.step._enable_get_lr_call +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, gamma, last_epoch=-1, verbose=False):$/;" m class:ExponentialLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, last_epoch=-1, verbose=False):$/;" m class:_LRScheduler +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, lr_lambda, last_epoch=-1, verbose=False):$/;" m class:LambdaLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, lr_lambda, last_epoch=-1, verbose=False):$/;" m class:MultiplicativeLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, milestones, gamma=0.1, last_epoch=-1, verbose=False):$/;" m class:MultiStepLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, mode='min', factor=0.1, patience=10,$/;" m class:ReduceLROnPlateau +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, step_size, gamma=0.1, last_epoch=-1, verbose=False):$/;" m class:StepLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, T_0, T_mult=1, eta_min=0, last_epoch=-1, verbose=False):$/;" m class:CosineAnnealingWarmRestarts +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self, optimizer, T_max, eta_min=0, last_epoch=-1, verbose=False):$/;" m class:CosineAnnealingLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self,$/;" m class:CyclicLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/lr_scheduler.py /^ def __init__(self,$/;" m class:OneCycleLR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def __init__(self, params, defaults):$/;" m class:Optimizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/rmsprop.py /^ def __init__(self, params, lr=1e-2, alpha=0.99, eps=1e-8, weight_decay=0, momentum=0, center/;" m class:RMSprop +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/rprop.py /^ def __init__(self, params, lr=1e-2, etas=(0.5, 1.2), step_sizes=(1e-6, 50)):$/;" m class:Rprop +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/sgd.py /^ def __init__(self, params, lr=required, momentum=0, dampening=0,$/;" m class:SGD +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/sparse_adam.py /^ def __init__(self, params, lr=1e-3, betas=(0.9, 0.999), eps=1e-8):$/;" m class:SparseAdam +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def __init__(self, model, device=None, avg_fn=None):$/;" m class:AveragedModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/swa_utils.py /^ def __init__(self, optimizer, swa_lr, anneal_epochs=10, anneal_strategy='cos', last_epoch=-1/;" m class:SWALR +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adadelta.py /^ def __init__(self, params, lr=1.0, rho=0.9, eps=1e-6, weight_decay=0):$/;" m class:Adadelta +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adam.py /^ def __init__(self, params, lr=1e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:Adam +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamax.py /^ def __init__(self, params, lr=2e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:Adamax +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamw.py /^ def __init__(self, params, lr=1e-3, betas=(0.9, 0.999), eps=1e-8,$/;" m class:AdamW +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/asgd.py /^ def __init__(self, params, lr=1e-2, lambd=1e-4, alpha=0.75, t0=1e6, weight_decay=0):$/;" m class:ASGD +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rmsprop.py /^ def __init__(self, params, lr=1e-2, alpha=0.99, eps=1e-8, weight_decay=0, momentum=0, center/;" m class:RMSprop +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rprop.py /^ def __init__(self, params, lr=1e-2, etas=(0.5, 1.2), step_sizes=(1e-6, 50)):$/;" m class:Rprop +__init__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/sgd.py /^ def __init__(self, params, lr=required, momentum=0, dampening=0,$/;" m class:SGD +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fake_quantize.py /^ def __init__(self, observer=MovingAverageMinMaxObserver, quant_min=0, quant_max=255, **obser/;" m class:FakeQuantize +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:ConvBNReLUFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/fusion_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:ModuleReLUFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:Add +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:BatchNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:ConvRelu +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:DynamicLinear +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:LinearReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:Mul +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantization_patterns.py /^ def __init__(self, quantizer, node):$/;" m class:QuantizeHandler +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/fx/quantize.py /^ def __init__(self):$/;" m class:Quantizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, p):$/;" m class:_with_args._PartialWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, **kwargs):$/;" m class:RecordingObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, averaging_constant=0.01, ch_axis=0, dtype=torch.quint8,$/;" m class:MovingAveragePerChannelMinMaxObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, averaging_constant=0.01, dtype=torch.quint8,$/;" m class:MovingAverageMinMaxObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, bins=2048, upsample_rate=128, dtype=torch.quint8,$/;" m class:HistogramObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, ch_axis=0, dtype=torch.quint8,$/;" m class:PerChannelMinMaxObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, dtype):$/;" m class:ObserverBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, dtype=torch.float16, custom_op_name=""):$/;" m class:NoopObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, dtype=torch.float16, custom_op_name=""):$/;" m class:PlaceholderObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, dtype=torch.quint8, qscheme=torch.per_tensor_affine,$/;" m class:MinMaxObserver +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __init__(self, dtype=torch.quint8, qscheme=torch.per_tensor_affine,$/;" m class:_ObserverBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def __init__(self):$/;" m class:DeQuantStub +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def __init__(self, module):$/;" m class:QuantWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/stubs.py /^ def __init__(self, qconfig=None):$/;" m class:QuantStub +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_correct_bias.py /^ def __init__(self):$/;" m class:MeanShadowLogger +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_learnable_fake_quantize.py /^ def __init__(self, observer, quant_min=0, quant_max=255, scale=1., zero_point=0., channel_le/;" m class:_LearnableFakeQuantize +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def __init__(self):$/;" m class:Logger +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def __init__(self):$/;" m class:OutputLogger +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def __init__(self):$/;" m class:ShadowLogger +__init__ adpepsenv/lib/python3.8/site-packages/torch/quantization/_numeric_suite.py /^ def __init__(self, q_module, float_module, Logger):$/;" m class:Shadow +__init__ adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ def __init__(self, dimension, scramble=False, seed=None):$/;" m class:SobolEngine +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, buffer) -> None:$/;" m class:_open_zipfile_writer_buffer +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, buffer):$/;" m class:_open_buffer_reader +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, file_like):$/;" m class:_opener +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, name) -> None:$/;" m class:_open_zipfile_writer_file +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, name, mode):$/;" m class:_open_file +__init__ adpepsenv/lib/python3.8/site-packages/torch/serialization.py /^ def __init__(self, name_or_buffer) -> None:$/;" m class:_open_zipfile_reader +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/autocast_test_lists.py /^ def __init__(self, dev):$/;" m class:AutocastTestLists +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, *args):$/;" m class:dtypesIfCPU +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, *args):$/;" m class:dtypesIfCUDA +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, *args, **kwargs):$/;" m class:dtypes +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, caller_name, device_type=None, fn_has_device_arg=True):$/;" m class:expectedAlertNondeterministic +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, d):$/;" m class:precisionOverride +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, dep, reason):$/;" m class:skipCPUIf +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, dep, reason):$/;" m class:skipCUDAIf +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, dep, reason, device_type=None):$/;" m class:skipIf +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, device_type):$/;" m class:expectedFailure +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, device_type):$/;" m class:onlyOn +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, num_required_devices):$/;" m class:deviceCountAtLeast +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_device_type.py /^ def __init__(self, op_list, *, unsupported_dtypes_only=False):$/;" m class:ops +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_distributed.py /^ def __init__(self, method_name='runTest'):$/;" m class:MultiProcessTestCase +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __init__(self, cls_name=None, test_name=None, *,$/;" m class:SkipInfo +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __init__(self, input, *, args=tuple(), kwargs=None):$/;" m class:SampleInput +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __init__(self,$/;" m class:OpInfo +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __init__(self,$/;" m class:UnaryUfuncInfo +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __init__(self, *args, **kwargs):$/;" m class:CriterionTest +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __init__(self, *args, **kwargs):$/;" m class:ModuleTest +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __init__(self, *args, **kwargs):$/;" m class:NewModuleTest +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_nn.py /^ def __init__(self, constructor, desc='', reference_fn=None, fullname=None, **kwargs):$/;" m class:TestBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ActivationsTestModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:AnnotatedConvBnModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:AnnotatedCustomConfigNestedModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:AnnotatedSubNestedModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:AnnotatedTwoLayerLinearModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ConvBnModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ConvBNReLU +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ConvModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ConvTransposeModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:EmbeddingBagModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:EmbeddingModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:EmbeddingWithLinear +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:InnerModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:LinearModelWithSubmodule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:LinearReluModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ManualConvLinearQATModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ModelForFusionWithBias +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ModelMultipleOps +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ModelMultipleOpsNoAvgPool +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ModelWithFunctionals +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ModelWithSequentialFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:NestedModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:NormalizationTestModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:QuantStubModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:QuantSubModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:ResNetBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:SingleLayerLinearModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:SkipQuantModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:SubModelForFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:SubModelWithoutFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self):$/;" m class:TwoLayerLinearModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, mod_type):$/;" m class:RNNCellDynamicModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, mod_type):$/;" m class:RNNDynamicModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, name, fmt=':f'):$/;" m class:AverageMeter +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, op, target):$/;" m class:NodeSpec +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qconfig):$/;" m class:ModelForFusion +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine):$/;" m class:AnnotatedConvModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine):$/;" m class:AnnotatedConvTransposeModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine):$/;" m class:AnnotatedNestedModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine):$/;" m class:AnnotatedSkipQuantModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine):$/;" m class:ManualLinearQATModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine='fbgemm'):$/;" m class:AnnotatedConvBnReLUModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine='fbgemm'):$/;" m class:AnnotatedSingleLayerLinearModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine='fbgemm'):$/;" m class:LSTMwithHiddenDynamicModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __init__(self, qengine='fbgemm'):$/;" m class:SingleLayerLinearDynamicModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __init__(self, method_name='runTest'):$/;" m class:TestCase +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_utils.py /^ def __init__(self, testcase, name=None):$/;" m class:CudaMemoryLeakCheck +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network1.py /^ def __init__(self):$/;" m class:Net +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/data/network2.py /^ def __init__(self):$/;" m class:Net +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def __init__($/;" m class:HybridModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def __init__($/;" m class:Trainer +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def __init__(self, d_in: int, d_out: int):$/;" m class:RemoteNet +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/ddp_under_dist_autograd_test.py /^ def __init__(self, num_embeddings: int, embedding_dim: int):$/;" m class:RemoteEM +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:DistributedTest._DistTestBase.test_ddp_uneven_input_exception.ExceptionModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:DistributedTest._DistTestBase.test_ddp_unused_params_rebuild_buckets_exception.ToyModel +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self, unused_params_rank):$/;" m class:DistributedTest._DistTestBase.test_ddp_uneven_inputs.UnusedParamModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:BatchNormNet +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:Net +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:Task +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self):$/;" m class:_FC2 +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/distributed_test.py /^ def __init__(self, x):$/;" m class:Foo +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def __init__(self, first_arg, first_kwarg=-1):$/;" m class:BadModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/nn/api/remote_module_test.py /^ def __init__(self, first_arg, first_kwarg=-1):$/;" m class:MyModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def __init__(self):$/;" m class:MyModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def __init__(self, params):$/;" m class:FailingOptimizer +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/dist_optimizer_test.py /^ def __init__(self, params):$/;" m class:OptimizerFailingOnConstructor +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/faulty_rpc_agent_test_fixture.py /^ def __init__(self, *args, **kwargs):$/;" m class:FaultyRpcAgentTestFixture +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def __init__(self, a: int):$/;" m class:MyScriptClass +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def __init__(self, dst_worker):$/;" m class:MyScriptModuleWithRRefs +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/jit/rpc_test.py /^ def __init__(self, rank):$/;" m class:MyScriptModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __init__(self):$/;" m class:MyPickleClass +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __init__(self, a):$/;" m class:MyClass +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __init__(self, init_method):$/;" m class:FooBackendOptions +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __init__(self, t):$/;" m class:SlowPickleClass +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __init__(self, world_size):$/;" m class:StubRpcAgent +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/expecttest.py /^ def __init__(self):$/;" m class:EditHistory +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_metaprogramming_utils.py /^ def __init__(self):$/;" m class:create_script_module.script_module.TheModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/jit_utils.py /^ def __init__(self, test_case, exception, regex, highlight):$/;" m class:_AssertRaisesRegexWithHighlightContext +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self):$/;" m class:CudaCodeGenCreated +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self):$/;" m class:CudaCodeGenExecuted +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self):$/;" m class:LLVMCodeGenCreated +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self):$/;" m class:LLVMCodeGenExecuted +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self):$/;" m class:SimpleIREvalExecuted +__init__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/te_utils.py /^ def __init__(self, name):$/;" m class:ExecutionCounter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/backcompat/__init__.py /^ def __init__(self, setter, getter):$/;" m class:Warning +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/examples/compare.py /^ def __init__(self, real_torch, extra_ns_per_element):$/;" m class:FauxTorch +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/binary.py /^ def __init__(self, seed, dtype=torch.float32, cuda=False):$/;" m class:BinaryOpFuzzer +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/op_fuzzers/unary.py /^ def __init__(self, seed, dtype=torch.float32, cuda=False):$/;" m class:UnaryOpFuzzer +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def __init__($/;" m class:Table +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def __init__($/;" m class:_Column +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def __init__(self, results, row_group, render_env, env_str_len,$/;" m class:_Row +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def __init__(self, results: List[common.Measurement]):$/;" m class:Compare +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def __init__($/;" m class:FuzzedParameter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def __init__($/;" m class:FuzzedTensor +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def __init__($/;" m class:Fuzzer +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def __init__(self, alias_to):$/;" m class:ParameterAlias +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/timer.py /^ def __init__($/;" m class:Timer +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def __init__(self) -> None:$/;" m class:_ValgrindWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def __init__(self, *args, **kwargs):$/;" m class:BuildExtension.with_options.cls_with_options +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/cpp_extension.py /^ def __init__(self, *args, **kwargs) -> None:$/;" m class:BuildExtension +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __init__(self):$/;" m class:_InfiniteConstantSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __init__(self, dataset: Dataset[T_co], batch_size: Optional[int] = 1,$/;" m class:DataLoader +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __init__(self, loader):$/;" m class:_MultiProcessingDataLoaderIter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __init__(self, loader):$/;" m class:_SingleProcessDataLoaderIter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __init__(self, loader: DataLoader) -> None:$/;" m class:_BaseDataLoaderIter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __init__(self, *tensors: Tensor) -> None:$/;" m class:TensorDataset +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __init__(self, dataset: Dataset[T_co], indices: Sequence[int]) -> None:$/;" m class:Subset +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __init__(self, datasets: Iterable[Dataset]) -> None:$/;" m class:ChainDataset +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __init__(self, datasets: Iterable[Dataset]) -> None:$/;" m class:ConcatDataset +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^ def __init__(self, dataset: Dataset, num_replicas: Optional[int] = None,$/;" m class:DistributedSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, data_source):$/;" m class:SequentialSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, data_source: Optional[Sized]) -> None:$/;" m class:Sampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, data_source: Sized, replacement: bool = False,$/;" m class:RandomSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, indices: Sequence[int], generator=None) -> None:$/;" m class:SubsetRandomSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, sampler: Sampler[int], batch_size: int, drop_last: bool) -> None:$/;" m class:BatchSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __init__(self, weights: Sequence[float], num_samples: int,$/;" m class:WeightedRandomSampler +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def __init__(self, dataset, auto_collation, collate_fn, drop_last):$/;" m class:_BaseDatasetFetcher +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def __init__(self, dataset, auto_collation, collate_fn, drop_last):$/;" m class:_IterableDatasetFetcher +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/fetch.py /^ def __init__(self, dataset, auto_collation, collate_fn, drop_last):$/;" m class:_MapDatasetFetcher +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ def __init__(self):$/;" m class:ManagerWatchdog +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ def __init__(self, **kwargs):$/;" m class:WorkerInfo +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/file_baton.py /^ def __init__(self, lock_file_path, wait_seconds=0.1):$/;" m class:FileBaton +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __init__(self):$/;" m class:Trie +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __init__(self, keep_intermediates=False):$/;" m class:GeneratedFileCleaner +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __init__(self, message):$/;" m class:InputError +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def __init__(self, hooks_dict: Any) -> None:$/;" m class:RemovableHandle +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:MkldnnBatchNorm +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:MkldnnConv1d +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:MkldnnConv2d +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:MkldnnConv3d +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:MkldnnLinear +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __init__(self, dense_module):$/;" m class:_MkldnnConvNd +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __init__(self, module, name):$/;" m class:FakeClass +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __init__(self, module, name, args):$/;" m class:FakeObject +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def __init__(self, log_dir, max_queue=10, flush_secs=120, filename_suffix=''):$/;" m class:FileWriter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/writer.py /^ def __init__(self, log_dir=None, comment='', purge_step=None, max_queue=10,$/;" m class:SummaryWriter +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __init__(self):$/;" m class:GraphPy +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __init__(self, debugName=None, inputs=None, scope=None, tensor_size=None, op_type='UnSpe/;" m class:NodeBase +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __init__(self, node_cpp):$/;" m class:NodePyOP +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __init__(self, node_cpp, input_or_output=None):$/;" m class:NodePyIO +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __init__(self, node_cpp, valid_methods):$/;" m class:NodePy +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def __init__(self, c_stats, benchmark_config):$/;" m class:ExecutionStats +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def __init__(self, module):$/;" m class:ThroughputBenchmark +__init__ adpepsenv/lib/python3.8/site-packages/torch/utils/_cpp_extension_versioner.py /^ def __init__(self):$/;" m class:ExtensionVersioner +__init__ adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^ def __init__(self, appname=None, appauthor=None, version=None,$/;" m class:AppDirs +__init__ adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def __init__(self):$/;" m class:_Classes +__init__ adpepsenv/lib/python3.8/site-packages/torch/_classes.py /^ def __init__(self, name):$/;" m class:_ClassNamespace +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def __init__(self):$/;" m class:Graph +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __init__(self, body):$/;" m class:deserialize_graphmodule.CodeOnlyModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __init__(self, root: Union[torch.nn.Module, Dict[str, Any]], graph: Graph):$/;" m class:GraphModule +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^ def __init__(self, graph: 'Graph', name: str, op: str, target: Target,$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __init__(self, graph: Graph):$/;" m class:GraphAppendingTracer +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __init__(self, node: Node, tracer: 'Optional[TracerBase]' = None):$/;" m class:Proxy +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __init__(self, root: Proxy, attr: str):$/;" m class:Attribute +__init__ adpepsenv/lib/python3.8/site-packages/torch/_fx/symbolic_trace.py /^ def __init__(self):$/;" m class:Tracer +__init__ adpepsenv/lib/python3.8/site-packages/torch/_jit_internal.py /^ def __init__(self, source, filename, file_lineno, leading_whitespace_len, uses_true_division/;" m class:SourceContext +__init__ adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def __init__(self,$/;" m class:LOBPCG +__init__ adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ def __init__(self):$/;" m class:_Ops +__init__ adpepsenv/lib/python3.8/site-packages/torch/_ops.py /^ def __init__(self, name):$/;" m class:_OpNamespace +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/exporter.py /^ def __init__(self, filename: str, verbose: bool = True):$/;" m class:PackageExporter +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/find_file_dependencies.py /^ def __init__(self, package):$/;" m class:_ExtractModuleReferences +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def __init__(self, filename: str, module_allowed: Callable[[str], bool] = lambda module_name/;" m class:PackageImporter +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def __init__(self, importer, *args, **kwargs):$/;" m class:_UnpicklerWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def __init__(self, source_file: Optional[str]):$/;" m class:_PackageNode +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ def __init__(self, source_file: str):$/;" m class:_ModuleNode +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/_custom_import_pickler.py /^ def __init__(self, import_module, *args, **kwargs):$/;" m class:CustomImportPickler +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^ def __init__(self, name):$/;" m class:MockedObject +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def __init__(self, directory):$/;" m class:MockZipReader +__init__ adpepsenv/lib/python3.8/site-packages/torch/_package/_mock_zipreader.py /^ def __init__(self, storage):$/;" m class:_HasStorage +__init__ adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^ def __init__(self, tensor):$/;" m class:_Formatter +__init__ adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^ def __init__(self, exc_info=None, where="in background"):$/;" m class:ExceptionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/torch/_VF.py /^ def __init__(self, name):$/;" m class:VFModule +__init__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init__(cls, *args, **kwargs):$/;" m class:_ProtocolMeta +__init__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init__(self, origin, metadata):$/;" m class:_AnnotatedAlias +__init__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init__(self, tp=None, **kwds):$/;" m class:_ClassVar +__init__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init__(self, tp=None, **kwds):$/;" m class:_Final +__init__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __init__(self, values=None, **kwds):$/;" m class:_Literal +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def __init__($/;" m class:HTTPSConnection +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/connection.py /^ def __init__(self, *args, **kw):$/;" m class:HTTPConnection +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __init__($/;" m class:HTTPConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __init__($/;" m class:HTTPSConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __init__(self, host, port=None):$/;" m class:ConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/appengine.py /^ def __init__($/;" m class:AppEngineManager +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/ntlmpool.py /^ def __init__(self, user, pw, authurl, *args, **kwargs):$/;" m class:NTLMConnectionPool +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def __init__(self, connection, socket, suppress_ragged_eofs=True):$/;" m class:WrappedSocket +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/pyopenssl.py /^ def __init__(self, protocol):$/;" m class:PyOpenSSLContext +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def __init__(self, protocol):$/;" m class:SecureTransportContext +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/securetransport.py /^ def __init__(self, socket):$/;" m class:WrappedSocket +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ def __init__($/;" m class:SOCKSProxyManager +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/contrib/socks.py /^ def __init__(self, *args, **kwargs):$/;" m class:SOCKSConnection +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, defects, unparsed_data):$/;" m class:HeaderParsingError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, location):$/;" m class:LocationParseError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, message, error, *args):$/;" m class:ProxyError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, partial, expected):$/;" m class:IncompleteRead +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, pool, message):$/;" m class:PoolError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, pool, url, message):$/;" m class:RequestError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, pool, url, reason=None):$/;" m class:MaxRetryError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, pool, url, retries=3):$/;" m class:HostChangedError +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, response, length):$/;" m class:InvalidChunkLength +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, scheme):$/;" m class:ProxySchemeUnknown +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __init__(self, scheme):$/;" m class:URLSchemeUnknown +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/fields.py /^ def __init__($/;" m class:RequestField +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __init__(self, name):$/;" m class:_LazyDescr +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __init__(self, name):$/;" m class:_LazyModule +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __init__(self, name, old, new=None):$/;" m class:MovedModule +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __init__(self, name, old_mod, new_mod, old_attr=None, new_attr=None):$/;" m class:MovedAttribute +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __init__(self, six_module_name):$/;" m class:_SixMetaPathImporter +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def __init__($/;" m class:ProxyManager +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/poolmanager.py /^ def __init__(self, num_pools=10, headers=None, **connection_pool_kw):$/;" m class:PoolManager +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/request.py /^ def __init__(self, headers=None):$/;" m class:RequestMethods +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __init__(self):$/;" m class:BrotliDecoder +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __init__($/;" m class:HTTPResponse +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __init__(self):$/;" m class:DeflateDecoder +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __init__(self):$/;" m class:GzipDecoder +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __init__(self, modes):$/;" m class:MultiDecoder +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def __init__($/;" m class:Retry +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/util/ssltransport.py /^ def __init__($/;" m class:SSLTransport +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/util/ssl_.py /^ def __init__(self, protocol_version):$/;" m class:SSLContext +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def __init__(self, total=None, connect=_Default, read=_Default):$/;" m class:Timeout +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __init__(self, headers=None, **kwargs):$/;" m class:HTTPHeaderDict +__init__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __init__(self, maxsize=10, dispose_func=None):$/;" m class:RecentlyUsedContainer +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__($/;" m class:FileStorage +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, auth_type, data=None):$/;" m class:Authorization +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, auth_type=None, values=None, on_update=None):$/;" m class:WWWAuthenticate +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, defaults=None):$/;" m class:Headers +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, dicts=None):$/;" m class:CombinedMultiDict +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, environ):$/;" m class:EnvironHeaders +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, etag=None, date=None):$/;" m class:IfRange +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, headers=None, on_update=None):$/;" m class:HeaderSet +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, initial=None, on_update=None):$/;" m class:CallbackDict +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, mapping=None):$/;" m class:MultiDict +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, mapping=None):$/;" m class:OrderedMultiDict +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, multi_dict, method, repr_name, *a, **kw):$/;" m class:ViewItems +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, omd, key, value):$/;" m class:_omd_bucket +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, strong_etags=None, weak_etags=None, star_tag=False):$/;" m class:ETags +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, units, ranges):$/;" m class:Range +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, units, start, stop, length=None, on_update=None):$/;" m class:ContentRange +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, values=()):$/;" m class:Accept +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, values=(), on_update=None):$/;" m class:ContentSecurityPolicy +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __init__(self, values=(), on_update=None):$/;" m class:_CacheControl +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __init__(self):$/;" m class:HTMLStringO +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __init__(self):$/;" m class:_ConsoleLoader +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __init__(self, globals, locals):$/;" m class:_InteractiveConsole +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __init__(self, globals=None, locals=None):$/;" m class:Console +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def __init__(self):$/;" m class:DebugReprGenerator +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def __init__(self, exc_type, exc_value, tb):$/;" m class:Frame +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def __init__(self, exc_type, exc_value, tb):$/;" m class:Group +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def __init__(self, exc_type, exc_value, tb):$/;" m class:Traceback +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ def __init__(self, lineno, code):$/;" m class:Line +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def __init__($/;" m class:DebuggedApplication +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/__init__.py /^ def __init__(self, namespace):$/;" m class:_ConsoleFrame +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, arg=None, *args, **kwargs):$/;" m class:HTTPException.wrap.newcls +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, description=None, response=None):$/;" m class:HTTPException +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, description=None, response=None, original_exception=None):$/;" m class:InternalServerError +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, description=None, response=None, retry_after=None):$/;" m class:_RetryAfter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, description=None, response=None, www_authenticate=None):$/;" m class:Unauthorized +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, length=None, units="bytes", description=None):$/;" m class:RequestedRangeNotSatisfiable +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, mapping=None, extra=None):$/;" m class:Aborter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __init__(self, valid_methods=None, description=None):$/;" m class:MethodNotAllowed +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def __init__($/;" m class:FormDataParser +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/formparser.py /^ def __init__($/;" m class:MultiPartParser +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __init__(self):$/;" m class:Local +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __init__(self):$/;" m class:LocalStack +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __init__(self, local, name=None):$/;" m class:LocalProxy +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __init__(self, locals=None, ident_func=None):$/;" m class:LocalManager +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/dispatcher.py /^ def __init__(self, app, mounts=None):$/;" m class:DispatcherMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/http_proxy.py /^ def __init__(self, app, targets, chunk_size=2 << 13, timeout=10):$/;" m class:ProxyMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __init__(self, app):$/;" m class:LintMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __init__(self, iterator, headers_set, chunks):$/;" m class:GuardedIterator +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __init__(self, stream):$/;" m class:ErrorStream +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __init__(self, stream):$/;" m class:InputStream +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __init__(self, write, chunks):$/;" m class:GuardedWrite +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/profiler.py /^ def __init__($/;" m class:ProfilerMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/proxy_fix.py /^ def __init__(self, app, x_for=1, x_proto=1, x_host=0, x_port=0, x_prefix=0):$/;" m class:ProxyFix +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/shared_data.py /^ def __init__($/;" m class:SharedDataMiddleware +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__($/;" m class:Map +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__($/;" m class:MapAdapter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__($/;" m class:Rule +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, endpoint, values, method, adapter=None):$/;" m class:BuildError +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, map):$/;" m class:BaseConverter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, map, *items):$/;" m class:AnyConverter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, map, fixed_digits=0, min=None, max=None, signed=False):$/;" m class:NumberConverter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, map, min=None, max=None, signed=False):$/;" m class:FloatConverter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, map, minlength=1, maxlength=None, length=None):$/;" m class:UnicodeConverter +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, matched_values):$/;" m class:RequestAliasRedirect +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, new_url):$/;" m class:RequestRedirect +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, path, rules):$/;" m class:Submount +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, path_info):$/;" m class:RequestPath +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, prefix, rules):$/;" m class:EndpointPrefix +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, rules):$/;" m class:RuleTemplate +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, rules, context):$/;" m class:RuleTemplateFactory +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __init__(self, subdomain, rules):$/;" m class:Subdomain +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def __init__($/;" m class:BaseWSGIServer +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def __init__($/;" m class:ForkingWSGIServer +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def __init__(self, protocol):$/;" m class:_SSLContext +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/serving.py /^ def __init__(self, rfile):$/;" m class:DechunkedInput +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __init__($/;" m class:Client +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __init__($/;" m class:EnvironBuilder +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __init__(self, headers):$/;" m class:_TestCookieHeaders +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __init__(self, headers):$/;" m class:_TestCookieResponse +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def __init__(self, base=".\/", charset="utf-8", sort=False, key=None):$/;" m class:Href +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __init__(self):$/;" m class:UserAgentParser +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __init__(self, environ_or_string):$/;" m class:UserAgent +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __init__(self, dialect):$/;" m class:HTMLBuilder +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __init__(self, func, name=None, doc=None):$/;" m class:cached_property +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __init__(self, import_name, exception):$/;" m class:ImportStringError +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __init__(self, missing=None, extra=None, extra_positional=None):$/;" m class:ArgumentValidationError +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def __init__(self, environ, populate_request=True, shallow=False):$/;" m class:BaseRequest +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def __init__($/;" m class:BaseResponse +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/response.py /^ def __init__(self, response):$/;" m class:ResponseStream +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __init__(self, file, buffer_size=8192):$/;" m class:FileWrapper +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __init__(self, iterable, callbacks=None):$/;" m class:ClosingIterator +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __init__(self, iterable, start_byte=0, byte_range=None):$/;" m class:_RangeWrapper +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __init__(self, stream, limit):$/;" m class:LimitedStream +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __init__($/;" m class:_DictAccessorProperty +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def __init__(self, *args, **kwargs):$/;" m class:WatchdogReloaderLoop +__init__ adpepsenv/lib/python3.8/site-packages/werkzeug/_reloader.py /^ def __init__(self, extra_files=None, interval=1):$/;" m class:ReloaderLoop +__init__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __init__(self, file):$/;" m class:_ELFFileHeader +__init__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __init__(self, interpreter, abi, platform):$/;" m class:Tag +__init__ adpepsenv/lib/python3.8/site-packages/wheel/wheelfile.py /^ def __init__(self, file, mode='r', compression=ZIP_DEFLATED):$/;" m class:WheelFile +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __init__(self, *args, **kwargs):$/;" m class:AdapterWrapper +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __init__(self, factory):$/;" m class:DelegatedAdapterFactory +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __init__(self, wrapped, adapter):$/;" m class:_AdapterFunctionSurrogate +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __init__(self, wrapped_code, adapter_code):$/;" m class:_AdapterFunctionCode +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def __init__(self):$/;" m class:ImportHookFinder +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/importer.py /^ def __init__(self, loader):$/;" m class:_ImportHookChainedLoader +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, *args, **kwargs):$/;" m class:PartialCallableObjectProxy +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, attribute, factory, args, kwargs):$/;" m class:AttributeWrapper +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, wrapped):$/;" m class:ObjectProxy +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, wrapped, callback=None):$/;" m class:WeakFunctionProxy +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, wrapped, instance, wrapper, enabled=None,$/;" m class:_FunctionWrapperBase +__init__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __init__(self, wrapped, wrapper, enabled=None):$/;" m class:FunctionWrapper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/composer.py /^ def __init__(self):$/;" m class:Composer +__init__ adpepsenv/lib/python3.8/site-packages/yaml/constructor.py /^ def __init__(self):$/;" m class:BaseConstructor +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream):$/;" m class:CBaseLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream):$/;" m class:CFullLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream):$/;" m class:CLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream):$/;" m class:CSafeLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream):$/;" m class:CUnsafeLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream,$/;" m class:CBaseDumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream,$/;" m class:CDumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/cyaml.py /^ def __init__(self, stream,$/;" m class:CSafeDumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^ def __init__(self, stream,$/;" m class:BaseDumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^ def __init__(self, stream,$/;" m class:Dumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/dumper.py /^ def __init__(self, stream,$/;" m class:SafeDumper +__init__ adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def __init__(self, scalar, empty, multiline,$/;" m class:ScalarAnalysis +__init__ adpepsenv/lib/python3.8/site-packages/yaml/emitter.py /^ def __init__(self, stream, canonical=None, indent=None, width=None,$/;" m class:Emitter +__init__ adpepsenv/lib/python3.8/site-packages/yaml/error.py /^ def __init__(self, context=None, context_mark=None,$/;" m class:MarkedYAMLError +__init__ adpepsenv/lib/python3.8/site-packages/yaml/error.py /^ def __init__(self, name, index, line, column, buffer, pointer):$/;" m class:Mark +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, anchor, start_mark=None, end_mark=None):$/;" m class:NodeEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, anchor, tag, implicit, start_mark=None, end_mark=None,$/;" m class:CollectionStartEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, anchor, tag, implicit, value,$/;" m class:ScalarEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, start_mark=None, end_mark=None):$/;" m class:Event +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, start_mark=None, end_mark=None, encoding=None):$/;" m class:StreamStartEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, start_mark=None, end_mark=None,$/;" m class:DocumentEndEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __init__(self, start_mark=None, end_mark=None,$/;" m class:DocumentStartEvent +__init__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^ def __init__(self, stream):$/;" m class:BaseLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^ def __init__(self, stream):$/;" m class:FullLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^ def __init__(self, stream):$/;" m class:Loader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^ def __init__(self, stream):$/;" m class:SafeLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/loader.py /^ def __init__(self, stream):$/;" m class:UnsafeLoader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ def __init__(self, tag, value, start_mark, end_mark):$/;" m class:Node +__init__ adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ def __init__(self, tag, value,$/;" m class:CollectionNode +__init__ adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ def __init__(self, tag, value,$/;" m class:ScalarNode +__init__ adpepsenv/lib/python3.8/site-packages/yaml/parser.py /^ def __init__(self):$/;" m class:Parser +__init__ adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def __init__(self, name, position, character, encoding, reason):$/;" m class:ReaderError +__init__ adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def __init__(self, stream):$/;" m class:Reader +__init__ adpepsenv/lib/python3.8/site-packages/yaml/representer.py /^ def __init__(self, default_style=None, default_flow_style=False, sort_keys=True):$/;" m class:BaseRepresenter +__init__ adpepsenv/lib/python3.8/site-packages/yaml/resolver.py /^ def __init__(self):$/;" m class:BaseResolver +__init__ adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def __init__(self):$/;" m class:Scanner +__init__ adpepsenv/lib/python3.8/site-packages/yaml/scanner.py /^ def __init__(self, token_number, required, index, line, column, mark):$/;" m class:SimpleKey +__init__ adpepsenv/lib/python3.8/site-packages/yaml/serializer.py /^ def __init__(self, encoding=None,$/;" m class:Serializer +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, name, value, start_mark, end_mark):$/;" m class:DirectiveToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, start_mark, end_mark):$/;" m class:Token +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, start_mark=None, end_mark=None,$/;" m class:StreamStartToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, value, plain, start_mark, end_mark, style=None):$/;" m class:ScalarToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, value, start_mark, end_mark):$/;" m class:AliasToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, value, start_mark, end_mark):$/;" m class:AnchorToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __init__(self, value, start_mark, end_mark):$/;" m class:TagToken +__init__ adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ def __init__(cls, name, bases, kwds):$/;" m class:YAMLObjectMetaclass +__instance adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^ __instance = None$/;" v class:_NoValueType file: +__instancecheck__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __instancecheck__(cls, obj):$/;" m class:DescriptorMetaclass +__instancecheck__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __instancecheck__(self, instance):$/;" m class:_ArrayMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_all_reduce_strategy.py /^ def __instancecheck__(cls, instance):$/;" m class:_CollectiveAllReduceStrategyExperimentalMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/torch/autograd/variable.py /^ def __instancecheck__(cls, other):$/;" m class:VariableMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(cls, instance):$/;" m class:_ProtocolMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, instance):$/;" m class:_ProtocolMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:AnnotatedMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_ClassVarMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_FinalMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_LiteralMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_NoReturn +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_NoReturnMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_TypeAliasBase +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __instancecheck__(self, obj):$/;" m class:_TypeAliasMeta +__instancecheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __instancecheck__ = __subclasscheck__ = _check_fails$/;" v class:_TypedDictMeta file: +__internal_get adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/data_flow_ops.py /^ def __internal_get(self, get_fn, name):$/;" m class:StagingArea file: +__interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset10.py /^def __interpolate(g, input, size, scale_factor, mode , align_corners, recompute_scale_factor):$/;" f +__interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def __interpolate(g, input, size, scale_factor, mode, align_corners, recompute_scale_factor):$/;" f +__interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset8.py /^def __interpolate(g, input, size, scale_factor, mode, align_corners, recompute_scale_factor):$/;" f +__interpolate adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __interpolate(g, input, size, scale_factor, mode , align_corners, recompute_scale_factor):$/;" f +__int__ adpepsenv/lib/python3.8/site-packages/grpc/experimental/session_cache.py /^ def __int__(self):$/;" m class:SSLSessionCache +__int__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __int__(self): return self.aval._int(self)$/;" m class:Tracer +__int__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __int__(self):$/;" m class:Poly +__int__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_dtype.py /^ def __int__(self):$/;" m class:TestSubarray.test_shape_sequence.IntLike +__int__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __int__(self):$/;" m class:TestConversion.test_to_int_scalar.NotConvertible +__int__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __int__(self):$/;" m class:container +__int__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __int__(self):$/;" m class:MaskedArray +__int__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_generator_mt19937.py /^ def __int__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingInteger +__int__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_random.py /^ def __int__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingInteger +__int__ adpepsenv/lib/python3.8/site-packages/numpy/random/tests/test_randomstate.py /^ def __int__(self):$/;" m class:TestRandomDist.test_scalar_exception_propagation.ThrowingInteger +__int__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __int__(self):$/;" m class:HDC +__int__ adpepsenv/lib/python3.8/site-packages/PIL/ImageWin.py /^ def __int__(self):$/;" m class:HWND +__int__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __int__(self):$/;" m class:_BaseAddress +__int__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __int__(self):$/;" m class:BitString +__int__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __int__(self):$/;" m class:Integer +__int__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __int__(self):$/;" m class:OctetString +__int__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __int__(self):$/;" m class:Real +__int__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __int__(self):$/;" m class:DType +__int__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __int__(self):$/;" m class:Dimension +__int__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __int__(self):$/;" m class:_EagerTensorBase +__int__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __int__(self):$/;" m class:Dimension +__int__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __int__(self):$/;" m class:ndarray +__int__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __int__(self):$/;" m class:BaseResourceVariable +__int__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __int__ = lambda x: int(x._get_current_object())$/;" m class:LocalProxy +__int__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __int__(self):$/;" m class:ObjectProxy +__invert__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __invert__(self): return self.aval._invert(self)$/;" m class:Tracer +__invert__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __invert__ = _unary_method(um.invert, 'invert')$/;" v class:NDArrayOperatorsMixin file: +__invert__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __invert__(self):$/;" m class:container +__invert__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __invert__(self):$/;" m class:_Operand +__invert__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __invert__(self):$/;" m class:ParserElement +__invert__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __invert__( self ):$/;" m class:ParserElement +__invert__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __invert__(self):$/;" m class:Integer +__invert__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __invert__(self):$/;" m class:ParserElement +__invert__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __invert__( self ):$/;" m class:ParserElement +__invert__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __invert__(self):$/;" m class:AggregatingVariable +__invert__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __invert__(self):$/;" m class:DistributedDelegate +__invert__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __invert__ = _overloaded_operator("__invert__")$/;" v class:RaggedTensor file: +__invert__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __invert__ = lambda x: ~(x._get_current_object())$/;" m class:LocalProxy +__invert__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __invert__(self):$/;" m class:ObjectProxy +__IN_ONNX_EXPORT adpepsenv/lib/python3.8/site-packages/torch/onnx/utils.py /^__IN_ONNX_EXPORT = False$/;" v +__iop__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __iop__(self, other):$/;" m class:MaskedConstant +__ior__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __or__, __ror__, __ior__ = _numeric_methods(um.bitwise_or, 'or')$/;" v class:NDArrayOperatorsMixin file: +__ior__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ior__(self, other):$/;" m class:container +__ior__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __ior__(self, other):$/;" m class:MatchFirst +__ior__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __ior__(self, other ):$/;" m class:MatchFirst +__ior__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __ior__(self, other):$/;" m class:MatchFirst +__ior__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __ior__(self, other ):$/;" m class:MatchFirst +__ior__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ior__(self, other):$/;" m class:ObjectProxy +__ipow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __pow__, __rpow__, __ipow__ = _numeric_methods(um.power, 'pow')$/;" v class:NDArrayOperatorsMixin file: +__ipow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ipow__(self, other):$/;" m class:container +__ipow__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __ipow__(self, other):$/;" m class:MaskedArray +__ipow__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __ipow__(self, other):$/;" m class:matrix +__ipow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __ipow__(self, unused_other):$/;" m class:BaseResourceVariable +__ipow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __ipow__(self, other):$/;" m class:RefVariable +__ipow__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __ipow__(self, other): # type: ignore[misc]$/;" m class:Tensor +__ipow__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ipow__(self, other):$/;" m class:ObjectProxy +__irealdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __irealdiv__(self, unused_other):$/;" m class:BaseResourceVariable +__irealdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __irealdiv__(self, other):$/;" m class:RefVariable +__irshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __rshift__, __rrshift__, __irshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__irshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __irshift__(self, other):$/;" m class:container +__irshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __irshift__(self, other):$/;" m class:ObjectProxy +__isub__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_colors.py /^ def __isub__(self, other):$/;" m class:test_ndarray_subclass_norm.MyArray +__isub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __sub__, __rsub__, __isub__ = _numeric_methods(um.subtract, 'sub')$/;" v class:NDArrayOperatorsMixin file: +__isub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __isub__(self, other):$/;" m class:container +__isub__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __isub__(self, other):$/;" m class:MaskedArray +__isub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __isub__(self, other):$/;" m class:spmatrix +__isub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __isub__(self,other):$/;" m class:lil_matrix +__isub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __isub__(self, unused_other):$/;" m class:BaseResourceVariable +__isub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __isub__(self, other):$/;" m class:RefVariable +__isub__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __isub__(self, other):$/;" m class:ObjectProxy +__is_compatible adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __is_compatible(a, b):$/;" m class:TypeSpec file: +__is_fp_closed adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/filewrapper.py /^ def __is_fp_closed(self):$/;" m class:CallbackFileWrapper file: +__iter__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __iter__(self):$/;" m class:FlagValues +__iter__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __iter__(self):$/;" m class:_ParameterizedTestIter +__iter__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __iter__(self):$/;" m class:Cache +__iter__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __iter__(self):$/;" m class:TTLCache +__iter__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def __iter__(self):$/;" m class:_BlobDict +__iter__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __iter__(self):$/;" m class:Cycler +__iter__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __iter__(self):$/;" m class:_timelex +__iter__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __iter__(self):$/;" m class:rrulebase +__iter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __iter__(self):$/;" m class:MessageMap +__iter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __iter__(self):$/;" m class:ScalarMap +__iter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __iter__(self):$/;" m class:UnknownFieldSet +__iter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __iter__(self):$/;" m class:_ExtensionDict +__iter__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __iter__(self):$/;" m class:Struct +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __iter__(self) -> Iterator[Tuple[MetadataKey, MetadataValue]]:$/;" m class:Metadata +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __iter__(self):$/;" m class:_Rendezvous +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def __iter__(self):$/;" m class:IterableConsumer +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __iter__(self):$/;" m class:_Rendezvous +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __iter__(self):$/;" m class:_FailureOutcome +__iter__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __iter__(self):$/;" m class:_RequestIterator +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __iter__(self):$/;" m class:AttributeManager +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __iter__(self):$/;" m class:ItemsViewHDF5 +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __iter__(self):$/;" m class:ValuesViewHDF5 +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __iter__(self):$/;" m class:Dataset +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __iter__(self):$/;" m class:DimensionManager +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __iter__(self):$/;" m class:DimensionProxy +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __iter__(self):$/;" m class:Group +__iter__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/selections2.py /^ def __iter__(self):$/;" m class:ScalarReadSelection +__iter__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __iter__(self):$/;" m class:Tracer +__iter__ adpepsenv/lib/python3.8/site-packages/jax/custom_derivatives.py /^ def __iter__(self):$/;" m class:Residuals +__iter__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __iter__(self):$/;" m class:_BodyTracer +__iter__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __iter__(self):$/;" m class:FrozenDict +__iter__ adpepsenv/lib/python3.8/site-packages/jax/experimental/optimizers.py /^ def __iter__(self):$/;" m class:JoinPoint +__iter__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __iter__(self):$/;" f +__iter__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def __iter__(self):$/;" m class:Iterator +__iter__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __iter__(self):$/;" m class:Registry +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/animation.py /^ def __iter__(self):$/;" m class:MovieWriterRegistry +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __iter__(self):$/;" m class:Grouper +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __iter__(self):$/;" m class:_OrderedSet +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __iter__(self):$/;" m class:_DeprecatedCmapDictWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __iter__(self):$/;" m class:Dvi +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __iter__(self):$/;" m class:Encoding +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_cbook.py /^ def __iter__(self):$/;" m class:test_reshape2d.ArraySubclass +__iter__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __iter__(self):$/;" m class:RcParams +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __iter__(self):$/;" m class:TestCreation.test_false_len_iterable.C +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __iter__(self):$/;" m class:TestRegression.test_2d__array__shape.T +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/arrayterator.py /^ def __iter__(self):$/;" m class:Arrayterator +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __iter__(self):$/;" m class:ndenumerate +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __iter__(self):$/;" m class:ndindex +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __iter__(self):$/;" m class:NpzFile +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __iter__(self):$/;" m class:poly1d +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __iter__(self):$/;" m class:MaskedIterator +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __iter__(self):$/;" m class:mvoid +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __iter__(self):$/;" m class:CSAIterator +__iter__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __iter__(self):$/;" m class:ABCPolyBase +__iter__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __iter__(self):$/;" m class:Exif +__iter__ adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^ def __iter__(self):$/;" m class:Iterator +__iter__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __iter__(self):$/;" m class:ImageFileDirectory_v1 +__iter__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __iter__(self):$/;" m class:ImageFileDirectory_v2 +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __iter__(self):$/;" m class:FakeFile +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def __iter__(self):$/;" m class:VcsSupport +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __iter__(self):$/;" m class:ChainMap +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __iter__(self):$/;" m class:OrderedDict +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __iter__(self):$/;" m class:LegacyMetadata +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __iter__(self):$/;" m class:CSVReader +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __iter__(self):$/;" m class:ExFileObject +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __iter__(self):$/;" m class:TarFile +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __iter__(self):$/;" m class:TarIter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/alphabeticalattributes.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/base.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/inject_meta_charset.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/lint.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/optionaltags.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/sanitizer.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/filters/whitespace.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __iter__(self):$/;" m class:getDomBuilder.AttrList +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __iter__(self):$/;" m class:TreeBuilder.__init__.Attributes +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def __iter__(self):$/;" m class:NonRecursiveTreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/base.py /^ def __iter__(self):$/;" m class:TreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/genshi.py /^ def __iter__(self):$/;" m class:TreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __iter__(self):$/;" m class:EncodingBytes +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_tokenizer.py /^ def __iter__(self):$/;" m class:HTMLTokenizer +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def __iter__(self):$/;" m class:Trie +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __iter__(self):$/;" m class:BoundMethodDispatcher +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __iter__(self):$/;" m class:_BaseNetwork +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def __iter__(self):$/;" m class:Unpacker +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __iter__(self):$/;" m class:SpecifierSet +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __iter__(self):$/;" m class:Environment +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __iter__(self):$/;" m class:WorkingSet +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __iter__(self):$/;" m class:ParserElement +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __iter__(self):$/;" m class:ParseResults +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __iter__(self):$/;" m class:Response +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __iter__(self):$/;" m class:CaseInsensitiveDict +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def __iter__(self):$/;" m class:DirectedGraph +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/response.py /^ def __iter__(self):$/;" m class:HTTPResponse +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __iter__(self):$/;" m class:HTTPHeaderDict +__iter__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __iter__(self):$/;" m class:RecentlyUsedContainer +__iter__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __iter__(self):$/;" m class:SpecifierSet +__iter__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __iter__( self ): return iter( self.__toklist )$/;" m class:ParseResults +__iter__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __iter__(self):$/;" m class:Environment +__iter__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __iter__(self):$/;" m class:WorkingSet +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __iter__(self):$/;" m class:AbstractConstraintSet +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __iter__(self):$/;" m class:SingleValueConstraint +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __iter__(self):$/;" m class:NamedType +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __iter__(self):$/;" m class:NamedTypes +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __iter__(self):$/;" m class:NamedValues +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/opentype.py /^ def __iter__(self):$/;" m class:OpenType +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __iter__(self):$/;" m class:Tag +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def __iter__(self):$/;" m class:TagMap +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:SequenceAndSetBase.DynamicNames +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:BitString +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:Choice +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:ObjectIdentifier +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:OctetString +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:SequenceAndSetBase +__iter__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __iter__(self):$/;" m class:SequenceOfAndSetOfBase +__iter__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __iter__(self):$/;" m class:ParserElement +__iter__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __iter__(self):$/;" m class:ParseResults +__iter__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __iter__(self):$/;" m class:Response +__iter__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __iter__(self):$/;" m class:CaseInsensitiveDict +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __iter__(self):$/;" m class:MetaData +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __iter__(self):$/;" m class:DifferentialEvolutionSolver +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __iter__(self):$/;" m class:spmatrix +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csc.py /^ def __iter__(self):$/;" m class:csc_matrix +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/csr.py /^ def __iter__(self):$/;" m class:csr_matrix +__iter__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def __iter__(self):$/;" m class:DisjointSet +__iter__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __iter__(self):$/;" m class:Credential +__iter__ adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^ def __iter__(self):$/;" m class:Bytecode_compat +__iter__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __iter__(self):$/;" m class:OrderedSet +__iter__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __iter__(self):$/;" m class:SpecifierSet +__iter__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __iter__( self ): return iter( self.__toklist )$/;" m class:ParseResults +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def __iter__(self):$/;" m class:_PyRecordReaderIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __iter__(self):$/;" m class:_FlagValuesWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __iter__(self):$/;" m class:GFile +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __iter__(self):$/;" m class:TensorShape +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/linkifier.py /^ def __iter__(self):$/;" m class:LinkifyFilter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/alphabeticalattributes.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/base.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/inject_meta_charset.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/lint.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/optionaltags.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/sanitizer.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/filters/whitespace.py /^ def __iter__(self):$/;" m class:Filter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __iter__(self):$/;" m class:getDomBuilder.AttrList +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def __iter__(self):$/;" m class:NonRecursiveTreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/base.py /^ def __iter__(self):$/;" m class:TreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/genshi.py /^ def __iter__(self):$/;" m class:TreeWalker +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __iter__(self):$/;" m class:EncodingBytes +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_tokenizer.py /^ def __iter__(self):$/;" m class:HTMLTokenizer +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def __iter__(self):$/;" m class:Trie +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def __iter__(self):$/;" m class:Trie +__iter__ adpepsenv/lib/python3.8/site-packages/tensorboard_plugin_profile/convert/trace_events_json.py /^ def __iter__(self):$/;" m class:TraceEventsJsonStream +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __iter__(self):$/;" m class:_StateStack +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __iter__(self):$/;" m class:DatasetV1Adapter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __iter__(self):$/;" m class:DatasetV2 +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __iter__(self):$/;" m class:_NumpyIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __iter__(self):$/;" m class:OwnedIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __iter__(self):$/;" m class:OwnedMultiDeviceIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __iter__(self):$/;" m class:_PerWorkerDistributedDataset +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedDataset +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedDatasetInterface +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedDatasetsFromFunction +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedDatasetsFromFunctionV1 +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedDatasetV1 +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:DistributedIteratorBase +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __iter__(self):$/;" m class:_IterableInput +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __iter__(self):$/;" m class:MockOsEnv +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ def __iter__(self):$/;" m class:ShardedVariableMixin +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __iter__(self):$/;" m class:Tensor +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __iter__(self):$/;" m class:_TensorIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __iter__(self):$/;" m class:TensorShape +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __iter__(self):$/;" m class:NamedObject +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/callbacks.py /^ def __iter__(self):$/;" m class:CallbackList +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __iter__(self):$/;" m class:KerasTensor +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __iter__(self):$/;" m class:_KerasTensorIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __iter__(self):$/;" m class:Sequence +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __iter__(self):$/;" m class:ThreadsafeIter +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def __iter__(self):$/;" m class:FileIO +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __iter__(self):$/;" m class:ndarray +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __iter__(self):$/;" m class:PartitionedVariable +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __iter__(self):$/;" m class:Variable +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __iter__(self):$/;" m class:_FlagValuesWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ def __iter__(self):$/;" m class:ModeKeyMap +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def __iter__(self):$/;" m class:_SignatureMap +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^ def __iter__(self):$/;" m class:_SummaryIterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __iter__(self):$/;" m class:Mapping +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^ def __iter__(self):$/;" m class:Iterable +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^ def __iter__(self):$/;" m class:Iterator +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __iter__(self):$/;" m class:ObjectIdentityDictionary +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __iter__(self):$/;" m class:ObjectIdentitySet +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __iter__(self):$/;" m class:ObjectIdentityWeakKeyDictionary +__iter__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __iter__(self):$/;" m class:ObjectIdentityWeakSet +__iter__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __iter__(self):$/;" m class:RecursiveScriptModule +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __iter__(self):$/;" m class:OrderedDictWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iter__(self) -> Iterator['Parameter']:$/;" m class:ParameterList +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iter__(self) -> Iterator[Module]:$/;" m class:ModuleList +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iter__(self) -> Iterator[Module]:$/;" m class:Sequential +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iter__(self) -> Iterator[str]:$/;" m class:ModuleDict +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __iter__(self) -> Iterator[str]:$/;" m class:ParameterDict +__iter__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __iter__(self):$/;" m class:PruningContainer +__iter__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __iter__(self):$/;" m class:_StorageBase +__iter__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __iter__(self):$/;" m class:Tensor +__iter__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __iter__(self):$/;" m class:NoArgsClass +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __iter__(self) -> '_BaseDataLoaderIter':$/;" m class:DataLoader +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __iter__(self) -> '_BaseDataLoaderIter':$/;" m class:_BaseDataLoaderIter +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __iter__(self):$/;" m class:_InfiniteConstantSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __iter__(self) -> Iterator[T_co]:$/;" m class:IterableDataset +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __iter__(self):$/;" m class:ChainDataset +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^ def __iter__(self) -> Iterator[T_co]:$/;" m class:DistributedSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self) -> Iterator[T_co]:$/;" m class:Sampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self):$/;" m class:BatchSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self):$/;" m class:RandomSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self):$/;" m class:SequentialSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self):$/;" m class:SubsetRandomSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __iter__(self):$/;" m class:WeightedRandomSampler +__iter__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __iter__(self) -> Iterable['Proxy']:$/;" m class:Proxy +__iter__ adpepsenv/lib/python3.8/site-packages/urllib3/response.py /^ def __iter__(self):$/;" m class:HTTPResponse +__iter__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __iter__(self):$/;" m class:HTTPHeaderDict +__iter__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __iter__(self):$/;" m class:RecentlyUsedContainer +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:EnvironHeaders +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:ETags +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:FileStorage +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:Headers +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:HeaderSet +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __iter__(self):$/;" m class:ViewItems +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __iter__ = keys$/;" v class:CombinedMultiDict file: +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __iter__ = keys$/;" v class:MultiDict file: +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __iter__ = keys$/;" v class:OrderedMultiDict file: +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __iter__(self):$/;" m class:Local +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __iter__ = lambda x: iter(x._get_current_object())$/;" m class:LocalProxy +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __iter__(self):$/;" m class:GuardedIterator +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __iter__(self):$/;" m class:InputStream +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __iter__(self):$/;" m class:ClosingIterator +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __iter__(self):$/;" m class:FileWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __iter__(self):$/;" m class:LimitedStream +__iter__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __iter__(self):$/;" m class:_RangeWrapper +__iter__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __iter__(self):$/;" m class:ObjectProxy +__itruediv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __truediv__, __rtruediv__, __itruediv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__itruediv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __itruediv__(self, other):$/;" m class:MaskedArray +__itruediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __itruediv__(self, other):$/;" m class:spmatrix +__itruediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __itruediv__(self, other): # self \/= other$/;" m class:_data_matrix +__itruediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __itruediv__(self, other):$/;" m class:dok_matrix +__itruediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __itruediv__(self,other):$/;" m class:lil_matrix +__itruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __itruediv__(self, unused_other):$/;" m class:BaseResourceVariable +__itruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __itruediv__(self, other):$/;" m class:RefVariable +__itruediv__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __itruediv__ = _C._TensorBase.__idiv__$/;" v class:Tensor file: +__itruediv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __itruediv__(self, other):$/;" m class:ObjectProxy +__ixor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __xor__, __rxor__, __ixor__ = _numeric_methods(um.bitwise_xor, 'xor')$/;" v class:NDArrayOperatorsMixin file: +__ixor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ixor__(self, other):$/;" m class:container +__ixor__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __ixor__(self, other):$/;" m class:Or +__ixor__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __ixor__(self, other ):$/;" m class:Or +__ixor__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __ixor__(self, other):$/;" m class:Or +__ixor__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __ixor__(self, other ):$/;" m class:Or +__ixor__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ixor__(self, other):$/;" m class:ObjectProxy +__jit_unused_properties__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ __jit_unused_properties__ = ['code', 'code_with_constants', 'graph', 'inlined_graph', 'o/;" v class:ScriptModule file: +__jit_unused_properties__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ __jit_unused_properties__ = ['all_weights']$/;" v class:RNNBase file: +__jit_unused_properties__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ __jit_unused_properties__ = ['graph']$/;" v class:GraphModule file: +__kwdefaults__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __kwdefaults__(self):$/;" m class:AdapterWrapper +__kwdefaults__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __kwdefaults__(self):$/;" m class:_AdapterFunctionSurrogate +__legacy__ adpepsenv/lib/python3.8/site-packages/setuptools/build_meta.py /^__legacy__ = _BuildMetaLegacyBackend()$/;" v +__len_test_types adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^__len_test_types = len(__test_types)$/;" v +__len__ adpeps/utils/empty_tensor.py /^ def __len__(self):$/;" m class:EmptyT +__len__ adpeps/utils/nested.py /^ def __len__(self):$/;" m class:Nested +__len__ adpeps/utils/tlist.py /^ def __len__(self):$/;" m class:TList +__len__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __len__(self):$/;" m class:FlagValues +__len__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __len__(self):$/;" m class:Cache +__len__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __len__(self):$/;" m class:TTLCache +__len__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __len__(self):$/;" m class:Struct +__len__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def __len__(self):$/;" m class:_BlobDict +__len__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __len__(self):$/;" m class:Cycler +__len__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __len__(self):$/;" m class:_resultbase +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __len__(self):$/;" m class:BaseContainer +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __len__(self):$/;" m class:MessageMap +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __len__(self):$/;" m class:ScalarMap +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __len__(self):$/;" m class:UnknownFieldSet +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __len__(self):$/;" m class:_ExtensionDict +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __len__(self):$/;" m class:ListValue +__len__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __len__(self):$/;" m class:Struct +__len__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __len__(self) -> int:$/;" m class:Metadata +__len__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __len__(self):$/;" m class:AttributeManager +__len__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __len__(self):$/;" m class:Dataset +__len__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __len__(self):$/;" m class:DimensionManager +__len__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __len__(self):$/;" m class:DimensionProxy +__len__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __len__(self):$/;" m class:Group +__len__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __len__(self):$/;" m class:ShapeDtypeStruct +__len__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __len__(self):$/;" m class:ShapedArray +__len__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __len__(self):$/;" m class:Tracer +__len__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __len__(self):$/;" m class:FrozenDict +__len__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __len__(self):$/;" f +__len__ adpepsenv/lib/python3.8/site-packages/jax/test_util.py /^ def __len__(self): return 0$/;" m class:ScalarShape +__len__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def __len__(self):$/;" m class:Iterator +__len__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/sequence.py /^ def __len__(self):$/;" m class:TimeseriesGenerator +__len__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __len__(self):$/;" m class:Registry +__len__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __len__(self):$/;" m class:Stack +__len__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __len__(self):$/;" m class:_OrderedSet +__len__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __len__(self):$/;" m class:_DeprecatedCmapDictWrapper +__len__ adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def __len__(self):$/;" m class:Path +__len__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __len__(self):$/;" m class:RcParams +__len__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_grid.py /^ def __len__(self):$/;" m class:Grid +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_indexing.py /^ def __len__(self):$/;" m class:TestIndexing.test_broken_sequence_not_nd_index.SequenceLike +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self): raise RuntimeError$/;" m class:TestAssignment.test_stringlike_empty_list.bad_sequence +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_bad_array_like_bad_length.BadSequence +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_failed_len_sequence.A +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_false_len_iterable.C +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_false_len_sequence.C +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_non_sequence_sequence.Fail +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __len__(self):$/;" m class:TestCreation.test_non_sequence_sequence.Map +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __len__(self):$/;" m class:test_getattr_warning.Wrapper +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __len__(self):$/;" m class:TestRegression.test_2d__array__shape.T +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __len__(self):$/;" m class:TestRegression.test_lexsort_invalid_sequence.BuggySequence +__len__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __len__(self):$/;" m class:TestUfunc.test_custom_array_like.MyThing +__len__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __len__(self):$/;" m class:AxisConcatenator +__len__ adpepsenv/lib/python3.8/site-packages/numpy/lib/npyio.py /^ def __len__(self):$/;" m class:NpzFile +__len__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __len__(self):$/;" m class:poly1d +__len__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __len__(self):$/;" m class:container +__len__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __len__(self):$/;" m class:mvoid +__len__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __len__(self):$/;" m class:MaskedRecords +__len__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __len__(self):$/;" m class:ABCPolyBase +__len__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __len__(self):$/;" m class:Exif +__len__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __len__(self):$/;" m class:XrefTable +__len__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __len__(self):$/;" m class:ImageFileDirectory_v1 +__len__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __len__(self):$/;" m class:ImageFileDirectory_v2 +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __len__(self):$/;" m class:ChainMap +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __len__(self):$/;" m class:getDomBuilder.AttrList +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __len__(self):$/;" m class:TreeBuilder.__init__.Attributes +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __len__(self):$/;" m class:FragmentWrapper +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __len__(self):$/;" m class:Root +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_trie/py.py /^ def __len__(self):$/;" m class:Trie +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_utils.py /^ def __len__(self):$/;" m class:BoundMethodDispatcher +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __len__(self):$/;" m class:SpecifierSet +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __len__(self):$/;" m class:ParseResults +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __len__(self):$/;" m class:CaseInsensitiveDict +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/structs.py /^ def __len__(self):$/;" m class:DirectedGraph +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __len__(self):$/;" m class:HTTPHeaderDict +__len__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __len__(self):$/;" m class:RecentlyUsedContainer +__len__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __len__(self):$/;" m class:SpecifierSet +__len__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __len__( self ): return len( self.__toklist )$/;" m class:ParseResults +__len__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __len__(self):$/;" m class:AbstractConstraintSet +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __len__(self):$/;" m class:NamedTypes +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __len__(self):$/;" m class:NamedValues +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __len__(self):$/;" m class:TagSet +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:SequenceAndSetBase.DynamicNames +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:BitString +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:Choice +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:ObjectIdentifier +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:OctetString +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:SequenceAndSetBase +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:SequenceOfAndSetOfBase +__len__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __len__(self):$/;" m class:SizedInteger +__len__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __len__(self):$/;" m class:ParseResults +__len__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __len__(self):$/;" m class:CaseInsensitiveDict +__len__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __len__(self):$/;" m class:spmatrix +__len__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __len__(self):$/;" m class:dok_matrix +__len__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_disjoint_set.py /^ def __len__(self):$/;" m class:DisjointSet +__len__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __len__(self):$/;" m class:OrderedSet +__len__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __len__(self):$/;" m class:SpecifierSet +__len__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __len__( self ): return len( self.__toklist )$/;" m class:ParseResults +__len__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __len__(self):$/;" m class:_FlagValuesWrapper +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __len__(self):$/;" m class:TensorShape +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __len__(self):$/;" m class:getDomBuilder.AttrList +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __len__(self):$/;" m class:FragmentWrapper +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __len__(self):$/;" m class:Root +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/datrie.py /^ def __len__(self):$/;" m class:Trie +__len__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_trie/py.py /^ def __len__(self):$/;" m class:Trie +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ def __len__(self):$/;" m class:_TransformedFnCache +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __len__(self):$/;" m class:DatasetV2 +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/cli/debugger_cli_common.py /^ def __len__(self):$/;" m class:RichLine +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __len__(self):$/;" m class:MockOsEnv +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __len__(self):$/;" m class:Tensor +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __len__(self):$/;" m class:_EagerTensorBase +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __len__(self):$/;" m class:TensorShape +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/traceable_stack.py /^ def __len__(self):$/;" m class:TraceableStack +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __len__(self):$/;" m class:KerasTensor +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __len__(self):$/;" m class:Sequence +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __len__(self):$/;" m class:ndarray +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __len__(self):$/;" m class:PartitionedVariable +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __len__(self):$/;" m class:_FlagValuesWrapper +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/mode_keys.py /^ def __len__(self):$/;" m class:ModeKeyMap +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def __len__(self):$/;" m class:_SignatureMap +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __len__(self):$/;" m class:List +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __len__(self):$/;" m class:Mapping +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __len__(self):$/;" m class:ObjectIdentityDictionary +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __len__(self):$/;" m class:ObjectIdentitySet +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __len__(self):$/;" m class:ObjectIdentityWeakKeyDictionary +__len__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __len__(self):$/;" m class:ObjectIdentityWeakSet +__len__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __len__(self):$/;" m class:RecursiveScriptModule +__len__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __len__(self):$/;" m class:OrderedDictWrapper +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __len__(self):$/;" m class:OrderedDictWrapper +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __len__(self) -> int:$/;" m class:ModuleDict +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __len__(self) -> int:$/;" m class:ModuleList +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __len__(self) -> int:$/;" m class:ParameterDict +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __len__(self) -> int:$/;" m class:ParameterList +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __len__(self) -> int:$/;" m class:Sequential +__len__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/prune.py /^ def __len__(self):$/;" m class:PruningContainer +__len__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __len__(self):$/;" m class:Tensor +__len__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __len__(self):$/;" m class:NoArgsClass +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __len__(self) -> int:$/;" m class:DataLoader +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __len__(self) -> int:$/;" m class:_BaseDataLoaderIter +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __len__(self):$/;" m class:ChainDataset +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __len__(self):$/;" m class:ConcatDataset +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __len__(self):$/;" m class:Subset +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataset.py /^ def __len__(self):$/;" m class:TensorDataset +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/distributed.py /^ def __len__(self) -> int:$/;" m class:DistributedSampler +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __len__(self) -> int:$/;" m class:SequentialSampler +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __len__(self):$/;" m class:BatchSampler +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __len__(self):$/;" m class:RandomSampler +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __len__(self):$/;" m class:SubsetRandomSampler +__len__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/sampler.py /^ def __len__(self):$/;" m class:WeightedRandomSampler +__len__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __len__(self):$/;" m class:X +__len__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __len__(self):$/;" m class:HTTPHeaderDict +__len__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __len__(self):$/;" m class:RecentlyUsedContainer +__len__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __len__(self):$/;" m class:CombinedMultiDict +__len__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __len__(self):$/;" m class:EnvironHeaders +__len__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __len__(self):$/;" m class:Headers +__len__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __len__(self):$/;" m class:HeaderSet +__len__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __len__ = lambda x: len(x._get_current_object())$/;" m class:LocalProxy +__len__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __len__(self):$/;" m class:ObjectProxy +__le__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __le__(self, other): return self.aval._le(self, other)$/;" m class:Tracer +__le__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __le__(self, other):$/;" m class:_DoubleDouble +__le__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __le__(self, other: 'Size'):$/;" m class:Poly +__le__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __le__(self, rhs):$/;" m class:Duration +__le__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __le__(self, rhs):$/;" m class:Epoch +__le__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __le__(self, rhs):$/;" m class:UnitDbl +__le__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __le__(self, other):$/;" m class:chararray +__le__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __le__ = _all$/;" v class:TestArrayPriority.Other file: +__le__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __le__ = _binary_method(um.less_equal, 'le')$/;" v class:NDArrayOperatorsMixin file: +__le__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __le__(self, other):$/;" m class:container +__le__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __le__(self, other):$/;" m class:NumpyVersion +__le__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __le__(self, other):$/;" m class:_Operand +__le__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __le__ = _delegate("__le__")$/;" v class:IFDRational file: +__le__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __le__(self, other):$/;" m class:_Deprecated_Version +__le__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __le__(self, other):$/;" m class:KeyBasedCompareMixin +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __le__(self, other):$/;" m class:Version +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __le__(self, other):$/;" m class:_TotalOrderingMixin +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __le__(self, other):$/;" m class:_BaseVersion +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:InfinityType +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:NegativeInfinityType +__le__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __le__(self, other):$/;" m class:Distribution +__le__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __le__(self, other):$/;" m class:_BaseVersion +__le__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:Infinity +__le__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:NegativeInfinity +__le__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __le__(self, other):$/;" m class:Distribution +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __le__(self, other):$/;" m class:ConstructedAsn1Type +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __le__(self, other):$/;" m class:SimpleAsn1Type +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __le__(self, other):$/;" m class:AbstractConstraint +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __le__(self, other):$/;" m class:NamedType +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __le__(self, other):$/;" m class:NamedTypes +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __le__(self, other):$/;" m class:NamedValues +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __le__(self, other):$/;" m class:Tag +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __le__(self, other):$/;" m class:TagSet +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __le__(self, other):$/;" m class:BitString +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __le__(self, other):$/;" m class:Choice +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __le__(self, value):$/;" m class:Integer +__le__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __le__(self, value):$/;" m class:Real +__le__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __le__(self, other):$/;" m class:spmatrix +__le__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __le__(self, other):$/;" m class:_cs_matrix +__le__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __le__(self, other):$/;" m class:KDTree.node +__le__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __le__(self, other):$/;" m class:Infinity +__le__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __le__(self, other):$/;" m class:NegativeInfinity +__le__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __le__(self, other):$/;" m class:_BaseVersion +__le__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __le__(self, other):$/;" m class:Version +__le__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __le__(self, other):$/;" m class:_BaseVersion +__le__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:Infinity +__le__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __le__(self, other):$/;" m class:NegativeInfinity +__le__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __le__(self, other):$/;" m class:Dimension +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __le__(self, o):$/;" m class:AggregatingVariable +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __le__(self, o):$/;" m class:DistributedDelegate +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __le__(self, other):$/;" m class:Dimension +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __le__(self, o):$/;" m class:AutoCastVariable +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __le__ = _overloaded_operator("__le__")$/;" v class:RaggedTensor file: +__le__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __le__(self, other):$/;" m class:ListWrapper +__le__ adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^ def __le__(self, other):$/;" m class:_Match +__le__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __le__ = _wrap_type_error_to_not_implemented(_C._TensorBase.le)$/;" v class:Tensor file: +__le__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __le__ = lambda x, o: x._get_current_object() <= o$/;" m class:LocalProxy +__le__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __le__(self, other):$/;" m class:ObjectProxy +__license__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^__license__ = __doc__$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^__license__ = "BSD"$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__license__ = "BSD-2-Clause or Apache-2.0"$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__license__ = 'Apache 2.0'$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^__license__ = "MIT"$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__license__ = "BSD or Apache License, Version 2.0"$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__license__ = 'Apache 2.0'$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__license__ = "BSD or Apache License, Version 2.0"$/;" v +__license__ adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^__license__ = "MIT"$/;" v +__loaded adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ __loaded = 0$/;" v class:WebPImageFile file: +__logical_frame adpepsenv/lib/python3.8/site-packages/PIL/WebPImagePlugin.py /^ __logical_frame = 0$/;" v class:WebPImageFile file: +__long__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __long__(self): return self.aval._long(self)$/;" m class:Tracer +__long__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __long__(self):$/;" m class:BitString +__long__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __long__(self):$/;" m class:Integer +__long__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __long__(self):$/;" m class:Real +__long__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __long__(self):$/;" m class:Dimension +__long__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __long__(self):$/;" m class:_EagerTensorBase +__long__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __long__(self):$/;" m class:Dimension +__long__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __long__(self):$/;" m class:BaseResourceVariable +__long__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __long__ = lambda x: long(x._get_current_object()) # noqa$/;" m class:LocalProxy +__long__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __long__(self):$/;" m class:ObjectProxy +__lookup adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __lookup(self, sub):$/;" m class:ParseResults file: +__lookup adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __lookup(self,sub):$/;" m class:ParseResults file: +__lookup adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __lookup(self, sub):$/;" m class:ParseResults file: +__lookup adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __lookup(self,sub):$/;" m class:ParseResults file: +__lshift_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def __lshift_(g, self, other):$/;" f +__lshift_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __lshift_(g, self, other):$/;" f +__lshift__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __lshift__(self, other): return self.aval._lshift(self, other)$/;" m class:Tracer +__lshift__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __lshift__ = __rlshift__ = _all$/;" v class:TestArrayPriority.Other file: +__lshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __lshift__, __rlshift__, __ilshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__lshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __lshift__(self, other):$/;" m class:container +__lshift__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __lshift__(self, other):$/;" m class:_Operand +__lshift__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __lshift__(self, other):$/;" m class:Forward +__lshift__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __lshift__( self, other ):$/;" m class:Forward +__lshift__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lshift__(self, count):$/;" m class:BitString +__lshift__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lshift__(self, value):$/;" m class:Integer +__lshift__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __lshift__(self, other):$/;" m class:Forward +__lshift__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __lshift__( self, other ):$/;" m class:Forward +__lshift__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __lshift__ = lambda x, o: x._get_current_object() << o$/;" m class:LocalProxy +__lshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __lshift__(self, other):$/;" m class:ObjectProxy +__lt__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flag.py /^ def __lt__(self, other):$/;" m class:Flag +__lt__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __lt__(self, other):$/;" m class:rruleset._genitem +__lt__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __lt__(self, other):$/;" m class:_UnknownField +__lt__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __lt__(self, other): return self.aval._lt(self, other)$/;" m class:Tracer +__lt__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __lt__(self, other):$/;" m class:Var +__lt__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __lt__(self, other):$/;" m class:_DoubleDouble +__lt__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __lt__(self, other):$/;" m class:UniqueId +__lt__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __lt__(self, other: 'Mon'):$/;" m class:Mon +__lt__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __lt__(self, other: 'Size'):$/;" m class:Poly +__lt__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __lt__(self, other):$/;" m class:Name +__lt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __lt__(self, rhs):$/;" m class:Duration +__lt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __lt__(self, rhs):$/;" m class:Epoch +__lt__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __lt__(self, rhs):$/;" m class:UnitDbl +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __lt__(self, other):$/;" m class:chararray +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __lt__(self, other):$/;" m class:TestMethods.test_sort_bad_ordering.Boom +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __lt__ = _all$/;" v class:TestArrayPriority.Other file: +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __lt__ = _binary_method(um.less, 'lt')$/;" v class:NDArrayOperatorsMixin file: +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __lt__(self, other):$/;" m class:container +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __lt__(self, other):$/;" m class:NumpyVersion +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __lt__(self, other):$/;" m class:TestAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +__lt__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __lt__(self, other):$/;" m class:TestArrayAlmostEqual.test_subclass_that_cannot_be_bool.MyArray +__lt__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __lt__(self, other):$/;" m class:_Operand +__lt__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __lt__ = _delegate("__lt__")$/;" v class:IFDRational file: +__lt__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __lt__(self, other):$/;" m class:_Deprecated_Version +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __lt__(self, other):$/;" m class:KeyBasedCompareMixin +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __lt__(self, other):$/;" m class:Version +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __lt__(self, other):$/;" m class:IPv4Interface +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __lt__(self, other):$/;" m class:IPv6Interface +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __lt__(self, other):$/;" m class:_BaseAddress +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __lt__(self, other):$/;" m class:_BaseNetwork +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __lt__(self, other):$/;" m class:_TotalOrderingMixin +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __lt__(self, other):$/;" m class:_BaseVersion +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:InfinityType +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:NegativeInfinityType +__lt__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __lt__(self, other):$/;" m class:Distribution +__lt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __lt__(self, other):$/;" m class:_BaseVersion +__lt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:Infinity +__lt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:NegativeInfinity +__lt__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __lt__(self, other):$/;" m class:Distribution +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __lt__(self, other):$/;" m class:ConstructedAsn1Type +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __lt__(self, other):$/;" m class:SimpleAsn1Type +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __lt__(self, other):$/;" m class:AbstractConstraint +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __lt__(self, other):$/;" m class:NamedType +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __lt__(self, other):$/;" m class:NamedTypes +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __lt__(self, other):$/;" m class:NamedValues +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __lt__(self, other):$/;" m class:Tag +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __lt__(self, other):$/;" m class:TagSet +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lt__(self, other):$/;" m class:BitString +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lt__(self, other):$/;" m class:Choice +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lt__(self, value):$/;" m class:Integer +__lt__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __lt__(self, value):$/;" m class:Real +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/cluster/hierarchy.py /^ def __lt__(self, node):$/;" m class:ClusterNode +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __lt__(self, other):$/;" m class:spmatrix +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __lt__(self, other):$/;" m class:_cs_matrix +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __lt__(self, other):$/;" m class:KDTree.node +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def __lt__(self, other):$/;" m class:TestMode.test_objects.Point +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __lt__(self, other):$/;" m class:Infinity +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __lt__(self, other):$/;" m class:NegativeInfinity +__lt__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __lt__(self, other):$/;" m class:_BaseVersion +__lt__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __lt__(self, other):$/;" m class:Version +__lt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __lt__(self, other):$/;" m class:_BaseVersion +__lt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:Infinity +__lt__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __lt__(self, other):$/;" m class:NegativeInfinity +__lt__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __lt__(self, other):$/;" m class:Dimension +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __lt__(self, o):$/;" m class:AggregatingVariable +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __lt__(self, o):$/;" m class:DistributedDelegate +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __lt__(self, other):$/;" m class:_FeatureColumn +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __lt__(self, other):$/;" m class:FeatureColumn +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __lt__(self, other):$/;" m class:Dimension +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __lt__(self, o):$/;" m class:AutoCastVariable +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __lt__ = _overloaded_operator("__lt__")$/;" v class:RaggedTensor file: +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __lt__(self, other):$/;" m class:ListWrapper +__lt__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __lt__(self, other):$/;" m class:_ObjectIdentityWrapper +__lt__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __lt__ = _wrap_type_error_to_not_implemented(_C._TensorBase.lt)$/;" v class:Tensor file: +__lt__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __lt__ = lambda x, o: x._get_current_object() < o$/;" m class:LocalProxy +__lt__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __lt__(self, other):$/;" m class:ObjectProxy +__machine adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __machine(self, n):$/;" m class:DarwinCPUInfo file: +__machine adpepsenv/lib/python3.8/site-packages/numpy/distutils/cpuinfo.py /^ def __machine(self, n):$/;" m class:IRIXCPUInfo file: +__makePlaceholder adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __makePlaceholder(self, type):$/;" m class:InlineProcessor file: +__make_cmp_key adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __make_cmp_key(self, value):$/;" m class:TypeSpec file: +__marker adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ __marker = object()$/;" v class:Cache file: +__marker adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __marker = object()$/;" v class:MutableMapping file: +__marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ __marker = object()$/;" v class:OrderedDict file: +__marker adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ __marker = object()$/;" v class:HTTPHeaderDict file: +__marker adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ __marker = object()$/;" v class:HTTPHeaderDict file: +__matmul__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __matmul__(self, other): return self.aval._matmul(self, other)$/;" m class:Tracer +__matmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __matmul__(self, other):$/;" m class:TestMatmulOperator.test_array_priority_override.A +__matmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __matmul__, __rmatmul__, __imatmul__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__matmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __matmul__(self, other):$/;" m class:spmatrix +__matmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __matmul__(self, other):$/;" m class:LinearOperator +__matmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __matmul__(self, mat):$/;" m class:BinopTester +__matmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __matmul__(self, mat):$/;" m class:BinopTester_with_shape +__matmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __matmul__(self, o):$/;" m class:AggregatingVariable +__matmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __matmul__(self, o):$/;" m class:DistributedDelegate +__matmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __matmul__(self, o):$/;" m class:AutoCastVariable +__matmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/linalg/linear_operator.py /^ def __matmul__(self, other):$/;" m class:LinearOperator +__metaclass__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/environment.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/fcompiler/__init__.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/pasta/base/annotate.py /^ __metaclass__ = abc.ABCMeta$/;" v class:BaseVisitor file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/command/develop.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/command/test.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/py31compat.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/py33compat.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/wheel.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^__metaclass__ = type$/;" v +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorboard/uploader/formatters.py /^ __metaclass__ = abc.ABCMeta$/;" v class:BaseExperimentFormatter file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ __metaclass__ = abc.ABCMeta$/;" v class:Combiner file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ __metaclass__ = abc.ABCMeta$/;" v class:ExportOutput file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/model_utils/export_output.py /^ __metaclass__ = abc.ABCMeta$/;" v class:_SupervisedOutput file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/head.py /^ __metaclass__ = abc.ABCMeta$/;" v class:_Head file: +__metaclass__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/head/sequential_head.py /^ __metaclass__ = abc.ABCMeta$/;" v class:_SequentialHead file: +__metadata__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __metadata__(self):$/;" m class:AnnotatedMeta +__missing__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __missing__(self, key):$/;" m class:Cache +__missing__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __missing__(self, key):$/;" m class:UniqueIds +__missing__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __missing__(self, v):$/;" m class:_inline_literals.var +__missing__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __missing__(self, key):$/;" m class:ChainMap +__missing__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __missing__(self, key):$/;" m class:StringTable +__model_class1_method__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module1.py /^ def __model_class1_method__(self):$/;" m class:ModuleClass1 +__model_class1_method__ adpepsenv/lib/python3.8/site-packages/tensorflow/tools/common/test_module2.py /^ def __model_class1_method__(self):$/;" m class:ModuleClass2 +__module__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ __module__ = 'numpy'$/;" v class:recarray file: +__module__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ __module__ = 'numpy'$/;" v class:record file: +__module__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ __module__ = _call_location(outer=True)$/;" v class:deprecated_alias._NewClass file: +__module__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __module__ = 'torch'$/;" v class:Tensor file: +__module__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __module__(self):$/;" m class:_ObjectProxyMethods +__module__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __module__(self, value):$/;" m class:_ObjectProxyMethods +__mod_distance adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __mod_distance(self, value, byxxx, base):$/;" m class:rrule file: +__mod__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __mod__(self, other): return self.aval._mod(self, other)$/;" m class:Tracer +__mod__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __mod__(self, divisor: 'Size') -> int:$/;" m class:Poly +__mod__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __mod__(self, i):$/;" m class:chararray +__mod__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __mod__ = __rmod__ = _all$/;" v class:TestArrayPriority.Other file: +__mod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mod__, __rmod__, __imod__ = _numeric_methods(um.remainder, 'mod')$/;" v class:NDArrayOperatorsMixin file: +__mod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __mod__(self, other):$/;" m class:container +__mod__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __mod__(self, other):$/;" m class:ABCPolyBase +__mod__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __mod__(self, other):$/;" m class:_Operand +__mod__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __mod__ = _delegate("__mod__")$/;" v class:IFDRational file: +__mod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mod__(self, value):$/;" m class:Integer +__mod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mod__(self, value):$/;" m class:Real +__mod__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __mod__(self, other):$/;" m class:Dimension +__mod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __mod__(self, o):$/;" m class:AggregatingVariable +__mod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __mod__(self, o):$/;" m class:DistributedDelegate +__mod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __mod__(self, other):$/;" m class:Dimension +__mod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __mod__(self, o):$/;" m class:AutoCastVariable +__mod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __mod__ = _overloaded_operator("__mod__")$/;" v class:RaggedTensor file: +__mod__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __mod__ = lambda x, o: x._get_current_object() % o$/;" m class:LocalProxy +__mod__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __mod__(self, other):$/;" m class:ObjectProxy +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^__monolithic_build__ = get_monolithic_build()$/;" v +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__monolithic_build__ = pywrap_tf_session.__monolithic_build__$/;" v +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__monolithic_build__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.versions import MONOLITHIC_BUILD as __monolithic_build__$/;" x +__most_specific_compatible_type_serialization adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __most_specific_compatible_type_serialization(a, b):$/;" m class:TypeSpec file: +__mro_entries__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __mro_entries__(self, bases):$/;" m class:ObjectProxy +__mul__ adpeps/utils/empty_tensor.py /^ def __mul__(self, other):$/;" m class:EmptyT +__mul__ adpeps/utils/nested.py /^ def __mul__(self, other):$/;" m class:Nested +__mul__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __mul__(self, other):$/;" m class:Cycler +__mul__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __mul__(self, other):$/;" m class:relativedelta +__mul__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __mul__(self, other): return self.aval._mul(self, other)$/;" m class:Tracer +__mul__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __mul__(self, other):$/;" m class:_DoubleDouble +__mul__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __mul__(self, other: 'Mon') -> 'Mon':$/;" m class:Mon +__mul__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __mul__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__mul__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __mul__(self, rhs):$/;" m class:Duration +__mul__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __mul__(self, rhs):$/;" m class:UnitDbl +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __mul__(self, i):$/;" m class:chararray +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __mul__(self, other):$/;" m class:TestLinspace.test_array_interface.Arrayish +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __mul__(self, x):$/;" m class:PhysicalQuantity +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __mul__(self, other): # with scalar$/;" m class:TestDot.test_vecobject.Vec +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __mul__(self, other):$/;" m class:TestBinop.test_pow_array_object_dtype.SomeClass +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __mul__(self, other):$/;" m class:TestMatmul.test_matmul_exception_add.multiply_not_add +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __mul__ = __rmul__ = _all$/;" v class:TestArrayPriority.Other file: +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __mul__(self, other):$/;" m class:dummy_ctype +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mul__, __rmul__, __imul__ = _numeric_methods(um.multiply, 'mul')$/;" v class:NDArrayOperatorsMixin file: +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __mul__(self, other):$/;" m class:poly1d +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __mul__(self, other):$/;" m class:container +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __mul__(self, other):$/;" m class:MaskedArray +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def __mul__(self, other):$/;" m class:TestUfuncs.test_treatment_of_NotImplemented.MyClass +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def __mul__(self, other):$/;" m class:TestUfuncs.test_treatment_of_NotImplemented.MyClass2 +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __mul__(self, other):$/;" m class:matrix +__mul__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __mul__(self, other):$/;" m class:ABCPolyBase +__mul__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __mul__(self, other):$/;" m class:_E +__mul__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __mul__(self, other):$/;" m class:_Operand +__mul__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __mul__ = _delegate("__mul__")$/;" v class:IFDRational file: +__mul__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __mul__(self, other):$/;" m class:ParserElement +__mul__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __mul__(self,other):$/;" m class:ParserElement +__mul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mul__(self, value):$/;" m class:BitString +__mul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mul__(self, value):$/;" m class:Integer +__mul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mul__(self, value):$/;" m class:OctetString +__mul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __mul__(self, value):$/;" m class:Real +__mul__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __mul__(self, other):$/;" m class:ParserElement +__mul__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __mul__(self, other):$/;" m class:StateSpace +__mul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __mul__(self, other):$/;" m class:spmatrix +__mul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __mul__(self, x):$/;" m class:LinearOperator +__mul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __mul__(self, mat):$/;" m class:BinopTester +__mul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __mul__(self, mat):$/;" m class:BinopTester_with_shape +__mul__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __mul__(self,other):$/;" m class:ParserElement +__mul__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __mul__(self, other):$/;" m class:Dimension +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __mul__(self, o):$/;" m class:AggregatingVariable +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __mul__(self, o):$/;" m class:DistributedDelegate +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __mul__(self, other):$/;" m class:Dimension +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __mul__(self, o):$/;" m class:AutoCastVariable +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __mul__ = _overloaded_operator("__mul__")$/;" v class:RaggedTensor file: +__mul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __mul__(self, n):$/;" m class:List +__mul__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __mul__ = lambda x, o: x._get_current_object() * o$/;" m class:LocalProxy +__mul__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __mul__(self, other):$/;" m class:ObjectProxy +__munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __munp(n, c):$/;" f member:genpareto_gen._munp file: +__munp adpepsenv/lib/python3.8/site-packages/scipy/stats/_continuous_distns.py /^ def __munp(n, c, d):$/;" f member:burr_gen._munp file: +__mv_warning adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __mv_warning = textwrap.dedent("""$/;" v class:easy_install file: +__name__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __name__(self):$/;" m class:WrappedFun +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ __name__ = 'xaxis'$/;" v class:XAxis file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ __name__ = 'xtick'$/;" v class:XTick file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ __name__ = 'yaxis'$/;" v class:YAxis file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ __name__ = 'ytick'$/;" v class:YTick file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __name__ = 'radialaxis'$/;" v class:RadialAxis file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __name__ = 'thetaaxis'$/;" v class:ThetaAxis file: +__name__ adpepsenv/lib/python3.8/site-packages/matplotlib/ticker.py /^ __name__ = "dummy"$/;" v class:_DummyAxis file: +__name__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ __name__ = 'recarray'$/;" v class:recarray file: +__name__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ __name__ = 'record'$/;" v class:record file: +__name__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/deprecation.py /^ __name__ = func_or_class.__name__$/;" v class:deprecated_alias._NewClass file: +__name__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __name__(self):$/;" m class:ObjectProxy +__name__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __name__(self, value):$/;" m class:ObjectProxy +__name__ adpepsenv/lib/python3.8/site-packages/_yaml/__init__.py /^__name__ = '_yaml'$/;" v +__neg__ adpeps/utils/empty_tensor.py /^ def __neg__(self):$/;" m class:EmptyT +__neg__ adpeps/utils/nested.py /^ def __neg__(self):$/;" m class:Nested +__neg__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __neg__(self):$/;" m class:relativedelta +__neg__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __neg__(self): return self.aval._neg(self)$/;" m class:Tracer +__neg__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __neg__(self):$/;" m class:_DoubleDouble +__neg__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __neg__(self) -> 'Poly':$/;" m class:Poly +__neg__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __neg__(self):$/;" m class:Duration +__neg__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __neg__(self):$/;" m class:UnitDbl +__neg__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __neg__ = _unary_method(um.negative, 'neg')$/;" v class:NDArrayOperatorsMixin file: +__neg__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __neg__(self):$/;" m class:poly1d +__neg__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __neg__(self):$/;" m class:container +__neg__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __neg__(self):$/;" m class:ABCPolyBase +__neg__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __neg__(self):$/;" m class:_Operand +__neg__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __neg__ = _delegate("__neg__")$/;" v class:IFDRational file: +__neg__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:InfinityType +__neg__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:NegativeInfinityType +__neg__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:Infinity +__neg__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:NegativeInfinity +__neg__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __neg__(self):$/;" m class:Integer +__neg__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __neg__(self):$/;" m class:Real +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __neg__(self):$/;" m class:StateSpace +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __neg__(self):$/;" m class:spmatrix +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __neg__(self):$/;" m class:_data_matrix +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __neg__(self):$/;" m class:dok_matrix +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __neg__(self):$/;" m class:LinearOperator +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __neg__(self):$/;" m class:Infinity +__neg__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __neg__(self):$/;" m class:NegativeInfinity +__neg__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:Infinity +__neg__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __neg__(self):$/;" m class:NegativeInfinity +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __neg__(self):$/;" m class:AggregatingVariable +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __neg__(self):$/;" m class:DistributedDelegate +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def __neg__(self):$/;" m class:IndexedSlices +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __neg__(self):$/;" m class:AutoCastVariable +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __neg__(self):$/;" m class:ndarray +__neg__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __neg__ = _overloaded_operator("__neg__")$/;" v class:RaggedTensor file: +__neg__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __neg__ = _C._TensorBase.neg$/;" v class:Tensor file: +__neg__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __neg__ = lambda x: -(x._get_current_object())$/;" m class:LocalProxy +__neg__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __neg__(self):$/;" m class:ObjectProxy +__nested_list_to_tuple adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __nested_list_to_tuple(value):$/;" m class:TypeSpec file: +__new__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __new__(mcs, class_name, bases, dct):$/;" m class:TestGeneratorMetaclass +__new__ adpepsenv/lib/python3.8/site-packages/caffe2/experiments/python/device_reduce_sum_bench.py /^ def __new__(metacls, name, bases, class_dict):$/;" m class:BenchmarkMeta +__new__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layer_test_util.py /^ def __new__(cls, op_type, op_input, op_output, op_arg=None):$/;" m class:OpSpec +__new__ adpepsenv/lib/python3.8/site-packages/caffe2/python/predictor/predictor_exporter.py /^ def __new__($/;" m class:PredictorExportMeta +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__($/;" m class:OneofDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, full_name, filename, containing_type, fields,$/;" m class:Descriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, full_name, filename, values,$/;" m class:EnumDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, full_name, index, containing_service,$/;" m class:MethodDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, full_name, index, methods, options=None,$/;" m class:ServiceDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, full_name, index, number, type, cpp_type, label,$/;" m class:FieldDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, index, number,$/;" m class:EnumValueDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls, name, package, options=None,$/;" m class:FileDescriptor +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor.py /^ def __new__(cls):$/;" m class:_Lock +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/descriptor_pool.py /^ def __new__(cls, descriptor_db=None):$/;" m class:DescriptorPool +__new__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __new__(cls, name, bases, dictionary):$/;" m class:GeneratedProtocolMessageType +__new__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __new__(cls, value=_EMPTY_FLAGS):$/;" m class:_InitialMetadataFlags +__new__ adpepsenv/lib/python3.8/site-packages/jax/dtypes.py /^ def __new__(cls, dtype):$/;" m class:finfo +__new__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __new__(cls, xs: Tuple[Optional[AbstractValue], core.Value]):$/;" m class:PartialVal +__new__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def __new__(cls, *partitions):$/;" m class:PartitionSpec +__new__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __new__(cls, *xs):$/;" f function:taggedtuple file: +__new__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/dataframe_iterator.py /^ def __new__(cls, *args, **kwargs):$/;" m class:DataFrameIterator +__new__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/directory_iterator.py /^ def __new__(cls, *args, **kwargs):$/;" m class:DirectoryIterator +__new__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/numpy_array_iterator.py /^ def __new__(cls, *args, **kwargs):$/;" m class:NumpyArrayIterator +__new__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^ def __new__(cls, major, minor, micro, release="final", pre=0, post=0, dev=0):$/;" m class:Version +__new__ adpepsenv/lib/python3.8/site-packages/markdown/test_tools.py /^ def __new__(cls, name, bases, dct):$/;" m class:LegacyTestMeta +__new__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __new__(cls, *args, **kwargs):$/;" m class:Container +__new__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __new__(cls, filename):$/;" m class:PsfontsMap +__new__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __new__(cls, stylename, **kw):$/;" m class:_Style +__new__ adpepsenv/lib/python3.8/site-packages/matplotlib/texmanager.py /^ def __new__(cls):$/;" m class:TexManager +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __new__(subtype, shape, itemsize=1, unicode=False, buffer=None,$/;" m class:chararray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __new__(cls, dtype):$/;" m class:finfo +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/memmap.py /^ def __new__(subtype, filename, dtype=uint8, mode='r+', offset=0,$/;" m class:memmap +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __new__(subtype, shape, dtype=None, buf=None, offset=0, strides=None,$/;" m class:recarray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __new__(cls, inp):$/;" m class:TestArrayRepr.test_0d_object_subclass.sub +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __new__(cls, inp):$/;" m class:TestArrayRepr.test_object_subclass.sub +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __new__(cls, value):$/;" m class:PhysicalQuantity +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __new__(cls, data, info):$/;" m class:TestStats.test_subclass.TestArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __new__(cls, *args, **kwargs):$/;" m class:TestArrayPriority.Bar +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __new__(cls, *args, **kwargs):$/;" m class:TestArrayPriority.Foo +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_numeric.py /^ def __new__(cls, *args, **kwargs):$/;" m class:TestAllclose.test_return_class_is_ndarray.Foo +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __new__(cls, data, info):$/;" m class:TestRegression.test_attributes.TestArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __new__(cls, i):$/;" m class:TestRegression.test_subclass_int_tuple_assignment.Subclass +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_regression.py /^ def __new__(cls,$/;" m class:TestRegression.test_squeeze_axis_handling.OldSqueeze +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_scalarinherit.py /^ def __new__(cls, *args, **kwargs):$/;" m class:HasNew +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __new__(cls):$/;" m class:TestSpecialMethods.test_priority_with_scalar.A +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __new__(cls):$/;" m class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __new__(cls):$/;" m class:TestSpecialMethods.test_wrap_with_iterable.with_wrap +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __new__(cls, arr):$/;" m class:TestOut.test_out_wrap_subok.ArrayWrap +__new__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __new__(subtype, shape):$/;" m class:TestSubclass.test_subclass_op.simple +__new__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __new__(cls, name):$/;" m class:Type +__new__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_function_base.py /^ def __new__(cls, input_array, info=None):$/;" m class:TestMedian.test_subclass.MySubClass +__new__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^ def __new__(cls, *args, **kwargs):$/;" m class:SimpleSubClass +__new__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_stride_tricks.py /^ def __new__(cls, *args, **kwargs):$/;" m class:VerySimpleSubClass +__new__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_ufunclike.py /^ def __new__(cls, data, metadata=None):$/;" m class:TestUfunclike.test_fix_with_subclass.MyArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __new__(cls):$/;" m class:MaskedConstant +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __new__(cls, data=None, mask=nomask, dtype=None, copy=False,$/;" m class:MaskedArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __new__(self, data, mask=nomask, dtype=None, fill_value=None,$/;" m class:mvoid +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __new__(cls, shape, dtype=None, buf=None, offset=0, strides=None,$/;" m class:MaskedRecords +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __new__(cls, data, info={}, mask=nomask):$/;" m class:MSubArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __new__(cls, info=None, **kwargs):$/;" m class:SubMaskedArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __new__(cls,arr,info={}):$/;" m class:SubArray +__new__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __new__(subtype, data, dtype=None, copy=True):$/;" m class:matrix +__new__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/tests/test_masked_matrix.py /^ def __new__(cls, data, mask=nomask):$/;" m class:MMatrix +__new__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def __new__(cls, *args , **kwargs):$/;" m class:param +__new__ adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^ def __new__(cls):$/;" m class:_NoValueType +__new__ adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def __new__(mcs, name, bases, inst_dict):$/;" m class:PrefixSuffixGoldenTestMeta +__new__ adpepsenv/lib/python3.8/site-packages/pasta/base/annotate_test.py /^ def __new__(mcs, name, bases, inst_dict):$/;" m class:SymmetricTestMeta +__new__ adpepsenv/lib/python3.8/site-packages/pasta/base/codegen_test.py /^ def __new__(mcs, name, bases, inst_dict):$/;" m class:AutoFormatTestMeta +__new__ adpepsenv/lib/python3.8/site-packages/PIL/PngImagePlugin.py /^ def __new__(cls, text, lang=None, tkey=None):$/;" m class:iTXt +__new__ adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^ def __new__(cls, value=None, name="unknown", type=None, length=None, enum=None):$/;" m class:TagInfo +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ def __new__(meta, classname, bases, classDict):$/;" m class:method_decorator_metaclass.Decorated +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __new__(self, value):$/;" m class:EncodingBytes +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __new__(cls, code, data):$/;" m class:ExtType +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_compat.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __new__(cls, toklist=None, name=None, asList=True, modal=True):$/;" m class:ParseResults +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def __new__($/;" m class:Url +__new__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_compat.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __new__(cls, toklist=None, name=None, asList=True, modal=True ):$/;" m class:ParseResults +__new__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/pyasn1/codec/ber/eoo.py /^ def __new__(cls, *args, **kwargs):$/;" m class:EndOfOctets +__new__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __new__(cls):$/;" m class:NoValue +__new__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __new__(cls, toklist=None, name=None, asList=True, modal=True):$/;" m class:ParseResults +__new__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^ def __new__(cls, input_array):$/;" m class:MatlabFunction +__new__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^ def __new__(cls, input_array):$/;" m class:MatlabOpaque +__new__ adpepsenv/lib/python3.8/site-packages/scipy/io/matlab/mio5_params.py /^ def __new__(cls, input_array, classname=None):$/;" m class:MatlabObject +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system):$/;" m class:lti +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system, **kwargs):$/;" m class:dlti +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system, **kwargs):$/;" m class:LinearTimeInvariant +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system, **kwargs):$/;" m class:StateSpace +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system, **kwargs):$/;" m class:TransferFunction +__new__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __new__(cls, *system, **kwargs):$/;" m class:ZerosPolesGain +__new__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __new__(cls, *args, **kwargs):$/;" m class:LinearOperator +__new__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __new__(cls, a=0, b=inf, name=None, badvalue=None,$/;" m class:rv_discrete +__new__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def __new__(cls, function, user_data=None, signature=None):$/;" m class:LowLevelCallable +__new__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_compat.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __new__(cls, toklist=None, name=None, asList=True, modal=True ):$/;" m class:ParseResults +__new__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/html5parser.py /^ def __new__(meta, classname, bases, classDict):$/;" m class:method_decorator_metaclass.Decorated +__new__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __new__(self, value):$/;" m class:EncodingBytes +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/testing.py /^ def __new__(cls, *args):$/;" m class:AutoGraphTestCase +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/compiler/tensorrt/trt_convert.py /^ def __new__(cls,$/;" m class:TrtConversionParams +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __new__(cls,$/;" m class:DispatcherConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/experimental/service/server_lib.py /^ def __new__(cls,$/;" m class:WorkerConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def __new__(cls, *args, **kwargs):$/;" m class:_OptionsExported +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/collective_util.py /^ def __new__(cls, bytes_per_pack=0, timeout_seconds=None):$/;" m class:Hints +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_config.py /^ def __new__(cls,$/;" m class:DistributeConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __new__(cls,$/;" m class:InputOptions +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __new__(cls,$/;" m class:RunOptions +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __new__(cls, memory_limit=None, experimental_priority=None):$/;" m class:LogicalDeviceConfiguration +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/forwardprop_util.py /^ def __new__(cls, indices=None, tangents=None):$/;" m class:TangentInfo +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column.py /^ def __new__(cls,$/;" m class:_EmbeddingColumn +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __new__(cls,$/;" m class:EmbeddingColumn +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/feature_column/feature_column_v2.py /^ def __new__(cls,$/;" m class:SharedEmbeddingColumn +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def __new__(cls, *args, **kwargs):$/;" m class:Model +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/metrics.py /^ def __new__(cls, *args, **kwargs):$/;" m class:Metric +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^ def __new__(cls, *args, **kwargs): # pylint: disable=unused-argument$/;" m class:LayerVersionSelector +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^ def __new__(cls, *args, **kwargs): # pylint: disable=unused-argument$/;" m class:ModelVersionSelector +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/version_utils.py /^ def __new__(cls, *args, **kwargs): # pylint: disable=unused-argument$/;" m class:TensorBoardVersionSelector +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/bijector_impl.py /^ def __new__(cls, x=None, y=None, ildj_map=None, kwargs=None):$/;" m class:_Mapping +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __new__(mcs, classname, baseclasses, attrs):$/;" m class:_DistributionMeta +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ def __new__(cls, key):$/;" m class:StrongHashSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def __new__(cls, index_key, value_key, dtype, size, already_sorted=False):$/;" m class:SparseFeature +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def __new__(cls, shape, dtype, allow_missing=False, default_value=None):$/;" m class:FixedLenSequenceFeature +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def __new__(cls, shape, dtype, default_value=None):$/;" m class:FixedLenFeature +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parsing_config.py /^ def __new__(cls,$/;" m class:RaggedFeature +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def __new__(mcs, clsname, base, attrs):$/;" m class:ParameterizedBenchmark +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/benchmark.py /^ def __new__(mcs, clsname, base, attrs):$/;" m class:_BenchmarkRegistrar +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/profiler/profiler_v2.py /^ def __new__(cls,$/;" m class:ProfilerOptions +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def __new__(cls,$/;" m class:_TPUEmbeddingColumn +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column.py /^ def __new__(cls,$/;" m class:_TPUSharedEmbeddingColumn +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __new__(cls, *args, **kwargs):$/;" m class:_TPUDeviceSpecificEmbeddingColumnV2 +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __new__(cls, *args, **kwargs):$/;" m class:_TPUSharedDeviceSpecificEmbeddingColumnV2 +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __new__(cls,$/;" m class:_TPUEmbeddingColumnV2 +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/feature_column_v2.py /^ def __new__(cls,$/;" m class:_TPUSharedEmbeddingColumnV2 +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu.py /^ def __new__(cls, use_spmd_for_xla_partitioning=True):$/;" m class:XLAOptions +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __new__(cls,$/;" m class:EnqueueData +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __new__(cls,$/;" m class:FeatureConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __new__(cls,$/;" m class:RaggedEnqueueData +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding.py /^ def __new__(cls,$/;" m class:TableConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_system_metadata.py /^ def __new__(cls, num_cores, num_hosts, num_of_cores_per_host, topology,$/;" m class:TPUSystemMetadata +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_run_hook.py /^ def __new__(cls, fetches, feed_dict=None, options=None):$/;" m class:SessionRunArgs +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/warm_starting_util.py /^ def __new__(cls,$/;" m class:VocabInfo +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/canned/timeseries/math_utils.py /^ def __new__(cls, num_features, dtype):$/;" m class:InputStatisticsFromMiniBatch._AdaptiveInputAuxiliaryStatistics +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/estimator.py /^ def __new__(cls,$/;" m class:WarmStartSettings +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def __new__(cls, features, labels, receiver_tensors):$/;" m class:SupervisedInputReceiver +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def __new__(cls, features, receiver_tensors):$/;" m class:UnsupervisedInputReceiver +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def __new__(cls,$/;" m class:ServingInputReceiver +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/export/export.py /^ def __new__(cls,$/;" m class:TensorServingInputReceiver +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^ def __new__(cls,$/;" m class:EstimatorSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/model_fn.py /^ def __new__(cls,$/;" m class:_TPUEstimatorSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_config.py /^ def __new__(cls,$/;" m class:TPUConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __new__(cls,$/;" m class:BatchConfig +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/tpu_estimator.py /^ def __new__(cls,$/;" m class:TPUEstimatorSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/tpu/_tpu_estimator_embedding.py /^ def __new__(cls,$/;" m class:EmbeddingConfigSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __new__(cls, input_fn, max_steps=None, hooks=None, saving_listeners=None):$/;" m class:TrainSpec +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __new__(cls, status, metrics=None, checkpoint_path=None):$/;" m class:_EvalResult +__new__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/training.py /^ def __new__(cls,$/;" m class:EvalSpec +__new__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __new__(cls, device=None, priority=0, **kwargs):$/;" m class:Stream +__new__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __new__(cls, enable_timing=False, blocking=False, interprocess=False):$/;" m class:Event +__new__ adpepsenv/lib/python3.8/site-packages/torch/cuda/__init__.py /^ __new__ = _lazy_new$/;" v class:_CudaBase file: +__new__ adpepsenv/lib/python3.8/site-packages/torch/distributed/distributed_c10d.py /^ def __new__(cls, name):$/;" m class:Backend +__new__ adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^ def __new__(cls, data=None, requires_grad=True):$/;" m class:Parameter +__new__ adpepsenv/lib/python3.8/site-packages/torch/nn/utils/rnn.py /^ def __new__(cls, data, batch_sizes=None, sorted_indices=None, unsorted_indices=None):$/;" m class:PackedSequence +__new__ adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^ def __new__(cls, activation, weight):$/;" m class:QConfig +__new__ adpepsenv/lib/python3.8/site-packages/torch/quantization/qconfig.py /^ def __new__(cls, activation=torch.nn.Identity, weight=torch.nn.Identity):$/;" m class:QConfigDynamic +__new__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __new__(cls: 'Type[GraphModule]', *args, **kwargs):$/;" m class:GraphModule +__new__ adpepsenv/lib/python3.8/site-packages/torch/_six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwds):$/;" m class:ChainMap +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace,$/;" m class:_ProtocolMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwargs):$/;" m class:Annotated +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwds):$/;" m class:Counter +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwds):$/;" m class:DefaultDict +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwds):$/;" m class:Deque +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, *args, **kwds):$/;" m class:Protocol +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace, **kwargs):$/;" m class:AnnotatedMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace, tp=None, _root=False):$/;" m class:_ClassVarMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace, tp=None, _root=False):$/;" m class:_FinalMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace, values=None, _root=False):$/;" m class:_LiteralMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, namespace, _root=False):$/;" m class:_NoReturnMeta +__new__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __new__(cls, name, bases, ns, total=True):$/;" m class:_TypedDictMeta +__new__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __new__(cls, name, this_bases, d):$/;" m class:with_metaclass.metaclass +__new__ adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def __new__($/;" m class:Url +__new__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __new__(cls, name, bases, dictionary):$/;" m class:_ObjectProxyMetaType +__next__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __next__(self):$/;" m class:_timelex +__next__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __next__(self):$/;" m class:rruleset._genitem +__next__ adpepsenv/lib/python3.8/site-packages/grpc/beta/_client_adaptations.py /^ def __next__(self):$/;" m class:_Rendezvous +__next__ adpepsenv/lib/python3.8/site-packages/grpc/framework/foundation/stream_util.py /^ def __next__(self):$/;" m class:IterableConsumer +__next__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __next__(self):$/;" m class:_Rendezvous +__next__ adpepsenv/lib/python3.8/site-packages/grpc/_interceptor.py /^ def __next__(self):$/;" m class:_FailureOutcome +__next__ adpepsenv/lib/python3.8/site-packages/grpc/_server.py /^ def __next__(self):$/;" m class:_RequestIterator +__next__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __next__(self):$/;" m class:_BodyTracer +__next__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/image/iterator.py /^ def __next__(self, *args, **kwargs):$/;" m class:Iterator +__next__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __next__(self):$/;" m class:ndenumerate +__next__ adpepsenv/lib/python3.8/site-packages/numpy/lib/index_tricks.py /^ def __next__(self):$/;" m class:ndindex +__next__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __next__(self):$/;" m class:MaskedIterator +__next__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __next__(self):$/;" m class:CSAIterator +__next__ adpepsenv/lib/python3.8/site-packages/PIL/ImageSequence.py /^ def __next__(self):$/;" m class:Iterator +__next__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ __next__ = next$/;" v class:CSVReader file: +__next__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __next__(self):$/;" m class:TarIter +__next__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/_inputstream.py /^ def __next__(self):$/;" m class:EncodingBytes +__next__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/fallback.py /^ def __next__(self):$/;" m class:Unpacker +__next__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_differentialevolution.py /^ def __next__(self):$/;" m class:DifferentialEvolutionSolver +__next__ adpepsenv/lib/python3.8/site-packages/tensorboard/backend/event_processing/event_file_loader.py /^ def __next__(self):$/;" m class:_PyRecordReaderIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/io/gfile.py /^ def __next__(self):$/;" m class:GFile +__next__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/_inputstream.py /^ def __next__(self):$/;" m class:EncodingBytes +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __next__(self):$/;" m class:_NumpyIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ def __next__(self):$/;" m class:OwnedIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ def __next__(self):$/;" m class:OwnedMultiDeviceIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/coordinator/cluster_coordinator.py /^ def __next__(self):$/;" m class:_PerWorkerDistributedIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __next__(self):$/;" m class:DistributedIteratorBase +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __next__(self):$/;" m class:_TensorIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __next__(self):$/;" m class:_KerasTensorIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/utils/data_utils.py /^ def __next__(self):$/;" m class:ThreadsafeIter +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/lib/io/file_io.py /^ def __next__(self):$/;" m class:FileIO +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/summary/summary_iterator.py /^ def __next__(self):$/;" m class:_SummaryIterator +__next__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/distribute.py /^ def __next__(self):$/;" m class:Iterator +__next__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ def __next__(self):$/;" m class:NoArgsClass +__next__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __next__(self) -> Any:$/;" m class:_BaseDataLoaderIter +__next__ adpepsenv/lib/python3.8/site-packages/werkzeug/middleware/lint.py /^ def __next__(self):$/;" m class:GuardedIterator +__next__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __next__(self):$/;" m class:ClosingIterator +__next__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __next__(self):$/;" m class:FileWrapper +__next__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __next__(self):$/;" m class:LimitedStream +__next__ adpepsenv/lib/python3.8/site-packages/werkzeug/wsgi.py /^ def __next__(self):$/;" m class:_RangeWrapper +__ne__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __ne__(self, other):$/;" m class:BlobReference +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __ne__(self, other):$/;" m class:relativedelta +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __ne__(self, other):$/;" m class:rruleset._genitem +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __ne__(self, other):$/;" m class:tzfile +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __ne__(self, other):$/;" m class:tzlocal +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __ne__(self, other):$/;" m class:tzoffset +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __ne__(self, other):$/;" m class:tzutc +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __ne__(self, other):$/;" m class:_ttinfo +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def __ne__(self, other):$/;" m class:tzrangebase +__ne__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __ne__(self, other):$/;" m class:weekday +__ne__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __ne__(self, other):$/;" m class:Mapping +__ne__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __ne__(self, other):$/;" m class:BaseContainer +__ne__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __ne__(self, other):$/;" m class:_ExtensionDict +__ne__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __ne__(self, other_msg):$/;" m class:Message +__ne__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __ne__(self, other):$/;" m class:HLObject +__ne__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __ne__(self, other): return self.aval._ne(self, other)$/;" m class:Tracer +__ne__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __ne__(self, other):$/;" m class:UnshapedArray +__ne__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __ne__(self, other):$/;" m class:_DoubleDouble +__ne__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __ne__(self, other):$/;" m class:Poly +__ne__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __ne__(self, other):$/;" m class:_ScalarMeta +__ne__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __ne__(self, other):$/;" m class:DviFont +__ne__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __ne__(self, rhs):$/;" m class:Duration +__ne__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __ne__(self, rhs):$/;" m class:Epoch +__ne__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __ne__(self, rhs):$/;" m class:UnitDbl +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __ne__(self, other):$/;" m class:chararray +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_deprecations.py /^ def __ne__(self, other):$/;" m class:TestComparisonDeprecations.test_void_dtype_equality_failures.NotArray +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __ne__(self, other):$/;" m class:test_equal_override.MyAlwaysEqual +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __ne__ = _all$/;" v class:TestArrayPriority.Other file: +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __ne__(self, other):$/;" m class:TestComparisons.test_ignore_object_identity_in_not_equal.FunkyType +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/core/_internal.py /^ def __ne__(self, other):$/;" m class:dummy_ctype +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __ne__ = _binary_method(um.not_equal, 'ne')$/;" v class:NDArrayOperatorsMixin file: +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __ne__(self, other):$/;" m class:poly1d +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ne__(self, other):$/;" m class:container +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __ne__(self, other):$/;" m class:NumpyVersion +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __ne__(self, other):$/;" m class:MaskedArray +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __ne__(self, other):$/;" m class:ABCPolyBase +__ne__ adpepsenv/lib/python3.8/site-packages/numpy/testing/tests/test_utils.py /^ def __ne__(self, other):$/;" m class:TestArrayEqual.test_subclass_that_overrides_eq.MyArray +__ne__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __ne__(self, other):$/;" m class:_Operand +__ne__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __ne__(self, other):$/;" m class:IndirectReference +__ne__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __ne__(self, other):$/;" m class:_Deprecated_Version +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def __ne__(self, other):$/;" m class:FormatControl +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __ne__(self, other):$/;" m class:AlreadyInstalledCandidate +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __ne__(self, other):$/;" m class:ExtrasCandidate +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __ne__(self, other):$/;" m class:_InstallRequirementBackedCandidate +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __ne__(self, other):$/;" m class:HiddenText +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ def __ne__(self, other):$/;" m class:KeyBasedCompareMixin +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __ne__(self, other):$/;" m class:OrderedDict +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __ne__(self, other):$/;" m class:Matcher +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __ne__(self, other):$/;" m class:Version +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __ne__(self, other):$/;" m class:_TotalOrderingMixin +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __ne__(self, other):$/;" m class:Timestamp +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:BaseSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:SpecifierSet +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:_IndividualSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __ne__(self, other):$/;" m class:_BaseVersion +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:InfinityType +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:NegativeInfinityType +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __ne__(self, other):$/;" m class:Distribution +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __ne__(self, other):$/;" m class:Requirement +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __ne__(self, other):$/;" m class:ParserElement +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __ne__(self, other):$/;" m class:HTTPBasicAuth +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/auth.py /^ def __ne__(self, other):$/;" m class:HTTPDigestAuth +__ne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __ne__(self, other):$/;" m class:HTTPHeaderDict +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:BaseSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:SpecifierSet +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:_IndividualSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __ne__(self, other):$/;" m class:_BaseVersion +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:Infinity +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:NegativeInfinity +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __ne__(self,other):$/;" m class:ParserElement +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __ne__(self, other):$/;" m class:Distribution +__ne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __ne__(self, other):$/;" m class:Requirement +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __ne__(self, other):$/;" m class:ConstructedAsn1Type +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __ne__(self, other):$/;" m class:SimpleAsn1Type +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __ne__(self, other):$/;" m class:AbstractConstraint +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __ne__(self, other):$/;" m class:NamedType +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __ne__(self, other):$/;" m class:NamedTypes +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __ne__(self, other):$/;" m class:NamedValues +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __ne__(self, other):$/;" m class:Tag +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __ne__(self, other):$/;" m class:TagSet +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ne__(self, other):$/;" m class:BitString +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ne__(self, other):$/;" m class:Choice +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ne__(self, value):$/;" m class:Integer +__ne__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ne__(self, value):$/;" m class:Real +__ne__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __ne__(self, other):$/;" m class:ParserElement +__ne__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __ne__(self, other):$/;" m class:HTTPBasicAuth +__ne__ adpepsenv/lib/python3.8/site-packages/requests/auth.py /^ def __ne__(self, other):$/;" m class:HTTPDigestAuth +__ne__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __ne__(self, other: typing.Any) -> bool:$/;" m class:PrivateKey +__ne__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __ne__(self, other: typing.Any) -> bool:$/;" m class:PublicKey +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __ne__(self, other):$/;" m class:spmatrix +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __ne__(self, other):$/;" m class:_cs_matrix +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/stats/tests/test_stats.py /^ def __ne__(self, other):$/;" m class:TestMode.test_objects.Point +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ne__(self, other):$/;" m class:Infinity +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ne__(self, other):$/;" m class:NegativeInfinity +__ne__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __ne__(self, other):$/;" m class:_BaseVersion +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:BaseSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:SpecifierSet +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __ne__(self, other):$/;" m class:_IndividualSpecifier +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __ne__(self, other):$/;" m class:_BaseVersion +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:Infinity +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __ne__(self, other):$/;" m class:NegativeInfinity +__ne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __ne__(self,other):$/;" m class:ParserElement +__ne__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __ne__(self, other):$/;" m class:DType +__ne__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __ne__(self, other):$/;" m class:Dimension +__ne__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __ne__(self, other):$/;" m class:TensorShape +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __ne__(self, other):$/;" m class:_NodeState +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __ne__(self, other):$/;" m class:_NodeState +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __ne__(self, other):$/;" m class:_SymbolTable +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def __ne__(self, other):$/;" m class:OptionsBase +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def __ne__(self, other):$/;" m class:DType +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __ne__(self, other):$/;" m class:Dimension +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __ne__(self, other):$/;" m class:TensorShape +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __ne__(self, other):$/;" m class:DenseSpec +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __ne__(self, other):$/;" m class:ParameterModifier +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __ne__(self, other):$/;" m class:TypeSpec +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __ne__(self, other):$/;" m class:Variable +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def __ne__(self, other):$/;" m class:_SparseMetaData +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __ne__(self, other):$/;" m class:ClusterSpec +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __ne__(self, other):$/;" m class:ListWrapper +__ne__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __ne__(self, other):$/;" m class:_ObjectIdentityWrapper +__ne__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __ne__(self, other):$/;" m class:Transform +__ne__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __ne__ = _wrap_type_error_to_not_implemented(_C._TensorBase.ne)$/;" v class:Tensor file: +__ne__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __ne__(self, other):$/;" m class:HTTPHeaderDict +__ne__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __ne__(self, other):$/;" m class:Headers +__ne__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __ne__(self, other):$/;" m class:OrderedMultiDict +__ne__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __ne__ = lambda x, o: x._get_current_object() != o$/;" m class:LocalProxy +__ne__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __ne__(self, other):$/;" m class:Rule +__ne__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ne__(self, other):$/;" m class:ObjectProxy +__nonzero__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ __nonzero__ = __bool__$/;" v class:FlagHolder file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ __nonzero__ = __bool__$/;" v class:relativedelta file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ __nonzero__ = __bool__$/;" v class:HLObject file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __nonzero__(self): return self.aval._nonzero(self)$/;" m class:Tracer +__nonzero__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __nonzero__(self):$/;" m class:Store +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __nonzero__(self):$/;" m class:HashErrors +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def __nonzero__(self):$/;" m class:Hashes +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ __nonzero__ = __bool__$/;" v class:NoDists file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:ParseResults file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:_NullToken file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __nonzero__(self):$/;" m class:Response +__nonzero__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:ParseResults file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:_NullToken file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ __nonzero__ = __bool__$/;" v class:NoDists file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __nonzero__(self):$/;" m class:ConstructedAsn1Type +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __nonzero__(self):$/;" m class:SimpleAsn1Type +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __nonzero__(self):$/;" m class:AbstractConstraint +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __nonzero__(self):$/;" m class:NamedTypes +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __nonzero__(self):$/;" m class:Choice +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __nonzero__(self):$/;" m class:Real +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:ParseResults file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:_NullToken file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __nonzero__(self):$/;" m class:Response +__nonzero__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ __nonzero__ = __bool__$/;" v class:spmatrix file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:ParseResults file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ __nonzero__ = __bool__$/;" v class:_NullToken file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ __nonzero__ = __bool__$/;" v class:TensorShape file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ __nonzero__ = __bool__ # Python 2 backward compatibility$/;" v class:DatasetV2 file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __nonzero__(self):$/;" m class:Tensor +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __nonzero__ = __bool__$/;" v class:_EagerTensorBase file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ __nonzero__ = __bool__$/;" v class:TensorShape file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __nonzero__(self):$/;" m class:ndarray +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __nonzero__(self):$/;" m class:BaseResourceVariable +__nonzero__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ __nonzero__ = __bool__$/;" v class:ClusterSpec file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __nonzero__(self):$/;" m class:ContentRange +__nonzero__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __nonzero__(self):$/;" m class:FileStorage +__nonzero__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __nonzero__(self):$/;" m class:HeaderSet +__nonzero__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __nonzero__ = __bool__$/;" v class:ETags file: +__nonzero__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __nonzero__(self):$/;" m class:UserAgent +__nonzero__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __nonzero__(self):$/;" m class:ObjectProxy +__normalizeBase10 adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __normalizeBase10(value):$/;" m class:Real file: +__not_exists_id adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __not_exists_id = textwrap.dedent("""$/;" v class:easy_install file: +__no_default_msg adpepsenv/lib/python3.8/site-packages/setuptools/command/easy_install.py /^ __no_default_msg = textwrap.dedent("""$/;" v class:easy_install file: +__NUMPY_SETUP__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ __NUMPY_SETUP__ = False$/;" v +__numpy_version__ adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^from numpy import __version__ as __numpy_version__$/;" x +__oct__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __oct__(self): return self.aval._oct(self)$/;" m class:Tracer +__oct__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __oct__(self):$/;" m class:container +__oct__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __oct__ = lambda x: oct(x._get_current_object())$/;" m class:LocalProxy +__oct__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __oct__(self):$/;" m class:ObjectProxy +__optionalNotMatched adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ __optionalNotMatched = _NullToken()$/;" v class:Optional file: +__optionalNotMatched adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ __optionalNotMatched = _NullToken()$/;" v class:Optional file: +__or_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __or_(g, input, other):$/;" f +__or__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __or__(self, other): return self.aval._or(self, other)$/;" m class:Tracer +__or__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __or__ = __ror__ = _all$/;" v class:TestArrayPriority.Other file: +__or__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __or__, __ror__, __ior__ = _numeric_methods(um.bitwise_or, 'or')$/;" v class:NDArrayOperatorsMixin file: +__or__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __or__(self, other):$/;" m class:container +__or__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __or__(self, other):$/;" m class:_Operand +__or__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/hashes.py /^ def __or__(self, other):$/;" m class:Hashes +__or__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __or__(self, other):$/;" m class:ParserElement +__or__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __or__(self, other ):$/;" m class:ParserElement +__or__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __or__(self, otherTag):$/;" m class:Tag +__or__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __or__(self, value):$/;" m class:Integer +__or__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __or__(self, other):$/;" m class:ParserElement +__or__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __or__(self, other ):$/;" m class:ParserElement +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __or__(self, other):$/;" m class:_NodeState +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __or__(self, other):$/;" m class:_NodeState +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __or__(self, other):$/;" m class:_SymbolTable +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __or__(self, o):$/;" m class:AggregatingVariable +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __or__(self, o):$/;" m class:DistributedDelegate +__or__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __or__ = _overloaded_operator("__or__")$/;" v class:RaggedTensor file: +__or__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __or__ = lambda x, o: x._get_current_object() | o$/;" m class:LocalProxy +__or__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __or__(self, other):$/;" m class:ObjectProxy +__overloads__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __overloads__ = {'forward': ['forward_packed', 'forward_tensor']}$/;" v class:QuantizedGRU file: +__overloads__ adpepsenv/lib/python3.8/site-packages/torch/jit/quantized.py /^ __overloads__ = {'forward': ['forward_packed', 'forward_tensor']}$/;" v class:QuantizedLSTM file: +__overloads__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ __overloads__ = {'forward': ['forward_packed', 'forward_tensor']}$/;" v class:LSTM file: +__package__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^__package__ = __name__ # see PEP 366 @ReservedAssignment$/;" v +__package__ adpepsenv/lib/python3.8/site-packages/_yaml/__init__.py /^__package__ = ''$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^ __path__ = [_tf_api_dir]$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^ __path__ = [_tf_api_dir]$/;" v +__path__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ __path__ = [] # mark as package$/;" v class:Module_six_moves_urllib file: +__path__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ __path__ = [] # mark as package$/;" v class:_MovedItems file: +__path__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^__path__ = [] # required for PEP 302 and PEP 451$/;" v +__post_init__ adpeps/utils/ctmtensors.py /^ def __post_init__(self):$/;" m class:CTMTensors +__post_init__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def __post_init__(self):$/;" m class:Measurement +__pos__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __pos__(self): return self.aval._pos(self)$/;" m class:Tracer +__pos__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __pos__ = _unary_method(um.positive, 'pos')$/;" v class:NDArrayOperatorsMixin file: +__pos__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __pos__(self):$/;" m class:poly1d +__pos__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __pos__(self):$/;" m class:container +__pos__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __pos__(self):$/;" m class:ABCPolyBase +__pos__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __pos__(self):$/;" m class:_Operand +__pos__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __pos__ = _delegate("__pos__")$/;" v class:IFDRational file: +__pos__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __pos__(self):$/;" m class:Integer +__pos__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __pos__(self):$/;" m class:Real +__pos__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __pos__(self):$/;" m class:ndarray +__pos__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __pos__ = lambda x: +(x._get_current_object())$/;" m class:LocalProxy +__pos__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __pos__(self):$/;" m class:ObjectProxy +__pow__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __pow__(self, other): return self.aval._pow(self, other)$/;" m class:Tracer +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __pow__(self, exp):$/;" m class:TestBinop.test_pow_array_object_dtype.SomeClass +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __pow__ = __rpow__ = _all$/;" v class:TestArrayPriority.Other file: +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __pow__, __rpow__, __ipow__ = _numeric_methods(um.power, 'pow')$/;" v class:NDArrayOperatorsMixin file: +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __pow__(self, val):$/;" m class:poly1d +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __pow__(self, other):$/;" m class:container +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __pow__(self, other):$/;" m class:MaskedArray +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __pow__(self, other):$/;" m class:matrix +__pow__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __pow__(self, other):$/;" m class:ABCPolyBase +__pow__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __pow__(self, other):$/;" m class:_Operand +__pow__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __pow__ = _delegate("__pow__")$/;" v class:IFDRational file: +__pow__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __pow__(self, value, modulo=None):$/;" m class:Integer +__pow__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __pow__(self, value, modulo=None):$/;" m class:Real +__pow__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __pow__(self, other):$/;" m class:spmatrix +__pow__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __pow__(self, p):$/;" m class:LinearOperator +__pow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __pow__(self, o, modulo=None):$/;" m class:AggregatingVariable +__pow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __pow__(self, o, modulo=None):$/;" m class:DistributedDelegate +__pow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __pow__(self, o, modulo=None):$/;" m class:AutoCastVariable +__pow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __pow__ = _overloaded_operator("__pow__")$/;" v class:RaggedTensor file: +__pow__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __pow__ = _C._TensorBase.pow$/;" v class:Tensor file: +__pow__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __pow__ = lambda x, o: x._get_current_object() ** o$/;" m class:LocalProxy +__pow__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __pow__(self, other, *args):$/;" m class:ObjectProxy +__prepare_fancyarrow_dpi_cor_test adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_arrow_patches.py /^def __prepare_fancyarrow_dpi_cor_test():$/;" f +__prepare__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^ def __prepare__(cls, name, this_bases):$/;" m class:with_metaclass.metaclass +__prepare__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^ def __prepare__(cls, name, this_bases):$/;" m class:with_metaclass.metaclass +__prepare__ adpepsenv/lib/python3.8/site-packages/six.py /^ def __prepare__(cls, name, this_bases):$/;" m class:with_metaclass.metaclass +__prepare__ adpepsenv/lib/python3.8/site-packages/torch/_six.py /^ def __prepare__(cls, name, this_bases):$/;" m class:with_metaclass.metaclass +__prepare__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^ def __prepare__(cls, name, this_bases):$/;" m class:with_metaclass.metaclass +__PrinterOptions adpepsenv/lib/python3.8/site-packages/torch/_tensor_str.py /^class __PrinterOptions(object):$/;" c +__processElementText adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __processElementText(self, node, subnode, isText=True):$/;" m class:InlineProcessor file: +__processPlaceholders adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __processPlaceholders(self, data, parent, isText=True):$/;" m class:InlineProcessor file: +__radd__ adpeps/utils/empty_tensor.py /^ def __radd__(self, other):$/;" m class:EmptyT +__radd__ adpeps/utils/nested.py /^ def __radd__(self, other):$/;" m class:Nested +__radd__ adpepsenv/lib/python3.8/site-packages/cachetools/keys.py /^ def __radd__(self, other, add=tuple.__add__):$/;" m class:_HashedTuple +__radd__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __radd__(self, other):$/;" m class:BlobReference +__radd__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __radd__(self, other):$/;" m class:relativedelta +__radd__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __radd__(self, other): return self.aval._radd(self, other)$/;" m class:Tracer +__radd__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __radd__(self, other):$/;" m class:_DoubleDouble +__radd__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __radd__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __radd__(self, other):$/;" m class:chararray +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ __radd__ = __add__$/;" v class:PhysicalQuantity file: +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __add__, __radd__, __iadd__ = _numeric_methods(um.add, 'add')$/;" v class:NDArrayOperatorsMixin file: +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __radd__(self, other):$/;" m class:poly1d +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def __radd__(self, other):$/;" m class:TestNDArrayOperatorsMixin.test_opt_out.OptOut +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ __radd__ = __add__$/;" v class:container file: +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __radd__(self, other):$/;" m class:MaskedArray +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __radd__(self, other):$/;" m class:ABCPolyBase +__radd__ adpepsenv/lib/python3.8/site-packages/numpy/testing/print_coercion_tables.py /^ def __radd__(self, other):$/;" m class:GenericObject +__radd__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __radd__(self, other):$/;" m class:_Operand +__radd__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __radd__ = _delegate("__radd__")$/;" v class:IFDRational file: +__radd__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParserElement +__radd__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParseResults +__radd__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __radd__(self, other ):$/;" m class:ParserElement +__radd__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParseResults +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __radd__(self, value):$/;" m class:AbstractConstraintSet +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __radd__(self, superTag):$/;" m class:TagSet +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __radd__(self, other):$/;" m class:ObjectIdentifier +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __radd__(self, value):$/;" m class:BitString +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __radd__(self, value):$/;" m class:Integer +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __radd__(self, value):$/;" m class:OctetString +__radd__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __radd__(self, value):$/;" m class:Real +__radd__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParserElement +__radd__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParseResults +__radd__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __radd__(self, other):$/;" m class:StateSpace +__radd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __radd__(self,other): # other + self$/;" m class:spmatrix +__radd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __radd__(self, other):$/;" m class:dok_matrix +__radd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __radd__(self, mat):$/;" m class:BinopTester +__radd__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __radd__(self, mat):$/;" m class:BinopTester_with_shape +__radd__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __radd__(self, other ):$/;" m class:ParserElement +__radd__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __radd__(self, other):$/;" m class:ParseResults +__radd__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __radd__(self, other):$/;" m class:Dimension +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __radd__(self, o):$/;" m class:AggregatingVariable +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __radd__(self, o):$/;" m class:DistributedDelegate +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __radd__(self, other):$/;" m class:Dimension +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __radd__(self, other):$/;" m class:TensorShape +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __radd__(self, o):$/;" m class:AutoCastVariable +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __radd__ = _overloaded_operator("__radd__")$/;" v class:RaggedTensor file: +__radd__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __radd__(self, other):$/;" m class:List +__radd__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __radd__ = lambda x, o: o + x._get_current_object()$/;" m class:LocalProxy +__radd__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __radd__(self, other):$/;" m class:ObjectProxy +__randomstate_ctor adpepsenv/lib/python3.8/site-packages/numpy/random/_pickle.py /^def __randomstate_ctor(bit_generator_name='MT19937'):$/;" f +__RandomState_ctor adpepsenv/lib/python3.8/site-packages/numpy/random/__init__.py /^def __RandomState_ctor():$/;" f +__rand__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rand__(self, other): return self.aval._rand(self, other)$/;" m class:Tracer +__rand__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __and__, __rand__, __iand__ = _numeric_methods(um.bitwise_and, 'and')$/;" v class:NDArrayOperatorsMixin file: +__rand__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rand__(self, other):$/;" m class:container +__rand__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rand__(self, other):$/;" m class:_Operand +__rand__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __rand__(self, other):$/;" m class:ParserElement +__rand__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __rand__(self, other ):$/;" m class:ParserElement +__rand__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __rand__(self, flag):$/;" m class:Debug +__rand__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rand__(self, value):$/;" m class:Integer +__rand__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __rand__(self, other):$/;" m class:ParserElement +__rand__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __rand__(self, other ):$/;" m class:ParserElement +__rand__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rand__(self, o):$/;" m class:AggregatingVariable +__rand__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rand__(self, o):$/;" m class:DistributedDelegate +__rand__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rand__ = _overloaded_operator("__rand__")$/;" v class:RaggedTensor file: +__rand__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rand__(self, other):$/;" m class:ObjectProxy +__rdivmod__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rdivmod__(self, other): return self.aval._rdivmod(self, other)$/;" m class:Tracer +__rdivmod__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __rdivmod__(self, dividend: 'Size') -> Tuple['Poly', int]:$/;" m class:Poly +__rdivmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __rdivmod__ = _reflected_binary_method(um.divmod, 'divmod')$/;" v class:NDArrayOperatorsMixin file: +__rdivmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rdivmod__(self, other):$/;" m class:container +__rdivmod__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rdivmod__(self, other):$/;" m class:ABCPolyBase +__rdivmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rdivmod__(self, value):$/;" m class:Integer +__rdivmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rdivmod__(self, value):$/;" m class:Real +__rdivmod__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rdivmod__ = lambda x, o: x._get_current_object().__rdivmod__(o)$/;" m class:LocalProxy +__rdivmod__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rdivmod__(self, other):$/;" m class:ObjectProxy +__rdiv__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rdiv__(self, other): return self.aval._rdiv(self, other)$/;" m class:Tracer +__rdiv__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __rdiv__(self, x):$/;" m class:PhysicalQuantity +__rdiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __rdiv__(self, other):$/;" m class:poly1d +__rdiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rdiv__(self, other):$/;" m class:container +__rdiv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def __rdiv__(self, other):$/;" m class:TestUfuncs.test_treatment_of_NotImplemented.MyClass2 +__rdiv__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rdiv__(self, other):$/;" m class:ABCPolyBase +__rdiv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rdiv__(self, value):$/;" m class:Integer +__rdiv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rdiv__(self, value):$/;" m class:Real +__rdiv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __rdiv__(self, other):$/;" m class:spmatrix +__rdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rdiv__(self, o):$/;" m class:AggregatingVariable +__rdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rdiv__(self, o):$/;" m class:DistributedDelegate +__rdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rdiv__(self, other):$/;" m class:Dimension +__rdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rdiv__(self, o):$/;" m class:AutoCastVariable +__rdiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rdiv__ = _overloaded_operator("__rdiv__")$/;" v class:RaggedTensor file: +__rdiv__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __rdiv__(self, other):$/;" m class:Tensor +__rdiv__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rdiv__ = lambda x, o: o \/ x._get_current_object()$/;" m class:LocalProxy +__rdiv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rdiv__(self, other):$/;" m class:ObjectProxy +__read adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __read(self, size):$/;" m class:_Stream file: +__read_template_hack adpepsenv/lib/python3.8/site-packages/setuptools/command/sdist.py /^ def __read_template_hack(self):$/;" m class:sdist file: +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __reduce_ex__(self, protocol):$/;" m class:tzfile +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __reduce_ex__(self, protocol):$/;" m class:ListWrapper +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __reduce_ex__(self, protocol):$/;" m class:_DictWrapper +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __reduce_ex__(self, protocol):$/;" m class:_TupleWrapper +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^ def __reduce_ex__(self, proto):$/;" m class:Parameter +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __reduce_ex__(self, proto):$/;" m class:Tensor +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __reduce_ex__(self, protocol):$/;" m class:CombinedMultiDict +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __reduce_ex__(self, protocol):$/;" m class:ImmutableDictMixin +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __reduce_ex__(self, protocol):$/;" m class:ImmutableListMixin +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __reduce_ex__(self, protocol):$/;" m class:ImmutableMultiDictMixin +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __reduce_ex__(self, protocol):$/;" m class:OrderedMultiDict +__reduce_ex__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __reduce_ex__(self, protocol):$/;" m class:ObjectProxy +__reduce__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __reduce__(self):$/;" m class:_Link +__reduce__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __reduce__(self):$/;" m class:_Timer +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __reduce__(self):$/;" m class:tzfile +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __reduce__ = object.__reduce__$/;" v class:tzlocal file: +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __reduce__ = object.__reduce__$/;" v class:tzoffset file: +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __reduce__ = object.__reduce__$/;" v class:tzutc file: +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __reduce__ = object.__reduce__$/;" v class:_tzicalvtz file: +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __reduce__(self):$/;" m class:tzwin +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __reduce__(self):$/;" m class:tzwinlocal +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ __reduce__ = object.__reduce__$/;" v class:tzrangebase file: +__reduce__ adpepsenv/lib/python3.8/site-packages/dateutil/zoneinfo/__init__.py /^ def __reduce__(self):$/;" m class:tzfile +__reduce__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __reduce__(self):$/;" m class:Message +__reduce__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def __reduce__(self):$/;" m class:SubplotBase +__reduce__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __reduce__(self):$/;" m class:_OrderedCounter +__reduce__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __reduce__(self):$/;" m class:MaskedArray +__reduce__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __reduce__(self):$/;" m class:MaskedConstant +__reduce__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __reduce__(self):$/;" m class:MaskedRecords +__reduce__ adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^ def __reduce__(self):$/;" m class:_NoValueType +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __reduce__(self):$/;" m class:OrderedDict +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __reduce__(self):$/;" m class:_BaseAddress +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __reduce__(self):$/;" m class:_IPAddressBase +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __reduce__ = _IPAddressBase.__reduce__$/;" v class:IPv4Interface file: +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __reduce__ = _IPAddressBase.__reduce__$/;" v class:IPv6Interface file: +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __reduce__(self):$/;" m class:PoolError +__reduce__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __reduce__(self):$/;" m class:RequestError +__reduce__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __reduce__(self):$/;" m class:dok_matrix +__reduce__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_matrix_io.py /^ def __reduce__(self):$/;" m class:test_malicious_load.Executor +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __reduce__(self):$/;" m class:DType +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __reduce__(self):$/;" m class:Dimension +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __reduce__(self):$/;" m class:TensorShape +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ def __reduce__(self):$/;" m class:DType +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __reduce__(self):$/;" m class:OpError +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __reduce__(self):$/;" m class:_EagerTensorBase +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __reduce__(self):$/;" m class:Dimension +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __reduce__(self):$/;" m class:TensorShape +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __reduce__(self):$/;" m class:BoundedTensorSpec +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __reduce__(self):$/;" m class:TypeSpec +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __reduce__(self):$/;" m class:BaseResourceVariable +__reduce__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __reduce__(self):$/;" m class:TFModuleWrapper +__reduce__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __reduce__(self):$/;" m class:_StorageBase +__reduce__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __reduce__(self):$/;" m class:GraphModule +__reduce__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __reduce__(self):$/;" m class:_AnnotatedAlias +__reduce__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __reduce__(self):$/;" m class:PoolError +__reduce__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __reduce__(self):$/;" m class:RequestError +__reduce__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __reduce__(self):$/;" m class:_Missing +__reduce__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __reduce__(self):$/;" m class:ObjectProxy +__release_local__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __release_local__(self):$/;" m class:Local +__release_local__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __release_local__(self):$/;" m class:LocalStack +__repr adpepsenv/lib/python3.8/site-packages/numpy/lib/_version.py /^ def __repr(self):$/;" m class:NumpyVersion file: +__repr__ adpeps/utils/empty_tensor.py /^ def __repr__(self):$/;" m class:EmptyT +__repr__ adpeps/utils/nested.py /^ def __repr__(self):$/;" m class:Nested +__repr__ adpeps/utils/tlist.py /^ def __repr__(self):$/;" m class:TList +__repr__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __repr__(self):$/;" m class:Cache +__repr__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __repr__(self, cache_repr=Cache.__repr__):$/;" m class:TTLCache +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __repr__(self):$/;" m class:BlobReference +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __repr__(self):$/;" m class:Field +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:Cluster +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:SetupNets +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:Task +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:TaskGroup +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:TaskOutput +__repr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __repr__(self):$/;" m class:TaskOutputList +__repr__ adpepsenv/lib/python3.8/site-packages/chardet/metadata/languages.py /^ def __repr__(self):$/;" m class:Language +__repr__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __repr__(self):$/;" m class:Cycler +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __repr__(self):$/;" f member:ParserError.__str__ file: +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __repr__(self):$/;" m class:_tzparser._result +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __repr__(self):$/;" m class:_resultbase +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __repr__(self):$/;" m class:relativedelta +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzfile +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzical +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzlocal +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzoffset +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzstr +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:tzutc +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:_ttinfo +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __repr__(self):$/;" m class:_tzicalvtz +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __repr__(self):$/;" m class:tzwin +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __repr__(self):$/;" m class:tzwinlocal +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ def __repr__(self):$/;" m class:tzrangebase +__repr__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ def __repr__(self):$/;" m class:weekday +__repr__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __repr__(self):$/;" m class:BaseContainer +__repr__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __repr__(self):$/;" m class:MessageMap +__repr__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __repr__(self):$/;" m class:ScalarMap +__repr__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __repr__(self):$/;" f function:_AddReprMethod file: +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __repr__(self) -> str:$/;" m class:AioRpcError +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __repr__(self) -> str:$/;" m class:Call +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __repr__(self) -> str:$/;" m class:Metadata +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __repr__(self):$/;" m class:NoSuchMethodError +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __repr__(self):$/;" m class:_InactiveRpcError +__repr__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __repr__(self):$/;" m class:_Rendezvous +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __repr__(self):$/;" m class:AttributeManager +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __repr__(self):$/;" m class:Empty +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ __repr__ = __str__$/;" v class:KeysViewHDF5 file: +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __repr__(self):$/;" m class:Dataset +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/datatype.py /^ def __repr__(self):$/;" m class:Datatype +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __repr__(self):$/;" m class:DimensionManager +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dims.py /^ def __repr__(self):$/;" m class:DimensionProxy +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/files.py /^ def __repr__(self):$/;" m class:File +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __repr__(self):$/;" m class:ExternalLink +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __repr__(self):$/;" m class:Group +__repr__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __repr__(self):$/;" m class:SoftLink +__repr__ adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^ def __repr__(self):$/;" m class:Zero +__repr__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __repr__(self):$/;" m class:CustomTransformsFunction +__repr__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ def __repr__(self):$/;" m class:ShapeDtypeStruct +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self) -> str:$/;" m class:omnistaging_disabler.TraceStack +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self) -> str:$/;" m class:MainTrace +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self) -> str:$/;" m class:TraceStack +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self): return '*'$/;" m class:Unit +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self): return '*'$/;" m class:UnitVar +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self): return '_'$/;" m class:DropVar +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self): return repr(self.jaxpr)$/;" m class:ClosedJaxpr +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self): return str(pp_eqn(self)).rstrip()$/;" m class:JaxprEqn +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:AbstractValue +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:Literal +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:Primitive +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:Trace +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:Tracer +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:UnshapedArray +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:Var +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __repr__(self):$/;" m class:_TempAxisName +__repr__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __repr__ = __str__$/;" v class:Jaxpr file: +__repr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __repr__(self):$/;" m class:_DoubleDouble +__repr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __repr__(self):$/;" m class:_Zeros +__repr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ __repr__ = __str__$/;" v class:Limitation file: +__repr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __repr__(self):$/;" m class:FrozenDict +__repr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __repr__(self):$/;" m class:_UniqueResourceName +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ def __repr__(self):$/;" m class:UndefinedPrimal +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __repr__(self):$/;" m class:Poly +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __repr__(self):$/;" m class:UniqueId +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ def __repr__(self):$/;" m class:JaxprTracer +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __repr__(self):$/;" m class:Chunked +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __repr__(self):$/;" m class:NoSharding +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __repr__(self):$/;" m class:ShardingSpec +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/sharded_jit.py /^ def __repr__(self):$/;" m class:PartitionSpec +__repr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __repr__(self):$/;" f +__repr__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ def __repr__(self):$/;" m class:WrappedFun +__repr__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __repr__(self):$/;" m class:_IndexUpdateHelper +__repr__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ def __repr__(self):$/;" m class:_IndexUpdateRef +__repr__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __repr__(self):$/;" f function:taggedtuple file: +__repr__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ def __repr__(self):$/;" m class:HashableFunction +__repr__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __repr__(self):$/;" m class:Registry +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_subplots.py /^ def __repr__(self):$/;" m class:SubplotBase +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __repr__(self):$/;" m class:GraphicsContextPdf +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __repr__(self):$/;" m class:Name +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __repr__(self):$/;" m class:Operator +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __repr__(self):$/;" m class:Reference +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __repr__(self):$/;" m class:_deprecated_parameter_class +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __repr__(self):$/;" m class:silent_list +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/container.py /^ def __repr__(self):$/;" m class:Container +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ def __repr__(self):$/;" m class:DviFont +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __repr__(self):$/;" m class:Figure +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __repr__(self):$/;" m class:FontEntry +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __repr__(self):$/;" m class:GridSpecBase +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/gridspec.py /^ def __repr__(self):$/;" m class:SubplotSpec +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __repr__(self):$/;" m class:Char +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __repr__(self):$/;" m class:Kern +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __repr__(self):$/;" m class:List +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/mathtext.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/path.py /^ def __repr__(self):$/;" m class:Path +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __repr__(self):$/;" m class:Duration +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __repr__(self):$/;" m class:Epoch +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __repr__(self):$/;" m class:UnitDbl +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __repr__(self):$/;" m class:Text +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __repr__(self):$/;" m class:Bbox +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/_layoutbox.py /^ def __repr__(self):$/;" m class:LayoutBox +__repr__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __repr__(self):$/;" m class:RcParams +__repr__ adpepsenv/lib/python3.8/site-packages/mpi4py/futures/_base.py /^ def __repr__(self):$/;" m class:Future +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __repr__(self):$/;" m class:finfo +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __repr__(self):$/;" m class:iinfo +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __repr__(self):$/;" m class:recarray +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __repr__(self):$/;" m class:record +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __repr__(self):$/;" m class:_OrderedCounter +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_protocols.py /^ def __repr__(self):$/;" m class:test_getattr_warning.Wrapper +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __repr__(self):$/;" m class:TestSpecialMethods.test_wrap_and_prepare_out.StoreArrayPrepareWrap +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def __repr__(dtype):$/;" f +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __repr__(self):$/;" m class:Intent +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __repr__(self):$/;" m class:poly1d +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/tests/test_mixins.py /^ def __repr__(self):$/;" m class:ArrayLike +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __repr__(self):$/;" m class:container +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/linalg/tests/test_linalg.py /^ def __repr__(self):$/;" m class:LinalgCase +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __repr__(self):$/;" m class:MaskedArray +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __repr__(self):$/;" m class:MaskedConstant +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __repr__ = __str__$/;" v class:mvoid file: +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __repr__ = __str__$/;" v class:_MaskedPrintOption file: +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __repr__(self):$/;" m class:MaskedRecords +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __repr__(self):$/;" m class:ComplicatedSubArray +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __repr__(self):$/;" m class:ABCPolyBase +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ def __repr__(self):$/;" m class:param +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ __repr__ = dict.__repr__$/;" v class:QuietOrderedDict file: +__repr__ adpepsenv/lib/python3.8/site-packages/numpy/_globals.py /^ def __repr__(self):$/;" m class:_NoValueType +__repr__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __repr__(self):$/;" m class:Request +__repr__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth1/rfc5849/__init__.py /^ def __repr__(self):$/;" m class:Client +__repr__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __repr__(self):$/;" m class:ContractExpression +__repr__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __repr__(self):$/;" m class:PathInfo +__repr__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __repr__(self):$/;" m class:Image +__repr__ adpepsenv/lib/python3.8/site-packages/PIL/ImageFilter.py /^ def __repr__(self):$/;" m class:Color3DLUT +__repr__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __repr__(self):$/;" m class:PdfName +__repr__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __repr__(self):$/;" m class:IFDRational +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/configuration.py /^ def __repr__(self):$/;" m class:Configuration +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^ def __repr__(self):$/;" m class:InstallationCandidate +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ def __repr__(self):$/;" m class:FormatControl +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def __repr__(self):$/;" m class:Link +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def __repr__(self):$/;" m class:InstallRequirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def __repr__(self):$/;" m class:RequirementSet +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/__init__.py /^ def __repr__(self):$/;" m class:InstallationResult +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __repr__(self):$/;" m class:AlreadyInstalledCandidate +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __repr__(self):$/;" m class:ExtrasCandidate +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/candidates.py /^ def __repr__(self):$/;" m class:_InstallRequirementBackedCandidate +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __repr__(self):$/;" m class:ExplicitRequirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __repr__(self):$/;" m class:RequiresPythonRequirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __repr__(self):$/;" m class:SpecifierRequirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __repr__(self):$/;" m class:HiddenText +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/temp_dir.py /^ def __repr__(self):$/;" m class:TempDirectory +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_internal/vcs/versioncontrol.py /^ def __repr__(self):$/;" m class:RevOptions +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __repr__(self):$/;" m class:ChainMap +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __repr__(self, _repr_running=None):$/;" m class:OrderedDict +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __repr__(self):$/;" m class:DependencyGraph +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __repr__(self):$/;" m class:Distribution +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __repr__(self):$/;" m class:EggInfoDistribution +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __repr__(self):$/;" m class:InstalledDistribution +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __repr__(self):$/;" m class:LegacyMetadata +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __repr__(self):$/;" m class:Metadata +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/util.py /^ def __repr__(self): # pragma: no cover$/;" m class:ExportEntry +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __repr__(self):$/;" m class:Matcher +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __repr__(self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __repr__(self):$/;" m class:TarInfo +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distro.py /^ def __repr__(self):$/;" m class:LinuxDistribution +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __repr__(self):$/;" m class:_BaseAddress +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __repr__(self):$/;" m class:_BaseNetwork +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ def __repr__(self):$/;" m class:Timestamp +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Marker +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^ def __repr__(self):$/;" m class:Requirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:SpecifierSet +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:_IndividualSpecifier +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __repr__(self):$/;" m class:Tag +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:LegacyVersion +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:InfinityType +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:NegativeInfinityType +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:Distribution +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:EntryPoint +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:Requirement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:ResolutionError +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:ParseBaseException +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:ParserElement +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:ParseResults +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:_ParseResultsWithOffset +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:_PendingSkip +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __repr__(self):$/;" m class:PreparedRequest +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __repr__(self):$/;" m class:Request +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __repr__(self):$/;" m class:Response +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __repr__(self):$/;" m class:CaseInsensitiveDict +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __repr__(self):$/;" m class:LookupDict +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __repr__(self):$/;" m class:Criterion +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def __repr__(self):$/;" m class:Attempt +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/exceptions.py /^ def __repr__(self):$/;" m class:IncompleteRead +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/retry.py /^ def __repr__(self):$/;" m class:Retry +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ def __repr__(self):$/;" m class:Timeout +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __repr__(self):$/;" m class:HTTPHeaderDict +__repr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/webencodings/__init__.py /^ def __repr__(self):$/;" m class:Encoding +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Marker +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^ def __repr__(self):$/;" m class:Requirement +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:SpecifierSet +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:_IndividualSpecifier +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def __repr__(self):$/;" m class:Tag +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:LegacyVersion +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:Infinity +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:NegativeInfinity +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParseBaseException +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParserElement +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParseResults +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:_ParseResultsWithOffset +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:Distribution +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:EntryPoint +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:Requirement +__repr__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __repr__(self):$/;" m class:ResolutionError +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __repr__(self):$/;" m class:ConstructedAsn1Type +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __repr__(self):$/;" m class:NoValue +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __repr__(self):$/;" m class:SimpleAsn1Type +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __repr__(self):$/;" m class:AbstractConstraint +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __repr__(self):$/;" m class:NamedType +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedtype.py /^ def __repr__(self):$/;" m class:NamedTypes +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/namedval.py /^ def __repr__(self):$/;" m class:NamedValues +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __repr__(self):$/;" m class:Tag +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tag.py /^ def __repr__(self):$/;" m class:TagSet +__repr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/tagmap.py /^ def __repr__(self):$/;" m class:TagMap +__repr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __repr__(self):$/;" m class:ParseBaseException +__repr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __repr__(self):$/;" m class:ParserElement +__repr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __repr__(self):$/;" m class:ParseResults +__repr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __repr__(self):$/;" m class:_ParseResultsWithOffset +__repr__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __repr__(self):$/;" m class:_PendingSkip +__repr__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __repr__(self):$/;" m class:PreparedRequest +__repr__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __repr__(self):$/;" m class:Request +__repr__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __repr__(self):$/;" m class:Response +__repr__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __repr__(self):$/;" m class:CaseInsensitiveDict +__repr__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __repr__(self):$/;" m class:LookupDict +__repr__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __repr__(self) -> str:$/;" m class:PrivateKey +__repr__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __repr__(self) -> str:$/;" m class:PublicKey +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __repr__(self):$/;" m class:_Bunch +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __repr__(self):$/;" m class:MetaData +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/hb.py /^ def __repr__(self):$/;" m class:HBMatrixType +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __repr__(self):$/;" m class:ExpFormat +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __repr__(self):$/;" m class:IntFormat +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __repr__(self):$/;" m class:Token +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ def __repr__(self):$/;" m class:OptimizeResult +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/zeros.py /^ def __repr__(self):$/;" m class:RootResults +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/_constraints.py /^ def __repr__(self):$/;" m class:Bounds +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __repr__(self):$/;" m class:StateSpace +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __repr__(self):$/;" m class:TransferFunction +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __repr__(self):$/;" m class:ZerosPolesGain +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __repr__(self):$/;" m class:spmatrix +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def __repr__(self):$/;" m class:bsr_matrix +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dia.py /^ def __repr__(self):$/;" m class:dia_matrix +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^ def __repr__(self):$/;" m class:DictWithRepr +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __repr__(self):$/;" m class:LinearOperator +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/isolve/tests/test_iterative.py /^ def __repr__(self):$/;" m class:Case +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/spatial/kdtree.py /^ def __repr__(self):$/;" m class:Rectangle +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/special/_mptestutils.py /^ def __repr__(self):$/;" m class:MpmathData +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/special/_testutils.py /^ def __repr__(self):$/;" m class:FuncData +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_hypotests.py /^ def __repr__(self):$/;" m class:CramerVonMisesResult +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_bunch.py /^ def __repr__(self):$/;" f function:_make_tuple_bunch file: +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ def __repr__(self):$/;" m class:LowLevelCallable +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __repr__(self):$/;" m class:Infinity +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __repr__(self):$/;" m class:LegacyVersion +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __repr__(self):$/;" m class:NegativeInfinity +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __repr__(self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ __repr__ = __str__$/;" v class:Dispatchable file: +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/extension.py /^ def __repr__(self):$/;" m class:Extension +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __repr__ (self):$/;" m class:LooseVersion +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __repr__ (self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __repr__(self):$/;" m class:OrderedSet +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Marker +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^ def __repr__(self):$/;" m class:Requirement +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:SpecifierSet +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __repr__(self):$/;" m class:_IndividualSpecifier +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def __repr__(self):$/;" m class:Tag +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:LegacyVersion +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __repr__(self):$/;" m class:Version +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:Infinity +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/_structures.py /^ def __repr__(self):$/;" m class:NegativeInfinity +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParseBaseException +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParserElement +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __repr__( self ):$/;" m class:ParseResults +__repr__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __repr__(self):$/;" m class:_ParseResultsWithOffset +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __repr__(self):$/;" m class:DType +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __repr__(self):$/;" m class:Dimension +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __repr__(self):$/;" m class:TensorShape +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:BlobReference +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:BlobSequenceDatum +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:BlobSequenceTimeSeries +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:Run +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:RunTagFilter +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:ScalarDatum +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:ScalarTimeSeries +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:TensorDatum +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ def __repr__(self):$/;" m class:TensorTimeSeries +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/lazy.py /^ def __repr__(self):$/;" m class:lazy_load.wrapper.LazyModule +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/base_plugin.py /^ def __repr__(self):$/;" m class:FrontendMetadata +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __repr__(self):$/;" m class:Discrete +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __repr__(self):$/;" m class:HParam +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __repr__(self):$/;" m class:IntInterval +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __repr__(self):$/;" m class:RealInterval +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/util/tensor_util.py /^ def __repr__(self):$/;" m class:_Message +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/webencodings/__init__.py /^ def __repr__(self):$/;" m class:Encoding +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/break_statements.py /^ def __repr__(self):$/;" m class:_Break +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/continue_statements.py /^ def __repr__(self):$/;" m class:_Continue +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __repr__(self):$/;" m class:_Block +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/converters/return_statements.py /^ def __repr__(self):$/;" m class:_Function +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/ag_ctx.py /^ def __repr__(self):$/;" m class:ControlStatusCtx +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ def __repr__(self):$/;" m class:Undefined +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/anno.py /^ def __repr__(self):$/;" m class:NoValue +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __repr__(self):$/;" m class:Graph +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cfg.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/origin_info.py /^ def __repr__(self):$/;" m class:OriginInfo +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __repr__(self):$/;" m class:Literal +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __repr__(self):$/;" m class:QN +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/activity.py /^ def __repr__(self):$/;" m class:Scope +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/annos.py /^ def __repr__(self):$/;" m class:NoValue +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __repr__(self):$/;" m class:Definition +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __repr__(self):$/;" m class:_NodeState +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_fndefs.py /^ def __repr__(self):$/;" m class:_NodeState +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/type_inference.py /^ def __repr__(self):$/;" m class:_SymbolTable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/session.py /^ def __repr__(self):$/;" m class:_DeviceAttributes +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ def __repr__(self):$/;" m class:DatasetV2 +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __repr__(self):$/;" m class:DebugTensorDatum +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/wrappers/framework.py /^ def __repr__(self):$/;" m class:WatchOptions +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/combinations.py /^ def __repr__(self):$/;" m class:NamedDistribution +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ def __repr__(self):$/;" m class:InputWorkers +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __repr__(self):$/;" m class:AggregatingVariable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __repr__(self):$/;" m class:DistributedValues +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __repr__(self):$/;" m class:ConcreteFunction +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __repr__(self):$/;" m class:_Marker +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ def __repr__(self):$/;" m class:MergeDevice +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __repr__(self):$/;" m class:Operation +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __repr__(self):$/;" m class:Tensor +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __repr__(self):$/;" m class:_EagerTensorBase +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __repr__(self):$/;" m class:Dimension +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __repr__(self):$/;" m class:TensorShape +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __repr__(self):$/;" m class:BoundedTensorSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ def __repr__(self):$/;" m class:DenseSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/test_combinations.py /^ def __repr__(self):$/;" m class:NamedObject +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ def __repr__(self):$/;" m class:TypeSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_preprocessing_layer.py /^ def __repr__(self):$/;" m class:Combiner +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/input_spec.py /^ def __repr__(self):$/;" m class:InputSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __repr__(self):$/;" m class:KerasTensor +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __repr__(self):$/;" m class:create_autocast_variable.AutoCastDistributedVariable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __repr__(self):$/;" m class:AutoCastVariable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def __repr__(self):$/;" m class:Policy +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/policy.py /^ def __repr__(self):$/;" m class:PolicyV1 +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __repr__(self):$/;" m class:Distribution +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __repr__(self):$/;" m class:ReparameterizationType +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __repr__(self):$/;" m class:ndarray +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def __repr__(self):$/;" m class:RaggedTensor +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ def __repr__(self):$/;" m class:RaggedTensorType +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_shape.py /^ def __repr__(self):$/;" m class:RaggedTensorDynamicShape +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def __repr__(self):$/;" m class:RaggedTensorValue +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def __repr__(self):$/;" m class:RowPartition +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ def __repr__(self):$/;" m class:RowPartitionSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ def __repr__(self):$/;" m class:BaseResourceVariable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/sparse_ops.py /^ def __repr__(self):$/;" m class:KeywordRequired +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ def __repr__(self):$/;" m class:StructuredTensor +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __repr__(self):$/;" m class:RefVariable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variables.py /^ def __repr__(self):$/;" m class:Variable +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/signature_serialization.py /^ def __repr__(self):$/;" m class:_SignatureMap +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/session_support.py /^ def __repr__(self):$/;" m class:WorkerHeartbeatManager +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __repr__(self):$/;" m class:FeatureConfig +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_embedding_v2_utils.py /^ def __repr__(self):$/;" m class:TableConfig +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __repr__(self):$/;" m class:DynamicLossScale +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/experimental/loss_scale.py /^ def __repr__(self):$/;" m class:FixedLossScale +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/server_lib.py /^ def __repr__(self):$/;" m class:ClusterSpec +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ def __repr__(self):$/;" m class:CheckpointPosition +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __repr__(self):$/;" m class:List +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __repr__(self):$/;" m class:ListWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __repr__(self):$/;" m class:Mapping +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __repr__(self):$/;" m class:_DictWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __repr__(self):$/;" m class:_TupleWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/layer_utils.py /^ def __repr__(self):$/;" m class:AttributeSentinel +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __repr__(self):$/;" m class:TFModuleWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def __repr__(self):$/;" m class:_DotString +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __repr__(self):$/;" m class:ObjectIdentityDictionary +__repr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __repr__(self):$/;" m class:_ObjectIdentityWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __repr__(self):$/;" m class:FunctionEvent +__repr__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __repr__(self):$/;" m class:FunctionEventAvg +__repr__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __repr__(self):$/;" m class:profile +__repr__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __repr__(self):$/;" m class:Event +__repr__ adpepsenv/lib/python3.8/site-packages/torch/cuda/streams.py /^ def __repr__(self):$/;" m class:Stream +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:Constraint +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_GreaterThan +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_GreaterThanEq +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_HalfOpenInterval +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_IntegerGreaterThan +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_IntegerInterval +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_IntegerLessThan +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_Interval +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/constraints.py /^ def __repr__(self):$/;" m class:_LessThan +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/distribution.py /^ def __repr__(self):$/;" m class:Distribution +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/independent.py /^ def __repr__(self):$/;" m class:Independent +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/mixture_same_family.py /^ def __repr__(self):$/;" m class:MixtureSameFamily +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __repr__(self):$/;" m class:ComposeTransform +__repr__ adpepsenv/lib/python3.8/site-packages/torch/distributions/transforms.py /^ def __repr__(self):$/;" m class:Transform +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/cpp.py /^ def __repr__(self):$/;" m class:ModuleWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __repr__(self):$/;" m class:Module +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __repr__(self):$/;" m class:_IncompatibleKeys +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^ def __repr__(self):$/;" m class:Parameter +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/dynamic/modules/rnn.py /^ def __repr__(self):$/;" m class:RNNBase +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def __repr__(self):$/;" m class:Embedding +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/embedding_ops.py /^ def __repr__(self):$/;" m class:EmbeddingPackedParams +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __repr__(self):$/;" m class:Linear +__repr__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __repr__(self):$/;" m class:LinearPackedParams +__repr__ adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def __repr__(self):$/;" m class:Optimizer +__repr__ adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def __repr__(self):$/;" m class:_RequiredParameter +__repr__ adpepsenv/lib/python3.8/site-packages/torch/quantization/observer.py /^ def __repr__(self):$/;" m class:_with_args._PartialWrapper +__repr__ adpepsenv/lib/python3.8/site-packages/torch/quasirandom.py /^ def __repr__(self):$/;" m class:SobolEngine +__repr__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __repr__(self):$/;" m class:_StorageBase +__repr__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __repr__(self):$/;" m class:Tensor +__repr__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __repr__(self):$/;" m class:NodeSpec +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/common.py /^ def __repr__(self):$/;" m class:Measurement +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/fuzzer.py /^ def __repr__(self):$/;" m class:ParameterAlias +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/valgrind_wrapper/timer_interface.py /^ def __repr__(self) -> str:$/;" m class:CallgrindStats +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ def __repr__(self):$/;" m class:WorkerInfo +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __repr__(self):$/;" m class:FakeClass +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __repr__(self):$/;" m class:FakeObject +__repr__ adpepsenv/lib/python3.8/site-packages/torch/utils/tensorboard/_pytorch_graph.py /^ def __repr__(self):$/;" m class:NodeBase +__repr__ adpepsenv/lib/python3.8/site-packages/torch/_fx/node.py /^ def __repr__(self) -> str:$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __repr__(self) -> str:$/;" m class:Proxy +__repr__ adpepsenv/lib/python3.8/site-packages/torch/_package/_mock.py /^ def __repr__(self):$/;" m class:MockedObject +__repr__ adpepsenv/lib/python3.8/site-packages/torch/_utils.py /^ def __repr__(self):$/;" m class:KeyErrorMessage +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_AnnotatedAlias +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_ClassVar +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_ClassVarMeta +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_Final +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_FinalForm +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_FinalMeta +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_Literal +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_LiteralForm +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_LiteralMeta +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_TypeAliasBase +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_TypeAliasForm +__repr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __repr__(self):$/;" m class:_TypeAliasMeta +__repr__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __repr__(self):$/;" m class:IncompleteRead +__repr__ adpepsenv/lib/python3.8/site-packages/urllib3/exceptions.py /^ def __repr__(self):$/;" m class:InvalidChunkLength +__repr__ adpepsenv/lib/python3.8/site-packages/urllib3/util/retry.py /^ def __repr__(self):$/;" m class:Retry +__repr__ adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ def __repr__(self):$/;" m class:Timeout +__repr__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __repr__(self):$/;" m class:HTTPHeaderDict +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:Accept +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:CallbackDict +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:CombinedMultiDict +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ContentRange +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ContentSecurityPolicy +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ETags +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:FileStorage +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:Headers +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:HeaderSet +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:IfRange +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ImmutableDict +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ImmutableList +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:MultiDict +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:Range +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:ViewItems +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:WWWAuthenticate +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __repr__(self):$/;" m class:_CacheControl +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __repr__(self):$/;" m class:ThreadedStream +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/repr.py /^ def __repr__(self):$/;" m class:_Helper +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __repr__(self):$/;" m class:HTTPException +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __repr__(self):$/;" m class:LocalManager +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __repr__(self):$/;" m class:LocalProxy +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __repr__(self):$/;" m class:Map +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __repr__(self):$/;" m class:Rule +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/test.py /^ def __repr__(self):$/;" m class:Client +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __repr__(self):$/;" m class:UserAgent +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __repr__(self):$/;" m class:HTMLBuilder +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __repr__(self):$/;" m class:ImportStringError +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_request.py /^ def __repr__(self):$/;" m class:BaseRequest +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/wrappers/base_response.py /^ def __repr__(self):$/;" m class:BaseResponse +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/_compat.py /^ def __repr__(self):$/;" f function:fix_tuple_repr file: +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __repr__(self):$/;" m class:_DictAccessorProperty +__repr__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __repr__(self):$/;" m class:_Missing +__repr__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __repr__(self):$/;" m class:Tag +__repr__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __repr__(self):$/;" m class:ObjectProxy +__repr__ adpepsenv/lib/python3.8/site-packages/yaml/events.py /^ def __repr__(self):$/;" m class:Event +__repr__ adpepsenv/lib/python3.8/site-packages/yaml/nodes.py /^ def __repr__(self):$/;" m class:Node +__repr__ adpepsenv/lib/python3.8/site-packages/yaml/tokens.py /^ def __repr__(self):$/;" m class:Token +__req__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __req__(self, other):$/;" m class:ParserElement +__req__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __req__(self,other):$/;" m class:ParserElement +__req__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __req__(self, other):$/;" m class:ParserElement +__req__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __req__(self,other):$/;" m class:ParserElement +__reversed__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ def __reversed__(self):$/;" f +__reversed__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __reversed__(self):$/;" m class:OrderedDict +__reversed__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __reversed__(self):$/;" m class:ParseResults +__reversed__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __reversed__( self ): return iter( self.__toklist[::-1] )$/;" m class:ParseResults +__reversed__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def __reversed__(self):$/;" m class:AbstractCharacterString +__reversed__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __reversed__(self):$/;" m class:BitString +__reversed__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __reversed__(self):$/;" m class:OctetString +__reversed__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __reversed__(self):$/;" m class:ParseResults +__reversed__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __reversed__(self):$/;" m class:OrderedSet +__reversed__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __reversed__( self ): return iter( self.__toklist[::-1] )$/;" m class:ParseResults +__reversed__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __reversed__(self):$/;" m class:Tensor +__reversed__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __reversed__(self):$/;" m class:ObjectProxy +__revision__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/command/__init__.py /^__revision__ = "$Id: __init__.py,v 1.3 2005\/05\/16 11:08:49 pearu Exp $"$/;" v +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rfloordiv__(self, other): return self.aval._rfloordiv(self, other)$/;" m class:Tracer +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __floordiv__, __rfloordiv__, __ifloordiv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __rfloordiv__(self, other):$/;" m class:MaskedArray +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rfloordiv__(self, other):$/;" m class:ABCPolyBase +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rfloordiv__ = _delegate("__rfloordiv__")$/;" v class:IFDRational file: +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rfloordiv__(self, value):$/;" m class:Integer +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __rfloordiv__(self, other):$/;" m class:Dimension +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rfloordiv__(self, o):$/;" m class:AggregatingVariable +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rfloordiv__(self, o):$/;" m class:DistributedDelegate +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rfloordiv__(self, other):$/;" m class:Dimension +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rfloordiv__(self, o):$/;" m class:AutoCastVariable +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rfloordiv__ = _overloaded_operator("__rfloordiv__")$/;" v class:RaggedTensor file: +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __rfloordiv__(self, other):$/;" m class:Tensor +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rfloordiv__ = lambda x, o: o \/\/ x._get_current_object()$/;" m class:LocalProxy +__rfloordiv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rfloordiv__(self, other):$/;" m class:ObjectProxy +__rlshift__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rlshift__(self, other): return self.aval._rlshift(self, other)$/;" m class:Tracer +__rlshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __lshift__, __rlshift__, __ilshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rlshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rlshift__(self, other):$/;" m class:container +__rlshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rlshift__(self, other):$/;" m class:ObjectProxy +__rmatmul__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rmatmul__(self, other): return self.aval._rmatmul(self, other)$/;" m class:Tracer +__rmatmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __rmatmul__(self, other):$/;" m class:TestMatmulOperator.test_array_priority_override.A +__rmatmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __matmul__, __rmatmul__, __imatmul__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rmatmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __rmatmul__(self, other):$/;" m class:spmatrix +__rmatmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __rmatmul__(self, other):$/;" m class:LinearOperator +__rmatmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rmatmul__(self, mat):$/;" m class:BinopTester +__rmatmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rmatmul__(self, mat):$/;" m class:BinopTester_with_shape +__rmatmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rmatmul__(self, o):$/;" m class:AggregatingVariable +__rmatmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rmatmul__(self, o):$/;" m class:DistributedDelegate +__rmatmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rmatmul__(self, o):$/;" m class:AutoCastVariable +__rmod__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rmod__(self, other): return self.aval._rmod(self, other)$/;" m class:Tracer +__rmod__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __rmod__(self, other):$/;" m class:chararray +__rmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mod__, __rmod__, __imod__ = _numeric_methods(um.remainder, 'mod')$/;" v class:NDArrayOperatorsMixin file: +__rmod__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rmod__(self, other):$/;" m class:container +__rmod__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rmod__(self, other):$/;" m class:ABCPolyBase +__rmod__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rmod__(self, other):$/;" m class:_Operand +__rmod__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rmod__ = _delegate("__rmod__")$/;" v class:IFDRational file: +__rmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmod__(self, value):$/;" m class:Integer +__rmod__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmod__(self, value):$/;" m class:Real +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __rmod__(self, other):$/;" m class:Dimension +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rmod__(self, o):$/;" m class:AggregatingVariable +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rmod__(self, o):$/;" m class:DistributedDelegate +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rmod__(self, other):$/;" m class:Dimension +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rmod__(self, o):$/;" m class:AutoCastVariable +__rmod__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rmod__ = _overloaded_operator("__rmod__")$/;" v class:RaggedTensor file: +__rmod__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rmod__ = lambda x, o: o % x._get_current_object()$/;" m class:LocalProxy +__rmod__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rmod__(self, other):$/;" m class:ObjectProxy +__rmul__ adpeps/utils/empty_tensor.py /^ def __rmul__(self, other):$/;" m class:EmptyT +__rmul__ adpeps/utils/nested.py /^ def __rmul__(self, other):$/;" m class:Nested +__rmul__ adpepsenv/lib/python3.8/site-packages/cycler.py /^ def __rmul__(self, other):$/;" m class:Cycler +__rmul__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ __rmul__ = __mul__$/;" v class:relativedelta file: +__rmul__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rmul__(self, other): return self.aval._rmul(self, other)$/;" m class:Tracer +__rmul__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __rmul__(self, other):$/;" m class:_DoubleDouble +__rmul__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __rmul__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__rmul__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __rmul__(self, lhs):$/;" m class:Duration +__rmul__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __rmul__(self, lhs):$/;" m class:UnitDbl +__rmul__ adpepsenv/lib/python3.8/site-packages/mpl_toolkits/axes_grid1/axes_size.py /^ def __rmul__(self, other):$/;" m class:_Base +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/defchararray.py /^ def __rmul__(self, i):$/;" m class:chararray +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ __rmul__ = __mul__$/;" v class:PhysicalQuantity file: +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __rmul__(self, other):$/;" m class:TestDot.test_vecobject.Vec +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_ufunc.py /^ def __rmul__(self, other):$/;" m class:TestUfunc.test_custom_array_like.MyThing +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __mul__, __rmul__, __imul__ = _numeric_methods(um.multiply, 'mul')$/;" v class:NDArrayOperatorsMixin file: +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __rmul__(self, other):$/;" m class:poly1d +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ __rmul__ = __mul__$/;" v class:container file: +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __rmul__(self, other):$/;" m class:MaskedArray +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def __rmul__(self, other):$/;" m class:TestUfuncs.test_treatment_of_NotImplemented.MyClass +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ def __rmul__(self, other):$/;" m class:TestUfuncs.test_treatment_of_NotImplemented.MyClass2 +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __rmul__(self, other):$/;" m class:matrix +__rmul__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rmul__(self, other):$/;" m class:ABCPolyBase +__rmul__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rmul__(self, other):$/;" m class:_Operand +__rmul__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rmul__ = _delegate("__rmul__")$/;" v class:IFDRational file: +__rmul__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __rmul__(self, other):$/;" m class:ParserElement +__rmul__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __rmul__(self, other):$/;" m class:ParserElement +__rmul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmul__(self, value):$/;" m class:BitString +__rmul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmul__(self, value):$/;" m class:Integer +__rmul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmul__(self, value):$/;" m class:OctetString +__rmul__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rmul__(self, value):$/;" m class:Real +__rmul__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __rmul__(self, other):$/;" m class:ParserElement +__rmul__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __rmul__(self, other):$/;" m class:StateSpace +__rmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __rmul__(self, other): # other * self$/;" m class:spmatrix +__rmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __rmul__(self, x):$/;" m class:LinearOperator +__rmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rmul__(self, mat):$/;" m class:BinopTester +__rmul__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rmul__(self, mat):$/;" m class:BinopTester_with_shape +__rmul__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __rmul__(self, other):$/;" m class:ParserElement +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __rmul__(self, other):$/;" m class:Dimension +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rmul__(self, o):$/;" m class:AggregatingVariable +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rmul__(self, o):$/;" m class:DistributedDelegate +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rmul__(self, other):$/;" m class:Dimension +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rmul__(self, o):$/;" m class:AutoCastVariable +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rmul__ = _overloaded_operator("__rmul__")$/;" v class:RaggedTensor file: +__rmul__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __rmul__(self, n):$/;" m class:List +__rmul__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rmul__ = lambda x, o: o * x._get_current_object()$/;" m class:LocalProxy +__rmul__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rmul__(self, other):$/;" m class:ObjectProxy +__rne__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __rne__(self, other):$/;" m class:ParserElement +__rne__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __rne__(self,other):$/;" m class:ParserElement +__rne__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __rne__(self, other):$/;" m class:ParserElement +__rne__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __rne__(self,other):$/;" m class:ParserElement +__ror__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __ror__(self, other): return self.aval._ror(self, other)$/;" m class:Tracer +__ror__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __or__, __ror__, __ior__ = _numeric_methods(um.bitwise_or, 'or')$/;" v class:NDArrayOperatorsMixin file: +__ror__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __ror__(self, other):$/;" m class:container +__ror__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __ror__(self, other):$/;" m class:_Operand +__ror__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __ror__(self, other):$/;" m class:ParserElement +__ror__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __ror__(self, other ):$/;" m class:ParserElement +__ror__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __ror__(self, value):$/;" m class:Integer +__ror__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __ror__(self, other):$/;" m class:ParserElement +__ror__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __ror__(self, other ):$/;" m class:ParserElement +__ror__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __ror__(self, o):$/;" m class:AggregatingVariable +__ror__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __ror__(self, o):$/;" m class:DistributedDelegate +__ror__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __ror__ = _overloaded_operator("__ror__")$/;" v class:RaggedTensor file: +__ror__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __ror__(self, other):$/;" m class:ObjectProxy +__round__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __round__ = _delegate("__round__")$/;" v class:IFDRational file: +__round__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __round__(self, n=0):$/;" m class:Integer +__round__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __round__(self, n=0):$/;" m class:Real +__round__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __round__(self, ndigits=0):$/;" m class:spmatrix +__round__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/data.py /^ def __round__(self, ndigits=0):$/;" m class:_data_matrix +__round__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __round__(self):$/;" m class:ObjectProxy +__rpow__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rpow__(self, other): return self.aval._rpow(self, other)$/;" m class:Tracer +__rpow__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __rpow__ = __pow__$/;" v class:TestBinop.test_pow_array_object_dtype.SomeClass file: +__rpow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __pow__, __rpow__, __ipow__ = _numeric_methods(um.power, 'pow')$/;" v class:NDArrayOperatorsMixin file: +__rpow__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rpow__(self, other):$/;" m class:container +__rpow__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __rpow__(self, other):$/;" m class:MaskedArray +__rpow__ adpepsenv/lib/python3.8/site-packages/numpy/matrixlib/defmatrix.py /^ def __rpow__(self, other):$/;" m class:matrix +__rpow__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rpow__(self, other):$/;" m class:_Operand +__rpow__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rpow__ = _delegate("__rpow__")$/;" v class:IFDRational file: +__rpow__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rpow__(self, value):$/;" m class:Integer +__rpow__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rpow__(self, value):$/;" m class:Real +__rpow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rpow__(self, o):$/;" m class:AggregatingVariable +__rpow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rpow__(self, o):$/;" m class:DistributedDelegate +__rpow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rpow__(self, o):$/;" m class:AutoCastVariable +__rpow__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rpow__ = _overloaded_operator("__rpow__")$/;" v class:RaggedTensor file: +__rpow__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __rpow__(self, other):$/;" m class:Tensor +__rpow__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rpow__(self, other, *args):$/;" m class:ObjectProxy +__rrshift__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rrshift__(self, other): return self.aval._rrshift(self, other)$/;" m class:Tracer +__rrshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __rshift__, __rrshift__, __irshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rrshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rrshift__(self, other):$/;" m class:container +__rrshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rrshift__(self, other):$/;" m class:ObjectProxy +__rshift_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset11.py /^def __rshift_(g, self, other):$/;" f +__rshift_ adpepsenv/lib/python3.8/site-packages/torch/onnx/symbolic_opset9.py /^def __rshift_(g, self, other):$/;" f +__rshift__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rshift__(self, other): return self.aval._rshift(self, other)$/;" m class:Tracer +__rshift__ adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def __rshift__(self, rhs):$/;" m class:PrettyPrint +__rshift__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __rshift__ = __rrshift__ = _all$/;" v class:TestArrayPriority.Other file: +__rshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __rshift__, __rrshift__, __irshift__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rshift__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rshift__(self, other):$/;" m class:container +__rshift__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rshift__(self, other):$/;" m class:_Operand +__rshift__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rshift__(self, count):$/;" m class:BitString +__rshift__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rshift__(self, value):$/;" m class:Integer +__rshift__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rshift__ = lambda x, o: x._get_current_object() >> o$/;" m class:LocalProxy +__rshift__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rshift__(self, other):$/;" m class:ObjectProxy +__rsub__ adpeps/utils/empty_tensor.py /^ def __rsub__(self, other):$/;" m class:EmptyT +__rsub__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __rsub__(self, other):$/;" m class:relativedelta +__rsub__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rsub__(self, other): return self.aval._rsub(self, other)$/;" m class:Tracer +__rsub__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __rsub__(self, other):$/;" m class:_DoubleDouble +__rsub__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __rsub__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __rsub__(self, x):$/;" m class:PhysicalQuantity +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __sub__, __rsub__, __isub__ = _numeric_methods(um.subtract, 'sub')$/;" v class:NDArrayOperatorsMixin file: +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __rsub__(self, other):$/;" m class:poly1d +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rsub__(self, other):$/;" m class:container +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __rsub__(self, other):$/;" m class:MaskedArray +__rsub__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rsub__(self, other):$/;" m class:ABCPolyBase +__rsub__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rsub__(self, other):$/;" m class:_Operand +__rsub__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rsub__ = _delegate("__rsub__")$/;" v class:IFDRational file: +__rsub__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __rsub__(self, other):$/;" m class:ParserElement +__rsub__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __rsub__(self, other ):$/;" m class:ParserElement +__rsub__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rsub__(self, value):$/;" m class:Integer +__rsub__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rsub__(self, value):$/;" m class:Real +__rsub__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __rsub__(self, other):$/;" m class:ParserElement +__rsub__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __rsub__(self, other):$/;" m class:StateSpace +__rsub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __rsub__(self,other): # other - self$/;" m class:spmatrix +__rsub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rsub__(self, mat):$/;" m class:BinopTester +__rsub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __rsub__(self, mat):$/;" m class:BinopTester_with_shape +__rsub__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __rsub__(self, other ):$/;" m class:ParserElement +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __rsub__(self, other):$/;" m class:Dimension +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rsub__(self, o):$/;" m class:AggregatingVariable +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rsub__(self, o):$/;" m class:DistributedDelegate +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rsub__(self, other):$/;" m class:Dimension +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rsub__(self, o):$/;" m class:AutoCastVariable +__rsub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rsub__ = _overloaded_operator("__rsub__")$/;" v class:RaggedTensor file: +__rsub__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __rsub__(self, other):$/;" m class:Tensor +__rsub__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rsub__ = lambda x, o: o - x._get_current_object()$/;" m class:LocalProxy +__rsub__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rsub__(self, other):$/;" m class:ObjectProxy +__rtruediv__ adpeps/utils/empty_tensor.py /^ def __rtruediv__(self, other):$/;" m class:EmptyT +__rtruediv__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rtruediv__(self, other): return self.aval._rtruediv(self, other)$/;" m class:Tracer +__rtruediv__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __rtruediv__(self, other):$/;" m class:_DoubleDouble +__rtruediv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __truediv__, __rtruediv__, __itruediv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ __rtruediv__ = __rdiv__$/;" v class:poly1d file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __rtruediv__(self, other):$/;" m class:MaskedArray +__rtruediv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_core.py /^ __rtruediv__ = __rdiv__$/;" v class:TestUfuncs.test_treatment_of_NotImplemented.MyClass2 file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __rtruediv__(self, other):$/;" m class:ABCPolyBase +__rtruediv__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rtruediv__(self, other):$/;" m class:_Operand +__rtruediv__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __rtruediv__ = _delegate("__rtruediv__")$/;" v class:IFDRational file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rtruediv__(self, value):$/;" m class:Integer +__rtruediv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rtruediv__(self, value):$/;" m class:Real +__rtruediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __rtruediv__(self, other):$/;" m class:spmatrix +__rtruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rtruediv__(self, o):$/;" m class:AggregatingVariable +__rtruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rtruediv__(self, o):$/;" m class:DistributedDelegate +__rtruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __rtruediv__(self, other):$/;" m class:Dimension +__rtruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __rtruediv__(self, o):$/;" m class:AutoCastVariable +__rtruediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rtruediv__ = _overloaded_operator("__rtruediv__")$/;" v class:RaggedTensor file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ __rtruediv__ = __rdiv__$/;" v class:Tensor file: +__rtruediv__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __rtruediv__ = lambda x, o: x._get_current_object().__rtruediv__(o)$/;" m class:LocalProxy +__rtruediv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rtruediv__(self, other):$/;" m class:ObjectProxy +__rxor__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __rxor__(self, other): return self.aval._rxor(self, other)$/;" m class:Tracer +__rxor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __xor__, __rxor__, __ixor__ = _numeric_methods(um.bitwise_xor, 'xor')$/;" v class:NDArrayOperatorsMixin file: +__rxor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __rxor__(self, other):$/;" m class:container +__rxor__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __rxor__(self, other):$/;" m class:_Operand +__rxor__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __rxor__(self, other):$/;" m class:ParserElement +__rxor__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __rxor__(self, other ):$/;" m class:ParserElement +__rxor__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __rxor__(self, value):$/;" m class:Integer +__rxor__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __rxor__(self, other):$/;" m class:ParserElement +__rxor__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __rxor__(self, other ):$/;" m class:ParserElement +__rxor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __rxor__(self, o):$/;" m class:AggregatingVariable +__rxor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __rxor__(self, o):$/;" m class:DistributedDelegate +__rxor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __rxor__ = _overloaded_operator("__rxor__")$/;" v class:RaggedTensor file: +__rxor__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __rxor__(self, other):$/;" m class:ObjectProxy +__safe_inverse adpeps/tensor/ops.py /^def __safe_inverse(x, epsilon=1e-12):$/;" f +__SCIPY_SETUP__ adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ __SCIPY_SETUP__ = False$/;" v +__setattr__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __setattr__(self, name, value):$/;" m class:FlagValues +__setattr__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __setattr__(self, key, value):$/;" m class:Struct +__setattr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __setattr__(self, key, value):$/;" m class:Scope +__setattr__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ def __setattr__(self, name, value):$/;" m class:ResourceEnv +__setattr__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ def __setattr__(self, name, value):$/;" m class:Chunked +__setattr__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __setattr__(self, attr, val):$/;" m class:recarray +__setattr__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __setattr__(self, attr, val):$/;" m class:record +__setattr__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __setattr__(self, attr, value):$/;" m class:container +__setattr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setattr__(self, attr, value):$/;" m class:MaskedConstant +__setattr__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __setattr__(self, attr, val):$/;" m class:MaskedRecords +__setattr__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/grant_types/base.py /^ def __setattr__(self, attr, value):$/;" m class:GrantTypeBase +__setattr__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __setattr__(self, key, value):$/;" m class:PdfDict +__setattr__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __setattr__(self, key, value):$/;" m class:Metadata +__setattr__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __setattr__(self, name, value):$/;" m class:Asn1Type +__setattr__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ __setattr__ = __setitem__$/;" v class:AttrDict file: +__setattr__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __setattr__(self, attr, value):$/;" m class:netcdf_file +__setattr__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __setattr__(self, attr, value):$/;" m class:netcdf_variable +__setattr__ adpepsenv/lib/python3.8/site-packages/scipy/optimize/optimize.py /^ __setattr__ = dict.__setitem__$/;" v class:OptimizeResult file: +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __setattr__(self, name, value):$/;" m class:_FlagValuesWrapper +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __setattr__(self, name, value):$/;" m class:TFLiteConverterBaseV1 +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/transformer.py /^ def __setattr__(self, key, value):$/;" m class:_StateStack +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/util/options.py /^ def __setattr__(self, name, value):$/;" m class:OptionsBase +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __setattr__(self, name, value):$/;" m class:Layer +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __setattr__(self, name, value):$/;" m class:Layer +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/training.py /^ def __setattr__(self, name, value):$/;" m class:Model +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/legacy_tf_layers/base.py /^ def __setattr__(self, value, name):$/;" m class:Layer +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ def __setattr__(self, name, value):$/;" m class:LossScaleOptimizer +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/optimizer_v2/optimizer_v2.py /^ def __setattr__(self, name, value):$/;" m class:OptimizerV2 +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __setattr__(self, name, value):$/;" m class:_FlagValuesWrapper +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ def __setattr__(self, name, value):$/;" m class:AutoTrackable +__setattr__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/module_wrapper.py /^ def __setattr__(self, arg, val): # pylint: disable=super-on-old-class$/;" m class:TFModuleWrapper +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __setattr__(self, name, value):$/;" m class:cuBLASModule +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __setattr__(self, name, value):$/;" m class:cuFFTPlanCacheManager +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __setattr__(self, attr, value):$/;" m class:RecursiveScriptModule +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __setattr__(self, attr, value):$/;" m class:ScriptModule +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/jit/_trace.py /^ def __setattr__(self, attr, value):$/;" m class:TracedModule +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setattr__(self, key: Any, value: Any) -> None:$/;" m class:ParameterDict +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setattr__(self, key: Any, value: Any) -> None:$/;" m class:ParameterList +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __setattr__(self, name: str, value: Union[Tensor, 'Module']) -> None:$/;" m class:Module +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __setattr__(self, attr, value):$/;" m class:RNNBase +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/dataloader.py /^ def __setattr__(self, attr, val):$/;" m class:DataLoader +__setattr__ adpepsenv/lib/python3.8/site-packages/torch/utils/data/_utils/worker.py /^ def __setattr__(self, key, val):$/;" m class:WorkerInfo +__setattr__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __setattr__(self, attr, value):$/;" m class:AnnotatedMeta +__setattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/console.py /^ def __setattr__(self, name, value):$/;" m class:ThreadedStream +__setattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __setattr__(self, name, value):$/;" m class:Local +__setattr__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __setattr__ = lambda x, n, v: setattr(x._get_current_object(), n, v)$/;" m class:LocalProxy +__setattr__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __setattr__(self, name, value):$/;" m class:ObjectProxy +__setitem__ adpeps/utils/nested.py /^ def __setitem__(self, ix, value):$/;" m class:Nested +__setitem__ adpeps/utils/tlist.py /^ def __setitem__(self, ix, value):$/;" m class:TList +__setitem__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __setitem__(self, name, flag):$/;" m class:FlagValues +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __setitem__(self, key, value):$/;" m class:Cache +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ def __setitem__(self, _, value):$/;" m class:_DefaultSize +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/fifo.py /^ def __setitem__(self, key, value, cache_setitem=Cache.__setitem__):$/;" m class:FIFOCache +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lfu.py /^ def __setitem__(self, key, value, cache_setitem=Cache.__setitem__):$/;" m class:LFUCache +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def __setitem__(self, key, value, cache_setitem=Cache.__setitem__):$/;" m class:LRUCache +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def __setitem__(self, key, value, cache_setitem=Cache.__setitem__):$/;" m class:MRUCache +__setitem__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __setitem__(self, key, value, cache_setitem=Cache.__setitem__):$/;" m class:TTLCache +__setitem__ adpepsenv/lib/python3.8/site-packages/caffe2/python/workspace.py /^ def __setitem__(self, key, value):$/;" m class:_BlobDict +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __setitem__(self, key, value):$/;" m class:MessageMap +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __setitem__(self, key, value):$/;" m class:RepeatedScalarFieldContainer +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __setitem__(self, key, value):$/;" m class:ScalarMap +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/extension_dict.py /^ def __setitem__(self, extension_handle, value):$/;" m class:_ExtensionDict +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __setitem__(self, index, value):$/;" m class:ListValue +__setitem__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ def __setitem__(self, key, value):$/;" m class:Struct +__setitem__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_metadata.py /^ def __setitem__(self, key: MetadataKey, value: MetadataValue) -> None:$/;" m class:Metadata +__setitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/attrs.py /^ def __setitem__(self, name, value):$/;" m class:AttributeManager +__setitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/dataset.py /^ def __setitem__(self, args, val):$/;" m class:Dataset +__setitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/group.py /^ def __setitem__(self, name, obj):$/;" m class:Group +__setitem__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/vds.py /^ def __setitem__(self, key, source):$/;" m class:VirtualLayout +__setitem__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __setitem__(self, idx, val):$/;" m class:Tracer +__setitem__ adpepsenv/lib/python3.8/site-packages/markdown/util.py /^ def __setitem__(self, key, value):$/;" m class:Registry +__setitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/__init__.py /^ def __setitem__(self, k, v):$/;" m class:maxdict +__setitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/cm.py /^ def __setitem__(self, key, val):$/;" m class:_DeprecatedCmapDictWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/colors.py /^ def __setitem__(self, key, value):$/;" m class:_ColorMapping +__setitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/table.py /^ def __setitem__(self, position, cell):$/;" m class:Table +__setitem__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __setitem__(self, key, val):$/;" m class:RcParams +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __setitem__(self, name, value):$/;" m class:VariableSet +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __setitem__(self, key, val):$/;" m class:poly1d +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __setitem__(self, index, value):$/;" m class:container +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setitem__(self, index, value):$/;" m class:MaskedIterator +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setitem__(self, indx, value):$/;" m class:MaskedArray +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setitem__(self, indx, value):$/;" m class:mvoid +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __setitem__(self, indx, value):$/;" m class:MaskedRecords +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __setitem__(self, index, value):$/;" m class:CSAIterator +__setitem__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __setitem__(self, item, value):$/;" m class:ComplicatedSubArray +__setitem__ adpepsenv/lib/python3.8/site-packages/oauthlib/common.py /^ def __setitem__(self, k, v):$/;" m class:CaseInsensitiveDict +__setitem__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __setitem__(self, tag, value):$/;" m class:Exif +__setitem__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __setitem__(self, key, value):$/;" m class:XrefTable +__setitem__ adpepsenv/lib/python3.8/site-packages/PIL/PyAccess.py /^ def __setitem__(self, xy, color):$/;" m class:PyAccess +__setitem__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __setitem__(self, tag, value):$/;" m class:ImageFileDirectory_v1 +__setitem__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __setitem__(self, tag, value):$/;" m class:ImageFileDirectory_v2 +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __setitem__(self, key, value):$/;" m class:ChainMap +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ def __setitem__(self, key, value, dict_setitem=dict.__setitem__):$/;" m class:OrderedDict +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ def __setitem__(self, name, value):$/;" m class:LegacyMetadata +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/dom.py /^ def __setitem__(self, name, value):$/;" m class:getDomBuilder.AttrList +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __setitem__(self, key, value):$/;" m class:TreeBuilder.__init__.Attributes +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __setitem__(self, k, v, isinstance=isinstance):$/;" m class:ParseResults +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __setitem__(self, name, value):$/;" m class:RequestsCookieJar +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/structures.py /^ def __setitem__(self, key, value):$/;" m class:CaseInsensitiveDict +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/decoder.py /^ def __setitem__(self, key, value):$/;" m class:CommentValue +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __setitem__(self, key, val):$/;" m class:HTTPHeaderDict +__setitem__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/_collections.py /^ def __setitem__(self, key, value):$/;" m class:RecentlyUsedContainer +__setitem__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __setitem__( self, k, v, isinstance=isinstance ):$/;" m class:ParseResults +__setitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __setitem__(self, idx, value):$/;" m class:SequenceAndSetBase +__setitem__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __setitem__(self, idx, value):$/;" m class:SequenceOfAndSetOfBase +__setitem__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __setitem__(self, k, v, isinstance=isinstance):$/;" m class:ParseResults +__setitem__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __setitem__(self, name, value):$/;" m class:RequestsCookieJar +__setitem__ adpepsenv/lib/python3.8/site-packages/requests/structures.py /^ def __setitem__(self, key, value):$/;" m class:CaseInsensitiveDict +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_quad_vec.py /^ def __setitem__(self, key, value):$/;" m class:LRUDict +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/io/idl.py /^ def __setitem__(self, key, value):$/;" m class:AttrDict +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/io/netcdf.py /^ def __setitem__(self, index, data):$/;" m class:netcdf_variable +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/bsr.py /^ def __setitem__(self,key,val):$/;" m class:bsr_matrix +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __setitem__(self, key, x):$/;" m class:lil_matrix +__setitem__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/_index.py /^ def __setitem__(self, key, x):$/;" m class:IndexMixin +__setitem__ adpepsenv/lib/python3.8/site-packages/setuptools/config.py /^ def __setitem__(self, option_name, value):$/;" m class:ConfigHandler +__setitem__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __setitem__( self, k, v, isinstance=isinstance ):$/;" m class:ParseResults +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __setitem__(self, name, flag):$/;" m class:_FlagValuesWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/dom.py /^ def __setitem__(self, name, value):$/;" m class:getDomBuilder.AttrList +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/etree_lxml.py /^ def __setitem__(self, key, value):$/;" m class:TreeBuilder.__init__.Attributes +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/utils/tensor_list.py /^ def __setitem__(self, key, value):$/;" m class:TensorList +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/multi_worker_test_base.py /^ def __setitem__(self, key, val):$/;" m class:MockOsEnv +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __setitem__(self, name, flag):$/;" m class:_FlagValuesWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __setitem__(self, key, value):$/;" m class:ListWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __setitem__(self, key, value):$/;" m class:Mapping +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __setitem__(self, key, value):$/;" m class:_DictWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ def __setitem__(self, key, value):$/;" m class:ObjectIdentityDictionary +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __setitem__(self, k, v):$/;" m class:OrderedDictWrapper +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/jit/_script.py /^ def __setitem__(self, k, v):$/;" m class:OrderedModuleDict +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/multiprocessing/reductions.py /^ def __setitem__(self, key, storage_ref):$/;" m class:SharedCache +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setitem__(self, idx: int, module: Module) -> None:$/;" m class:ModuleList +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setitem__(self, idx: int, module: Module) -> None:$/;" m class:Sequential +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setitem__(self, idx: int, param: 'Parameter') -> None:$/;" m class:ParameterList +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setitem__(self, key: str, module: Module) -> None:$/;" m class:ModuleDict +__setitem__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/container.py /^ def __setitem__(self, key: str, parameter: 'Parameter') -> None:$/;" m class:ParameterDict +__setitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __setitem__(self, key, val):$/;" m class:HTTPHeaderDict +__setitem__ adpepsenv/lib/python3.8/site-packages/urllib3/_collections.py /^ def __setitem__(self, key, value):$/;" m class:RecentlyUsedContainer +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, idx, value):$/;" m class:HeaderSet +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:Headers +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:ImmutableDictMixin +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:ImmutableHeadersMixin +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:ImmutableListMixin +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:MultiDict +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setitem__(self, key, value):$/;" m class:OrderedMultiDict +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __setitem__ = calls_update("__setitem__")$/;" v class:UpdateDictMixin file: +__setitem__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __setitem__(self, key, value):$/;" m class:LocalProxy +__setitem__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __setitem__(self, key, value):$/;" m class:ObjectProxy +__setmask__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setmask__(self, mask, copy=False):$/;" m class:MaskedArray +__setslice__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ def __setslice__(self, start, stop, values):$/;" m class:RepeatedScalarFieldContainer +__setslice__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __setslice__(self, i, j, y):$/;" m class:ListWrapper +__setslice__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __setslice__(self, i, j, seq):$/;" m class:LocalProxy +__setslice__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __setslice__(self, i, j, value):$/;" m class:ObjectProxy +__setstate__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ def __setstate__(self, state):$/;" m class:TTLCache +__setstate__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ def __setstate__(self, state):$/;" m class:_ttinfo +__setstate__ adpepsenv/lib/python3.8/site-packages/google/oauth2/credentials.py /^ def __setstate__(self, d):$/;" m class:Credentials +__setstate__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __setstate__(self, state):$/;" m class:Message +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __setstate__(self, state):$/;" m class:_AxesBase +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __setstate__(self, state):$/;" m class:_process_plot_var_args +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_agg.py /^ def __setstate__(self, state):$/;" m class:RendererAgg +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/dates.py /^ def __setstate__(self, state):$/;" m class:rrulewrapper +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __setstate__(self, state):$/;" m class:Figure +__setstate__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __setstate__(self, data_dict):$/;" m class:TransformNode +__setstate__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __setstate__(self, state):$/;" m class:MaskedArray +__setstate__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __setstate__(self, state):$/;" m class:MaskedRecords +__setstate__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __setstate__(self, dict):$/;" m class:ABCPolyBase +__setstate__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __setstate__(self, state):$/;" m class:Image +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __setstate__(self, e_k_b_c):$/;" m class:WorkingSet +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^def __setstate__(state):$/;" f +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __setstate__(self, state):$/;" m class:ParseResults +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/adapters.py /^ def __setstate__(self, state):$/;" m class:HTTPAdapter +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/cookies.py /^ def __setstate__(self, state):$/;" m class:RequestsCookieJar +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/models.py /^ def __setstate__(self, state):$/;" m class:Response +__setstate__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/sessions.py /^ def __setstate__(self, state):$/;" m class:Session +__setstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __setstate__(self,state):$/;" m class:ParseResults +__setstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __setstate__(self, e_k_b_c):$/;" m class:WorkingSet +__setstate__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^def __setstate__(state):$/;" f +__setstate__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __setstate__(self, state):$/;" m class:ParseResults +__setstate__ adpepsenv/lib/python3.8/site-packages/requests/adapters.py /^ def __setstate__(self, state):$/;" m class:HTTPAdapter +__setstate__ adpepsenv/lib/python3.8/site-packages/requests/cookies.py /^ def __setstate__(self, state):$/;" m class:RequestsCookieJar +__setstate__ adpepsenv/lib/python3.8/site-packages/requests/models.py /^ def __setstate__(self, state):$/;" m class:Response +__setstate__ adpepsenv/lib/python3.8/site-packages/requests/sessions.py /^ def __setstate__(self, state):$/;" m class:Session +__setstate__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __setstate__(self, state: typing.Tuple[int, int, int, int, int, int, int, int]) -> None:$/;" m class:PrivateKey +__setstate__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ def __setstate__(self, state: typing.Tuple[int, int]) -> None:$/;" m class:PublicKey +__setstate__ adpepsenv/lib/python3.8/site-packages/scipy/stats/_distn_infrastructure.py /^ def __setstate__(self, state):$/;" m class:rv_generic +__setstate__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^ def __setstate__(self, state):$/;" m class:OrderedSet +__setstate__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __setstate__(self,state):$/;" m class:ParseResults +__setstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ def __setstate__(self, state):$/;" m class:Function +__setstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __setstate__(self, state):$/;" m class:name_scope_v2 +__setstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer.py /^ def __setstate__(self, state):$/;" m class:Layer +__setstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ def __setstate__(self, state):$/;" m class:Layer +__setstate__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/recurrent.py /^ def __setstate__(self, state):$/;" m class:DropoutRNNCellMixin +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/backends/cudnn/rnn.py /^ def __setstate__(self, state):$/;" m class:Unserializable +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/cuda/amp/grad_scaler.py /^ def __setstate__(self, state):$/;" m class:GradScaler +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __setstate__(self, state):$/;" m class:LogSoftmax +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __setstate__(self, state):$/;" m class:MultiheadAttention +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __setstate__(self, state):$/;" m class:Softmax +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/activation.py /^ def __setstate__(self, state):$/;" m class:Softmin +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/conv.py /^ def __setstate__(self, state):$/;" m class:_ConvNd +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ def __setstate__(self, state):$/;" m class:Module +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/pooling.py /^ def __setstate__(self, d):$/;" m class:AvgPool3d +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/rnn.py /^ def __setstate__(self, d):$/;" m class:RNNBase +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __setstate__(self, state):$/;" m class:TransformerDecoderLayer +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/transformer.py /^ def __setstate__(self, state):$/;" m class:TransformerEncoderLayer +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/parallel/distributed.py /^ def __setstate__(self, state):$/;" m class:DistributedDataParallel +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/conv.py /^ def __setstate__(self, state):$/;" m class:_ConvNd +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/nn/quantized/modules/linear.py /^ def __setstate__(self, state):$/;" m class:LinearPackedParams +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/adam.py /^ def __setstate__(self, state):$/;" m class:Adam +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/adamw.py /^ def __setstate__(self, state):$/;" m class:AdamW +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/optimizer.py /^ def __setstate__(self, state):$/;" m class:Optimizer +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/rmsprop.py /^ def __setstate__(self, state):$/;" m class:RMSprop +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/sgd.py /^ def __setstate__(self, state):$/;" m class:SGD +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adam.py /^ def __setstate__(self, state):$/;" m class:Adam +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/adamw.py /^ def __setstate__(self, state):$/;" m class:AdamW +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/rmsprop.py /^ def __setstate__(self, state):$/;" m class:RMSprop +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/optim/_multi_tensor/sgd.py /^ def __setstate__(self, state):$/;" m class:SGD +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __setstate__(self, state):$/;" m class:Tensor +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __setstate__(self, obj):$/;" m class:MyPickleClass +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/distributed/rpc/rpc_test.py /^ def __setstate__(self, obj):$/;" m class:SlowPickleClass +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/hooks.py /^ def __setstate__(self, state) -> None:$/;" m class:RemovableHandle +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __setstate__(self, state):$/;" m class:MkldnnBatchNorm +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __setstate__(self, state):$/;" m class:MkldnnConv1d +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __setstate__(self, state):$/;" m class:MkldnnConv2d +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __setstate__(self, state):$/;" m class:MkldnnConv3d +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/mkldnn.py /^ def __setstate__(self, state):$/;" m class:MkldnnLinear +__setstate__ adpepsenv/lib/python3.8/site-packages/torch/utils/show_pickle.py /^ def __setstate__(self, state):$/;" m class:FakeObject +__setstate__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setstate__(self, value):$/;" m class:MultiDict +__setstate__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __setstate__(self, values):$/;" m class:OrderedMultiDict +__set_has_canonical_format adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __set_has_canonical_format(self, val):$/;" m class:_cs_matrix file: +__set_name__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __set_name__(self, owner, name):$/;" m class:_axis_method_wrapper +__set_name__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __set_name__(self, owner, name):$/;" m class:_deprecate_privatize_attribute +__set_sorted adpepsenv/lib/python3.8/site-packages/scipy/sparse/compressed.py /^ def __set_sorted(self, val):$/;" m class:_cs_matrix file: +__set__ adpepsenv/lib/python3.8/site-packages/matplotlib/cbook/deprecation.py /^ def __set__(self, instance, value):$/;" m class:deprecated.deprecate._deprecated_property +__set__ adpepsenv/lib/python3.8/site-packages/torch/backends/cuda/__init__.py /^ def __set__(self, obj, val):$/;" m class:cuFFTPlanCacheAttrContextProp +__set__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __set__(self, obj, val) -> None:$/;" m class:_SupportedQEnginesProp +__set__ adpepsenv/lib/python3.8/site-packages/torch/backends/quantized/__init__.py /^ def __set__(self, obj, val: str) -> None:$/;" m class:_QEngineProp +__set__ adpepsenv/lib/python3.8/site-packages/torch/backends/xnnpack/__init__.py /^ def __set__(self, obj, val):$/;" m class:_XNNPACKEnabled +__set__ adpepsenv/lib/python3.8/site-packages/torch/backends/__init__.py /^ def __set__(self, obj, val):$/;" m class:ContextProp +__set__ adpepsenv/lib/python3.8/site-packages/werkzeug/utils.py /^ def __set__(self, obj, value):$/;" m class:cached_property +__set__ adpepsenv/lib/python3.8/site-packages/werkzeug/_internal.py /^ def __set__(self, obj, value):$/;" m class:_DictAccessorProperty +__set__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __set__(self, instance, value):$/;" m class:AttributeWrapper +__signature__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __signature__(self):$/;" m class:AdapterWrapper +__signature__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __signature__(self):$/;" m class:_AdapterFunctionSurrogate +__signature__ adpepsenv/lib/python3.8/site-packages/wrapt/decorators.py /^ def __signature__(self):$/;" m class:_BoundAdapterWrapper +__singleton adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ __singleton = None$/;" v class:MaskedConstant file: +__size adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ __size = _DefaultSize()$/;" v class:Cache file: +__sizeof__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __sizeof__(self):$/;" m class:List +__sizeof__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __sizeof__(self):$/;" m class:_StorageBase +__slots__ adpepsenv/lib/python3.8/site-packages/cachetools/cache.py /^ __slots__ = ()$/;" v class:_DefaultSize file: +__slots__ adpepsenv/lib/python3.8/site-packages/cachetools/ttl.py /^ __slots__ = ('key', 'expire', 'next', 'prev')$/;" v class:_Link file: +__slots__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ __slots__ = ()$/;" v class:Metadata file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ __slots__ = ["month", "week", "weekday",$/;" v class:_tzparser._result._attr file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ __slots__ = ["stdabbr", "stdoffset", "dstabbr", "dstoffset",$/;" v class:_tzparser._result file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ __slots__ = ["year", "month", "day", "weekday",$/;" v class:parser._result file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ __slots__ = ["rrule", "lastyear", "lastmonth",$/;" v class:_iterinfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/tz.py /^ __slots__ = ["offset", "delta", "isdst", "abbr",$/;" v class:_ttinfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/_common.py /^ __slots__ = ()$/;" v class:_DatetimeWithFold file: +__slots__ adpepsenv/lib/python3.8/site-packages/dateutil/_common.py /^ __slots__ = ["weekday", "n"]$/;" v class:weekday file: +__slots__ adpepsenv/lib/python3.8/site-packages/flatbuffers/builder.py /^ __slots__ = ("Bytes", "current_vtable", "head", "minalign", "objectEnd",$/;" v class:Builder file: +__slots__ adpepsenv/lib/python3.8/site-packages/flatbuffers/table.py /^ __slots__ = ("Bytes", "Pos")$/;" v class:Table file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ()$/;" v class:Mapping file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ()$/;" v class:MutableMapping file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_field_number', '_wire_type', '_data']$/;" v class:_UnknownField file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_key_checker', '_values', '_message_listener',$/;" v class:MessageMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_key_checker', '_value_checker', '_values', '_message_listener',$/;" v class:ScalarMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_message_descriptor']$/;" v class:RepeatedCompositeFieldContainer file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_message_listener', '_values']$/;" v class:BaseContainer file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_type_checker']$/;" v class:RepeatedScalarFieldContainer file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/containers.py /^ __slots__ = ['_values']$/;" v class:UnknownFieldSet file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ __slots__ = ('DESCRIPTOR',)$/;" v class:_FieldProperty file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ('_root',)$/;" v class:_FieldMaskTree file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:Any file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:Duration file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:FieldMask file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:ListValue file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:Struct file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/well_known_types.py /^ __slots__ = ()$/;" v class:Timestamp file: +__slots__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ __slots__ = []$/;" v class:Message file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/ad_util.py /^ __slots__ = ['aval']$/;" v class:Zero file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ __slots__ = ["shape", "dtype"]$/;" v class:ShapeDtypeStruct file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ["val", "hash"]$/;" v class:Literal file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ['dtype', 'weak_type']$/;" v class:UnshapedArray file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ['main', 'level', 'sublevel']$/;" v class:Trace file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ['shape']$/;" v class:ShapedArray file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ['val']$/;" v class:ConcreteArray file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__ = ['_trace', '__weakref__', '_line_info']$/;" v class:Tracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ __slots__: List[str] = []$/;" v class:AbstractValue file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/experimental/callback.py /^ __slots__ = ['val']$/;" v class:CallbackTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ __slots__ = ["head", "tail"]$/;" v class:_DoubleDouble file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/jax2tf.py /^ __slots__ = ["val", "_aval"]$/;" v class:TensorFlowTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jet.py /^ __slots__ = ["primal", "terms"]$/;" v class:JetTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/experimental/maps.py /^ __slots__ = ('physical_mesh',)$/;" v class:ResourceEnv file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ __slots__ = ['aval']$/;" v class:UndefinedPrimal file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/ad.py /^ __slots__ = ['primal', 'tangent']$/;" v class:JVPTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/batching.py /^ __slots__ = ['val', 'batch_dim']$/;" v class:BatchTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ __slots__ = ["val", "polymorphic_shape"]$/;" v class:MaskTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ __slots__ = ['aval']$/;" v class:DynamicJaxprTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ __slots__ = ['newvar', 'tracer_to_var', 'constid_to_var', 'constvar_to_val',$/;" v class:JaxprStackFrame file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ __slots__ = ['pval', 'recipe']$/;" v class:JaxprTracer file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/partial_eval.py /^ __slots__ = [] # type: ignore$/;" v class:DynamicJaxprTrace file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ __slots__ = ("nrep", "npart", "nouts", "out_specs", "out_indices", "handlers",$/;" v class:ResultsHandler file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ __slots__ = ('devices', 'axis_names')$/;" v class:Mesh file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ __slots__ = ["device_buffers", "sharding_spec", "indices",$/;" v class:ShardedDeviceArray file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/pxla.py /^ __slots__ = ["name", "pmap_trace", "hard_size"]$/;" v class:DynamicAxisEnvFrame file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ __slots__ = ["_device"]$/;" v class:DeviceConstant file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/xla.py /^ __slots__ = [$/;" v class:_DeviceArray file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ __slots__ = ("f", "transforms", "stores", "params")$/;" v class:WrappedFun file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/linear_util.py /^ __slots__ = ("_val",)$/;" v class:Store file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ __slots__ = ("array", "index")$/;" v class:_IndexUpdateRef file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/_src/numpy/lax_numpy.py /^ __slots__ = ("array",)$/;" v class:_IndexUpdateHelper file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/_src/ops/scatter.py /^ __slots__ = ()$/;" v class:_Indexable file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ __slots__ = ["val"]$/;" v class:Hashable file: +__slots__ adpepsenv/lib/python3.8/site-packages/jax/_src/util.py /^ __slots__ = ["val"]$/;" v class:WrapHashably file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/pocketfft_flatbuffers_py_generated.py /^ __slots__ = ['_tab']$/;" v class:PocketFftDescriptor file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('dimensions',)$/;" v class:PaddingConfig file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('edge_padding_low', 'edge_padding_high', 'interior_padding')$/;" v class:PaddingConfigDimension file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('input_batch_dimension', 'input_feature_dimension',$/;" v class:ConvolutionDimensionNumbers file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('lhs_contracting_dimensions', 'rhs_contracting_dimensions',$/;" v class:DotDimensionNumbers file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('offset_dims', 'collapsed_slice_dims', 'start_index_map',$/;" v class:GatherDimensionNumbers file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('operand_precision',)$/;" v class:PrecisionConfig file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('op_type', 'op_name', 'source_file', 'source_line')$/;" v class:OpMetadata file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('replica_ids',)$/;" v class:ReplicaGroup file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('type', 'tile_assignment_dimensions', 'tile_assignment_devices',$/;" v class:OpSharding file: +__slots__ adpepsenv/lib/python3.8/site-packages/jaxlib/xla_client.py /^ __slots__ = ('update_window_dims', 'inserted_window_dims',$/;" v class:ScatterDimensionNumbers file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ __slots__ = ('id', 'len', 'pdfFile', 'file', 'compressobj', 'extra', 'pos')$/;" v class:Stream file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ __slots__ = ('name',)$/;" v class:Name file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ __slots__ = ('op',)$/;" v class:Operator file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ __slots__ = ('_file', 'keep_empty')$/;" v class:PdfPages file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pgf.py /^ __slots__ = ($/;" v class:PdfPages file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ __slots__ = ('checksum', 'design_size', 'width', 'height', 'depth')$/;" v class:Tfm file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ __slots__ = ('encoding',)$/;" v class:Encoding file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ __slots__ = ('texname', 'size', 'widths', '_scale', '_vf', '_tfm')$/;" v class:DviFont file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/dviread.py /^ __slots__ = ('_font', '_filename')$/;" v class:PsfontsMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/matplotlib/type1font.py /^ __slots__ = ('parts', 'prop')$/;" v class:Type1Font file: +__slots__ adpepsenv/lib/python3.8/site-packages/oauthlib/oauth2/rfc6749/tokens.py /^ __slots__ = ($/;" v class:BearerToken file: +__slots__ adpepsenv/lib/python3.8/site-packages/oauthlib/openid/connect/core/tokens.py /^ __slots__ = ($/;" v class:JWTToken file: +__slots__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __slots__ = ("_numerator", "_denominator", "_val")$/;" v class:IFDRational file: +__slots__ adpepsenv/lib/python3.8/site-packages/PIL/TiffTags.py /^ __slots__ = []$/;" v class:TagInfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^ __slots__ = ["name", "version", "link"]$/;" v class:InstallationCandidate file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/format_control.py /^ __slots__ = ["no_binary", "only_binary"]$/;" v class:FormatControl file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/index.py /^ __slots__ = ['url', 'netloc', 'simple_url', 'pypi_url',$/;" v class:PackageIndex file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ __slots__ = [$/;" v class:Link file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/scheme.py /^ __slots__ = SCHEME_KEYS$/;" v class:Scheme file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/search_scope.py /^ __slots__ = ["find_links", "index_urls"]$/;" v class:SearchScope file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/selection_prefs.py /^ __slots__ = ['allow_yanked', 'allow_all_prereleases', 'format_control',$/;" v class:SelectionPreferences file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/target_python.py /^ __slots__ = [$/;" v class:TargetPython file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/models.py /^ __slots__ = ['_compare_key', '_defining_class']$/;" v class:KeyBasedCompareMixin file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/metadata.py /^ __slots__ = ('_legacy', '_data', 'scheme')$/;" v class:Metadata file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ __slots__ = ("name", "mode", "uid", "gid", "size", "mtime",$/;" v class:TarInfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = ("originalPhase", "characterTokens")$/;" v class:getPhases.InTableTextPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = ("parser", "tree", "__startTagCache", "__endTagCache")$/;" v class:getPhases.Phase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = ("processSpaceCharacters",)$/;" v class:getPhases.InBodyPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.AfterAfterBodyPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.AfterAfterFramesetPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.AfterBodyPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.AfterFramesetPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.AfterHeadPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.BeforeHeadPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.BeforeHtmlPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InCaptionPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InCellPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InColumnGroupPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InForeignContentPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InFramesetPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InHeadNoscriptPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InHeadPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InitialPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InRowPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InSelectInTablePhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InSelectPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InTableBodyPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.InTablePhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/html5parser.py /^ __slots__ = tuple()$/;" v class:getPhases.TextPhase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ('_ip', '__weakref__')$/;" v class:IPv4Address file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ('_ip', '__weakref__')$/;" v class:IPv6Address file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ()$/;" v class:_BaseAddress file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ()$/;" v class:_BaseV4 file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ()$/;" v class:_BaseV6 file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ()$/;" v class:_IPAddressBase file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ __slots__ = ()$/;" v class:_TotalOrderingMixin file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/ext.py /^ __slots__ = ["seconds", "nanoseconds"]$/;" v class:Timestamp file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ __slots__ = ["_interpreter", "_abi", "_platform"]$/;" v class:Tag file: +__slots__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ __slots__ = ()$/;" v class:Url file: +__slots__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ __slots__ = ["_interpreter", "_abi", "_platform"]$/;" v class:Tag file: +__slots__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ __slots__ = ('n', 'e')$/;" v class:PublicKey file: +__slots__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ __slots__ = ('n', 'e', 'blindfac', 'blindfac_inverse')$/;" v class:AbstractKey file: +__slots__ adpepsenv/lib/python3.8/site-packages/rsa/key.py /^ __slots__ = ('n', 'e', 'd', 'p', 'q', 'exp1', 'exp2', 'coef')$/;" v class:PrivateKey file: +__slots__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/interpolate.py /^ __slots__ = ('c', 'x', 'extrapolate', 'axis')$/;" v class:_PPolyBase file: +__slots__ adpepsenv/lib/python3.8/site-packages/scipy/interpolate/polyint.py /^ __slots__ = ('_y_axis', '_y_extra_shape', 'dtype')$/;" v class:_Interpolator1D file: +__slots__ adpepsenv/lib/python3.8/site-packages/scipy/io/mmio.py /^ __slots__ = ('_rows',$/;" v class:MMFile file: +__slots__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_ccallback.py /^ __slots__ = ()$/;" v class:LowLevelCallable file: +__slots__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ __slots__ = ["_interpreter", "_abi", "_platform"]$/;" v class:Tag file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_max_length",)$/;" v class:BlobSequenceTimeSeries file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_run_id", "_run_name", "_start_time")$/;" v class:Run file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_step", "_wall_time", "_numpy")$/;" v class:TensorDatum file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_step", "_wall_time", "_value")$/;" v class:ScalarDatum file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_step", "_wall_time", "_values")$/;" v class:BlobSequenceDatum file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ("_url", "_blob_key")$/;" v class:BlobReference file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/data/provider.py /^ __slots__ = ($/;" v class:_TimeSeries file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/list_session_groups.py /^ __slots__ = [$/;" v class:_MetricStats file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:AbsOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:AddNOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:AddOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ArgMaxOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ArgMinOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:BatchMatMulOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:BatchToSpaceNDOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:BidirectionalSequenceLSTMOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:BidirectionalSequenceRNNOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Buffer file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:CallOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:CastOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ConcatEmbeddingsOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ConcatenationOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Conv2DOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:CosOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:CumsumOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:CustomQuantization file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DensifyOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DepthToSpaceOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DepthwiseConv2DOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DequantizeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DimensionMetadata file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:DivOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:EmbeddingLookupSparseOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:EqualOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ExpandDimsOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ExpOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:FakeQuantOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:FillOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:FloorDivOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:FloorModOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:FullyConnectedOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:GatherNdOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:GatherOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:GreaterEqualOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:GreaterOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:HardSwishOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:IfOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Int32Vector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:L2NormOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LeakyReluOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LessEqualOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LessOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LocalResponseNormalizationOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LogicalAndOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LogicalNotOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LogicalOrOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LogSoftmaxOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LSHProjectionOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:LSTMOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:MatrixDiagOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:MatrixSetDiagOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:MaximumMinimumOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Metadata file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:MirrorPadOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Model file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:MulOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:NegOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:NonMaxSuppressionV4Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:NonMaxSuppressionV5Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:NotEqualOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:OneHotOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Operator file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:OperatorCode file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:PackOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:PadOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:PadV2Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Pool2DOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:PowOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:QuantizationParameters file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:QuantizeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:RangeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:RankOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ReducerOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ReshapeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ResizeBilinearOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ResizeNearestNeighborOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ReverseSequenceOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ReverseV2Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:RNNOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ScatterNdOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SegmentSumOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SelectOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SelectV2Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SequenceRNNOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ShapeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SignatureDef file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SkipGramOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SliceOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SoftmaxOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SpaceToBatchNDOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SpaceToDepthOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SparseToDenseOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SparsityParameters file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SplitOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SplitVOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SquaredDifferenceOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SquareOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SqueezeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:StridedSliceOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SubGraph file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SubOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:SVDFOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Tensor file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:TensorMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:TileOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:TopKV2Options file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:TransposeConvOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:TransposeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Uint16Vector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:Uint8Vector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:UnidirectionalSequenceLSTMOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:UniqueOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:UnpackOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:WhereOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:WhileOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/schema_py_generated.py /^ __slots__ = ['_tab']$/;" v class:ZerosLikeOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/control_flow.py /^ __slots__ = ($/;" v class:_PythonLoopChecker file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/operators/variables.py /^ __slots__ = ('symbol_name',)$/;" v class:Undefined file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/cache.py /^ __slots__ = ('_cache',)$/;" v class:_TransformedFnCache file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/common_transformers/anf.py /^ __slots__ = ()$/;" v class:ASTEdgePattern file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ __slots__ = ('translated_stack', 'cause_message')$/;" v class:ErrorMetadataBase file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ __slots__ = ()$/;" v class:FrameInfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ __slots__ = ["_element_spec", "_dataset_shape"]$/;" v class:DatasetSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/dataset_ops.py /^ __slots__ = ["_iterator"]$/;" v class:_NumpyIterator file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ __slots__ = ["_deleter", "_handle", "_eager_mode"]$/;" v class:IteratorResourceDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/iterator_ops.py /^ __slots__ = ["_element_spec"]$/;" v class:IteratorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ __slots__ = ["_devices", "_source_device", "_element_spec"]$/;" v class:MultiDeviceIteratorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/multi_device_iterator_ops.py /^ __slots__ = [$/;" v class:MultiDeviceIteratorResourceDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/data/ops/optional_ops.py /^ __slots__ = ["_element_spec"]$/;" v class:OptionalSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/device_util.py /^ __slots__ = ["op", "name"]$/;" v class:_FakeNodeDef file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __slots__ = ["_replica_id", "_old_replica_id"]$/;" v class:UpdateContext file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __slots__ = ["_replica_id_in_sync_group", "_num_replicas_in_sync"]$/;" v class:ValueContext file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __slots__ = ["_var_creator_scope", "_strategy", "_nested_count"]$/;" v class:_DefaultDistributionContext file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ __slots__ = [$/;" v class:InputContext file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ __slots__ = ["_worker", "_devices", "_element_spec", "_options"]$/;" v class:_SingleWorkerDatasetIteratorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/input_lib.py /^ __slots__ = [$/;" v class:DistributedIteratorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/sharded_variable.py /^ __slots__ = ['_variable_specs']$/;" v class:ShardedVariableSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ __slots__ = ["_value_specs"]$/;" v class:PerReplicaSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/cancellation.py /^ __slots__ = ["_impl"]$/;" v class:CancellationManager file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_config_proto_serialized", "_executor_type"]$/;" v class:FunctionCallOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_context_id"]$/;" v class:_TensorCacheDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_data", "_max_items", "_max_tensor_size"]$/;" v class:_EagerTensorCache file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_device_name", "_ctx", "_stack"]$/;" v class:_EagerDeviceContext file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_ones_rank_cache", "_zeros_cache"]$/;" v class:_TensorCaches file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ __slots__ = ["_value", "_lock"]$/;" v class:_AtomicCounter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ __slots__ = ["func_graph"]$/;" v class:FunctionDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ __slots__ = ["_counters", "_lock"]$/;" v class:_FrequentTracingDetector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/def_function.py /^ __slots__ = ["_max_call_history", "_calls_per_tracings", "call_count"]$/;" v class:_CallCounter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/executor.py /^ __slots__ = ["_handle"]$/;" v class:Executor file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ("weakrefself_target__", "weakrefself_func__")$/;" v class:TfMethodTarget file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ["name"]$/;" v class:_EagerDefinedFunctionDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ["_cache"]$/;" v class:_FunctionGarbageCollector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ["_func"]$/;" v class:_InterpolateFunctionError file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ["_func_graph"]$/;" v class:ConcreteFunctionGarbageCollector file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = ["_s"]$/;" v class:_Marker file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = [$/;" v class:FunctionCache file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ __slots__ = [$/;" v class:_ForwardBackwardCall file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["buckets"]$/;" v class:Buckets file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["cell", "t"]$/;" v class:MonitoredTimer file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_cell"]$/;" v class:BoolGaugeCell file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_cell"]$/;" v class:CounterCell file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_cell"]$/;" v class:IntGaugeCell file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_cell"]$/;" v class:SamplerCell file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_cell"]$/;" v class:StringGaugeCell file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = ["_metric", "_metric_name", "_metric_methods", "_label_length"]$/;" v class:Metric file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:BoolGauge file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:Counter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:ExponentialBuckets file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:IntGauge file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:Sampler file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/monitoring.py /^ __slots__ = []$/;" v class:StringGauge file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ __slots__ = ["_tape"]$/;" v class:Tape file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/tape.py /^ __slots__ = ["_variable_watcher"]$/;" v class:VariableWatcher file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/auto_control_deps.py /^ __slots__ = [$/;" v class:AutomaticControlDependencies file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ __slots__ = ()$/;" v class:_Edge file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ __slots__ = ()$/;" v class:_EndPoint file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ __slots__ = ()$/;" v class:_TensorData file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["buffer"]$/;" v class:ScopedTFBuffer file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["func", "deleter"]$/;" v class:ScopedTFFunction file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["graph", "deleter"]$/;" v class:ScopedTFGraph file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["options"]$/;" v class:ScopedTFImportGraphDefOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["results"]$/;" v class:ScopedTFImportGraphDefResults file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["status"]$/;" v class:ScopedTFStatus file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/c_api_util.py /^ __slots__ = ["_api_def_map", "_op_per_name"]$/;" v class:ApiDefMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device.py /^ __slots__ = ["_spec"]$/;" v class:MergeDevice file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ __slots__ = ("_job", "_replica", "_task", "_device_type", "_device_index",$/;" v class:DeviceSpecV2 file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/device_spec.py /^ __slots__ = DeviceSpecV2.__slots__$/;" v class:DeviceSpecV1 file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/dtypes.py /^ __slots__ = ()$/;" v class:DType file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/function.py /^ __slots__ = ["name"]$/;" v class:_DefinedFunctionDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ __slots__ = ["_shape", "_values_dtype", "_indices_dtype",$/;" v class:IndexedSlicesSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_name", "_exit_fns"]$/;" v class:name_scope_v2 file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_name", "_name_scope"]$/;" v class:name_scope_v1 file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_op_type", "_statistic_type"]$/;" v class:RegisterStatistics file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_op_type"]$/;" v class:RegisterGradient file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_statistic_type", "_value"]$/;" v class:OpStats file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ __slots__ = ["_tensor", "_index", "_limit"]$/;" v class:_TensorIterator file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/registry.py /^ __slots__ = ["_name", "_registry"]$/;" v class:Registry file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ __slots__ = ["_shape", "_dtype"]$/;" v class:SparseTensorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/subscribe.py /^ __slots__ = ['cache']$/;" v class:_ControlOutputCache file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ __slots__ = ["_dims"]$/;" v class:TensorShape file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ __slots__ = ["_value"]$/;" v class:Dimension file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ __slots__ = ("_minimum", "_maximum")$/;" v class:BoundedTensorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ __slots__ = ["_shape", "_shape_tuple", "_dtype", "_name"]$/;" v class:DenseSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_spec.py /^ __slots__ = []$/;" v class:TensorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ __slots__ = []$/;" v class:BatchableTypeSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/type_spec.py /^ __slots__ = []$/;" v class:TypeSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/base_layer_v1.py /^ __slots__ = ()$/;" v class:KerasHistory file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/node.py /^ __slots__ = ()$/;" v class:KerasHistory file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/layers/legacy_rnn/rnn_cell_impl.py /^ __slots__ = ()$/;" v class:LSTMStateTuple file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ __slots__ = ['_dtype', '_prev_dtype']$/;" v class:enable_auto_cast_variables file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/loss_scale_optimizer.py /^ __slots__ = ['value']$/;" v class:_UnwrapPreventer file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ __slots__ = ()$/;" v class:HasherSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/lookup_ops.py /^ __slots__ = ()$/;" v class:StrongHashSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ __slots__ = ['_data', '_dtype', '_type_spec_internal']$/;" v class:ndarray file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ __slots__ = ["pfor", "_op", "_inputs"]$/;" v class:_PforInput file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __slots__ = [$/;" v class:RaggedTensorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/row_partition.py /^ __slots__ = ["_nrows", "_nvals", "_uniform_row_length", "_dtype"]$/;" v class:RowPartitionSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ __slots__ = ["_handle", "_handle_device", "_context"]$/;" v class:EagerResourceDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/resource_variable_ops.py /^ __slots__ = []$/;" v class:VariableSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/structured/structured_tensor.py /^ __slots__ = ['_shape', '_field_specs']$/;" v class:StructuredTensorSpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/tensor_array_ops.py /^ __slots__ = ["_element_shape", "_dtype", "_dynamic_size", "_infer_shape"]$/;" v class:TensorArraySpec file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ __slots__ = ["_full_shape", "_var_offset"]$/;" v class:_PartitionInfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/variable_scope.py /^ __slots__ = ["_vars", "_partitioned_vars", "_store_eager_variables"]$/;" v class:_VariableStore file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/load_options.py /^ __slots__ = ("experimental_io_device",)$/;" v class:LoadOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/saved_model/save_options.py /^ __slots__ = ("namespace_whitelist", "save_debug_info", "function_aliases",$/;" v class:SaveOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/checkpoint_options.py /^ __slots__ = ("experimental_io_device",)$/;" v class:CheckpointOptions file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/saving/functional_saver.py /^ __slots__ = ["_saveable_objects"]$/;" v class:_SingleDeviceSaver file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/session_manager.py /^ __slots__ = ["_start_time_secs", "_duration_secs"]$/;" v class:_CountDownTimer file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/base.py /^ __slots__ = ["_checkpoint", "_proto_id"]$/;" v class:CheckpointPosition file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ __slots__ = ["value"]$/;" v class:NoDependency file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ __slots__ = ["_destruction_context", "_destroy_resource"]$/;" v class:CapturableResourceDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/tracking.py /^ __slots__ = ["_resources"]$/;" v class:ResourceTracker file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ __slots__ = ["_object_graph_proto", "_node_name_cache"]$/;" v class:_ObjectGraphProtoPrettyPrinter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/util.py /^ __slots__ = [$/;" v class:_CheckpointRestoreCoordinatorDeleter file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ __slots__ = ["_lock", "_group_id"]$/;" v class:GroupLock._Context file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/lock_util.py /^ __slots__ = ["_ready", "_num_groups", "_group_member_counts"]$/;" v class:GroupLock file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ __slots__ = []$/;" v class:_DotString file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ()$/;" v class:ObjectIdentityWeakSet file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ()$/;" v class:Reference file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ()$/;" v class:_WeakObjectIdentityWrapper file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ["_storage", "__weakref__"]$/;" v class:ObjectIdentitySet file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ["_storage"]$/;" v class:ObjectIdentityDictionary file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ["_wrapped", "__weakref__"]$/;" v class:_ObjectIdentityWrapper file: +__slots__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/object_identity.py /^ __slots__ = ["__weakref__"]$/;" v class:ObjectIdentityWeakKeyDictionary file: +__slots__ adpepsenv/lib/python3.8/site-packages/torch/distributions/kl.py /^ __slots__ = ['types']$/;" v class:_Match file: +__slots__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ __slots__ = ['cls_name', 'test_name', 'device_type', 'dtypes', 'active_if']$/;" v class:SkipInfo file: +__slots__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_methods_invocations.py /^ __slots__ = ['input', 'args', 'kwargs']$/;" v class:SampleInput file: +__slots__ adpepsenv/lib/python3.8/site-packages/torch/_package/importer.py /^ __slots__ = ['source_file']$/;" v class:_ModuleNode file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:ChainMap file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ('__type__',)$/;" v class:_ClassVar file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ('__type__',)$/;" v class:_Final file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ('__values__',)$/;" v class:_Literal file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Annotated file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:AsyncContextManager file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:AsyncGenerator file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:AsyncIterable file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:AsyncIterator file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Awaitable file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:ContextManager file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Coroutine file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Counter file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:DefaultDict file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Deque file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:NoReturn file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Protocol file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:SupportsIndex file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:Type file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:TypeAlias file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:_NoReturn file: +__slots__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __slots__ = ()$/;" v class:_TypeAliasBase file: +__slots__ adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ __slots__ = ()$/;" v class:Url file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ __slots__ = ("prev", "key", "value", "next")$/;" v class:_omd_bucket file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/debug/tbtools.py /^ __slots__ = ("lineno", "code", "in_frame", "current")$/;" v class:Line file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __slots__ = ("__local", "__dict__", "__name__", "__wrapped__")$/;" v class:LocalProxy file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __slots__ = ("__storage__", "__ident_func__")$/;" v class:Local file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ __slots__ = ("path_info",)$/;" v class:RequestPath file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ __slots__ = ()$/;" v class:BaseURL file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ __slots__ = ()$/;" v class:BytesURL file: +__slots__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ __slots__ = ()$/;" v class:URL file: +__slots__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ __slots__ = ["_interpreter", "_abi", "_platform", "_hash"]$/;" v class:Tag file: +__slots__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ __slots__ = '__wrapped__'$/;" v class:ObjectProxy file: +__slots__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ __slots__ = ('_self_expired', '_self_instance')$/;" v class:WeakFunctionProxy file: +__slots__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ __slots__ = ('_self_instance', '_self_wrapper', '_self_enabled',$/;" v class:_FunctionWrapperBase file: +__slots__ adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ __slots__ = () # no direct instantiation, so allow immutable subclasses$/;" v class:YAMLObject file: +__some__from_testing adpepsenv/lib/python3.8/site-packages/numpy/ma/testutils.py /^__some__from_testing = [$/;" v +__starttag_text adpepsenv/lib/python3.8/site-packages/markdown/htmlparser.py /^ __starttag_text = None$/;" v class:HTMLExtractor file: +__stashNode adpepsenv/lib/python3.8/site-packages/markdown/treeprocessors.py /^ def __stashNode(self, node, type):$/;" m class:InlineProcessor file: +__str__ adpepsenv/lib/python3.8/site-packages/absl/flags/_flagvalues.py /^ def __str__(self):$/;" m class:FlagValues +__str__ adpepsenv/lib/python3.8/site-packages/absl/testing/parameterized.py /^ def __str__(self):$/;" m class:TestCase +__str__ adpepsenv/lib/python3.8/site-packages/absl/third_party/unittest3_backport/case.py /^ def __str__(self):$/;" m class:_SubTest +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __str__(self):$/;" m class:BlobReference +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __str__(self):$/;" m class:ExecutionStep +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __str__(self):$/;" m class:Net +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/core.py /^ def __str__(self):$/;" m class:Plan +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/layers/layers.py /^ def __str__(self):$/;" m class:LayerParameter +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/modeling/parameter_info.py /^ def __str__(self):$/;" m class:ParameterInfo +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_builder.py /^ def __str__(self):$/;" m class:NetBuilder +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/net_printer.py /^ def __str__(self):$/;" m class:Text +__str__ adpepsenv/lib/python3.8/site-packages/caffe2/python/task.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/dateutil/parser/_parser.py /^ def __str__(self):$/;" m class:ParserError +__str__ adpepsenv/lib/python3.8/site-packages/dateutil/rrule.py /^ def __str__(self):$/;" m class:rrule +__str__ adpepsenv/lib/python3.8/site-packages/dateutil/tz/win.py /^ def __str__(self):$/;" m class:tzwinlocal +__str__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __str__(self):$/;" f function:_AddStrMethod file: +__str__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __str__(self):$/;" m class:Message +__str__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __str__(self) -> str:$/;" m class:AioRpcError +__str__ adpepsenv/lib/python3.8/site-packages/grpc/aio/_call.py /^ def __str__(self) -> str:$/;" m class:Call +__str__ adpepsenv/lib/python3.8/site-packages/grpc/framework/interfaces/face/face.py /^ def __str__(self):$/;" m class:AbortionError +__str__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __str__(self):$/;" m class:_InactiveRpcError +__str__ adpepsenv/lib/python3.8/site-packages/grpc/_channel.py /^ def __str__(self):$/;" m class:_Rendezvous +__str__ adpepsenv/lib/python3.8/site-packages/h5py/_hl/base.py /^ def __str__(self):$/;" m class:KeysViewHDF5 +__str__ adpepsenv/lib/python3.8/site-packages/jax/api.py /^ __str__ = __repr__$/;" v class:ShapeDtypeStruct file: +__str__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __str__(self): return str(self.jaxpr)$/;" m class:ClosedJaxpr +__str__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __str__(self):$/;" m class:Jaxpr +__str__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def __str__(self):$/;" m class:Harness +__str__ adpepsenv/lib/python3.8/site-packages/jax/experimental/jax2tf/tests/primitive_harness.py /^ def __str__(self):$/;" m class:Limitation +__str__ adpepsenv/lib/python3.8/site-packages/jax/experimental/loops.py /^ def __str__(self):$/;" m class:_LoopBuilder +__str__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __str__(self): return '_'$/;" m class:MonomorphicDim +__str__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __str__(self):$/;" m class:Mon +__str__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __str__(self):$/;" m class:Poly +__str__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __str__(self):$/;" m class:ShapeSpec +__str__ adpepsenv/lib/python3.8/site-packages/jax/_src/pprint_util.py /^ def __str__(self):$/;" m class:PrettyPrint +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/axes/_base.py /^ def __str__(self):$/;" m class:_AxesBase +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/axis.py /^ def __str__(self):$/;" m class:Axis +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/backend_pdf.py /^ def __str__(self):$/;" m class:Name +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __str__(self):$/;" m class:MouseEvent +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/backend_bases.py /^ def __str__(self):$/;" m class:_Mode +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/figure.py /^ def __str__(self):$/;" m class:Figure +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ def __str__(self):$/;" m class:FontProperties +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/image.py /^ def __str__(self):$/;" m class:AxesImage +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/legend.py /^ def __str__(self):$/;" m class:Legend +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/lines.py /^ def __str__(self):$/;" m class:Line2D +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/offsetbox.py /^ def __str__(self):$/;" m class:AnnotationBbox +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Arc +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Arrow +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Circle +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:CirclePolygon +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:ConnectionPatch +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Ellipse +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:FancyArrow +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:FancyArrowPatch +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:FancyBboxPatch +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:PathPatch +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Polygon +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Rectangle +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:RegularPolygon +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Shadow +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/patches.py /^ def __str__(self):$/;" m class:Wedge +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/geo.py /^ def __str__(self):$/;" m class:_GeoTransform +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __str__ = mtransforms._make_str_method("axes", "pad", "mode")$/;" v class:_ThetaShift file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __str__ = mtransforms._make_str_method("_center", "_viewLim", "_originLim")$/;" v class:_WedgeBbox file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __str__ = mtransforms._make_str_method("_scale_transform", "_limits")$/;" v class:PolarAffine file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __str__ = mtransforms._make_str_method($/;" v class:InvertedPolarTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/projections/polar.py /^ __str__ = mtransforms._make_str_method($/;" v class:PolarTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __str__(self):$/;" m class:InvertedLogTransform +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __str__(self):$/;" m class:LogisticTransform +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __str__(self):$/;" m class:LogitTransform +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/scale.py /^ def __str__(self):$/;" m class:LogTransform +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/spines.py /^ def __str__(self):$/;" m class:Spine +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __str__(self):$/;" m class:Duration +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __str__(self):$/;" m class:Epoch +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __str__(self):$/;" m class:UnitDbl +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/text.py /^ def __str__(self):$/;" m class:Annotation +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __str__(self):$/;" m class:TransformNode +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __str__(self):$/;" m class:Bbox +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_a", "_b")$/;" v class:CompositeAffine2D file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_a", "_b")$/;" v class:CompositeGenericTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_base_transform")$/;" v class:AffineDeltaTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_bbox", "_locked_points")$/;" v class:LockableBbox file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_bbox", "_transform")$/;" v class:TransformedBbox file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_boxin")$/;" v class:BboxTransformFrom file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_boxin", "_boxout")$/;" v class:BboxTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_boxout")$/;" v class:BboxTransformTo file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_child")$/;" v class:TransformWrapper file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_mtx")$/;" v class:Affine2D file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_t")$/;" v class:ScaledTranslation file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method("_x", "_y")$/;" v class:_BlendedMixin file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ __str__ = _make_str_method()$/;" v class:IdentityTransform file: +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/tri/triinterpolate.py /^ def __str__(self):$/;" m class:_Sparse_Matrix_coo +__str__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^ def __str__(self):$/;" m class:RcParams +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __str__(self):$/;" m class:finfo +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/getlimits.py /^ def __str__(self):$/;" m class:iinfo +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/machar.py /^ def __str__(self):$/;" m class:MachAr +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/records.py /^ def __str__(self):$/;" m class:record +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_arrayprint.py /^ def __str__(self):$/;" m class:TestArrayRepr.test_0d_object_subclass.DuckCounter +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_dtype.py /^def __str__(dtype):$/;" f +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __str__(self):$/;" m class:_ArrayMemoryError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __str__(self):$/;" m class:_UFuncBinaryResolutionError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __str__(self):$/;" m class:_UFuncInputCastingError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __str__(self):$/;" m class:_UFuncNoLoopError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/core/_exceptions.py /^ def __str__(self):$/;" m class:_UFuncOutputCastingError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/misc_util.py /^ def __str__(self):$/;" m class:Configuration +__str__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __str__(self):$/;" m class:FormatError +__str__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __str__(self):$/;" m class:LibraryInfo +__str__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/npy_pkg_config.py /^ def __str__(self):$/;" m class:PkgNotFound +__str__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __str__(self):$/;" m class:Array +__str__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/tests/test_array_from_pyobj.py /^ def __str__(self):$/;" m class:Intent +__str__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __str__(self):$/;" m class:poly1d +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __str__(self):$/;" m class:MaskedArray +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __str__(self):$/;" m class:MaskedConstant +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __str__(self):$/;" m class:mvoid +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __str__(self):$/;" m class:_MaskedPrintOption +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __str__(self):$/;" m class:_MaskedUFunc +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/mrecords.py /^ def __str__(self):$/;" m class:MaskedRecords +__str__ adpepsenv/lib/python3.8/site-packages/numpy/ma/tests/test_subclassing.py /^ def __str__(self):$/;" m class:ComplicatedSubArray +__str__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __str__(self):$/;" m class:ABCPolyBase +__str__ adpepsenv/lib/python3.8/site-packages/numpy/testing/_private/parameterized.py /^ __str__ = dict.__str__$/;" v class:QuietOrderedDict file: +__str__ adpepsenv/lib/python3.8/site-packages/opt_einsum/contract.py /^ def __str__(self):$/;" m class:ContractExpression +__str__ adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __str__(self):$/;" m class:Exif +__str__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMode.py /^ def __str__(self):$/;" m class:ModeDescriptor +__str__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __str__(self):$/;" m class:BitmapImage +__str__ adpepsenv/lib/python3.8/site-packages/PIL/ImageTk.py /^ def __str__(self):$/;" m class:PhotoImage +__str__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __str__(self):$/;" m class:IndirectObjectDef +__str__ adpepsenv/lib/python3.8/site-packages/PIL/PdfParser.py /^ def __str__(self):$/;" m class:IndirectReference +__str__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ def __str__(self):$/;" m class:ImageFileDirectory_v2 +__str__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^ def __str__(self):$/;" m class:_Deprecated_Version +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:ConfigurationFileCouldNotBeLoaded +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:HashError +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:HashErrors +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:MetadataInconsistent +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:NetworkConnectionError +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/exceptions.py /^ def __str__(self):$/;" m class:NoneMetadataError +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/index/collector.py /^ def __str__(self):$/;" m class:HTMLPage +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/candidate.py /^ def __str__(self):$/;" m class:InstallationCandidate +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/models/link.py /^ def __str__(self):$/;" m class:Link +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/operations/freeze.py /^ def __str__(self):$/;" m class:FrozenRequirement +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_install.py /^ def __str__(self):$/;" m class:InstallRequirement +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/req/req_set.py /^ def __str__(self):$/;" m class:RequirementSet +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/resolution/resolvelib/requirements.py /^ def __str__(self):$/;" m class:SpecifierRequirement +__str__ adpepsenv/lib/python3.8/site-packages/pip/_internal/utils/misc.py /^ def __str__(self):$/;" m class:HiddenText +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/win32.py /^ def __str__(self):$/;" m class:CONSOLE_SCREEN_BUFFER_INFO +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __str__(self):$/;" m class:EggInfoDistribution +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/database.py /^ def __str__(self):$/;" m class:InstalledDistribution +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __str__(self):$/;" m class:Matcher +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/version.py /^ def __str__(self):$/;" m class:Version +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treebuilders/base.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __str__(self):$/;" m class:FragmentWrapper +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __str__(self):$/;" m class:IPv4Interface +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __str__(self):$/;" m class:IPv6Interface +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __str__(self):$/;" m class:_BaseAddress +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __str__(self):$/;" m class:_BaseNetwork +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/msgpack/exceptions.py /^ def __str__(self):$/;" m class:ExtraData +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Marker +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/requirements.py /^ def __str__(self):$/;" m class:Requirement +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:BaseSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:SpecifierSet +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:_IndividualSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/tags.py /^ def __str__(self):$/;" m class:Tag +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:LegacyVersion +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:Version +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:Distribution +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:DistributionNotFound +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:EntryPoint +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:RequirementParseError +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:And +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:CharsNotIn +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Each +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Forward +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:MatchFirst +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:NotAny +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:OneOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Optional +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Or +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ParseBaseException +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ParseElementEnhance +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ParseExpression +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ParserElement +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ParseResults +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:QuotedString +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:RecursiveGrammarException +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Regex +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:Word +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:ZeroOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:_NullToken +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __str__(self):$/;" m class:InconsistentCandidate +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/resolvers.py /^ def __str__(self):$/;" m class:RequirementsConflicted +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/retrying.py /^ def __str__(self):$/;" m class:RetryError +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/connectionpool.py /^ def __str__(self):$/;" m class:ConnectionPool +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/timeout.py /^ __str__ = __repr__$/;" v class:Timeout file: +__str__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/util/url.py /^ def __str__(self):$/;" m class:Url +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Marker +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/requirements.py /^ def __str__(self):$/;" m class:Requirement +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:BaseSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:SpecifierSet +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:_IndividualSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/tags.py /^ def __str__(self):$/;" m class:Tag +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:LegacyVersion +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:Version +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:And +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:CharsNotIn +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Each +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Forward +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:MatchFirst +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:NotAny +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:OneOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Optional +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Or +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseBaseException +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseElementEnhance +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseExpression +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParserElement +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseResults +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:QuotedString +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:RecursiveGrammarException +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Regex +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Word +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ZeroOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:_ForwardNoRecurse +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:_NullToken +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:Distribution +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:DistributionNotFound +__str__ adpepsenv/lib/python3.8/site-packages/pkg_resources/__init__.py /^ def __str__(self):$/;" m class:EntryPoint +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __str__(self): return '.'.join(self._list)$/;" m class:Scope +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __str__(self):$/;" m class:Debug +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/debug.py /^ def __str__(self):$/;" m class:Printer +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/base.py /^ def __str__(self):$/;" m class:Asn1Type +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def __str__(self):$/;" m class:AbstractCharacterString +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __str__(self):$/;" m class:OctetString +__str__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __str__(self):$/;" m class:BitString +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:And +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:CharsNotIn +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Each +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Forward +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:MatchFirst +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:NotAny +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:OneOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Optional +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Or +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ParseBaseException +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ParseElementEnhance +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ParseExpression +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ParserElement +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ParseResults +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:QuotedString +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:RecursiveGrammarException +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Regex +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:Word +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:ZeroOrMore +__str__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __str__(self):$/;" m class:_NullToken +__str__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __str__(self):$/;" m class:Attribute +__str__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __str__(self):$/;" m class:DateAttribute +__str__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __str__(self):$/;" m class:NominalAttribute +__str__ adpepsenv/lib/python3.8/site-packages/scipy/io/arff/arffread.py /^ def __str__(self):$/;" m class:RelationalAttribute +__str__ adpepsenv/lib/python3.8/site-packages/scipy/io/harwell_boeing/_fortran_format_parser.py /^ def __str__(self):$/;" m class:Token +__str__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __str__(self):$/;" m class:spmatrix +__str__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __str__(self):$/;" m class:lil_matrix +__str__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __str__(self):$/;" m class:LegacyVersion +__str__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_pep440.py /^ def __str__(self):$/;" m class:Version +__str__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def __str__(self):$/;" m class:Dispatchable +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/package_index.py /^ def __str__(self):$/;" m class:Credential +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/sandbox.py /^ def __str__(self):$/;" m class:SandboxViolation +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __str__ (self):$/;" m class:LooseVersion +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/version.py /^ def __str__ (self):$/;" m class:StrictVersion +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/versionpredicate.py /^ def __str__(self):$/;" m class:VersionPredicate +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Marker +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/markers.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/requirements.py /^ def __str__(self):$/;" m class:Requirement +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:BaseSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:SpecifierSet +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/specifiers.py /^ def __str__(self):$/;" m class:_IndividualSpecifier +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/tags.py /^ def __str__(self):$/;" m class:Tag +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:LegacyVersion +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/version.py /^ def __str__(self):$/;" m class:Version +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:And +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:CharsNotIn +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Each +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Forward +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:MatchFirst +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:NotAny +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:OneOrMore +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Optional +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Or +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseBaseException +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseElementEnhance +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseExpression +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParserElement +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ParseResults +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:QuotedString +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:RecursiveGrammarException +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Regex +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:Word +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:ZeroOrMore +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__( self ):$/;" m class:_ForwardNoRecurse +__str__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __str__(self):$/;" m class:_NullToken +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/dtypes.py /^ def __str__(self):$/;" m class:DType +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/errors.py /^ def __str__(self):$/;" m class:OpError +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/flags.py /^ def __str__(self):$/;" m class:_FlagValuesWrapper +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __str__(self):$/;" m class:Dimension +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __str__(self):$/;" m class:TensorShape +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __str__(self):$/;" m class:Discrete +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __str__(self):$/;" m class:HParam +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __str__(self):$/;" m class:IntInterval +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/plugins/hparams/summary_v2.py /^ def __str__(self):$/;" m class:RealInterval +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treebuilders/base.py /^ def __str__(self):$/;" m class:Node +__str__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __str__(self):$/;" m class:FragmentWrapper +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/convert.py /^ def __str__(self):$/;" m class:OpsSet +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/lite.py /^ def __str__(self):$/;" m class:Optimize +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __str__(self):$/;" m class:_LiteAggregateOperand +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __str__(self):$/;" m class:_LiteFuncCall +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/lite/python/op_hint.py /^ def __str__(self):$/;" m class:_LiteSingleOperand +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def __str__(self):$/;" m class:Convert +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/config_lib.py /^ def __str__(self):$/;" m class:DoNotConvert +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/core/converter.py /^ def __str__(self):$/;" m class:ConversionOptions +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/error_utils.py /^ def __str__(self):$/;" m class:MultilineMessageKeyError +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __str__(self):$/;" m class:Literal +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/qual_names.py /^ def __str__(self):$/;" m class:QN +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __str__(self):$/;" m class:DebugTensorDatum +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/debug/lib/debug_data.py /^ def __str__(self):$/;" m class:InconvertibleTensorProto +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __str__(self):$/;" m class:InputContext +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/distribute_lib.py /^ def __str__(self):$/;" m class:ValueContext +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __str__(self):$/;" m class:AggregatingVariable +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __str__(self):$/;" m class:DistributedValues +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/context.py /^ def __str__(self):$/;" m class:Context +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/core.py /^ def __str__(self):$/;" m class:_NotOkStatusException +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/eager/function.py /^ def __str__(self):$/;" m class:ConcreteFunction +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __str__(self):$/;" m class:_Edge +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __str__(self):$/;" m class:_EndPoint +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __str__(self):$/;" m class:_Function +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/convert_to_constants.py /^ def __str__(self):$/;" m class:_Node +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/errors_impl.py /^ def __str__(self):$/;" m class:OpError +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/func_graph.py /^ def __str__(self):$/;" m class:FuncGraph +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/indexed_slices.py /^ def __str__(self):$/;" m class:IndexedSlices +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __str__(self):$/;" m class:Operation +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __str__(self):$/;" m class:Tensor +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __str__(self):$/;" m class:_EagerTensorBase +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/sparse_tensor.py /^ def __str__(self):$/;" m class:SparseTensor +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __str__(self):$/;" m class:Dimension +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __str__(self):$/;" m class:TensorShape +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/engine/keras_tensor.py /^ def __str__(self):$/;" m class:KerasTensor +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/control_flow_ops.py /^ def __str__(self):$/;" m class:ControlFlowContext +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/distributions/distribution.py /^ def __str__(self):$/;" m class:Distribution +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/numpy_ops/np_arrays.py /^ def __str__(self):$/;" m class:ndarray +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/parallel_for/pfor.py /^ def __str__(self):$/;" m class:WhileOp +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor_value.py /^ def __str__(self):$/;" m class:RaggedTensorValue +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/session_ops.py /^ def __str__(self):$/;" m class:TensorHandle +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/platform/flags.py /^ def __str__(self):$/;" m class:_FlagValuesWrapper +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/tpu_sharding.py /^ def __str__(self):$/;" m class:ShardingPolicy +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/basic_session_run_hooks.py /^ def __str__(self):$/;" m class:NanLossDuringTrainingError +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/input.py /^ def __str__(self):$/;" m class:_SparseMetaData +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/optimizer.py /^ def __str__(self):$/;" m class:_RefVariableProcessor +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/training/tracking/data_structures.py /^ def __str__(self):$/;" m class:_UntrackableError +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/util/nest.py /^ def __str__(self):$/;" m class:_DotString +__str__ adpepsenv/lib/python3.8/site-packages/tensorflow_estimator/python/estimator/keras.py /^ def __str__(self):$/;" m class:FormattedKeyError +__str__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __str__(self):$/;" m class:EventList +__str__ adpepsenv/lib/python3.8/site-packages/torch/autograd/profiler.py /^ def __str__(self):$/;" m class:profile +__str__ adpepsenv/lib/python3.8/site-packages/torch/jit/frontend.py /^ def __str__(self):$/;" m class:FrontendError +__str__ adpepsenv/lib/python3.8/site-packages/torch/nn/modules/module.py /^ __str__ = __repr__$/;" v class:_IncompatibleKeys file: +__str__ adpepsenv/lib/python3.8/site-packages/torch/storage.py /^ def __str__(self):$/;" m class:_StorageBase +__str__ adpepsenv/lib/python3.8/site-packages/torch/testing/_internal/common_quantization.py /^ def __str__(self):$/;" m class:AverageMeter +__str__ adpepsenv/lib/python3.8/site-packages/torch/utils/benchmark/utils/compare.py /^ def __str__(self):$/;" m class:Compare +__str__ adpepsenv/lib/python3.8/site-packages/torch/utils/hipify/hipify_python.py /^ def __str__(self):$/;" m class:InputError +__str__ adpepsenv/lib/python3.8/site-packages/torch/utils/throughput_benchmark.py /^ def __str__(self):$/;" m class:ExecutionStats +__str__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph.py /^ def __str__(self) -> str:$/;" m class:Graph +__str__ adpepsenv/lib/python3.8/site-packages/torch/_fx/graph_module.py /^ def __str__(self) -> str:$/;" m class:GraphModule +__str__ adpepsenv/lib/python3.8/site-packages/torch/_lobpcg.py /^ def __str__(self):$/;" m class:LOBPCG +__str__ adpepsenv/lib/python3.8/site-packages/urllib3/connectionpool.py /^ def __str__(self):$/;" m class:ConnectionPool +__str__ adpepsenv/lib/python3.8/site-packages/urllib3/util/timeout.py /^ __str__ = __repr__$/;" v class:Timeout file: +__str__ adpepsenv/lib/python3.8/site-packages/urllib3/util/url.py /^ def __str__(self):$/;" m class:Url +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:Accept +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:ContentRange +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:ContentSecurityPolicy +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:ETags +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:Headers +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:HeaderSet +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:IfRange +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:Range +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:WWWAuthenticate +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/datastructures.py /^ def __str__(self):$/;" m class:_CacheControl +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/exceptions.py /^ def __str__(self):$/;" m class:HTTPException +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __str__ = lambda x: str(x._get_current_object())$/;" m class:LocalProxy +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __str__(self):$/;" m class:BuildError +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/routing.py /^ def __str__(self):$/;" m class:Rule +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def __str__(self):$/;" m class:BytesURL +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/urls.py /^ def __str__(self):$/;" m class:URL +__str__ adpepsenv/lib/python3.8/site-packages/werkzeug/useragents.py /^ def __str__(self):$/;" m class:UserAgent +__str__ adpepsenv/lib/python3.8/site-packages/wheel/vendored/packaging/tags.py /^ def __str__(self):$/;" m class:Tag +__str__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __str__(self):$/;" m class:ObjectProxy +__str__ adpepsenv/lib/python3.8/site-packages/yaml/error.py /^ def __str__(self):$/;" m class:Mark +__str__ adpepsenv/lib/python3.8/site-packages/yaml/error.py /^ def __str__(self):$/;" m class:MarkedYAMLError +__str__ adpepsenv/lib/python3.8/site-packages/yaml/reader.py /^ def __str__(self):$/;" m class:ReaderError +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:AnnotatedMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_ClassVarMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_FinalMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_LiteralMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_NoReturn +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_NoReturnMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_ProtocolMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_TypeAliasBase +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, cls):$/;" m class:_TypeAliasMeta +__subclasscheck__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasscheck__(self, subclass):$/;" m class:_ExtensionsGenericMeta +__subclasshook__ adpepsenv/lib/python3.8/site-packages/numpy/compat/py3k.py /^ def __subclasshook__(cls, subclass):$/;" m class:os_PathLike +__subclasshook__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/contextlib2.py /^ def __subclasshook__(cls, C):$/;" m class:AbstractContextManager +__subclasshook__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ def __subclasshook__(cls, C):$/;" m class:ContextManager +__sub__ adpeps/utils/empty_tensor.py /^ def __sub__(self, other):$/;" m class:EmptyT +__sub__ adpepsenv/lib/python3.8/site-packages/caffe2/python/schema.py /^ def __sub__(self, other):$/;" m class:Struct +__sub__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ def __sub__(self, other):$/;" m class:relativedelta +__sub__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __sub__(self, other): return self.aval._sub(self, other)$/;" m class:Tracer +__sub__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __sub__(self, other):$/;" m class:_DoubleDouble +__sub__ adpepsenv/lib/python3.8/site-packages/jax/interpreters/masking.py /^ def __sub__(self, other: 'Size') -> 'Poly':$/;" m class:Poly +__sub__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Duration.py /^ def __sub__(self, rhs):$/;" m class:Duration +__sub__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/Epoch.py /^ def __sub__(self, rhs):$/;" m class:Epoch +__sub__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/UnitDbl.py /^ def __sub__(self, rhs):$/;" m class:UnitDbl +__sub__ adpepsenv/lib/python3.8/site-packages/matplotlib/tests/test_dates.py /^ def __sub__(self, other):$/;" m class:test_date2num_dst.dt_tzaware +__sub__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ def __sub__(self, other):$/;" m class:Transform +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_function_base.py /^ def __sub__(self, x):$/;" m class:PhysicalQuantity +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __sub__(self, other):$/;" m class:TestDot.test_vecobject.Vec +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __sub__ = __rsub__ = _all$/;" v class:TestArrayPriority.Other file: +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __sub__, __rsub__, __isub__ = _numeric_methods(um.subtract, 'sub')$/;" v class:NDArrayOperatorsMixin file: +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ def __sub__(self, other):$/;" m class:poly1d +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __sub__(self, other):$/;" m class:container +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __sub__(self, other):$/;" m class:MaskedArray +__sub__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __sub__(self, other):$/;" m class:ABCPolyBase +__sub__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __sub__(self, other):$/;" m class:_Operand +__sub__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __sub__ = _delegate("__sub__")$/;" v class:IFDRational file: +__sub__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^ def __sub__(self, other):$/;" m class:_BaseAddress +__sub__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __sub__(self, other):$/;" m class:ParserElement +__sub__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __sub__(self, other):$/;" m class:ParserElement +__sub__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/constraint.py /^ def __sub__(self, constraint):$/;" m class:SingleValueConstraint +__sub__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __sub__(self, value):$/;" m class:Integer +__sub__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __sub__(self, value):$/;" m class:Real +__sub__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __sub__(self, other):$/;" m class:ParserElement +__sub__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __sub__(self, other):$/;" m class:StateSpace +__sub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __sub__(self, other): # self - other$/;" m class:spmatrix +__sub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/interface.py /^ def __sub__(self, x):$/;" m class:LinearOperator +__sub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __sub__(self, mat):$/;" m class:BinopTester +__sub__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^ def __sub__(self, mat):$/;" m class:BinopTester_with_shape +__sub__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __sub__(self, other):$/;" m class:ParserElement +__sub__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/tensor_shape.py /^ def __sub__(self, other):$/;" m class:Dimension +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/autograph/pyct/static_analysis/reaching_definitions.py /^ def __sub__(self, other):$/;" m class:_NodeState +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __sub__(self, o):$/;" m class:AggregatingVariable +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __sub__(self, o):$/;" m class:DistributedDelegate +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __sub__(self, other):$/;" m class:Dimension +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __sub__(self, o):$/;" m class:AutoCastVariable +__sub__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __sub__ = _overloaded_operator("__sub__")$/;" v class:RaggedTensor file: +__sub__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __sub__ = lambda x, o: x._get_current_object() - o$/;" m class:LocalProxy +__sub__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __sub__(self, other):$/;" m class:ObjectProxy +__summary__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__summary__ = "Core utilities for Python packages"$/;" v +__summary__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__summary__ = "Core utilities for Python packages"$/;" v +__summary__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__summary__ = "Core utilities for Python packages"$/;" v +__test_binary_op adpepsenv/lib/python3.8/site-packages/caffe2/python/operator_test/elementwise_op_broadcast_test.py /^ def __test_binary_op(self, gc, dc, caffe2_op, op_function):$/;" m class:TestElementwiseBroadcast file: +__test_types adpepsenv/lib/python3.8/site-packages/numpy/core/numerictypes.py /^__test_types = '?'+typecodes['AllInteger'][:-2]+typecodes['AllFloat']+'O'$/;" v +__tf_tensor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/ops.py /^ def __tf_tensor__(self, dtype=None, name=None):$/;" m class:Operation +__tf_tensor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/types/core.py /^ def __tf_tensor__(self, dtype=None, name=None):$/;" m class:TensorProtocol +__title__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__title__ = "packaging"$/;" v +__title__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__title__ = 'requests'$/;" v +__title__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__title__ = "packaging"$/;" v +__title__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__title__ = 'requests'$/;" v +__title__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__title__ = "packaging"$/;" v +__torch_function__ adpepsenv/lib/python3.8/site-packages/torch/nn/parameter.py /^ __torch_function__ = _disabled_torch_function_impl$/;" v class:Parameter file: +__torch_function__ adpepsenv/lib/python3.8/site-packages/torch/tensor.py /^ def __torch_function__(cls, func, types, args=(), kwargs=None):$/;" m class:Tensor +__torch_function__ adpepsenv/lib/python3.8/site-packages/torch/_fx/proxy.py /^ def __torch_function__(self, orig_method, types, args=None, kwargs=None):$/;" m class:Proxy +__transformer adpepsenv/lib/python3.8/site-packages/PIL/Image.py /^ def __transformer(self, box, image, method, data, resample=NEAREST, fill=1):$/;" m class:Image file: +__truediv__ adpeps/utils/empty_tensor.py /^ def __truediv__(self, other):$/;" m class:EmptyT +__truediv__ adpeps/utils/nested.py /^ def __truediv__(self, other):$/;" m class:Nested +__truediv__ adpepsenv/lib/python3.8/site-packages/dateutil/relativedelta.py /^ __truediv__ = __div__$/;" v class:relativedelta file: +__truediv__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __truediv__(self, other): return self.aval._truediv(self, other)$/;" m class:Tracer +__truediv__ adpepsenv/lib/python3.8/site-packages/jax/experimental/doubledouble.py /^ def __truediv__(self, other):$/;" m class:_DoubleDouble +__truediv__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __truediv__ = __rtruediv__ = _all$/;" v class:TestArrayPriority.Other file: +__truediv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __truediv__, __rtruediv__, __itruediv__ = _numeric_methods($/;" v class:NDArrayOperatorsMixin file: +__truediv__ adpepsenv/lib/python3.8/site-packages/numpy/lib/polynomial.py /^ __truediv__ = __div__$/;" v class:poly1d file: +__truediv__ adpepsenv/lib/python3.8/site-packages/numpy/ma/core.py /^ def __truediv__(self, other):$/;" m class:MaskedArray +__truediv__ adpepsenv/lib/python3.8/site-packages/numpy/polynomial/_polybase.py /^ def __truediv__(self, other):$/;" m class:ABCPolyBase +__truediv__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __truediv__(self, other):$/;" m class:_Operand +__truediv__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __truediv__ = _delegate("__truediv__")$/;" v class:IFDRational file: +__truediv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __truediv__(self, value):$/;" m class:Integer +__truediv__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __truediv__(self, value):$/;" m class:Real +__truediv__ adpepsenv/lib/python3.8/site-packages/scipy/signal/ltisys.py /^ def __truediv__(self, other):$/;" m class:StateSpace +__truediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/base.py /^ def __truediv__(self, other):$/;" m class:spmatrix +__truediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/dok.py /^ def __truediv__(self, other):$/;" m class:dok_matrix +__truediv__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/lil.py /^ def __truediv__(self, other): # self \/ other$/;" m class:lil_matrix +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __truediv__(self, o):$/;" m class:AggregatingVariable +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __truediv__(self, o):$/;" m class:DistributedDelegate +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/tensor_shape.py /^ def __truediv__(self, other):$/;" m class:Dimension +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/mixed_precision/autocast_variable.py /^ def __truediv__(self, o):$/;" m class:AutoCastVariable +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/math_ops.py /^ def __truediv__(self, y):$/;" m class:DivideDelegateWithName +__truediv__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __truediv__ = _overloaded_operator("__truediv__")$/;" v class:RaggedTensor file: +__truediv__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __truediv__ = lambda x, o: x._get_current_object().__truediv__(o)$/;" m class:LocalProxy +__truediv__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __truediv__(self, other):$/;" m class:ObjectProxy +__trunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ def __trunc__(self):$/;" m class:TestConversion.test_to_int_scalar.HasTrunc +__trunc__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_umath.py /^ def __trunc__(self):$/;" m class:TestRoundingFunctions.test_object_direct.C +__trunc__ adpepsenv/lib/python3.8/site-packages/PIL/TiffImagePlugin.py /^ __trunc__ = _delegate("__trunc__")$/;" v class:IFDRational file: +__trunc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __trunc__(self):$/;" m class:Integer +__trunc__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __trunc__(self):$/;" m class:Real +__type__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __type__ = None$/;" v class:ClassVar file: +__type__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __type__ = None$/;" v class:Final file: +__ua_convert__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/_backend.py /^ def __ua_convert__(dispatchables, coerce):$/;" f function:wrap_single_convertor file: +__ua_domain__ adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^__ua_domain__ = "numpy.scipy.fft"$/;" v +__ua_domain__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^ __ua_domain__ = "numpy.scipy.fft"$/;" v class:_ScipyBackend file: +__ua_domain__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_debug_backends.py /^ __ua_domain__ = "numpy.scipy.fft"$/;" v class:EchoBackend file: +__ua_domain__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_debug_backends.py /^ __ua_domain__ = "numpy.scipy.fft"$/;" v class:NumPyBackend file: +__ua_function__ adpepsenv/lib/python3.8/site-packages/scipy/fft/tests/mock_backend.py /^def __ua_function__(method, args, kwargs):$/;" f +__ua_function__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_backend.py /^ def __ua_function__(method, args, kwargs):$/;" m class:_ScipyBackend +__ua_function__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_debug_backends.py /^ def __ua_function__(method, args, kwargs):$/;" m class:EchoBackend +__ua_function__ adpepsenv/lib/python3.8/site-packages/scipy/fft/_debug_backends.py /^ def __ua_function__(method, args, kwargs):$/;" m class:NumPyBackend +__unicode__ adpepsenv/lib/python3.8/site-packages/google/protobuf/internal/python_message.py /^ def __unicode__(self):$/;" f function:_AddUnicodeMethod file: +__unicode__ adpepsenv/lib/python3.8/site-packages/google/protobuf/message.py /^ def __unicode__(self):$/;" m class:Message +__unicode__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __unicode__(self):$/;" m class:FragmentWrapper +__unicode__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/char.py /^ def __unicode__(self):$/;" m class:AbstractCharacterString +__unicode__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __unicode__(self):$/;" m class:OctetString +__unicode__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/treewalkers/etree_lxml.py /^ def __unicode__(self):$/;" m class:FragmentWrapper +__unicode__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ def __unicode__(self):$/;" m class:LocalProxy +__unittest adpepsenv/lib/python3.8/site-packages/absl/testing/absltest.py /^__unittest = True # pylint: disable=invalid-name$/;" v +__update adpepsenv/lib/python3.8/site-packages/cachetools/lru.py /^ def __update(self, key):$/;" m class:LRUCache file: +__update adpepsenv/lib/python3.8/site-packages/cachetools/mru.py /^ def __update(self, key):$/;" m class:MRUCache file: +__update adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/compat.py /^ __update = update # let subclasses override update without breaking __init__$/;" v class:OrderedDict file: +__uri__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__uri__ = "https:\/\/github.com\/pypa\/packaging"$/;" v +__uri__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__uri__ = "https:\/\/github.com\/pypa\/packaging"$/;" v +__uri__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__uri__ = "https:\/\/github.com\/pypa\/packaging"$/;" v +__url__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__url__ = 'https:\/\/requests.readthedocs.io'$/;" v +__url__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__url__ = 'https:\/\/requests.readthedocs.io'$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/f2py2e.py /^__usage__ = """\\$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_helper.py /^__usage__ = """$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/fftpack/tests/test_pseudo_diffs.py /^__usage__ = """$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_blas.py /^__usage__ = """$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/linalg/tests/test_decomp.py /^__usage__ = """$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/linalg/eigen/arpack/tests/test_arpack.py /^__usage__ = """$/;" v +__usage__ adpepsenv/lib/python3.8/site-packages/scipy/sparse/tests/test_base.py /^__usage__ = """$/;" v +__values__ adpepsenv/lib/python3.8/site-packages/typing_extensions.py /^ __values__ = None$/;" v class:Literal file: +__versionTime__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__versionTime__ = "30 Mar 2020 00:43 UTC"$/;" v +__versionTime__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^__versionTime__ = "18 Sep 2018 00:49 UTC"$/;" v +__versionTime__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__versionTime__ = "30 Mar 2020 00:43 UTC"$/;" v +__versionTime__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^__versionTime__ = "18 Sep 2018 00:49 UTC"$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^__version_info__ = Version(1, 0, 0, "final")$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/markdown/__meta__.py /^__version_info__ = (3, 3, 3, 'final', 0)$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^__version_info__ = tuple(int(segment) for segment in __version__.split("."))$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^__version_info__ = (1, 4, 3)$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^__version_info__ = tuple(int(segment) for segment in __version__.split("."))$/;" v +__version_info__ adpepsenv/lib/python3.8/site-packages/wrapt/__init__.py /^__version_info__ = ('1', '12', '1')$/;" v +__version__ adpeps/__init__.py /^__version__ = get_versions()['version']$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/astunparse/__init__.py /^__version__ = '1.6.3'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/cachetools/__init__.py /^__version__ = '4.2.1'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/certifi/__init__.py /^__version__ = "2020.12.05"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/chardet/version.py /^__version__ = "4.0.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/cycler.py /^__version__ = '0.10.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/dateutil/__init__.py /^ from ._version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/dateutil/__init__.py /^ __version__ = 'unknown'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/google/protobuf/__init__.py /^__version__ = '3.14.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/grpc/_grpcio_metadata.py /^__version__ = """1.32.0"""/;" v +__version__ adpepsenv/lib/python3.8/site-packages/grpc/__init__.py /^ __version__ = "dev0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/h5py/__init__.py /^from .version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/idna/idnadata.py /^__version__ = "13.0.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/idna/package_data.py /^__version__ = '2.10'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/idna/uts46data.py /^__version__ = "13.0.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/jax/version.py /^__version__ = "0.2.9"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/jaxlib/version.py /^__version__ = "0.1.60"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/keras_preprocessing/__init__.py /^__version__ = '1.1.2'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/markdown/pep562.py /^__version__ = __version_info__._get_canonical()$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/markdown/__meta__.py /^__version__ = _get_version(__version_info__)$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/backends/qt_editor/_formlayout.py /^__version__ = '1.0.10'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/font_manager.py /^ __version__ = 330$/;" v class:FontManager file: +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/sankey.py /^__version__ = "2011\/09\/16"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/sphinxext/plot_directive.py /^__version__ = 2$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/testing/jpl_units/__init__.py /^__version__ = "1.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/matplotlib/__init__.py /^__version__ = str(get_versions()['version'])$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/mpi4py/__init__.py /^__version__ = '3.0.3'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/core/__init__.py /^from numpy.version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/numpy/distutils/lib2def.py /^__version__ = '0.1a'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/capi_maps.py /^__version__ = "$Revision: 1.60 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/common_rules.py /^__version__ = "$Revision: 1.19 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/f90mod_rules.py /^__version__ = "$Revision: 1.27 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/func2subr.py /^__version__ = "$Revision: 1.16 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/rules.py /^__version__ = "$Revision: 1.129 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/f2py/use_rules.py /^__version__ = "$Revision: 1.3 $"[10:-1]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/lib/__init__.py /^from numpy.version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/numpy/matlib.py /^__version__ = np.__version__$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/numpy/__init__.py /^ from .version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/oauthlib/__init__.py /^__version__ = '3.1.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/opt_einsum/__init__.py /^__version__ = versions['version']$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/PIL/_version.py /^__version__ = "8.1.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/PIL/__init__.py /^__version__ = _version.__version__$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/appdirs.py /^__version__ = "1.4.4"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/cachecontrol/__init__.py /^__version__ = "0.12.6"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/certifi/__init__.py /^__version__ = "2020.06.20"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/chardet/version.py /^__version__ = "3.0.4"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/colorama/__init__.py /^__version__ = '0.4.3'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^__version__ = "$Revision$"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/__init__.py /^__version__ = '0.3.1'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/html5lib/__init__.py /^__version__ = "1.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/idnadata.py /^__version__ = "13.0.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/package_data.py /^__version__ = '2.10'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/idna/uts46data.py /^__version__ = "13.0.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/ipaddress.py /^__version__ = '1.0.23'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/packaging/__about__.py /^__version__ = "20.4"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pep517/__init__.py /^__version__ = '0.8.2'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/progress/__init__.py /^__version__ = '1.5'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^__version__ = "2.4.7"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/requests/__version__.py /^__version__ = '2.24.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/resolvelib/__init__.py /^__version__ = "0.4.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/six.py /^__version__ = "1.15.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/toml/__init__.py /^__version__ = "0.10.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/six.py /^__version__ = "1.12.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/packages/ssl_match_hostname/_implementation.py /^__version__ = "3.5.0.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/urllib3/__init__.py /^__version__ = "1.25.9"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pip/__init__.py /^__version__ = "20.2.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/appdirs.py /^__version__ = '.'.join(map(str, __version_info__))$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/packaging/__about__.py /^__version__ = "19.2"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^__version__ = "2.2.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/six.py /^__version__ = "1.10.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pyasn1/__init__.py /^__version__ = '0.4.8'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pyasn1_modules/__init__.py /^__version__ = '0.2.8'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^__version__ = "2.4.7"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/requests/__version__.py /^__version__ = '2.25.1'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/requests_oauthlib/__init__.py /^__version__ = "1.3.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/rsa/__init__.py /^__version__ = '4.7'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/scipy/integrate/_ode.py /^__version__ = "$Id$"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/scipy/ndimage/__init__.py /^__version__ = '2.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/decorator.py /^__version__ = '4.0.5'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/scipy/_lib/_uarray/__init__.py /^__version__ = '0.5.1+49.g4c3f1d7.scipy'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/scipy/__init__.py /^ from scipy.version import version as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/version.py /^ __version__ = 'unknown'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/_distutils/__init__.py /^__version__ = sys.version[:sys.version.index(' ')]$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/ordered_set.py /^__version__ = "3.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/packaging/__about__.py /^__version__ = "19.2"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^__version__ = "2.2.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/six.py /^__version__ = "1.10.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/setuptools/__init__.py /^__version__ = setuptools.version.__version__$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/six.py /^__version__ = "1.15.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorboard/compat/tensorflow_stub/__init__.py /^__version__ = "stub"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/bleach/version.py /^__version__ = '.'.join([str(n) for n in VERSION])$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorboard/_vendor/html5lib/__init__.py /^__version__ = "1.0.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorboard/__init__.py /^__version__ = _version.VERSION$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/client/pywrap_tf_session.py /^__version__ = str(get_version())$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/framework/versions.py /^__version__ = pywrap_tf_session.__version__$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/keras/__init__.py /^__version__ = '2.4.0'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/tpu/client/version.py /^__version__ = "0.11"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v1/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/compat/v2/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v1/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/compat/v2/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/_api/v2/v2.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/tensorflow/__init__.py /^from tensorflow.python.framework.versions import VERSION as __version__$/;" x +__version__ adpepsenv/lib/python3.8/site-packages/torch/version.py /^__version__ = '1.7.1'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/torch/_appdirs.py /^__version__ = "1.4.4"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/six.py /^__version__ = "1.12.0"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/urllib3/packages/ssl_match_hostname/_implementation.py /^__version__ = "3.5.0.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/urllib3/_version.py /^__version__ = "1.26.3"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/urllib3/__init__.py /^__version__ = __version__$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/werkzeug/__init__.py /^__version__ = "1.0.1"$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/wheel/__init__.py /^__version__ = '0.36.2'$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/wrapt/__init__.py /^__version__ = '.'.join(__version_info__)$/;" v +__version__ adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^__version__ = '5.4.1'$/;" v +__weakref__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __weakref__(self):$/;" m class:_ObjectProxyMethods +__with_libyaml__ adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ __with_libyaml__ = False$/;" v +__with_libyaml__ adpepsenv/lib/python3.8/site-packages/yaml/__init__.py /^ __with_libyaml__ = True$/;" v +__write adpepsenv/lib/python3.8/site-packages/pip/_vendor/distlib/_backport/tarfile.py /^ def __write(self, s):$/;" m class:_Stream file: +__xor__ adpepsenv/lib/python3.8/site-packages/jax/core.py /^ def __xor__(self, other): return self.aval._xor(self, other)$/;" m class:Tracer +__xor__ adpepsenv/lib/python3.8/site-packages/numpy/core/tests/test_multiarray.py /^ __xor__ = __rxor__ = _all$/;" v class:TestArrayPriority.Other file: +__xor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/mixins.py /^ __xor__, __rxor__, __ixor__ = _numeric_methods(um.bitwise_xor, 'xor')$/;" v class:NDArrayOperatorsMixin file: +__xor__ adpepsenv/lib/python3.8/site-packages/numpy/lib/user_array.py /^ def __xor__(self, other):$/;" m class:container +__xor__ adpepsenv/lib/python3.8/site-packages/PIL/ImageMath.py /^ def __xor__(self, other):$/;" m class:_Operand +__xor__ adpepsenv/lib/python3.8/site-packages/pip/_vendor/pyparsing.py /^ def __xor__(self, other):$/;" m class:ParserElement +__xor__ adpepsenv/lib/python3.8/site-packages/pkg_resources/_vendor/pyparsing.py /^ def __xor__(self, other ):$/;" m class:ParserElement +__xor__ adpepsenv/lib/python3.8/site-packages/pyasn1/type/univ.py /^ def __xor__(self, value):$/;" m class:Integer +__xor__ adpepsenv/lib/python3.8/site-packages/pyparsing.py /^ def __xor__(self, other):$/;" m class:ParserElement +__xor__ adpepsenv/lib/python3.8/site-packages/setuptools/_vendor/pyparsing.py /^ def __xor__(self, other ):$/;" m class:ParserElement +__xor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/ps_values.py /^ def __xor__(self, o):$/;" m class:AggregatingVariable +__xor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/distribute/values.py /^ def __xor__(self, o):$/;" m class:DistributedDelegate +__xor__ adpepsenv/lib/python3.8/site-packages/tensorflow/python/ops/ragged/ragged_tensor.py /^ __xor__ = _overloaded_operator("__xor__")$/;" v class:RaggedTensor file: +__xor__ adpepsenv/lib/python3.8/site-packages/werkzeug/local.py /^ __xor__ = lambda x, o: x._get_current_object() ^ o$/;" m class:LocalProxy +__xor__ adpepsenv/lib/python3.8/site-packages/wrapt/wrappers.py /^ def __xor__(self, other):$/;" m class:ObjectProxy +___init__ adpepsenv/lib/python3.8/site-packages/matplotlib/transforms.py /^ ___init__ = __init__$/;" v class:Bbox file: diff --git a/tests/__init__.py b/tests/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/tests/test_utils.py b/tests/test_utils.py new file mode 100644 index 0000000..2f139b1 --- /dev/null +++ b/tests/test_utils.py @@ -0,0 +1,86 @@ +import unittest +import adpeps +import scipy.io as sio +import numpy as np + +from adpeps.utils import tlist + +# @unittest.skip("TList being worked on") +class TestTList(unittest.TestCase): + + def setUp(self): + self.np_l = np.array([[1,2,3,4],[5,6,7,8],[9,10,11,12]]) + self.l = tlist.TList(self.np_l) + + def test_cur_loc(self): + for i in range(self.l.size[0]): + for j in range(self.l.size[1]): + with tlist.cur_loc(i,j): + # Note that the tlist is indexed row-major + self.assertEqual(self.l[0,0], self.np_l[j,i]) + # Outside of context + self.assertEqual(self.l[i,j], self.np_l[j,i]) + + def test_hold_write(self): + l = self.l.copy() + orig_l0 = l[0,0] + with tlist.hold_write(l): + l[0,0] = 500 + self.assertEqual(l[0,0], orig_l0) + self.assertTrue(l._hold_write) + self.assertEqual(l[0,0], 500) + self.assertTrue(l._tmpdata is None) + self.assertFalse(l._hold_write) + + def test_pattern_cur_loc(self): + base_l = [10,20,30] + np_l = np.array([[10,20,30],[20,30,10]]) + l = tlist.TList(base_l, pattern=[[0,1,2],[1,2,0]]) + for i in range(l.size[0]): + for j in range(l.size[1]): + with tlist.cur_loc(i,j): + # Note that the tlist is indexed row-major + self.assertEqual(l[0,0], np_l[j,i]) + # Outside of context + self.assertEqual(l[i,j], np_l[j,i]) + + def test_pattern_ctx_cur_loc(self): + base_l = [10,20,30] + np_l = np.array([[10,20,30],[20,30,10]]) + with tlist.set_pattern([[0,1,2],[1,2,0]]): + l = tlist.TList(base_l) + l2 = tlist.TList(base_l, pattern=[[0,1,2],[1,2,0]]) + self.assertTrue(l == l2) + for i in range(l.size[0]): + for j in range(l.size[1]): + with tlist.cur_loc(i,j): + # Note that the tlist is indexed row-major + self.assertEqual(l[0,0], np_l[j,i]) + # Outside of context + self.assertEqual(l[i,j], np_l[j,i]) + + def test_pattern_from_full_cur_loc(self): + base_l = [10,20,30] + np_l = np.array([[10,20,30],[20,30,10]]) + l = tlist.TList(base_l, pattern=[[0,1,2],[1,2,0]]) + l2 = tlist.TList(np_l, pattern=[[0,1,2],[1,2,0]]) + self.assertTrue(l == l2) + for i in range(l.size[0]): + for j in range(l.size[1]): + with tlist.cur_loc(i,j): + # Note that the tlist is indexed row-major + self.assertEqual(l[0,0], np_l[j,i]) + # Outside of context + self.assertEqual(l[i,j], np_l[j,i]) + + def test_pattern_hold_write(self): + base_l = [10,20,30] + l = tlist.TList(base_l, pattern=[[0,1,2],[1,2,0]]) + orig_l0 = l[0,0] + with tlist.hold_write(l): + l[0,0] = 500 + self.assertEqual(l[0,0], orig_l0) + self.assertTrue(l._hold_write) + self.assertEqual(l[0,0], 500) + self.assertTrue(l._tmpdata is None) + self.assertFalse(l._hold_write) diff --git a/versioneer.py b/versioneer.py new file mode 100644 index 0000000..64fea1c --- /dev/null +++ b/versioneer.py @@ -0,0 +1,1822 @@ + +# Version: 0.18 + +"""The Versioneer - like a rocketeer, but for versions. + +The Versioneer +============== + +* like a rocketeer, but for versions! +* https://github.com/warner/python-versioneer +* Brian Warner +* License: Public Domain +* Compatible With: python2.6, 2.7, 3.2, 3.3, 3.4, 3.5, 3.6, and pypy +* [![Latest Version] +(https://pypip.in/version/versioneer/badge.svg?style=flat) +](https://pypi.python.org/pypi/versioneer/) +* [![Build Status] +(https://travis-ci.org/warner/python-versioneer.png?branch=master) +](https://travis-ci.org/warner/python-versioneer) + +This is a tool for managing a recorded version number in distutils-based +python projects. The goal is to remove the tedious and error-prone "update +the embedded version string" step from your release process. Making a new +release should be as easy as recording a new tag in your version-control +system, and maybe making new tarballs. + + +## Quick Install + +* `pip install versioneer` to somewhere to your $PATH +* add a `[versioneer]` section to your setup.cfg (see below) +* run `versioneer install` in your source tree, commit the results + +## Version Identifiers + +Source trees come from a variety of places: + +* a version-control system checkout (mostly used by developers) +* a nightly tarball, produced by build automation +* a snapshot tarball, produced by a web-based VCS browser, like github's + "tarball from tag" feature +* a release tarball, produced by "setup.py sdist", distributed through PyPI + +Within each source tree, the version identifier (either a string or a number, +this tool is format-agnostic) can come from a variety of places: + +* ask the VCS tool itself, e.g. "git describe" (for checkouts), which knows + about recent "tags" and an absolute revision-id +* the name of the directory into which the tarball was unpacked +* an expanded VCS keyword ($Id$, etc) +* a `_version.py` created by some earlier build step + +For released software, the version identifier is closely related to a VCS +tag. Some projects use tag names that include more than just the version +string (e.g. "myproject-1.2" instead of just "1.2"), in which case the tool +needs to strip the tag prefix to extract the version identifier. For +unreleased software (between tags), the version identifier should provide +enough information to help developers recreate the same tree, while also +giving them an idea of roughly how old the tree is (after version 1.2, before +version 1.3). Many VCS systems can report a description that captures this, +for example `git describe --tags --dirty --always` reports things like +"0.7-1-g574ab98-dirty" to indicate that the checkout is one revision past the +0.7 tag, has a unique revision id of "574ab98", and is "dirty" (it has +uncommitted changes. + +The version identifier is used for multiple purposes: + +* to allow the module to self-identify its version: `myproject.__version__` +* to choose a name and prefix for a 'setup.py sdist' tarball + +## Theory of Operation + +Versioneer works by adding a special `_version.py` file into your source +tree, where your `__init__.py` can import it. This `_version.py` knows how to +dynamically ask the VCS tool for version information at import time. + +`_version.py` also contains `$Revision$` markers, and the installation +process marks `_version.py` to have this marker rewritten with a tag name +during the `git archive` command. As a result, generated tarballs will +contain enough information to get the proper version. + +To allow `setup.py` to compute a version too, a `versioneer.py` is added to +the top level of your source tree, next to `setup.py` and the `setup.cfg` +that configures it. This overrides several distutils/setuptools commands to +compute the version when invoked, and changes `setup.py build` and `setup.py +sdist` to replace `_version.py` with a small static file that contains just +the generated version data. + +## Installation + +See [INSTALL.md](./INSTALL.md) for detailed installation instructions. + +## Version-String Flavors + +Code which uses Versioneer can learn about its version string at runtime by +importing `_version` from your main `__init__.py` file and running the +`get_versions()` function. From the "outside" (e.g. in `setup.py`), you can +import the top-level `versioneer.py` and run `get_versions()`. + +Both functions return a dictionary with different flavors of version +information: + +* `['version']`: A condensed version string, rendered using the selected + style. This is the most commonly used value for the project's version + string. The default "pep440" style yields strings like `0.11`, + `0.11+2.g1076c97`, or `0.11+2.g1076c97.dirty`. See the "Styles" section + below for alternative styles. + +* `['full-revisionid']`: detailed revision identifier. For Git, this is the + full SHA1 commit id, e.g. "1076c978a8d3cfc70f408fe5974aa6c092c949ac". + +* `['date']`: Date and time of the latest `HEAD` commit. For Git, it is the + commit date in ISO 8601 format. This will be None if the date is not + available. + +* `['dirty']`: a boolean, True if the tree has uncommitted changes. Note that + this is only accurate if run in a VCS checkout, otherwise it is likely to + be False or None + +* `['error']`: if the version string could not be computed, this will be set + to a string describing the problem, otherwise it will be None. It may be + useful to throw an exception in setup.py if this is set, to avoid e.g. + creating tarballs with a version string of "unknown". + +Some variants are more useful than others. Including `full-revisionid` in a +bug report should allow developers to reconstruct the exact code being tested +(or indicate the presence of local changes that should be shared with the +developers). `version` is suitable for display in an "about" box or a CLI +`--version` output: it can be easily compared against release notes and lists +of bugs fixed in various releases. + +The installer adds the following text to your `__init__.py` to place a basic +version in `YOURPROJECT.__version__`: + + from ._version import get_versions + __version__ = get_versions()['version'] + del get_versions + +## Styles + +The setup.cfg `style=` configuration controls how the VCS information is +rendered into a version string. + +The default style, "pep440", produces a PEP440-compliant string, equal to the +un-prefixed tag name for actual releases, and containing an additional "local +version" section with more detail for in-between builds. For Git, this is +TAG[+DISTANCE.gHEX[.dirty]] , using information from `git describe --tags +--dirty --always`. For example "0.11+2.g1076c97.dirty" indicates that the +tree is like the "1076c97" commit but has uncommitted changes (".dirty"), and +that this commit is two revisions ("+2") beyond the "0.11" tag. For released +software (exactly equal to a known tag), the identifier will only contain the +stripped tag, e.g. "0.11". + +Other styles are available. See [details.md](details.md) in the Versioneer +source tree for descriptions. + +## Debugging + +Versioneer tries to avoid fatal errors: if something goes wrong, it will tend +to return a version of "0+unknown". To investigate the problem, run `setup.py +version`, which will run the version-lookup code in a verbose mode, and will +display the full contents of `get_versions()` (including the `error` string, +which may help identify what went wrong). + +## Known Limitations + +Some situations are known to cause problems for Versioneer. This details the +most significant ones. More can be found on Github +[issues page](https://github.com/warner/python-versioneer/issues). + +### Subprojects + +Versioneer has limited support for source trees in which `setup.py` is not in +the root directory (e.g. `setup.py` and `.git/` are *not* siblings). The are +two common reasons why `setup.py` might not be in the root: + +* Source trees which contain multiple subprojects, such as + [Buildbot](https://github.com/buildbot/buildbot), which contains both + "master" and "slave" subprojects, each with their own `setup.py`, + `setup.cfg`, and `tox.ini`. Projects like these produce multiple PyPI + distributions (and upload multiple independently-installable tarballs). +* Source trees whose main purpose is to contain a C library, but which also + provide bindings to Python (and perhaps other langauges) in subdirectories. + +Versioneer will look for `.git` in parent directories, and most operations +should get the right version string. However `pip` and `setuptools` have bugs +and implementation details which frequently cause `pip install .` from a +subproject directory to fail to find a correct version string (so it usually +defaults to `0+unknown`). + +`pip install --editable .` should work correctly. `setup.py install` might +work too. + +Pip-8.1.1 is known to have this problem, but hopefully it will get fixed in +some later version. + +[Bug #38](https://github.com/warner/python-versioneer/issues/38) is tracking +this issue. The discussion in +[PR #61](https://github.com/warner/python-versioneer/pull/61) describes the +issue from the Versioneer side in more detail. +[pip PR#3176](https://github.com/pypa/pip/pull/3176) and +[pip PR#3615](https://github.com/pypa/pip/pull/3615) contain work to improve +pip to let Versioneer work correctly. + +Versioneer-0.16 and earlier only looked for a `.git` directory next to the +`setup.cfg`, so subprojects were completely unsupported with those releases. + +### Editable installs with setuptools <= 18.5 + +`setup.py develop` and `pip install --editable .` allow you to install a +project into a virtualenv once, then continue editing the source code (and +test) without re-installing after every change. + +"Entry-point scripts" (`setup(entry_points={"console_scripts": ..})`) are a +convenient way to specify executable scripts that should be installed along +with the python package. + +These both work as expected when using modern setuptools. When using +setuptools-18.5 or earlier, however, certain operations will cause +`pkg_resources.DistributionNotFound` errors when running the entrypoint +script, which must be resolved by re-installing the package. This happens +when the install happens with one version, then the egg_info data is +regenerated while a different version is checked out. Many setup.py commands +cause egg_info to be rebuilt (including `sdist`, `wheel`, and installing into +a different virtualenv), so this can be surprising. + +[Bug #83](https://github.com/warner/python-versioneer/issues/83) describes +this one, but upgrading to a newer version of setuptools should probably +resolve it. + +### Unicode version strings + +While Versioneer works (and is continually tested) with both Python 2 and +Python 3, it is not entirely consistent with bytes-vs-unicode distinctions. +Newer releases probably generate unicode version strings on py2. It's not +clear that this is wrong, but it may be surprising for applications when then +write these strings to a network connection or include them in bytes-oriented +APIs like cryptographic checksums. + +[Bug #71](https://github.com/warner/python-versioneer/issues/71) investigates +this question. + + +## Updating Versioneer + +To upgrade your project to a new release of Versioneer, do the following: + +* install the new Versioneer (`pip install -U versioneer` or equivalent) +* edit `setup.cfg`, if necessary, to include any new configuration settings + indicated by the release notes. See [UPGRADING](./UPGRADING.md) for details. +* re-run `versioneer install` in your source tree, to replace + `SRC/_version.py` +* commit any changed files + +## Future Directions + +This tool is designed to make it easily extended to other version-control +systems: all VCS-specific components are in separate directories like +src/git/ . The top-level `versioneer.py` script is assembled from these +components by running make-versioneer.py . In the future, make-versioneer.py +will take a VCS name as an argument, and will construct a version of +`versioneer.py` that is specific to the given VCS. It might also take the +configuration arguments that are currently provided manually during +installation by editing setup.py . Alternatively, it might go the other +direction and include code from all supported VCS systems, reducing the +number of intermediate scripts. + + +## License + +To make Versioneer easier to embed, all its code is dedicated to the public +domain. The `_version.py` that it creates is also in the public domain. +Specifically, both are released under the Creative Commons "Public Domain +Dedication" license (CC0-1.0), as described in +https://creativecommons.org/publicdomain/zero/1.0/ . + +""" + +from __future__ import print_function +try: + import configparser +except ImportError: + import ConfigParser as configparser +import errno +import json +import os +import re +import subprocess +import sys + + +class VersioneerConfig: + """Container for Versioneer configuration parameters.""" + + +def get_root(): + """Get the project root directory. + + We require that all commands are run from the project root, i.e. the + directory that contains setup.py, setup.cfg, and versioneer.py . + """ + root = os.path.realpath(os.path.abspath(os.getcwd())) + setup_py = os.path.join(root, "setup.py") + versioneer_py = os.path.join(root, "versioneer.py") + if not (os.path.exists(setup_py) or os.path.exists(versioneer_py)): + # allow 'python path/to/setup.py COMMAND' + root = os.path.dirname(os.path.realpath(os.path.abspath(sys.argv[0]))) + setup_py = os.path.join(root, "setup.py") + versioneer_py = os.path.join(root, "versioneer.py") + if not (os.path.exists(setup_py) or os.path.exists(versioneer_py)): + err = ("Versioneer was unable to run the project root directory. " + "Versioneer requires setup.py to be executed from " + "its immediate directory (like 'python setup.py COMMAND'), " + "or in a way that lets it use sys.argv[0] to find the root " + "(like 'python path/to/setup.py COMMAND').") + raise VersioneerBadRootError(err) + try: + # Certain runtime workflows (setup.py install/develop in a setuptools + # tree) execute all dependencies in a single python process, so + # "versioneer" may be imported multiple times, and python's shared + # module-import table will cache the first one. So we can't use + # os.path.dirname(__file__), as that will find whichever + # versioneer.py was first imported, even in later projects. + me = os.path.realpath(os.path.abspath(__file__)) + me_dir = os.path.normcase(os.path.splitext(me)[0]) + vsr_dir = os.path.normcase(os.path.splitext(versioneer_py)[0]) + if me_dir != vsr_dir: + print("Warning: build in %s is using versioneer.py from %s" + % (os.path.dirname(me), versioneer_py)) + except NameError: + pass + return root + + +def get_config_from_root(root): + """Read the project setup.cfg file to determine Versioneer config.""" + # This might raise EnvironmentError (if setup.cfg is missing), or + # configparser.NoSectionError (if it lacks a [versioneer] section), or + # configparser.NoOptionError (if it lacks "VCS="). See the docstring at + # the top of versioneer.py for instructions on writing your setup.cfg . + setup_cfg = os.path.join(root, "setup.cfg") + parser = configparser.SafeConfigParser() + with open(setup_cfg, "r") as f: + parser.readfp(f) + VCS = parser.get("versioneer", "VCS") # mandatory + + def get(parser, name): + if parser.has_option("versioneer", name): + return parser.get("versioneer", name) + return None + cfg = VersioneerConfig() + cfg.VCS = VCS + cfg.style = get(parser, "style") or "" + cfg.versionfile_source = get(parser, "versionfile_source") + cfg.versionfile_build = get(parser, "versionfile_build") + cfg.tag_prefix = get(parser, "tag_prefix") + if cfg.tag_prefix in ("''", '""'): + cfg.tag_prefix = "" + cfg.parentdir_prefix = get(parser, "parentdir_prefix") + cfg.verbose = get(parser, "verbose") + return cfg + + +class NotThisMethod(Exception): + """Exception raised if a method is not valid for the current scenario.""" + + +# these dictionaries contain VCS-specific tools +LONG_VERSION_PY = {} +HANDLERS = {} + + +def register_vcs_handler(vcs, method): # decorator + """Decorator to mark a method as the handler for a particular VCS.""" + def decorate(f): + """Store f in HANDLERS[vcs][method].""" + if vcs not in HANDLERS: + HANDLERS[vcs] = {} + HANDLERS[vcs][method] = f + return f + return decorate + + +def run_command(commands, args, cwd=None, verbose=False, hide_stderr=False, + env=None): + """Call the given command(s).""" + assert isinstance(commands, list) + p = None + for c in commands: + try: + dispcmd = str([c] + args) + # remember shell=False, so use git.cmd on windows, not just git + p = subprocess.Popen([c] + args, cwd=cwd, env=env, + stdout=subprocess.PIPE, + stderr=(subprocess.PIPE if hide_stderr + else None)) + break + except EnvironmentError: + e = sys.exc_info()[1] + if e.errno == errno.ENOENT: + continue + if verbose: + print("unable to run %s" % dispcmd) + print(e) + return None, None + else: + if verbose: + print("unable to find command, tried %s" % (commands,)) + return None, None + stdout = p.communicate()[0].strip() + if sys.version_info[0] >= 3: + stdout = stdout.decode() + if p.returncode != 0: + if verbose: + print("unable to run %s (error)" % dispcmd) + print("stdout was %s" % stdout) + return None, p.returncode + return stdout, p.returncode + + +LONG_VERSION_PY['git'] = ''' +# This file helps to compute a version number in source trees obtained from +# git-archive tarball (such as those provided by githubs download-from-tag +# feature). Distribution tarballs (built by setup.py sdist) and build +# directories (produced by setup.py build) will contain a much shorter file +# that just contains the computed version number. + +# This file is released into the public domain. Generated by +# versioneer-0.18 (https://github.com/warner/python-versioneer) + +"""Git implementation of _version.py.""" + +import errno +import os +import re +import subprocess +import sys + + +def get_keywords(): + """Get the keywords needed to look up the version information.""" + # these strings will be replaced by git during git-archive. + # setup.py/versioneer.py will grep for the variable names, so they must + # each be defined on a line of their own. _version.py will just call + # get_keywords(). + git_refnames = "%(DOLLAR)sFormat:%%d%(DOLLAR)s" + git_full = "%(DOLLAR)sFormat:%%H%(DOLLAR)s" + git_date = "%(DOLLAR)sFormat:%%ci%(DOLLAR)s" + keywords = {"refnames": git_refnames, "full": git_full, "date": git_date} + return keywords + + +class VersioneerConfig: + """Container for Versioneer configuration parameters.""" + + +def get_config(): + """Create, populate and return the VersioneerConfig() object.""" + # these strings are filled in when 'setup.py versioneer' creates + # _version.py + cfg = VersioneerConfig() + cfg.VCS = "git" + cfg.style = "%(STYLE)s" + cfg.tag_prefix = "%(TAG_PREFIX)s" + cfg.parentdir_prefix = "%(PARENTDIR_PREFIX)s" + cfg.versionfile_source = "%(VERSIONFILE_SOURCE)s" + cfg.verbose = False + return cfg + + +class NotThisMethod(Exception): + """Exception raised if a method is not valid for the current scenario.""" + + +LONG_VERSION_PY = {} +HANDLERS = {} + + +def register_vcs_handler(vcs, method): # decorator + """Decorator to mark a method as the handler for a particular VCS.""" + def decorate(f): + """Store f in HANDLERS[vcs][method].""" + if vcs not in HANDLERS: + HANDLERS[vcs] = {} + HANDLERS[vcs][method] = f + return f + return decorate + + +def run_command(commands, args, cwd=None, verbose=False, hide_stderr=False, + env=None): + """Call the given command(s).""" + assert isinstance(commands, list) + p = None + for c in commands: + try: + dispcmd = str([c] + args) + # remember shell=False, so use git.cmd on windows, not just git + p = subprocess.Popen([c] + args, cwd=cwd, env=env, + stdout=subprocess.PIPE, + stderr=(subprocess.PIPE if hide_stderr + else None)) + break + except EnvironmentError: + e = sys.exc_info()[1] + if e.errno == errno.ENOENT: + continue + if verbose: + print("unable to run %%s" %% dispcmd) + print(e) + return None, None + else: + if verbose: + print("unable to find command, tried %%s" %% (commands,)) + return None, None + stdout = p.communicate()[0].strip() + if sys.version_info[0] >= 3: + stdout = stdout.decode() + if p.returncode != 0: + if verbose: + print("unable to run %%s (error)" %% dispcmd) + print("stdout was %%s" %% stdout) + return None, p.returncode + return stdout, p.returncode + + +def versions_from_parentdir(parentdir_prefix, root, verbose): + """Try to determine the version from the parent directory name. + + Source tarballs conventionally unpack into a directory that includes both + the project name and a version string. We will also support searching up + two directory levels for an appropriately named parent directory + """ + rootdirs = [] + + for i in range(3): + dirname = os.path.basename(root) + if dirname.startswith(parentdir_prefix): + return {"version": dirname[len(parentdir_prefix):], + "full-revisionid": None, + "dirty": False, "error": None, "date": None} + else: + rootdirs.append(root) + root = os.path.dirname(root) # up a level + + if verbose: + print("Tried directories %%s but none started with prefix %%s" %% + (str(rootdirs), parentdir_prefix)) + raise NotThisMethod("rootdir doesn't start with parentdir_prefix") + + +@register_vcs_handler("git", "get_keywords") +def git_get_keywords(versionfile_abs): + """Extract version information from the given file.""" + # the code embedded in _version.py can just fetch the value of these + # keywords. When used from setup.py, we don't want to import _version.py, + # so we do it with a regexp instead. This function is not used from + # _version.py. + keywords = {} + try: + f = open(versionfile_abs, "r") + for line in f.readlines(): + if line.strip().startswith("git_refnames ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["refnames"] = mo.group(1) + if line.strip().startswith("git_full ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["full"] = mo.group(1) + if line.strip().startswith("git_date ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["date"] = mo.group(1) + f.close() + except EnvironmentError: + pass + return keywords + + +@register_vcs_handler("git", "keywords") +def git_versions_from_keywords(keywords, tag_prefix, verbose): + """Get version information from git keywords.""" + if not keywords: + raise NotThisMethod("no keywords at all, weird") + date = keywords.get("date") + if date is not None: + # git-2.2.0 added "%%cI", which expands to an ISO-8601 -compliant + # datestamp. However we prefer "%%ci" (which expands to an "ISO-8601 + # -like" string, which we must then edit to make compliant), because + # it's been around since git-1.5.3, and it's too difficult to + # discover which version we're using, or to work around using an + # older one. + date = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + refnames = keywords["refnames"].strip() + if refnames.startswith("$Format"): + if verbose: + print("keywords are unexpanded, not using") + raise NotThisMethod("unexpanded keywords, not a git-archive tarball") + refs = set([r.strip() for r in refnames.strip("()").split(",")]) + # starting in git-1.8.3, tags are listed as "tag: foo-1.0" instead of + # just "foo-1.0". If we see a "tag: " prefix, prefer those. + TAG = "tag: " + tags = set([r[len(TAG):] for r in refs if r.startswith(TAG)]) + if not tags: + # Either we're using git < 1.8.3, or there really are no tags. We use + # a heuristic: assume all version tags have a digit. The old git %%d + # expansion behaves like git log --decorate=short and strips out the + # refs/heads/ and refs/tags/ prefixes that would let us distinguish + # between branches and tags. By ignoring refnames without digits, we + # filter out many common branch names like "release" and + # "stabilization", as well as "HEAD" and "master". + tags = set([r for r in refs if re.search(r'\d', r)]) + if verbose: + print("discarding '%%s', no digits" %% ",".join(refs - tags)) + if verbose: + print("likely tags: %%s" %% ",".join(sorted(tags))) + for ref in sorted(tags): + # sorting will prefer e.g. "2.0" over "2.0rc1" + if ref.startswith(tag_prefix): + r = ref[len(tag_prefix):] + if verbose: + print("picking %%s" %% r) + return {"version": r, + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": None, + "date": date} + # no suitable tags, so version is "0+unknown", but full hex is still there + if verbose: + print("no suitable tags, using unknown + full revision id") + return {"version": "0+unknown", + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": "no suitable tags", "date": None} + + +@register_vcs_handler("git", "pieces_from_vcs") +def git_pieces_from_vcs(tag_prefix, root, verbose, run_command=run_command): + """Get version from 'git describe' in the root of the source tree. + + This only gets called if the git-archive 'subst' keywords were *not* + expanded, and _version.py hasn't already been rewritten with a short + version string, meaning we're inside a checked out source tree. + """ + GITS = ["git"] + if sys.platform == "win32": + GITS = ["git.cmd", "git.exe"] + + out, rc = run_command(GITS, ["rev-parse", "--git-dir"], cwd=root, + hide_stderr=True) + if rc != 0: + if verbose: + print("Directory %%s not under git control" %% root) + raise NotThisMethod("'git rev-parse --git-dir' returned error") + + # if there is a tag matching tag_prefix, this yields TAG-NUM-gHEX[-dirty] + # if there isn't one, this yields HEX[-dirty] (no NUM) + describe_out, rc = run_command(GITS, ["describe", "--tags", "--dirty", + "--always", "--long", + "--match", "%%s*" %% tag_prefix], + cwd=root) + # --long was added in git-1.5.5 + if describe_out is None: + raise NotThisMethod("'git describe' failed") + describe_out = describe_out.strip() + full_out, rc = run_command(GITS, ["rev-parse", "HEAD"], cwd=root) + if full_out is None: + raise NotThisMethod("'git rev-parse' failed") + full_out = full_out.strip() + + pieces = {} + pieces["long"] = full_out + pieces["short"] = full_out[:7] # maybe improved later + pieces["error"] = None + + # parse describe_out. It will be like TAG-NUM-gHEX[-dirty] or HEX[-dirty] + # TAG might have hyphens. + git_describe = describe_out + + # look for -dirty suffix + dirty = git_describe.endswith("-dirty") + pieces["dirty"] = dirty + if dirty: + git_describe = git_describe[:git_describe.rindex("-dirty")] + + # now we have TAG-NUM-gHEX or HEX + + if "-" in git_describe: + # TAG-NUM-gHEX + mo = re.search(r'^(.+)-(\d+)-g([0-9a-f]+)$', git_describe) + if not mo: + # unparseable. Maybe git-describe is misbehaving? + pieces["error"] = ("unable to parse git-describe output: '%%s'" + %% describe_out) + return pieces + + # tag + full_tag = mo.group(1) + if not full_tag.startswith(tag_prefix): + if verbose: + fmt = "tag '%%s' doesn't start with prefix '%%s'" + print(fmt %% (full_tag, tag_prefix)) + pieces["error"] = ("tag '%%s' doesn't start with prefix '%%s'" + %% (full_tag, tag_prefix)) + return pieces + pieces["closest-tag"] = full_tag[len(tag_prefix):] + + # distance: number of commits since tag + pieces["distance"] = int(mo.group(2)) + + # commit: short hex revision ID + pieces["short"] = mo.group(3) + + else: + # HEX: no tags + pieces["closest-tag"] = None + count_out, rc = run_command(GITS, ["rev-list", "HEAD", "--count"], + cwd=root) + pieces["distance"] = int(count_out) # total number of commits + + # commit date: see ISO-8601 comment in git_versions_from_keywords() + date = run_command(GITS, ["show", "-s", "--format=%%ci", "HEAD"], + cwd=root)[0].strip() + pieces["date"] = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + + return pieces + + +def plus_or_dot(pieces): + """Return a + if we don't already have one, else return a .""" + if "+" in pieces.get("closest-tag", ""): + return "." + return "+" + + +def render_pep440(pieces): + """Build up version string, with post-release "local version identifier". + + Our goal: TAG[+DISTANCE.gHEX[.dirty]] . Note that if you + get a tagged build and then dirty it, you'll get TAG+0.gHEX.dirty + + Exceptions: + 1: no tags. git_describe was just HEX. 0+untagged.DISTANCE.gHEX[.dirty] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += plus_or_dot(pieces) + rendered += "%%d.g%%s" %% (pieces["distance"], pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + else: + # exception #1 + rendered = "0+untagged.%%d.g%%s" %% (pieces["distance"], + pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + return rendered + + +def render_pep440_pre(pieces): + """TAG[.post.devDISTANCE] -- No -dirty. + + Exceptions: + 1: no tags. 0.post.devDISTANCE + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += ".post.dev%%d" %% pieces["distance"] + else: + # exception #1 + rendered = "0.post.dev%%d" %% pieces["distance"] + return rendered + + +def render_pep440_post(pieces): + """TAG[.postDISTANCE[.dev0]+gHEX] . + + The ".dev0" means dirty. Note that .dev0 sorts backwards + (a dirty tree will appear "older" than the corresponding clean one), + but you shouldn't be releasing software with -dirty anyways. + + Exceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%%d" %% pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += plus_or_dot(pieces) + rendered += "g%%s" %% pieces["short"] + else: + # exception #1 + rendered = "0.post%%d" %% pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += "+g%%s" %% pieces["short"] + return rendered + + +def render_pep440_old(pieces): + """TAG[.postDISTANCE[.dev0]] . + + The ".dev0" means dirty. + + Eexceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%%d" %% pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + else: + # exception #1 + rendered = "0.post%%d" %% pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + return rendered + + +def render_git_describe(pieces): + """TAG[-DISTANCE-gHEX][-dirty]. + + Like 'git describe --tags --dirty --always'. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += "-%%d-g%%s" %% (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render_git_describe_long(pieces): + """TAG-DISTANCE-gHEX[-dirty]. + + Like 'git describe --tags --dirty --always -long'. + The distance/hash is unconditional. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + rendered += "-%%d-g%%s" %% (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render(pieces, style): + """Render the given version pieces into the requested style.""" + if pieces["error"]: + return {"version": "unknown", + "full-revisionid": pieces.get("long"), + "dirty": None, + "error": pieces["error"], + "date": None} + + if not style or style == "default": + style = "pep440" # the default + + if style == "pep440": + rendered = render_pep440(pieces) + elif style == "pep440-pre": + rendered = render_pep440_pre(pieces) + elif style == "pep440-post": + rendered = render_pep440_post(pieces) + elif style == "pep440-old": + rendered = render_pep440_old(pieces) + elif style == "git-describe": + rendered = render_git_describe(pieces) + elif style == "git-describe-long": + rendered = render_git_describe_long(pieces) + else: + raise ValueError("unknown style '%%s'" %% style) + + return {"version": rendered, "full-revisionid": pieces["long"], + "dirty": pieces["dirty"], "error": None, + "date": pieces.get("date")} + + +def get_versions(): + """Get version information or return default if unable to do so.""" + # I am in _version.py, which lives at ROOT/VERSIONFILE_SOURCE. If we have + # __file__, we can work backwards from there to the root. Some + # py2exe/bbfreeze/non-CPython implementations don't do __file__, in which + # case we can only use expanded keywords. + + cfg = get_config() + verbose = cfg.verbose + + try: + return git_versions_from_keywords(get_keywords(), cfg.tag_prefix, + verbose) + except NotThisMethod: + pass + + try: + root = os.path.realpath(__file__) + # versionfile_source is the relative path from the top of the source + # tree (where the .git directory might live) to this file. Invert + # this to find the root from __file__. + for i in cfg.versionfile_source.split('/'): + root = os.path.dirname(root) + except NameError: + return {"version": "0+unknown", "full-revisionid": None, + "dirty": None, + "error": "unable to find root of source tree", + "date": None} + + try: + pieces = git_pieces_from_vcs(cfg.tag_prefix, root, verbose) + return render(pieces, cfg.style) + except NotThisMethod: + pass + + try: + if cfg.parentdir_prefix: + return versions_from_parentdir(cfg.parentdir_prefix, root, verbose) + except NotThisMethod: + pass + + return {"version": "0+unknown", "full-revisionid": None, + "dirty": None, + "error": "unable to compute version", "date": None} +''' + + +@register_vcs_handler("git", "get_keywords") +def git_get_keywords(versionfile_abs): + """Extract version information from the given file.""" + # the code embedded in _version.py can just fetch the value of these + # keywords. When used from setup.py, we don't want to import _version.py, + # so we do it with a regexp instead. This function is not used from + # _version.py. + keywords = {} + try: + f = open(versionfile_abs, "r") + for line in f.readlines(): + if line.strip().startswith("git_refnames ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["refnames"] = mo.group(1) + if line.strip().startswith("git_full ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["full"] = mo.group(1) + if line.strip().startswith("git_date ="): + mo = re.search(r'=\s*"(.*)"', line) + if mo: + keywords["date"] = mo.group(1) + f.close() + except EnvironmentError: + pass + return keywords + + +@register_vcs_handler("git", "keywords") +def git_versions_from_keywords(keywords, tag_prefix, verbose): + """Get version information from git keywords.""" + if not keywords: + raise NotThisMethod("no keywords at all, weird") + date = keywords.get("date") + if date is not None: + # git-2.2.0 added "%cI", which expands to an ISO-8601 -compliant + # datestamp. However we prefer "%ci" (which expands to an "ISO-8601 + # -like" string, which we must then edit to make compliant), because + # it's been around since git-1.5.3, and it's too difficult to + # discover which version we're using, or to work around using an + # older one. + date = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + refnames = keywords["refnames"].strip() + if refnames.startswith("$Format"): + if verbose: + print("keywords are unexpanded, not using") + raise NotThisMethod("unexpanded keywords, not a git-archive tarball") + refs = set([r.strip() for r in refnames.strip("()").split(",")]) + # starting in git-1.8.3, tags are listed as "tag: foo-1.0" instead of + # just "foo-1.0". If we see a "tag: " prefix, prefer those. + TAG = "tag: " + tags = set([r[len(TAG):] for r in refs if r.startswith(TAG)]) + if not tags: + # Either we're using git < 1.8.3, or there really are no tags. We use + # a heuristic: assume all version tags have a digit. The old git %d + # expansion behaves like git log --decorate=short and strips out the + # refs/heads/ and refs/tags/ prefixes that would let us distinguish + # between branches and tags. By ignoring refnames without digits, we + # filter out many common branch names like "release" and + # "stabilization", as well as "HEAD" and "master". + tags = set([r for r in refs if re.search(r'\d', r)]) + if verbose: + print("discarding '%s', no digits" % ",".join(refs - tags)) + if verbose: + print("likely tags: %s" % ",".join(sorted(tags))) + for ref in sorted(tags): + # sorting will prefer e.g. "2.0" over "2.0rc1" + if ref.startswith(tag_prefix): + r = ref[len(tag_prefix):] + if verbose: + print("picking %s" % r) + return {"version": r, + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": None, + "date": date} + # no suitable tags, so version is "0+unknown", but full hex is still there + if verbose: + print("no suitable tags, using unknown + full revision id") + return {"version": "0+unknown", + "full-revisionid": keywords["full"].strip(), + "dirty": False, "error": "no suitable tags", "date": None} + + +@register_vcs_handler("git", "pieces_from_vcs") +def git_pieces_from_vcs(tag_prefix, root, verbose, run_command=run_command): + """Get version from 'git describe' in the root of the source tree. + + This only gets called if the git-archive 'subst' keywords were *not* + expanded, and _version.py hasn't already been rewritten with a short + version string, meaning we're inside a checked out source tree. + """ + GITS = ["git"] + if sys.platform == "win32": + GITS = ["git.cmd", "git.exe"] + + out, rc = run_command(GITS, ["rev-parse", "--git-dir"], cwd=root, + hide_stderr=True) + if rc != 0: + if verbose: + print("Directory %s not under git control" % root) + raise NotThisMethod("'git rev-parse --git-dir' returned error") + + # if there is a tag matching tag_prefix, this yields TAG-NUM-gHEX[-dirty] + # if there isn't one, this yields HEX[-dirty] (no NUM) + describe_out, rc = run_command(GITS, ["describe", "--tags", "--dirty", + "--always", "--long", + "--match", "%s*" % tag_prefix], + cwd=root) + # --long was added in git-1.5.5 + if describe_out is None: + raise NotThisMethod("'git describe' failed") + describe_out = describe_out.strip() + full_out, rc = run_command(GITS, ["rev-parse", "HEAD"], cwd=root) + if full_out is None: + raise NotThisMethod("'git rev-parse' failed") + full_out = full_out.strip() + + pieces = {} + pieces["long"] = full_out + pieces["short"] = full_out[:7] # maybe improved later + pieces["error"] = None + + # parse describe_out. It will be like TAG-NUM-gHEX[-dirty] or HEX[-dirty] + # TAG might have hyphens. + git_describe = describe_out + + # look for -dirty suffix + dirty = git_describe.endswith("-dirty") + pieces["dirty"] = dirty + if dirty: + git_describe = git_describe[:git_describe.rindex("-dirty")] + + # now we have TAG-NUM-gHEX or HEX + + if "-" in git_describe: + # TAG-NUM-gHEX + mo = re.search(r'^(.+)-(\d+)-g([0-9a-f]+)$', git_describe) + if not mo: + # unparseable. Maybe git-describe is misbehaving? + pieces["error"] = ("unable to parse git-describe output: '%s'" + % describe_out) + return pieces + + # tag + full_tag = mo.group(1) + if not full_tag.startswith(tag_prefix): + if verbose: + fmt = "tag '%s' doesn't start with prefix '%s'" + print(fmt % (full_tag, tag_prefix)) + pieces["error"] = ("tag '%s' doesn't start with prefix '%s'" + % (full_tag, tag_prefix)) + return pieces + pieces["closest-tag"] = full_tag[len(tag_prefix):] + + # distance: number of commits since tag + pieces["distance"] = int(mo.group(2)) + + # commit: short hex revision ID + pieces["short"] = mo.group(3) + + else: + # HEX: no tags + pieces["closest-tag"] = None + count_out, rc = run_command(GITS, ["rev-list", "HEAD", "--count"], + cwd=root) + pieces["distance"] = int(count_out) # total number of commits + + # commit date: see ISO-8601 comment in git_versions_from_keywords() + date = run_command(GITS, ["show", "-s", "--format=%ci", "HEAD"], + cwd=root)[0].strip() + pieces["date"] = date.strip().replace(" ", "T", 1).replace(" ", "", 1) + + return pieces + + +def do_vcs_install(manifest_in, versionfile_source, ipy): + """Git-specific installation logic for Versioneer. + + For Git, this means creating/changing .gitattributes to mark _version.py + for export-subst keyword substitution. + """ + GITS = ["git"] + if sys.platform == "win32": + GITS = ["git.cmd", "git.exe"] + files = [manifest_in, versionfile_source] + if ipy: + files.append(ipy) + try: + me = __file__ + if me.endswith(".pyc") or me.endswith(".pyo"): + me = os.path.splitext(me)[0] + ".py" + versioneer_file = os.path.relpath(me) + except NameError: + versioneer_file = "versioneer.py" + files.append(versioneer_file) + present = False + try: + f = open(".gitattributes", "r") + for line in f.readlines(): + if line.strip().startswith(versionfile_source): + if "export-subst" in line.strip().split()[1:]: + present = True + f.close() + except EnvironmentError: + pass + if not present: + f = open(".gitattributes", "a+") + f.write("%s export-subst\n" % versionfile_source) + f.close() + files.append(".gitattributes") + run_command(GITS, ["add", "--"] + files) + + +def versions_from_parentdir(parentdir_prefix, root, verbose): + """Try to determine the version from the parent directory name. + + Source tarballs conventionally unpack into a directory that includes both + the project name and a version string. We will also support searching up + two directory levels for an appropriately named parent directory + """ + rootdirs = [] + + for i in range(3): + dirname = os.path.basename(root) + if dirname.startswith(parentdir_prefix): + return {"version": dirname[len(parentdir_prefix):], + "full-revisionid": None, + "dirty": False, "error": None, "date": None} + else: + rootdirs.append(root) + root = os.path.dirname(root) # up a level + + if verbose: + print("Tried directories %s but none started with prefix %s" % + (str(rootdirs), parentdir_prefix)) + raise NotThisMethod("rootdir doesn't start with parentdir_prefix") + + +SHORT_VERSION_PY = """ +# This file was generated by 'versioneer.py' (0.18) from +# revision-control system data, or from the parent directory name of an +# unpacked source archive. Distribution tarballs contain a pre-generated copy +# of this file. + +import json + +version_json = ''' +%s +''' # END VERSION_JSON + + +def get_versions(): + return json.loads(version_json) +""" + + +def versions_from_file(filename): + """Try to determine the version from _version.py if present.""" + try: + with open(filename) as f: + contents = f.read() + except EnvironmentError: + raise NotThisMethod("unable to read _version.py") + mo = re.search(r"version_json = '''\n(.*)''' # END VERSION_JSON", + contents, re.M | re.S) + if not mo: + mo = re.search(r"version_json = '''\r\n(.*)''' # END VERSION_JSON", + contents, re.M | re.S) + if not mo: + raise NotThisMethod("no version_json in _version.py") + return json.loads(mo.group(1)) + + +def write_to_version_file(filename, versions): + """Write the given version number to the given _version.py file.""" + os.unlink(filename) + contents = json.dumps(versions, sort_keys=True, + indent=1, separators=(",", ": ")) + with open(filename, "w") as f: + f.write(SHORT_VERSION_PY % contents) + + print("set %s to '%s'" % (filename, versions["version"])) + + +def plus_or_dot(pieces): + """Return a + if we don't already have one, else return a .""" + if "+" in pieces.get("closest-tag", ""): + return "." + return "+" + + +def render_pep440(pieces): + """Build up version string, with post-release "local version identifier". + + Our goal: TAG[+DISTANCE.gHEX[.dirty]] . Note that if you + get a tagged build and then dirty it, you'll get TAG+0.gHEX.dirty + + Exceptions: + 1: no tags. git_describe was just HEX. 0+untagged.DISTANCE.gHEX[.dirty] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += plus_or_dot(pieces) + rendered += "%d.g%s" % (pieces["distance"], pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + else: + # exception #1 + rendered = "0+untagged.%d.g%s" % (pieces["distance"], + pieces["short"]) + if pieces["dirty"]: + rendered += ".dirty" + return rendered + + +def render_pep440_pre(pieces): + """TAG[.post.devDISTANCE] -- No -dirty. + + Exceptions: + 1: no tags. 0.post.devDISTANCE + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += ".post.dev%d" % pieces["distance"] + else: + # exception #1 + rendered = "0.post.dev%d" % pieces["distance"] + return rendered + + +def render_pep440_post(pieces): + """TAG[.postDISTANCE[.dev0]+gHEX] . + + The ".dev0" means dirty. Note that .dev0 sorts backwards + (a dirty tree will appear "older" than the corresponding clean one), + but you shouldn't be releasing software with -dirty anyways. + + Exceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += plus_or_dot(pieces) + rendered += "g%s" % pieces["short"] + else: + # exception #1 + rendered = "0.post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + rendered += "+g%s" % pieces["short"] + return rendered + + +def render_pep440_old(pieces): + """TAG[.postDISTANCE[.dev0]] . + + The ".dev0" means dirty. + + Eexceptions: + 1: no tags. 0.postDISTANCE[.dev0] + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"] or pieces["dirty"]: + rendered += ".post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + else: + # exception #1 + rendered = "0.post%d" % pieces["distance"] + if pieces["dirty"]: + rendered += ".dev0" + return rendered + + +def render_git_describe(pieces): + """TAG[-DISTANCE-gHEX][-dirty]. + + Like 'git describe --tags --dirty --always'. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + if pieces["distance"]: + rendered += "-%d-g%s" % (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render_git_describe_long(pieces): + """TAG-DISTANCE-gHEX[-dirty]. + + Like 'git describe --tags --dirty --always -long'. + The distance/hash is unconditional. + + Exceptions: + 1: no tags. HEX[-dirty] (note: no 'g' prefix) + """ + if pieces["closest-tag"]: + rendered = pieces["closest-tag"] + rendered += "-%d-g%s" % (pieces["distance"], pieces["short"]) + else: + # exception #1 + rendered = pieces["short"] + if pieces["dirty"]: + rendered += "-dirty" + return rendered + + +def render(pieces, style): + """Render the given version pieces into the requested style.""" + if pieces["error"]: + return {"version": "unknown", + "full-revisionid": pieces.get("long"), + "dirty": None, + "error": pieces["error"], + "date": None} + + if not style or style == "default": + style = "pep440" # the default + + if style == "pep440": + rendered = render_pep440(pieces) + elif style == "pep440-pre": + rendered = render_pep440_pre(pieces) + elif style == "pep440-post": + rendered = render_pep440_post(pieces) + elif style == "pep440-old": + rendered = render_pep440_old(pieces) + elif style == "git-describe": + rendered = render_git_describe(pieces) + elif style == "git-describe-long": + rendered = render_git_describe_long(pieces) + else: + raise ValueError("unknown style '%s'" % style) + + return {"version": rendered, "full-revisionid": pieces["long"], + "dirty": pieces["dirty"], "error": None, + "date": pieces.get("date")} + + +class VersioneerBadRootError(Exception): + """The project root directory is unknown or missing key files.""" + + +def get_versions(verbose=False): + """Get the project version from whatever source is available. + + Returns dict with two keys: 'version' and 'full'. + """ + if "versioneer" in sys.modules: + # see the discussion in cmdclass.py:get_cmdclass() + del sys.modules["versioneer"] + + root = get_root() + cfg = get_config_from_root(root) + + assert cfg.VCS is not None, "please set [versioneer]VCS= in setup.cfg" + handlers = HANDLERS.get(cfg.VCS) + assert handlers, "unrecognized VCS '%s'" % cfg.VCS + verbose = verbose or cfg.verbose + assert cfg.versionfile_source is not None, \ + "please set versioneer.versionfile_source" + assert cfg.tag_prefix is not None, "please set versioneer.tag_prefix" + + versionfile_abs = os.path.join(root, cfg.versionfile_source) + + # extract version from first of: _version.py, VCS command (e.g. 'git + # describe'), parentdir. This is meant to work for developers using a + # source checkout, for users of a tarball created by 'setup.py sdist', + # and for users of a tarball/zipball created by 'git archive' or github's + # download-from-tag feature or the equivalent in other VCSes. + + get_keywords_f = handlers.get("get_keywords") + from_keywords_f = handlers.get("keywords") + if get_keywords_f and from_keywords_f: + try: + keywords = get_keywords_f(versionfile_abs) + ver = from_keywords_f(keywords, cfg.tag_prefix, verbose) + if verbose: + print("got version from expanded keyword %s" % ver) + return ver + except NotThisMethod: + pass + + try: + ver = versions_from_file(versionfile_abs) + if verbose: + print("got version from file %s %s" % (versionfile_abs, ver)) + return ver + except NotThisMethod: + pass + + from_vcs_f = handlers.get("pieces_from_vcs") + if from_vcs_f: + try: + pieces = from_vcs_f(cfg.tag_prefix, root, verbose) + ver = render(pieces, cfg.style) + if verbose: + print("got version from VCS %s" % ver) + return ver + except NotThisMethod: + pass + + try: + if cfg.parentdir_prefix: + ver = versions_from_parentdir(cfg.parentdir_prefix, root, verbose) + if verbose: + print("got version from parentdir %s" % ver) + return ver + except NotThisMethod: + pass + + if verbose: + print("unable to compute version") + + return {"version": "0+unknown", "full-revisionid": None, + "dirty": None, "error": "unable to compute version", + "date": None} + + +def get_version(): + """Get the short version string for this project.""" + return get_versions()["version"] + + +def get_cmdclass(): + """Get the custom setuptools/distutils subclasses used by Versioneer.""" + if "versioneer" in sys.modules: + del sys.modules["versioneer"] + # this fixes the "python setup.py develop" case (also 'install' and + # 'easy_install .'), in which subdependencies of the main project are + # built (using setup.py bdist_egg) in the same python process. Assume + # a main project A and a dependency B, which use different versions + # of Versioneer. A's setup.py imports A's Versioneer, leaving it in + # sys.modules by the time B's setup.py is executed, causing B to run + # with the wrong versioneer. Setuptools wraps the sub-dep builds in a + # sandbox that restores sys.modules to it's pre-build state, so the + # parent is protected against the child's "import versioneer". By + # removing ourselves from sys.modules here, before the child build + # happens, we protect the child from the parent's versioneer too. + # Also see https://github.com/warner/python-versioneer/issues/52 + + cmds = {} + + # we add "version" to both distutils and setuptools + from distutils.core import Command + + class cmd_version(Command): + description = "report generated version string" + user_options = [] + boolean_options = [] + + def initialize_options(self): + pass + + def finalize_options(self): + pass + + def run(self): + vers = get_versions(verbose=True) + print("Version: %s" % vers["version"]) + print(" full-revisionid: %s" % vers.get("full-revisionid")) + print(" dirty: %s" % vers.get("dirty")) + print(" date: %s" % vers.get("date")) + if vers["error"]: + print(" error: %s" % vers["error"]) + cmds["version"] = cmd_version + + # we override "build_py" in both distutils and setuptools + # + # most invocation pathways end up running build_py: + # distutils/build -> build_py + # distutils/install -> distutils/build ->.. + # setuptools/bdist_wheel -> distutils/install ->.. + # setuptools/bdist_egg -> distutils/install_lib -> build_py + # setuptools/install -> bdist_egg ->.. + # setuptools/develop -> ? + # pip install: + # copies source tree to a tempdir before running egg_info/etc + # if .git isn't copied too, 'git describe' will fail + # then does setup.py bdist_wheel, or sometimes setup.py install + # setup.py egg_info -> ? + + # we override different "build_py" commands for both environments + if "setuptools" in sys.modules: + from setuptools.command.build_py import build_py as _build_py + else: + from distutils.command.build_py import build_py as _build_py + + class cmd_build_py(_build_py): + def run(self): + root = get_root() + cfg = get_config_from_root(root) + versions = get_versions() + _build_py.run(self) + # now locate _version.py in the new build/ directory and replace + # it with an updated value + if cfg.versionfile_build: + target_versionfile = os.path.join(self.build_lib, + cfg.versionfile_build) + print("UPDATING %s" % target_versionfile) + write_to_version_file(target_versionfile, versions) + cmds["build_py"] = cmd_build_py + + if "cx_Freeze" in sys.modules: # cx_freeze enabled? + from cx_Freeze.dist import build_exe as _build_exe + # nczeczulin reports that py2exe won't like the pep440-style string + # as FILEVERSION, but it can be used for PRODUCTVERSION, e.g. + # setup(console=[{ + # "version": versioneer.get_version().split("+", 1)[0], # FILEVERSION + # "product_version": versioneer.get_version(), + # ... + + class cmd_build_exe(_build_exe): + def run(self): + root = get_root() + cfg = get_config_from_root(root) + versions = get_versions() + target_versionfile = cfg.versionfile_source + print("UPDATING %s" % target_versionfile) + write_to_version_file(target_versionfile, versions) + + _build_exe.run(self) + os.unlink(target_versionfile) + with open(cfg.versionfile_source, "w") as f: + LONG = LONG_VERSION_PY[cfg.VCS] + f.write(LONG % + {"DOLLAR": "$", + "STYLE": cfg.style, + "TAG_PREFIX": cfg.tag_prefix, + "PARENTDIR_PREFIX": cfg.parentdir_prefix, + "VERSIONFILE_SOURCE": cfg.versionfile_source, + }) + cmds["build_exe"] = cmd_build_exe + del cmds["build_py"] + + if 'py2exe' in sys.modules: # py2exe enabled? + try: + from py2exe.distutils_buildexe import py2exe as _py2exe # py3 + except ImportError: + from py2exe.build_exe import py2exe as _py2exe # py2 + + class cmd_py2exe(_py2exe): + def run(self): + root = get_root() + cfg = get_config_from_root(root) + versions = get_versions() + target_versionfile = cfg.versionfile_source + print("UPDATING %s" % target_versionfile) + write_to_version_file(target_versionfile, versions) + + _py2exe.run(self) + os.unlink(target_versionfile) + with open(cfg.versionfile_source, "w") as f: + LONG = LONG_VERSION_PY[cfg.VCS] + f.write(LONG % + {"DOLLAR": "$", + "STYLE": cfg.style, + "TAG_PREFIX": cfg.tag_prefix, + "PARENTDIR_PREFIX": cfg.parentdir_prefix, + "VERSIONFILE_SOURCE": cfg.versionfile_source, + }) + cmds["py2exe"] = cmd_py2exe + + # we override different "sdist" commands for both environments + if "setuptools" in sys.modules: + from setuptools.command.sdist import sdist as _sdist + else: + from distutils.command.sdist import sdist as _sdist + + class cmd_sdist(_sdist): + def run(self): + versions = get_versions() + self._versioneer_generated_versions = versions + # unless we update this, the command will keep using the old + # version + self.distribution.metadata.version = versions["version"] + return _sdist.run(self) + + def make_release_tree(self, base_dir, files): + root = get_root() + cfg = get_config_from_root(root) + _sdist.make_release_tree(self, base_dir, files) + # now locate _version.py in the new base_dir directory + # (remembering that it may be a hardlink) and replace it with an + # updated value + target_versionfile = os.path.join(base_dir, cfg.versionfile_source) + print("UPDATING %s" % target_versionfile) + write_to_version_file(target_versionfile, + self._versioneer_generated_versions) + cmds["sdist"] = cmd_sdist + + return cmds + + +CONFIG_ERROR = """ +setup.cfg is missing the necessary Versioneer configuration. You need +a section like: + + [versioneer] + VCS = git + style = pep440 + versionfile_source = src/myproject/_version.py + versionfile_build = myproject/_version.py + tag_prefix = + parentdir_prefix = myproject- + +You will also need to edit your setup.py to use the results: + + import versioneer + setup(version=versioneer.get_version(), + cmdclass=versioneer.get_cmdclass(), ...) + +Please read the docstring in ./versioneer.py for configuration instructions, +edit setup.cfg, and re-run the installer or 'python versioneer.py setup'. +""" + +SAMPLE_CONFIG = """ +# See the docstring in versioneer.py for instructions. Note that you must +# re-run 'versioneer.py setup' after changing this section, and commit the +# resulting files. + +[versioneer] +#VCS = git +#style = pep440 +#versionfile_source = +#versionfile_build = +#tag_prefix = +#parentdir_prefix = + +""" + +INIT_PY_SNIPPET = """ +from ._version import get_versions +__version__ = get_versions()['version'] +del get_versions +""" + + +def do_setup(): + """Main VCS-independent setup function for installing Versioneer.""" + root = get_root() + try: + cfg = get_config_from_root(root) + except (EnvironmentError, configparser.NoSectionError, + configparser.NoOptionError) as e: + if isinstance(e, (EnvironmentError, configparser.NoSectionError)): + print("Adding sample versioneer config to setup.cfg", + file=sys.stderr) + with open(os.path.join(root, "setup.cfg"), "a") as f: + f.write(SAMPLE_CONFIG) + print(CONFIG_ERROR, file=sys.stderr) + return 1 + + print(" creating %s" % cfg.versionfile_source) + with open(cfg.versionfile_source, "w") as f: + LONG = LONG_VERSION_PY[cfg.VCS] + f.write(LONG % {"DOLLAR": "$", + "STYLE": cfg.style, + "TAG_PREFIX": cfg.tag_prefix, + "PARENTDIR_PREFIX": cfg.parentdir_prefix, + "VERSIONFILE_SOURCE": cfg.versionfile_source, + }) + + ipy = os.path.join(os.path.dirname(cfg.versionfile_source), + "__init__.py") + if os.path.exists(ipy): + try: + with open(ipy, "r") as f: + old = f.read() + except EnvironmentError: + old = "" + if INIT_PY_SNIPPET not in old: + print(" appending to %s" % ipy) + with open(ipy, "a") as f: + f.write(INIT_PY_SNIPPET) + else: + print(" %s unmodified" % ipy) + else: + print(" %s doesn't exist, ok" % ipy) + ipy = None + + # Make sure both the top-level "versioneer.py" and versionfile_source + # (PKG/_version.py, used by runtime code) are in MANIFEST.in, so + # they'll be copied into source distributions. Pip won't be able to + # install the package without this. + manifest_in = os.path.join(root, "MANIFEST.in") + simple_includes = set() + try: + with open(manifest_in, "r") as f: + for line in f: + if line.startswith("include "): + for include in line.split()[1:]: + simple_includes.add(include) + except EnvironmentError: + pass + # That doesn't cover everything MANIFEST.in can do + # (http://docs.python.org/2/distutils/sourcedist.html#commands), so + # it might give some false negatives. Appending redundant 'include' + # lines is safe, though. + if "versioneer.py" not in simple_includes: + print(" appending 'versioneer.py' to MANIFEST.in") + with open(manifest_in, "a") as f: + f.write("include versioneer.py\n") + else: + print(" 'versioneer.py' already in MANIFEST.in") + if cfg.versionfile_source not in simple_includes: + print(" appending versionfile_source ('%s') to MANIFEST.in" % + cfg.versionfile_source) + with open(manifest_in, "a") as f: + f.write("include %s\n" % cfg.versionfile_source) + else: + print(" versionfile_source already in MANIFEST.in") + + # Make VCS-specific changes. For git, this means creating/changing + # .gitattributes to mark _version.py for export-subst keyword + # substitution. + do_vcs_install(manifest_in, cfg.versionfile_source, ipy) + return 0 + + +def scan_setup_py(): + """Validate the contents of setup.py against Versioneer's expectations.""" + found = set() + setters = False + errors = 0 + with open("setup.py", "r") as f: + for line in f.readlines(): + if "import versioneer" in line: + found.add("import") + if "versioneer.get_cmdclass()" in line: + found.add("cmdclass") + if "versioneer.get_version()" in line: + found.add("get_version") + if "versioneer.VCS" in line: + setters = True + if "versioneer.versionfile_source" in line: + setters = True + if len(found) != 3: + print("") + print("Your setup.py appears to be missing some important items") + print("(but I might be wrong). Please make sure it has something") + print("roughly like the following:") + print("") + print(" import versioneer") + print(" setup( version=versioneer.get_version(),") + print(" cmdclass=versioneer.get_cmdclass(), ...)") + print("") + errors += 1 + if setters: + print("You should remove lines like 'versioneer.VCS = ' and") + print("'versioneer.versionfile_source = ' . This configuration") + print("now lives in setup.cfg, and should be removed from setup.py") + print("") + errors += 1 + return errors + + +if __name__ == "__main__": + cmd = sys.argv[1] + if cmd == "setup": + errors = do_setup() + errors += scan_setup_py() + if errors: + sys.exit(1)